blob: be4bc87bb1a352cfa719e0894be05e193e5216fd [file] [log] [blame]
VERSION 5.8 ;
DIVIDERCHAR "/" ;
BUSBITCHARS "[]" ;
DESIGN user_project_wrapper ;
UNITS DISTANCE MICRONS 1000 ;
DIEAREA ( 0 0 ) ( 2920000 3520000 ) ;
ROW ROW_0 unithd 5520 10880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1 unithd 5520 13600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_2 unithd 5520 16320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_3 unithd 5520 19040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_4 unithd 5520 21760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_5 unithd 5520 24480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_6 unithd 5520 27200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_7 unithd 5520 29920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_8 unithd 5520 32640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_9 unithd 5520 35360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_10 unithd 5520 38080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_11 unithd 5520 40800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_12 unithd 5520 43520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_13 unithd 5520 46240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_14 unithd 5520 48960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_15 unithd 5520 51680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_16 unithd 5520 54400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_17 unithd 5520 57120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_18 unithd 5520 59840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_19 unithd 5520 62560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_20 unithd 5520 65280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_21 unithd 5520 68000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_22 unithd 5520 70720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_23 unithd 5520 73440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_24 unithd 5520 76160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_25 unithd 5520 78880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_26 unithd 5520 81600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_27 unithd 5520 84320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_28 unithd 5520 87040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_29 unithd 5520 89760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_30 unithd 5520 92480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_31 unithd 5520 95200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_32 unithd 5520 97920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_33 unithd 5520 100640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_34 unithd 5520 103360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_35 unithd 5520 106080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_36 unithd 5520 108800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_37 unithd 5520 111520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_38 unithd 5520 114240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_39 unithd 5520 116960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_40 unithd 5520 119680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_41 unithd 5520 122400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_42 unithd 5520 125120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_43 unithd 5520 127840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_44 unithd 5520 130560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_45 unithd 5520 133280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_46 unithd 5520 136000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_47 unithd 5520 138720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_48 unithd 5520 141440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_49 unithd 5520 144160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_50 unithd 5520 146880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_51 unithd 5520 149600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_52 unithd 5520 152320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_53 unithd 5520 155040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_54 unithd 5520 157760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_55 unithd 5520 160480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_56 unithd 5520 163200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_57 unithd 5520 165920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_58 unithd 5520 168640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_59 unithd 5520 171360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_60 unithd 5520 174080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_61 unithd 5520 176800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_62 unithd 5520 179520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_63 unithd 5520 182240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_64 unithd 5520 184960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_65 unithd 5520 187680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_66 unithd 5520 190400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_67 unithd 5520 193120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_68 unithd 5520 195840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_69 unithd 5520 198560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_70 unithd 5520 201280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_71 unithd 5520 204000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_72 unithd 5520 206720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_73 unithd 5520 209440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_74 unithd 5520 212160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_75 unithd 5520 214880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_76 unithd 5520 217600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_77 unithd 5520 220320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_78 unithd 5520 223040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_79 unithd 5520 225760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_80 unithd 5520 228480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_81 unithd 5520 231200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_82 unithd 5520 233920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_83 unithd 5520 236640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_84 unithd 5520 239360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_85 unithd 5520 242080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_86 unithd 5520 244800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_87 unithd 5520 247520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_88 unithd 5520 250240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_89 unithd 5520 252960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_90 unithd 5520 255680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_91 unithd 5520 258400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_92 unithd 5520 261120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_93 unithd 5520 263840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_94 unithd 5520 266560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_95 unithd 5520 269280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_96 unithd 5520 272000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_97 unithd 5520 274720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_98 unithd 5520 277440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_99 unithd 5520 280160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_100 unithd 5520 282880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_101 unithd 5520 285600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_102 unithd 5520 288320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_103 unithd 5520 291040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_104 unithd 5520 293760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_105 unithd 5520 296480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_106 unithd 5520 299200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_107 unithd 5520 301920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_108 unithd 5520 304640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_109 unithd 5520 307360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_110 unithd 5520 310080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_111 unithd 5520 312800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_112 unithd 5520 315520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_113 unithd 5520 318240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_114 unithd 5520 320960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_115 unithd 5520 323680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_116 unithd 5520 326400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_117 unithd 5520 329120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_118 unithd 5520 331840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_119 unithd 5520 334560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_120 unithd 5520 337280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_121 unithd 5520 340000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_122 unithd 5520 342720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_123 unithd 5520 345440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_124 unithd 5520 348160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_125 unithd 5520 350880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_126 unithd 5520 353600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_127 unithd 5520 356320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_128 unithd 5520 359040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_129 unithd 5520 361760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_130 unithd 5520 364480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_131 unithd 5520 367200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_132 unithd 5520 369920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_133 unithd 5520 372640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_134 unithd 5520 375360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_135 unithd 5520 378080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_136 unithd 5520 380800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_137 unithd 5520 383520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_138 unithd 5520 386240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_139 unithd 5520 388960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_140 unithd 5520 391680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_141 unithd 5520 394400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_142 unithd 5520 397120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_143 unithd 5520 399840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_144 unithd 5520 402560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_145 unithd 5520 405280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_146 unithd 5520 408000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_147 unithd 5520 410720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_148 unithd 5520 413440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_149 unithd 5520 416160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_150 unithd 5520 418880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_151 unithd 5520 421600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_152 unithd 5520 424320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_153 unithd 5520 427040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_154 unithd 5520 429760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_155 unithd 5520 432480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_156 unithd 5520 435200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_157 unithd 5520 437920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_158 unithd 5520 440640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_159 unithd 5520 443360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_160 unithd 5520 446080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_161 unithd 5520 448800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_162 unithd 5520 451520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_163 unithd 5520 454240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_164 unithd 5520 456960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_165 unithd 5520 459680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_166 unithd 5520 462400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_167 unithd 5520 465120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_168 unithd 5520 467840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_169 unithd 5520 470560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_170 unithd 5520 473280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_171 unithd 5520 476000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_172 unithd 5520 478720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_173 unithd 5520 481440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_174 unithd 5520 484160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_175 unithd 5520 486880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_176 unithd 5520 489600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_177 unithd 5520 492320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_178 unithd 5520 495040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_179 unithd 5520 497760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_180 unithd 5520 500480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_181 unithd 5520 503200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_182 unithd 5520 505920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_183 unithd 5520 508640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_184 unithd 5520 511360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_185 unithd 5520 514080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_186 unithd 5520 516800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_187 unithd 5520 519520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_188 unithd 5520 522240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_189 unithd 5520 524960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_190 unithd 5520 527680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_191 unithd 5520 530400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_192 unithd 5520 533120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_193 unithd 5520 535840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_194 unithd 5520 538560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_195 unithd 5520 541280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_196 unithd 5520 544000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_197 unithd 5520 546720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_198 unithd 5520 549440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_199 unithd 5520 552160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_200 unithd 5520 554880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_201 unithd 5520 557600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_202 unithd 5520 560320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_203 unithd 5520 563040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_204 unithd 5520 565760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_205 unithd 5520 568480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_206 unithd 5520 571200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_207 unithd 5520 573920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_208 unithd 5520 576640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_209 unithd 5520 579360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_210 unithd 5520 582080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_211 unithd 5520 584800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_212 unithd 5520 587520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_213 unithd 5520 590240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_214 unithd 5520 592960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_215 unithd 5520 595680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_216 unithd 5520 598400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_217 unithd 5520 601120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_218 unithd 5520 603840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_219 unithd 5520 606560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_220 unithd 5520 609280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_221 unithd 5520 612000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_222 unithd 5520 614720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_223 unithd 5520 617440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_224 unithd 5520 620160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_225 unithd 5520 622880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_226 unithd 5520 625600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_227 unithd 5520 628320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_228 unithd 5520 631040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_229 unithd 5520 633760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_230 unithd 5520 636480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_231 unithd 5520 639200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_232 unithd 5520 641920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_233 unithd 5520 644640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_234 unithd 5520 647360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_235 unithd 5520 650080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_236 unithd 5520 652800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_237 unithd 5520 655520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_238 unithd 5520 658240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_239 unithd 5520 660960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_240 unithd 5520 663680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_241 unithd 5520 666400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_242 unithd 5520 669120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_243 unithd 5520 671840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_244 unithd 5520 674560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_245 unithd 5520 677280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_246 unithd 5520 680000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_247 unithd 5520 682720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_248 unithd 5520 685440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_249 unithd 5520 688160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_250 unithd 5520 690880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_251 unithd 5520 693600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_252 unithd 5520 696320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_253 unithd 5520 699040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_254 unithd 5520 701760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_255 unithd 5520 704480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_256 unithd 5520 707200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_257 unithd 5520 709920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_258 unithd 5520 712640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_259 unithd 5520 715360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_260 unithd 5520 718080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_261 unithd 5520 720800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_262 unithd 5520 723520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_263 unithd 5520 726240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_264 unithd 5520 728960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_265 unithd 5520 731680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_266 unithd 5520 734400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_267 unithd 5520 737120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_268 unithd 5520 739840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_269 unithd 5520 742560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_270 unithd 5520 745280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_271 unithd 5520 748000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_272 unithd 5520 750720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_273 unithd 5520 753440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_274 unithd 5520 756160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_275 unithd 5520 758880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_276 unithd 5520 761600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_277 unithd 5520 764320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_278 unithd 5520 767040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_279 unithd 5520 769760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_280 unithd 5520 772480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_281 unithd 5520 775200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_282 unithd 5520 777920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_283 unithd 5520 780640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_284 unithd 5520 783360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_285 unithd 5520 786080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_286 unithd 5520 788800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_287 unithd 5520 791520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_288 unithd 5520 794240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_289 unithd 5520 796960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_290 unithd 5520 799680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_291 unithd 5520 802400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_292 unithd 5520 805120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_293 unithd 5520 807840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_294 unithd 5520 810560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_295 unithd 5520 813280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_296 unithd 5520 816000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_297 unithd 5520 818720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_298 unithd 5520 821440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_299 unithd 5520 824160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_300 unithd 5520 826880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_301 unithd 5520 829600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_302 unithd 5520 832320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_303 unithd 5520 835040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_304 unithd 5520 837760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_305 unithd 5520 840480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_306 unithd 5520 843200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_307 unithd 5520 845920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_308 unithd 5520 848640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_309 unithd 5520 851360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_310 unithd 5520 854080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_311 unithd 5520 856800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_312 unithd 5520 859520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_313 unithd 5520 862240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_314 unithd 5520 864960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_315 unithd 5520 867680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_316 unithd 5520 870400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_317 unithd 5520 873120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_318 unithd 5520 875840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_319 unithd 5520 878560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_320 unithd 5520 881280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_321 unithd 5520 884000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_322 unithd 5520 886720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_323 unithd 5520 889440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_324 unithd 5520 892160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_325 unithd 5520 894880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_326 unithd 5520 897600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_327 unithd 5520 900320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_328 unithd 5520 903040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_329 unithd 5520 905760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_330 unithd 5520 908480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_331 unithd 5520 911200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_332 unithd 5520 913920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_333 unithd 5520 916640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_334 unithd 5520 919360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_335 unithd 5520 922080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_336 unithd 5520 924800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_337 unithd 5520 927520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_338 unithd 5520 930240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_339 unithd 5520 932960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_340 unithd 5520 935680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_341 unithd 5520 938400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_342 unithd 5520 941120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_343 unithd 5520 943840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_344 unithd 5520 946560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_345 unithd 5520 949280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_346 unithd 5520 952000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_347 unithd 5520 954720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_348 unithd 5520 957440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_349 unithd 5520 960160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_350 unithd 5520 962880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_351 unithd 5520 965600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_352 unithd 5520 968320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_353 unithd 5520 971040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_354 unithd 5520 973760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_355 unithd 5520 976480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_356 unithd 5520 979200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_357 unithd 5520 981920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_358 unithd 5520 984640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_359 unithd 5520 987360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_360 unithd 5520 990080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_361 unithd 5520 992800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_362 unithd 5520 995520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_363 unithd 5520 998240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_364 unithd 5520 1000960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_365 unithd 5520 1003680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_366 unithd 5520 1006400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_367 unithd 5520 1009120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_368 unithd 5520 1011840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_369 unithd 5520 1014560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_370 unithd 5520 1017280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_371 unithd 5520 1020000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_372 unithd 5520 1022720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_373 unithd 5520 1025440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_374 unithd 5520 1028160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_375 unithd 5520 1030880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_376 unithd 5520 1033600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_377 unithd 5520 1036320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_378 unithd 5520 1039040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_379 unithd 5520 1041760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_380 unithd 5520 1044480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_381 unithd 5520 1047200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_382 unithd 5520 1049920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_383 unithd 5520 1052640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_384 unithd 5520 1055360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_385 unithd 5520 1058080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_386 unithd 5520 1060800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_387 unithd 5520 1063520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_388 unithd 5520 1066240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_389 unithd 5520 1068960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_390 unithd 5520 1071680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_391 unithd 5520 1074400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_392 unithd 5520 1077120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_393 unithd 5520 1079840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_394 unithd 5520 1082560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_395 unithd 5520 1085280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_396 unithd 5520 1088000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_397 unithd 5520 1090720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_398 unithd 5520 1093440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_399 unithd 5520 1096160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_400 unithd 5520 1098880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_401 unithd 5520 1101600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_402 unithd 5520 1104320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_403 unithd 5520 1107040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_404 unithd 5520 1109760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_405 unithd 5520 1112480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_406 unithd 5520 1115200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_407 unithd 5520 1117920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_408 unithd 5520 1120640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_409 unithd 5520 1123360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_410 unithd 5520 1126080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_411 unithd 5520 1128800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_412 unithd 5520 1131520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_413 unithd 5520 1134240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_414 unithd 5520 1136960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_415 unithd 5520 1139680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_416 unithd 5520 1142400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_417 unithd 5520 1145120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_418 unithd 5520 1147840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_419 unithd 5520 1150560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_420 unithd 5520 1153280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_421 unithd 5520 1156000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_422 unithd 5520 1158720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_423 unithd 5520 1161440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_424 unithd 5520 1164160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_425 unithd 5520 1166880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_426 unithd 5520 1169600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_427 unithd 5520 1172320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_428 unithd 5520 1175040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_429 unithd 5520 1177760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_430 unithd 5520 1180480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_431 unithd 5520 1183200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_432 unithd 5520 1185920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_433 unithd 5520 1188640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_434 unithd 5520 1191360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_435 unithd 5520 1194080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_436 unithd 5520 1196800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_437 unithd 5520 1199520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_438 unithd 5520 1202240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_439 unithd 5520 1204960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_440 unithd 5520 1207680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_441 unithd 5520 1210400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_442 unithd 5520 1213120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_443 unithd 5520 1215840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_444 unithd 5520 1218560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_445 unithd 5520 1221280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_446 unithd 5520 1224000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_447 unithd 5520 1226720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_448 unithd 5520 1229440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_449 unithd 5520 1232160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_450 unithd 5520 1234880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_451 unithd 5520 1237600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_452 unithd 5520 1240320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_453 unithd 5520 1243040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_454 unithd 5520 1245760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_455 unithd 5520 1248480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_456 unithd 5520 1251200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_457 unithd 5520 1253920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_458 unithd 5520 1256640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_459 unithd 5520 1259360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_460 unithd 5520 1262080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_461 unithd 5520 1264800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_462 unithd 5520 1267520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_463 unithd 5520 1270240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_464 unithd 5520 1272960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_465 unithd 5520 1275680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_466 unithd 5520 1278400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_467 unithd 5520 1281120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_468 unithd 5520 1283840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_469 unithd 5520 1286560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_470 unithd 5520 1289280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_471 unithd 5520 1292000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_472 unithd 5520 1294720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_473 unithd 5520 1297440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_474 unithd 5520 1300160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_475 unithd 5520 1302880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_476 unithd 5520 1305600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_477 unithd 5520 1308320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_478 unithd 5520 1311040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_479 unithd 5520 1313760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_480 unithd 5520 1316480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_481 unithd 5520 1319200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_482 unithd 5520 1321920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_483 unithd 5520 1324640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_484 unithd 5520 1327360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_485 unithd 5520 1330080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_486 unithd 5520 1332800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_487 unithd 5520 1335520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_488 unithd 5520 1338240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_489 unithd 5520 1340960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_490 unithd 5520 1343680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_491 unithd 5520 1346400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_492 unithd 5520 1349120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_493 unithd 5520 1351840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_494 unithd 5520 1354560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_495 unithd 5520 1357280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_496 unithd 5520 1360000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_497 unithd 5520 1362720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_498 unithd 5520 1365440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_499 unithd 5520 1368160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_500 unithd 5520 1370880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_501 unithd 5520 1373600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_502 unithd 5520 1376320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_503 unithd 5520 1379040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_504 unithd 5520 1381760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_505 unithd 5520 1384480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_506 unithd 5520 1387200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_507 unithd 5520 1389920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_508 unithd 5520 1392640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_509 unithd 5520 1395360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_510 unithd 5520 1398080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_511 unithd 5520 1400800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_512 unithd 5520 1403520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_513 unithd 5520 1406240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_514 unithd 5520 1408960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_515 unithd 5520 1411680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_516 unithd 5520 1414400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_517 unithd 5520 1417120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_518 unithd 5520 1419840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_519 unithd 5520 1422560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_520 unithd 5520 1425280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_521 unithd 5520 1428000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_522 unithd 5520 1430720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_523 unithd 5520 1433440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_524 unithd 5520 1436160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_525 unithd 5520 1438880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_526 unithd 5520 1441600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_527 unithd 5520 1444320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_528 unithd 5520 1447040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_529 unithd 5520 1449760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_530 unithd 5520 1452480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_531 unithd 5520 1455200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_532 unithd 5520 1457920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_533 unithd 5520 1460640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_534 unithd 5520 1463360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_535 unithd 5520 1466080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_536 unithd 5520 1468800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_537 unithd 5520 1471520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_538 unithd 5520 1474240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_539 unithd 5520 1476960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_540 unithd 5520 1479680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_541 unithd 5520 1482400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_542 unithd 5520 1485120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_543 unithd 5520 1487840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_544 unithd 5520 1490560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_545 unithd 5520 1493280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_546 unithd 5520 1496000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_547 unithd 5520 1498720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_548 unithd 5520 1501440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_549 unithd 5520 1504160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_550 unithd 5520 1506880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_551 unithd 5520 1509600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_552 unithd 5520 1512320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_553 unithd 5520 1515040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_554 unithd 5520 1517760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_555 unithd 5520 1520480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_556 unithd 5520 1523200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_557 unithd 5520 1525920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_558 unithd 5520 1528640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_559 unithd 5520 1531360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_560 unithd 5520 1534080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_561 unithd 5520 1536800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_562 unithd 5520 1539520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_563 unithd 5520 1542240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_564 unithd 5520 1544960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_565 unithd 5520 1547680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_566 unithd 5520 1550400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_567 unithd 5520 1553120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_568 unithd 5520 1555840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_569 unithd 5520 1558560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_570 unithd 5520 1561280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_571 unithd 5520 1564000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_572 unithd 5520 1566720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_573 unithd 5520 1569440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_574 unithd 5520 1572160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_575 unithd 5520 1574880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_576 unithd 5520 1577600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_577 unithd 5520 1580320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_578 unithd 5520 1583040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_579 unithd 5520 1585760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_580 unithd 5520 1588480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_581 unithd 5520 1591200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_582 unithd 5520 1593920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_583 unithd 5520 1596640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_584 unithd 5520 1599360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_585 unithd 5520 1602080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_586 unithd 5520 1604800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_587 unithd 5520 1607520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_588 unithd 5520 1610240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_589 unithd 5520 1612960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_590 unithd 5520 1615680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_591 unithd 5520 1618400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_592 unithd 5520 1621120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_593 unithd 5520 1623840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_594 unithd 5520 1626560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_595 unithd 5520 1629280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_596 unithd 5520 1632000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_597 unithd 5520 1634720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_598 unithd 5520 1637440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_599 unithd 5520 1640160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_600 unithd 5520 1642880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_601 unithd 5520 1645600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_602 unithd 5520 1648320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_603 unithd 5520 1651040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_604 unithd 5520 1653760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_605 unithd 5520 1656480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_606 unithd 5520 1659200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_607 unithd 5520 1661920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_608 unithd 5520 1664640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_609 unithd 5520 1667360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_610 unithd 5520 1670080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_611 unithd 5520 1672800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_612 unithd 5520 1675520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_613 unithd 5520 1678240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_614 unithd 5520 1680960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_615 unithd 5520 1683680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_616 unithd 5520 1686400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_617 unithd 5520 1689120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_618 unithd 5520 1691840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_619 unithd 5520 1694560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_620 unithd 5520 1697280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_621 unithd 5520 1700000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_622 unithd 5520 1702720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_623 unithd 5520 1705440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_624 unithd 5520 1708160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_625 unithd 5520 1710880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_626 unithd 5520 1713600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_627 unithd 5520 1716320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_628 unithd 5520 1719040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_629 unithd 5520 1721760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_630 unithd 5520 1724480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_631 unithd 5520 1727200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_632 unithd 5520 1729920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_633 unithd 5520 1732640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_634 unithd 5520 1735360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_635 unithd 5520 1738080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_636 unithd 5520 1740800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_637 unithd 5520 1743520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_638 unithd 5520 1746240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_639 unithd 5520 1748960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_640 unithd 5520 1751680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_641 unithd 5520 1754400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_642 unithd 5520 1757120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_643 unithd 5520 1759840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_644 unithd 5520 1762560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_645 unithd 5520 1765280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_646 unithd 5520 1768000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_647 unithd 5520 1770720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_648 unithd 5520 1773440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_649 unithd 5520 1776160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_650 unithd 5520 1778880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_651 unithd 5520 1781600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_652 unithd 5520 1784320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_653 unithd 5520 1787040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_654 unithd 5520 1789760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_655 unithd 5520 1792480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_656 unithd 5520 1795200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_657 unithd 5520 1797920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_658 unithd 5520 1800640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_659 unithd 5520 1803360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_660 unithd 5520 1806080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_661 unithd 5520 1808800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_662 unithd 5520 1811520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_663 unithd 5520 1814240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_664 unithd 5520 1816960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_665 unithd 5520 1819680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_666 unithd 5520 1822400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_667 unithd 5520 1825120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_668 unithd 5520 1827840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_669 unithd 5520 1830560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_670 unithd 5520 1833280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_671 unithd 5520 1836000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_672 unithd 5520 1838720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_673 unithd 5520 1841440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_674 unithd 5520 1844160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_675 unithd 5520 1846880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_676 unithd 5520 1849600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_677 unithd 5520 1852320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_678 unithd 5520 1855040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_679 unithd 5520 1857760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_680 unithd 5520 1860480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_681 unithd 5520 1863200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_682 unithd 5520 1865920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_683 unithd 5520 1868640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_684 unithd 5520 1871360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_685 unithd 5520 1874080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_686 unithd 5520 1876800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_687 unithd 5520 1879520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_688 unithd 5520 1882240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_689 unithd 5520 1884960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_690 unithd 5520 1887680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_691 unithd 5520 1890400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_692 unithd 5520 1893120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_693 unithd 5520 1895840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_694 unithd 5520 1898560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_695 unithd 5520 1901280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_696 unithd 5520 1904000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_697 unithd 5520 1906720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_698 unithd 5520 1909440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_699 unithd 5520 1912160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_700 unithd 5520 1914880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_701 unithd 5520 1917600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_702 unithd 5520 1920320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_703 unithd 5520 1923040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_704 unithd 5520 1925760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_705 unithd 5520 1928480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_706 unithd 5520 1931200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_707 unithd 5520 1933920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_708 unithd 5520 1936640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_709 unithd 5520 1939360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_710 unithd 5520 1942080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_711 unithd 5520 1944800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_712 unithd 5520 1947520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_713 unithd 5520 1950240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_714 unithd 5520 1952960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_715 unithd 5520 1955680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_716 unithd 5520 1958400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_717 unithd 5520 1961120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_718 unithd 5520 1963840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_719 unithd 5520 1966560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_720 unithd 5520 1969280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_721 unithd 5520 1972000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_722 unithd 5520 1974720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_723 unithd 5520 1977440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_724 unithd 5520 1980160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_725 unithd 5520 1982880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_726 unithd 5520 1985600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_727 unithd 5520 1988320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_728 unithd 5520 1991040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_729 unithd 5520 1993760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_730 unithd 5520 1996480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_731 unithd 5520 1999200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_732 unithd 5520 2001920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_733 unithd 5520 2004640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_734 unithd 5520 2007360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_735 unithd 5520 2010080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_736 unithd 5520 2012800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_737 unithd 5520 2015520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_738 unithd 5520 2018240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_739 unithd 5520 2020960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_740 unithd 5520 2023680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_741 unithd 5520 2026400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_742 unithd 5520 2029120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_743 unithd 5520 2031840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_744 unithd 5520 2034560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_745 unithd 5520 2037280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_746 unithd 5520 2040000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_747 unithd 5520 2042720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_748 unithd 5520 2045440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_749 unithd 5520 2048160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_750 unithd 5520 2050880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_751 unithd 5520 2053600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_752 unithd 5520 2056320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_753 unithd 5520 2059040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_754 unithd 5520 2061760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_755 unithd 5520 2064480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_756 unithd 5520 2067200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_757 unithd 5520 2069920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_758 unithd 5520 2072640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_759 unithd 5520 2075360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_760 unithd 5520 2078080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_761 unithd 5520 2080800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_762 unithd 5520 2083520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_763 unithd 5520 2086240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_764 unithd 5520 2088960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_765 unithd 5520 2091680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_766 unithd 5520 2094400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_767 unithd 5520 2097120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_768 unithd 5520 2099840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_769 unithd 5520 2102560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_770 unithd 5520 2105280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_771 unithd 5520 2108000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_772 unithd 5520 2110720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_773 unithd 5520 2113440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_774 unithd 5520 2116160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_775 unithd 5520 2118880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_776 unithd 5520 2121600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_777 unithd 5520 2124320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_778 unithd 5520 2127040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_779 unithd 5520 2129760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_780 unithd 5520 2132480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_781 unithd 5520 2135200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_782 unithd 5520 2137920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_783 unithd 5520 2140640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_784 unithd 5520 2143360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_785 unithd 5520 2146080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_786 unithd 5520 2148800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_787 unithd 5520 2151520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_788 unithd 5520 2154240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_789 unithd 5520 2156960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_790 unithd 5520 2159680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_791 unithd 5520 2162400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_792 unithd 5520 2165120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_793 unithd 5520 2167840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_794 unithd 5520 2170560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_795 unithd 5520 2173280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_796 unithd 5520 2176000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_797 unithd 5520 2178720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_798 unithd 5520 2181440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_799 unithd 5520 2184160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_800 unithd 5520 2186880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_801 unithd 5520 2189600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_802 unithd 5520 2192320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_803 unithd 5520 2195040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_804 unithd 5520 2197760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_805 unithd 5520 2200480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_806 unithd 5520 2203200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_807 unithd 5520 2205920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_808 unithd 5520 2208640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_809 unithd 5520 2211360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_810 unithd 5520 2214080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_811 unithd 5520 2216800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_812 unithd 5520 2219520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_813 unithd 5520 2222240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_814 unithd 5520 2224960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_815 unithd 5520 2227680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_816 unithd 5520 2230400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_817 unithd 5520 2233120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_818 unithd 5520 2235840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_819 unithd 5520 2238560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_820 unithd 5520 2241280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_821 unithd 5520 2244000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_822 unithd 5520 2246720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_823 unithd 5520 2249440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_824 unithd 5520 2252160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_825 unithd 5520 2254880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_826 unithd 5520 2257600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_827 unithd 5520 2260320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_828 unithd 5520 2263040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_829 unithd 5520 2265760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_830 unithd 5520 2268480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_831 unithd 5520 2271200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_832 unithd 5520 2273920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_833 unithd 5520 2276640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_834 unithd 5520 2279360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_835 unithd 5520 2282080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_836 unithd 5520 2284800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_837 unithd 5520 2287520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_838 unithd 5520 2290240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_839 unithd 5520 2292960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_840 unithd 5520 2295680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_841 unithd 5520 2298400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_842 unithd 5520 2301120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_843 unithd 5520 2303840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_844 unithd 5520 2306560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_845 unithd 5520 2309280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_846 unithd 5520 2312000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_847 unithd 5520 2314720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_848 unithd 5520 2317440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_849 unithd 5520 2320160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_850 unithd 5520 2322880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_851 unithd 5520 2325600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_852 unithd 5520 2328320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_853 unithd 5520 2331040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_854 unithd 5520 2333760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_855 unithd 5520 2336480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_856 unithd 5520 2339200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_857 unithd 5520 2341920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_858 unithd 5520 2344640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_859 unithd 5520 2347360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_860 unithd 5520 2350080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_861 unithd 5520 2352800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_862 unithd 5520 2355520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_863 unithd 5520 2358240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_864 unithd 5520 2360960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_865 unithd 5520 2363680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_866 unithd 5520 2366400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_867 unithd 5520 2369120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_868 unithd 5520 2371840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_869 unithd 5520 2374560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_870 unithd 5520 2377280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_871 unithd 5520 2380000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_872 unithd 5520 2382720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_873 unithd 5520 2385440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_874 unithd 5520 2388160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_875 unithd 5520 2390880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_876 unithd 5520 2393600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_877 unithd 5520 2396320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_878 unithd 5520 2399040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_879 unithd 5520 2401760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_880 unithd 5520 2404480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_881 unithd 5520 2407200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_882 unithd 5520 2409920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_883 unithd 5520 2412640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_884 unithd 5520 2415360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_885 unithd 5520 2418080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_886 unithd 5520 2420800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_887 unithd 5520 2423520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_888 unithd 5520 2426240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_889 unithd 5520 2428960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_890 unithd 5520 2431680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_891 unithd 5520 2434400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_892 unithd 5520 2437120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_893 unithd 5520 2439840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_894 unithd 5520 2442560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_895 unithd 5520 2445280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_896 unithd 5520 2448000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_897 unithd 5520 2450720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_898 unithd 5520 2453440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_899 unithd 5520 2456160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_900 unithd 5520 2458880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_901 unithd 5520 2461600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_902 unithd 5520 2464320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_903 unithd 5520 2467040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_904 unithd 5520 2469760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_905 unithd 5520 2472480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_906 unithd 5520 2475200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_907 unithd 5520 2477920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_908 unithd 5520 2480640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_909 unithd 5520 2483360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_910 unithd 5520 2486080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_911 unithd 5520 2488800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_912 unithd 5520 2491520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_913 unithd 5520 2494240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_914 unithd 5520 2496960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_915 unithd 5520 2499680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_916 unithd 5520 2502400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_917 unithd 5520 2505120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_918 unithd 5520 2507840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_919 unithd 5520 2510560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_920 unithd 5520 2513280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_921 unithd 5520 2516000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_922 unithd 5520 2518720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_923 unithd 5520 2521440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_924 unithd 5520 2524160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_925 unithd 5520 2526880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_926 unithd 5520 2529600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_927 unithd 5520 2532320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_928 unithd 5520 2535040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_929 unithd 5520 2537760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_930 unithd 5520 2540480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_931 unithd 5520 2543200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_932 unithd 5520 2545920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_933 unithd 5520 2548640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_934 unithd 5520 2551360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_935 unithd 5520 2554080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_936 unithd 5520 2556800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_937 unithd 5520 2559520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_938 unithd 5520 2562240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_939 unithd 5520 2564960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_940 unithd 5520 2567680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_941 unithd 5520 2570400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_942 unithd 5520 2573120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_943 unithd 5520 2575840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_944 unithd 5520 2578560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_945 unithd 5520 2581280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_946 unithd 5520 2584000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_947 unithd 5520 2586720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_948 unithd 5520 2589440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_949 unithd 5520 2592160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_950 unithd 5520 2594880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_951 unithd 5520 2597600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_952 unithd 5520 2600320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_953 unithd 5520 2603040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_954 unithd 5520 2605760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_955 unithd 5520 2608480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_956 unithd 5520 2611200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_957 unithd 5520 2613920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_958 unithd 5520 2616640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_959 unithd 5520 2619360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_960 unithd 5520 2622080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_961 unithd 5520 2624800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_962 unithd 5520 2627520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_963 unithd 5520 2630240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_964 unithd 5520 2632960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_965 unithd 5520 2635680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_966 unithd 5520 2638400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_967 unithd 5520 2641120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_968 unithd 5520 2643840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_969 unithd 5520 2646560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_970 unithd 5520 2649280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_971 unithd 5520 2652000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_972 unithd 5520 2654720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_973 unithd 5520 2657440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_974 unithd 5520 2660160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_975 unithd 5520 2662880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_976 unithd 5520 2665600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_977 unithd 5520 2668320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_978 unithd 5520 2671040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_979 unithd 5520 2673760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_980 unithd 5520 2676480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_981 unithd 5520 2679200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_982 unithd 5520 2681920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_983 unithd 5520 2684640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_984 unithd 5520 2687360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_985 unithd 5520 2690080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_986 unithd 5520 2692800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_987 unithd 5520 2695520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_988 unithd 5520 2698240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_989 unithd 5520 2700960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_990 unithd 5520 2703680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_991 unithd 5520 2706400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_992 unithd 5520 2709120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_993 unithd 5520 2711840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_994 unithd 5520 2714560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_995 unithd 5520 2717280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_996 unithd 5520 2720000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_997 unithd 5520 2722720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_998 unithd 5520 2725440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_999 unithd 5520 2728160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1000 unithd 5520 2730880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1001 unithd 5520 2733600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1002 unithd 5520 2736320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1003 unithd 5520 2739040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1004 unithd 5520 2741760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1005 unithd 5520 2744480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1006 unithd 5520 2747200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1007 unithd 5520 2749920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1008 unithd 5520 2752640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1009 unithd 5520 2755360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1010 unithd 5520 2758080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1011 unithd 5520 2760800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1012 unithd 5520 2763520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1013 unithd 5520 2766240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1014 unithd 5520 2768960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1015 unithd 5520 2771680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1016 unithd 5520 2774400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1017 unithd 5520 2777120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1018 unithd 5520 2779840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1019 unithd 5520 2782560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1020 unithd 5520 2785280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1021 unithd 5520 2788000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1022 unithd 5520 2790720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1023 unithd 5520 2793440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1024 unithd 5520 2796160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1025 unithd 5520 2798880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1026 unithd 5520 2801600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1027 unithd 5520 2804320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1028 unithd 5520 2807040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1029 unithd 5520 2809760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1030 unithd 5520 2812480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1031 unithd 5520 2815200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1032 unithd 5520 2817920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1033 unithd 5520 2820640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1034 unithd 5520 2823360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1035 unithd 5520 2826080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1036 unithd 5520 2828800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1037 unithd 5520 2831520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1038 unithd 5520 2834240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1039 unithd 5520 2836960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1040 unithd 5520 2839680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1041 unithd 5520 2842400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1042 unithd 5520 2845120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1043 unithd 5520 2847840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1044 unithd 5520 2850560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1045 unithd 5520 2853280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1046 unithd 5520 2856000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1047 unithd 5520 2858720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1048 unithd 5520 2861440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1049 unithd 5520 2864160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1050 unithd 5520 2866880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1051 unithd 5520 2869600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1052 unithd 5520 2872320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1053 unithd 5520 2875040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1054 unithd 5520 2877760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1055 unithd 5520 2880480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1056 unithd 5520 2883200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1057 unithd 5520 2885920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1058 unithd 5520 2888640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1059 unithd 5520 2891360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1060 unithd 5520 2894080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1061 unithd 5520 2896800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1062 unithd 5520 2899520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1063 unithd 5520 2902240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1064 unithd 5520 2904960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1065 unithd 5520 2907680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1066 unithd 5520 2910400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1067 unithd 5520 2913120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1068 unithd 5520 2915840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1069 unithd 5520 2918560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1070 unithd 5520 2921280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1071 unithd 5520 2924000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1072 unithd 5520 2926720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1073 unithd 5520 2929440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1074 unithd 5520 2932160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1075 unithd 5520 2934880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1076 unithd 5520 2937600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1077 unithd 5520 2940320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1078 unithd 5520 2943040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1079 unithd 5520 2945760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1080 unithd 5520 2948480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1081 unithd 5520 2951200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1082 unithd 5520 2953920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1083 unithd 5520 2956640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1084 unithd 5520 2959360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1085 unithd 5520 2962080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1086 unithd 5520 2964800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1087 unithd 5520 2967520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1088 unithd 5520 2970240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1089 unithd 5520 2972960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1090 unithd 5520 2975680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1091 unithd 5520 2978400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1092 unithd 5520 2981120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1093 unithd 5520 2983840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1094 unithd 5520 2986560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1095 unithd 5520 2989280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1096 unithd 5520 2992000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1097 unithd 5520 2994720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1098 unithd 5520 2997440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1099 unithd 5520 3000160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1100 unithd 5520 3002880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1101 unithd 5520 3005600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1102 unithd 5520 3008320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1103 unithd 5520 3011040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1104 unithd 5520 3013760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1105 unithd 5520 3016480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1106 unithd 5520 3019200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1107 unithd 5520 3021920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1108 unithd 5520 3024640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1109 unithd 5520 3027360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1110 unithd 5520 3030080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1111 unithd 5520 3032800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1112 unithd 5520 3035520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1113 unithd 5520 3038240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1114 unithd 5520 3040960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1115 unithd 5520 3043680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1116 unithd 5520 3046400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1117 unithd 5520 3049120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1118 unithd 5520 3051840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1119 unithd 5520 3054560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1120 unithd 5520 3057280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1121 unithd 5520 3060000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1122 unithd 5520 3062720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1123 unithd 5520 3065440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1124 unithd 5520 3068160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1125 unithd 5520 3070880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1126 unithd 5520 3073600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1127 unithd 5520 3076320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1128 unithd 5520 3079040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1129 unithd 5520 3081760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1130 unithd 5520 3084480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1131 unithd 5520 3087200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1132 unithd 5520 3089920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1133 unithd 5520 3092640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1134 unithd 5520 3095360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1135 unithd 5520 3098080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1136 unithd 5520 3100800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1137 unithd 5520 3103520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1138 unithd 5520 3106240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1139 unithd 5520 3108960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1140 unithd 5520 3111680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1141 unithd 5520 3114400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1142 unithd 5520 3117120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1143 unithd 5520 3119840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1144 unithd 5520 3122560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1145 unithd 5520 3125280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1146 unithd 5520 3128000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1147 unithd 5520 3130720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1148 unithd 5520 3133440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1149 unithd 5520 3136160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1150 unithd 5520 3138880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1151 unithd 5520 3141600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1152 unithd 5520 3144320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1153 unithd 5520 3147040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1154 unithd 5520 3149760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1155 unithd 5520 3152480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1156 unithd 5520 3155200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1157 unithd 5520 3157920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1158 unithd 5520 3160640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1159 unithd 5520 3163360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1160 unithd 5520 3166080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1161 unithd 5520 3168800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1162 unithd 5520 3171520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1163 unithd 5520 3174240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1164 unithd 5520 3176960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1165 unithd 5520 3179680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1166 unithd 5520 3182400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1167 unithd 5520 3185120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1168 unithd 5520 3187840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1169 unithd 5520 3190560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1170 unithd 5520 3193280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1171 unithd 5520 3196000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1172 unithd 5520 3198720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1173 unithd 5520 3201440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1174 unithd 5520 3204160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1175 unithd 5520 3206880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1176 unithd 5520 3209600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1177 unithd 5520 3212320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1178 unithd 5520 3215040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1179 unithd 5520 3217760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1180 unithd 5520 3220480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1181 unithd 5520 3223200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1182 unithd 5520 3225920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1183 unithd 5520 3228640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1184 unithd 5520 3231360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1185 unithd 5520 3234080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1186 unithd 5520 3236800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1187 unithd 5520 3239520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1188 unithd 5520 3242240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1189 unithd 5520 3244960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1190 unithd 5520 3247680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1191 unithd 5520 3250400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1192 unithd 5520 3253120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1193 unithd 5520 3255840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1194 unithd 5520 3258560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1195 unithd 5520 3261280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1196 unithd 5520 3264000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1197 unithd 5520 3266720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1198 unithd 5520 3269440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1199 unithd 5520 3272160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1200 unithd 5520 3274880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1201 unithd 5520 3277600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1202 unithd 5520 3280320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1203 unithd 5520 3283040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1204 unithd 5520 3285760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1205 unithd 5520 3288480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1206 unithd 5520 3291200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1207 unithd 5520 3293920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1208 unithd 5520 3296640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1209 unithd 5520 3299360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1210 unithd 5520 3302080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1211 unithd 5520 3304800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1212 unithd 5520 3307520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1213 unithd 5520 3310240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1214 unithd 5520 3312960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1215 unithd 5520 3315680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1216 unithd 5520 3318400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1217 unithd 5520 3321120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1218 unithd 5520 3323840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1219 unithd 5520 3326560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1220 unithd 5520 3329280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1221 unithd 5520 3332000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1222 unithd 5520 3334720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1223 unithd 5520 3337440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1224 unithd 5520 3340160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1225 unithd 5520 3342880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1226 unithd 5520 3345600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1227 unithd 5520 3348320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1228 unithd 5520 3351040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1229 unithd 5520 3353760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1230 unithd 5520 3356480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1231 unithd 5520 3359200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1232 unithd 5520 3361920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1233 unithd 5520 3364640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1234 unithd 5520 3367360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1235 unithd 5520 3370080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1236 unithd 5520 3372800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1237 unithd 5520 3375520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1238 unithd 5520 3378240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1239 unithd 5520 3380960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1240 unithd 5520 3383680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1241 unithd 5520 3386400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1242 unithd 5520 3389120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1243 unithd 5520 3391840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1244 unithd 5520 3394560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1245 unithd 5520 3397280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1246 unithd 5520 3400000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1247 unithd 5520 3402720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1248 unithd 5520 3405440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1249 unithd 5520 3408160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1250 unithd 5520 3410880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1251 unithd 5520 3413600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1252 unithd 5520 3416320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1253 unithd 5520 3419040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1254 unithd 5520 3421760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1255 unithd 5520 3424480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1256 unithd 5520 3427200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1257 unithd 5520 3429920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1258 unithd 5520 3432640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1259 unithd 5520 3435360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1260 unithd 5520 3438080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1261 unithd 5520 3440800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1262 unithd 5520 3443520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1263 unithd 5520 3446240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1264 unithd 5520 3448960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1265 unithd 5520 3451680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1266 unithd 5520 3454400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1267 unithd 5520 3457120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1268 unithd 5520 3459840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1269 unithd 5520 3462560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1270 unithd 5520 3465280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1271 unithd 5520 3468000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1272 unithd 5520 3470720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1273 unithd 5520 3473440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1274 unithd 5520 3476160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1275 unithd 5520 3478880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1276 unithd 5520 3481600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1277 unithd 5520 3484320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1278 unithd 5520 3487040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1279 unithd 5520 3489760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1280 unithd 5520 3492480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1281 unithd 5520 3495200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1282 unithd 5520 3497920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1283 unithd 5520 3500640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1284 unithd 5520 3503360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1285 unithd 5520 3506080 FS DO 6323 BY 1 STEP 460 0 ;
TRACKS X 230 DO 6348 STEP 460 LAYER li1 ;
TRACKS Y 170 DO 10353 STEP 340 LAYER li1 ;
TRACKS X 170 DO 8588 STEP 340 LAYER met1 ;
TRACKS Y 170 DO 10353 STEP 340 LAYER met1 ;
TRACKS X 230 DO 6348 STEP 460 LAYER met2 ;
TRACKS Y 230 DO 7652 STEP 460 LAYER met2 ;
TRACKS X 340 DO 4294 STEP 680 LAYER met3 ;
TRACKS Y 340 DO 5176 STEP 680 LAYER met3 ;
TRACKS X 460 DO 3174 STEP 920 LAYER met4 ;
TRACKS Y 460 DO 3826 STEP 920 LAYER met4 ;
TRACKS X 1700 DO 859 STEP 3400 LAYER met5 ;
TRACKS Y 1700 DO 1035 STEP 3400 LAYER met5 ;
GCELLGRID X 0 DO 423 STEP 6900 ;
GCELLGRID Y 0 DO 510 STEP 6900 ;
VIAS 2 ;
- via4_3100x3100 + VIARULE M4M5_PR + CUTSIZE 800 800 + LAYERS met4 via4 met5 + CUTSPACING 800 800 + ENCLOSURE 350 350 350 350 + ROWCOL 2 2 ;
- via4_1600x3100 + VIARULE M4M5_PR + CUTSIZE 800 800 + LAYERS met4 via4 met5 + CUTSPACING 800 800 + ENCLOSURE 400 350 400 350 + ROWCOL 2 1 ;
END VIAS
COMPONENTS 1 ;
- mprj user_proj_example + FIXED ( 70000 250000 ) N ;
END COMPONENTS
PINS 645 ;
- analog_io[0] + NET analog_io[0] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1426980 ) N ;
- analog_io[10] + NET analog_io[10] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2230770 3521200 ) N ;
- analog_io[11] + NET analog_io[11] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1906010 3521200 ) N ;
- analog_io[12] + NET analog_io[12] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1581710 3521200 ) N ;
- analog_io[13] + NET analog_io[13] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1257410 3521200 ) N ;
- analog_io[14] + NET analog_io[14] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 932650 3521200 ) N ;
- analog_io[15] + NET analog_io[15] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 608350 3521200 ) N ;
- analog_io[16] + NET analog_io[16] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 284050 3521200 ) N ;
- analog_io[17] + NET analog_io[17] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3486700 ) N ;
- analog_io[18] + NET analog_io[18] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3225580 ) N ;
- analog_io[19] + NET analog_io[19] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2965140 ) N ;
- analog_io[1] + NET analog_io[1] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1692860 ) N ;
- analog_io[20] + NET analog_io[20] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2704020 ) N ;
- analog_io[21] + NET analog_io[21] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2443580 ) N ;
- analog_io[22] + NET analog_io[22] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2183140 ) N ;
- analog_io[23] + NET analog_io[23] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1922020 ) N ;
- analog_io[24] + NET analog_io[24] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1661580 ) N ;
- analog_io[25] + NET analog_io[25] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1400460 ) N ;
- analog_io[26] + NET analog_io[26] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1140020 ) N ;
- analog_io[27] + NET analog_io[27] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 879580 ) N ;
- analog_io[28] + NET analog_io[28] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 618460 ) N ;
- analog_io[2] + NET analog_io[2] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1958740 ) N ;
- analog_io[3] + NET analog_io[3] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2223940 ) N ;
- analog_io[4] + NET analog_io[4] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2489820 ) N ;
- analog_io[5] + NET analog_io[5] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2755700 ) N ;
- analog_io[6] + NET analog_io[6] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3020900 ) N ;
- analog_io[7] + NET analog_io[7] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3286780 ) N ;
- analog_io[8] + NET analog_io[8] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2879370 3521200 ) N ;
- analog_io[9] + NET analog_io[9] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2555070 3521200 ) N ;
- io_in[0] + NET io_in[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 32980 ) N ;
- io_in[10] + NET io_in[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2290580 ) N ;
- io_in[11] + NET io_in[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2556460 ) N ;
- io_in[12] + NET io_in[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2821660 ) N ;
- io_in[13] + NET io_in[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3087540 ) N ;
- io_in[14] + NET io_in[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3353420 ) N ;
- io_in[15] + NET io_in[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2798410 3521200 ) N ;
- io_in[16] + NET io_in[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2474110 3521200 ) N ;
- io_in[17] + NET io_in[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2149350 3521200 ) N ;
- io_in[18] + NET io_in[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1825050 3521200 ) N ;
- io_in[19] + NET io_in[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1500750 3521200 ) N ;
- io_in[1] + NET io_in[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 231540 ) N ;
- io_in[20] + NET io_in[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1175990 3521200 ) N ;
- io_in[21] + NET io_in[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 851690 3521200 ) N ;
- io_in[22] + NET io_in[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 527390 3521200 ) N ;
- io_in[23] + NET io_in[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 202630 3521200 ) N ;
- io_in[24] + NET io_in[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3421420 ) N ;
- io_in[25] + NET io_in[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3160300 ) N ;
- io_in[26] + NET io_in[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2899860 ) N ;
- io_in[27] + NET io_in[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2639420 ) N ;
- io_in[28] + NET io_in[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2378300 ) N ;
- io_in[29] + NET io_in[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2117860 ) N ;
- io_in[2] + NET io_in[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 430780 ) N ;
- io_in[30] + NET io_in[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1856740 ) N ;
- io_in[31] + NET io_in[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1596300 ) N ;
- io_in[32] + NET io_in[32] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1335860 ) N ;
- io_in[33] + NET io_in[33] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1074740 ) N ;
- io_in[34] + NET io_in[34] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 814300 ) N ;
- io_in[35] + NET io_in[35] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 553180 ) N ;
- io_in[36] + NET io_in[36] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 358020 ) N ;
- io_in[37] + NET io_in[37] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 162180 ) N ;
- io_in[3] + NET io_in[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 630020 ) N ;
- io_in[4] + NET io_in[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 829260 ) N ;
- io_in[5] + NET io_in[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1028500 ) N ;
- io_in[6] + NET io_in[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1227740 ) N ;
- io_in[7] + NET io_in[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1493620 ) N ;
- io_in[8] + NET io_in[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1759500 ) N ;
- io_in[9] + NET io_in[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2024700 ) N ;
- io_oeb[0] + NET io_oeb[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 165580 ) N ;
- io_oeb[10] + NET io_oeb[10] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2423180 ) N ;
- io_oeb[11] + NET io_oeb[11] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2689060 ) N ;
- io_oeb[12] + NET io_oeb[12] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2954940 ) N ;
- io_oeb[13] + NET io_oeb[13] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3220140 ) N ;
- io_oeb[14] + NET io_oeb[14] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3486020 ) N ;
- io_oeb[15] + NET io_oeb[15] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2636030 3521200 ) N ;
- io_oeb[16] + NET io_oeb[16] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2311730 3521200 ) N ;
- io_oeb[17] + NET io_oeb[17] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1987430 3521200 ) N ;
- io_oeb[18] + NET io_oeb[18] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1662670 3521200 ) N ;
- io_oeb[19] + NET io_oeb[19] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1338370 3521200 ) N ;
- io_oeb[1] + NET io_oeb[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 364820 ) N ;
- io_oeb[20] + NET io_oeb[20] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1014070 3521200 ) N ;
- io_oeb[21] + NET io_oeb[21] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 689310 3521200 ) N ;
- io_oeb[22] + NET io_oeb[22] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 365010 3521200 ) N ;
- io_oeb[23] + NET io_oeb[23] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 40710 3521200 ) N ;
- io_oeb[24] + NET io_oeb[24] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3290860 ) N ;
- io_oeb[25] + NET io_oeb[25] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3030420 ) N ;
- io_oeb[26] + NET io_oeb[26] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2769300 ) N ;
- io_oeb[27] + NET io_oeb[27] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2508860 ) N ;
- io_oeb[28] + NET io_oeb[28] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2247740 ) N ;
- io_oeb[29] + NET io_oeb[29] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1987300 ) N ;
- io_oeb[2] + NET io_oeb[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 564060 ) N ;
- io_oeb[30] + NET io_oeb[30] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1726860 ) N ;
- io_oeb[31] + NET io_oeb[31] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1465740 ) N ;
- io_oeb[32] + NET io_oeb[32] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1205300 ) N ;
- io_oeb[33] + NET io_oeb[33] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 944180 ) N ;
- io_oeb[34] + NET io_oeb[34] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 683740 ) N ;
- io_oeb[35] + NET io_oeb[35] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 423300 ) N ;
- io_oeb[36] + NET io_oeb[36] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 227460 ) N ;
- io_oeb[37] + NET io_oeb[37] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 32300 ) N ;
- io_oeb[3] + NET io_oeb[3] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 763300 ) N ;
- io_oeb[4] + NET io_oeb[4] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 962540 ) N ;
- io_oeb[5] + NET io_oeb[5] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1161780 ) N ;
- io_oeb[6] + NET io_oeb[6] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1361020 ) N ;
- io_oeb[7] + NET io_oeb[7] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1626220 ) N ;
- io_oeb[8] + NET io_oeb[8] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1892100 ) N ;
- io_oeb[9] + NET io_oeb[9] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2157980 ) N ;
- io_out[0] + NET io_out[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 98940 ) N ;
- io_out[10] + NET io_out[10] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2357220 ) N ;
- io_out[11] + NET io_out[11] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2622420 ) N ;
- io_out[12] + NET io_out[12] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2888300 ) N ;
- io_out[13] + NET io_out[13] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3154180 ) N ;
- io_out[14] + NET io_out[14] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3419380 ) N ;
- io_out[15] + NET io_out[15] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2717450 3521200 ) N ;
- io_out[16] + NET io_out[16] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2392690 3521200 ) N ;
- io_out[17] + NET io_out[17] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2068390 3521200 ) N ;
- io_out[18] + NET io_out[18] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1744090 3521200 ) N ;
- io_out[19] + NET io_out[19] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1419330 3521200 ) N ;
- io_out[1] + NET io_out[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 298180 ) N ;
- io_out[20] + NET io_out[20] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1095030 3521200 ) N ;
- io_out[21] + NET io_out[21] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 770730 3521200 ) N ;
- io_out[22] + NET io_out[22] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 445970 3521200 ) N ;
- io_out[23] + NET io_out[23] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 121670 3521200 ) N ;
- io_out[24] + NET io_out[24] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3356140 ) N ;
- io_out[25] + NET io_out[25] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3095700 ) N ;
- io_out[26] + NET io_out[26] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2834580 ) N ;
- io_out[27] + NET io_out[27] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2574140 ) N ;
- io_out[28] + NET io_out[28] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2313020 ) N ;
- io_out[29] + NET io_out[29] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2052580 ) N ;
- io_out[2] + NET io_out[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 497420 ) N ;
- io_out[30] + NET io_out[30] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1792140 ) N ;
- io_out[31] + NET io_out[31] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1531020 ) N ;
- io_out[32] + NET io_out[32] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1270580 ) N ;
- io_out[33] + NET io_out[33] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1009460 ) N ;
- io_out[34] + NET io_out[34] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 749020 ) N ;
- io_out[35] + NET io_out[35] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 487900 ) N ;
- io_out[36] + NET io_out[36] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 292740 ) N ;
- io_out[37] + NET io_out[37] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 96900 ) N ;
- io_out[3] + NET io_out[3] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 696660 ) N ;
- io_out[4] + NET io_out[4] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 895900 ) N ;
- io_out[5] + NET io_out[5] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1095140 ) N ;
- io_out[6] + NET io_out[6] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1294380 ) N ;
- io_out[7] + NET io_out[7] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1560260 ) N ;
- io_out[8] + NET io_out[8] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1825460 ) N ;
- io_out[9] + NET io_out[9] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2091340 ) N ;
- la_data_in[0] + NET la_data_in[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 629510 -1200 ) N ;
- la_data_in[100] + NET la_data_in[100] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2402810 -1200 ) N ;
- la_data_in[101] + NET la_data_in[101] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2420290 -1200 ) N ;
- la_data_in[102] + NET la_data_in[102] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2438230 -1200 ) N ;
- la_data_in[103] + NET la_data_in[103] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2455710 -1200 ) N ;
- la_data_in[104] + NET la_data_in[104] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2473650 -1200 ) N ;
- la_data_in[105] + NET la_data_in[105] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2491130 -1200 ) N ;
- la_data_in[106] + NET la_data_in[106] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2509070 -1200 ) N ;
- la_data_in[107] + NET la_data_in[107] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2527010 -1200 ) N ;
- la_data_in[108] + NET la_data_in[108] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2544490 -1200 ) N ;
- la_data_in[109] + NET la_data_in[109] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2562430 -1200 ) N ;
- la_data_in[10] + NET la_data_in[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 806610 -1200 ) N ;
- la_data_in[110] + NET la_data_in[110] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2579910 -1200 ) N ;
- la_data_in[111] + NET la_data_in[111] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2597850 -1200 ) N ;
- la_data_in[112] + NET la_data_in[112] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2615330 -1200 ) N ;
- la_data_in[113] + NET la_data_in[113] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2633270 -1200 ) N ;
- la_data_in[114] + NET la_data_in[114] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2650750 -1200 ) N ;
- la_data_in[115] + NET la_data_in[115] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2668690 -1200 ) N ;
- la_data_in[116] + NET la_data_in[116] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2686170 -1200 ) N ;
- la_data_in[117] + NET la_data_in[117] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2704110 -1200 ) N ;
- la_data_in[118] + NET la_data_in[118] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2722050 -1200 ) N ;
- la_data_in[119] + NET la_data_in[119] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2739530 -1200 ) N ;
- la_data_in[11] + NET la_data_in[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 824550 -1200 ) N ;
- la_data_in[120] + NET la_data_in[120] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2757470 -1200 ) N ;
- la_data_in[121] + NET la_data_in[121] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2774950 -1200 ) N ;
- la_data_in[122] + NET la_data_in[122] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2792890 -1200 ) N ;
- la_data_in[123] + NET la_data_in[123] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2810370 -1200 ) N ;
- la_data_in[124] + NET la_data_in[124] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2828310 -1200 ) N ;
- la_data_in[125] + NET la_data_in[125] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2845790 -1200 ) N ;
- la_data_in[126] + NET la_data_in[126] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2863730 -1200 ) N ;
- la_data_in[127] + NET la_data_in[127] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2881670 -1200 ) N ;
- la_data_in[12] + NET la_data_in[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 842030 -1200 ) N ;
- la_data_in[13] + NET la_data_in[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 859970 -1200 ) N ;
- la_data_in[14] + NET la_data_in[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 877450 -1200 ) N ;
- la_data_in[15] + NET la_data_in[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 895390 -1200 ) N ;
- la_data_in[16] + NET la_data_in[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 912870 -1200 ) N ;
- la_data_in[17] + NET la_data_in[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 930810 -1200 ) N ;
- la_data_in[18] + NET la_data_in[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 948750 -1200 ) N ;
- la_data_in[19] + NET la_data_in[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 966230 -1200 ) N ;
- la_data_in[1] + NET la_data_in[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 646990 -1200 ) N ;
- la_data_in[20] + NET la_data_in[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 984170 -1200 ) N ;
- la_data_in[21] + NET la_data_in[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1001650 -1200 ) N ;
- la_data_in[22] + NET la_data_in[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1019590 -1200 ) N ;
- la_data_in[23] + NET la_data_in[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1037070 -1200 ) N ;
- la_data_in[24] + NET la_data_in[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1055010 -1200 ) N ;
- la_data_in[25] + NET la_data_in[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1072490 -1200 ) N ;
- la_data_in[26] + NET la_data_in[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1090430 -1200 ) N ;
- la_data_in[27] + NET la_data_in[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1107910 -1200 ) N ;
- la_data_in[28] + NET la_data_in[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1125850 -1200 ) N ;
- la_data_in[29] + NET la_data_in[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1143790 -1200 ) N ;
- la_data_in[2] + NET la_data_in[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 664930 -1200 ) N ;
- la_data_in[30] + NET la_data_in[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1161270 -1200 ) N ;
- la_data_in[31] + NET la_data_in[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1179210 -1200 ) N ;
- la_data_in[32] + NET la_data_in[32] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1196690 -1200 ) N ;
- la_data_in[33] + NET la_data_in[33] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1214630 -1200 ) N ;
- la_data_in[34] + NET la_data_in[34] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1232110 -1200 ) N ;
- la_data_in[35] + NET la_data_in[35] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1250050 -1200 ) N ;
- la_data_in[36] + NET la_data_in[36] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1267530 -1200 ) N ;
- la_data_in[37] + NET la_data_in[37] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1285470 -1200 ) N ;
- la_data_in[38] + NET la_data_in[38] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1303410 -1200 ) N ;
- la_data_in[39] + NET la_data_in[39] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1320890 -1200 ) N ;
- la_data_in[3] + NET la_data_in[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 682410 -1200 ) N ;
- la_data_in[40] + NET la_data_in[40] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1338830 -1200 ) N ;
- la_data_in[41] + NET la_data_in[41] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1356310 -1200 ) N ;
- la_data_in[42] + NET la_data_in[42] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1374250 -1200 ) N ;
- la_data_in[43] + NET la_data_in[43] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1391730 -1200 ) N ;
- la_data_in[44] + NET la_data_in[44] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1409670 -1200 ) N ;
- la_data_in[45] + NET la_data_in[45] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1427150 -1200 ) N ;
- la_data_in[46] + NET la_data_in[46] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1445090 -1200 ) N ;
- la_data_in[47] + NET la_data_in[47] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1463030 -1200 ) N ;
- la_data_in[48] + NET la_data_in[48] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1480510 -1200 ) N ;
- la_data_in[49] + NET la_data_in[49] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1498450 -1200 ) N ;
- la_data_in[4] + NET la_data_in[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 700350 -1200 ) N ;
- la_data_in[50] + NET la_data_in[50] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1515930 -1200 ) N ;
- la_data_in[51] + NET la_data_in[51] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1533870 -1200 ) N ;
- la_data_in[52] + NET la_data_in[52] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1551350 -1200 ) N ;
- la_data_in[53] + NET la_data_in[53] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1569290 -1200 ) N ;
- la_data_in[54] + NET la_data_in[54] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1586770 -1200 ) N ;
- la_data_in[55] + NET la_data_in[55] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1604710 -1200 ) N ;
- la_data_in[56] + NET la_data_in[56] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1622190 -1200 ) N ;
- la_data_in[57] + NET la_data_in[57] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1640130 -1200 ) N ;
- la_data_in[58] + NET la_data_in[58] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1658070 -1200 ) N ;
- la_data_in[59] + NET la_data_in[59] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1675550 -1200 ) N ;
- la_data_in[5] + NET la_data_in[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 717830 -1200 ) N ;
- la_data_in[60] + NET la_data_in[60] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1693490 -1200 ) N ;
- la_data_in[61] + NET la_data_in[61] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1710970 -1200 ) N ;
- la_data_in[62] + NET la_data_in[62] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1728910 -1200 ) N ;
- la_data_in[63] + NET la_data_in[63] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1746390 -1200 ) N ;
- la_data_in[64] + NET la_data_in[64] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1764330 -1200 ) N ;
- la_data_in[65] + NET la_data_in[65] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1781810 -1200 ) N ;
- la_data_in[66] + NET la_data_in[66] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1799750 -1200 ) N ;
- la_data_in[67] + NET la_data_in[67] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1817690 -1200 ) N ;
- la_data_in[68] + NET la_data_in[68] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1835170 -1200 ) N ;
- la_data_in[69] + NET la_data_in[69] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1853110 -1200 ) N ;
- la_data_in[6] + NET la_data_in[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 735770 -1200 ) N ;
- la_data_in[70] + NET la_data_in[70] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1870590 -1200 ) N ;
- la_data_in[71] + NET la_data_in[71] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1888530 -1200 ) N ;
- la_data_in[72] + NET la_data_in[72] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1906010 -1200 ) N ;
- la_data_in[73] + NET la_data_in[73] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1923950 -1200 ) N ;
- la_data_in[74] + NET la_data_in[74] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1941430 -1200 ) N ;
- la_data_in[75] + NET la_data_in[75] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1959370 -1200 ) N ;
- la_data_in[76] + NET la_data_in[76] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1976850 -1200 ) N ;
- la_data_in[77] + NET la_data_in[77] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1994790 -1200 ) N ;
- la_data_in[78] + NET la_data_in[78] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2012730 -1200 ) N ;
- la_data_in[79] + NET la_data_in[79] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2030210 -1200 ) N ;
- la_data_in[7] + NET la_data_in[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 753250 -1200 ) N ;
- la_data_in[80] + NET la_data_in[80] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2048150 -1200 ) N ;
- la_data_in[81] + NET la_data_in[81] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2065630 -1200 ) N ;
- la_data_in[82] + NET la_data_in[82] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2083570 -1200 ) N ;
- la_data_in[83] + NET la_data_in[83] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2101050 -1200 ) N ;
- la_data_in[84] + NET la_data_in[84] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2118990 -1200 ) N ;
- la_data_in[85] + NET la_data_in[85] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2136470 -1200 ) N ;
- la_data_in[86] + NET la_data_in[86] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2154410 -1200 ) N ;
- la_data_in[87] + NET la_data_in[87] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2172350 -1200 ) N ;
- la_data_in[88] + NET la_data_in[88] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2189830 -1200 ) N ;
- la_data_in[89] + NET la_data_in[89] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2207770 -1200 ) N ;
- la_data_in[8] + NET la_data_in[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 771190 -1200 ) N ;
- la_data_in[90] + NET la_data_in[90] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2225250 -1200 ) N ;
- la_data_in[91] + NET la_data_in[91] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2243190 -1200 ) N ;
- la_data_in[92] + NET la_data_in[92] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2260670 -1200 ) N ;
- la_data_in[93] + NET la_data_in[93] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2278610 -1200 ) N ;
- la_data_in[94] + NET la_data_in[94] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2296090 -1200 ) N ;
- la_data_in[95] + NET la_data_in[95] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2314030 -1200 ) N ;
- la_data_in[96] + NET la_data_in[96] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2331510 -1200 ) N ;
- la_data_in[97] + NET la_data_in[97] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2349450 -1200 ) N ;
- la_data_in[98] + NET la_data_in[98] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2367390 -1200 ) N ;
- la_data_in[99] + NET la_data_in[99] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2384870 -1200 ) N ;
- la_data_in[9] + NET la_data_in[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 789130 -1200 ) N ;
- la_data_out[0] + NET la_data_out[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 635030 -1200 ) N ;
- la_data_out[100] + NET la_data_out[100] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2408790 -1200 ) N ;
- la_data_out[101] + NET la_data_out[101] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2426270 -1200 ) N ;
- la_data_out[102] + NET la_data_out[102] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2444210 -1200 ) N ;
- la_data_out[103] + NET la_data_out[103] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2461690 -1200 ) N ;
- la_data_out[104] + NET la_data_out[104] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2479630 -1200 ) N ;
- la_data_out[105] + NET la_data_out[105] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2497110 -1200 ) N ;
- la_data_out[106] + NET la_data_out[106] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2515050 -1200 ) N ;
- la_data_out[107] + NET la_data_out[107] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2532530 -1200 ) N ;
- la_data_out[108] + NET la_data_out[108] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2550470 -1200 ) N ;
- la_data_out[109] + NET la_data_out[109] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2567950 -1200 ) N ;
- la_data_out[10] + NET la_data_out[10] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 812590 -1200 ) N ;
- la_data_out[110] + NET la_data_out[110] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2585890 -1200 ) N ;
- la_data_out[111] + NET la_data_out[111] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2603830 -1200 ) N ;
- la_data_out[112] + NET la_data_out[112] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2621310 -1200 ) N ;
- la_data_out[113] + NET la_data_out[113] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2639250 -1200 ) N ;
- la_data_out[114] + NET la_data_out[114] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2656730 -1200 ) N ;
- la_data_out[115] + NET la_data_out[115] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2674670 -1200 ) N ;
- la_data_out[116] + NET la_data_out[116] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2692150 -1200 ) N ;
- la_data_out[117] + NET la_data_out[117] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2710090 -1200 ) N ;
- la_data_out[118] + NET la_data_out[118] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2727570 -1200 ) N ;
- la_data_out[119] + NET la_data_out[119] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2745510 -1200 ) N ;
- la_data_out[11] + NET la_data_out[11] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 830530 -1200 ) N ;
- la_data_out[120] + NET la_data_out[120] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2763450 -1200 ) N ;
- la_data_out[121] + NET la_data_out[121] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2780930 -1200 ) N ;
- la_data_out[122] + NET la_data_out[122] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2798870 -1200 ) N ;
- la_data_out[123] + NET la_data_out[123] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2816350 -1200 ) N ;
- la_data_out[124] + NET la_data_out[124] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2834290 -1200 ) N ;
- la_data_out[125] + NET la_data_out[125] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2851770 -1200 ) N ;
- la_data_out[126] + NET la_data_out[126] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2869710 -1200 ) N ;
- la_data_out[127] + NET la_data_out[127] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2887190 -1200 ) N ;
- la_data_out[12] + NET la_data_out[12] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 848010 -1200 ) N ;
- la_data_out[13] + NET la_data_out[13] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 865950 -1200 ) N ;
- la_data_out[14] + NET la_data_out[14] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 883430 -1200 ) N ;
- la_data_out[15] + NET la_data_out[15] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 901370 -1200 ) N ;
- la_data_out[16] + NET la_data_out[16] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 918850 -1200 ) N ;
- la_data_out[17] + NET la_data_out[17] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 936790 -1200 ) N ;
- la_data_out[18] + NET la_data_out[18] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 954270 -1200 ) N ;
- la_data_out[19] + NET la_data_out[19] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 972210 -1200 ) N ;
- la_data_out[1] + NET la_data_out[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 652970 -1200 ) N ;
- la_data_out[20] + NET la_data_out[20] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 989690 -1200 ) N ;
- la_data_out[21] + NET la_data_out[21] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1007630 -1200 ) N ;
- la_data_out[22] + NET la_data_out[22] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1025570 -1200 ) N ;
- la_data_out[23] + NET la_data_out[23] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1043050 -1200 ) N ;
- la_data_out[24] + NET la_data_out[24] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1060990 -1200 ) N ;
- la_data_out[25] + NET la_data_out[25] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1078470 -1200 ) N ;
- la_data_out[26] + NET la_data_out[26] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1096410 -1200 ) N ;
- la_data_out[27] + NET la_data_out[27] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1113890 -1200 ) N ;
- la_data_out[28] + NET la_data_out[28] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1131830 -1200 ) N ;
- la_data_out[29] + NET la_data_out[29] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1149310 -1200 ) N ;
- la_data_out[2] + NET la_data_out[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 670910 -1200 ) N ;
- la_data_out[30] + NET la_data_out[30] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1167250 -1200 ) N ;
- la_data_out[31] + NET la_data_out[31] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1185190 -1200 ) N ;
- la_data_out[32] + NET la_data_out[32] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1202670 -1200 ) N ;
- la_data_out[33] + NET la_data_out[33] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1220610 -1200 ) N ;
- la_data_out[34] + NET la_data_out[34] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1238090 -1200 ) N ;
- la_data_out[35] + NET la_data_out[35] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1256030 -1200 ) N ;
- la_data_out[36] + NET la_data_out[36] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1273510 -1200 ) N ;
- la_data_out[37] + NET la_data_out[37] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1291450 -1200 ) N ;
- la_data_out[38] + NET la_data_out[38] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1308930 -1200 ) N ;
- la_data_out[39] + NET la_data_out[39] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1326870 -1200 ) N ;
- la_data_out[3] + NET la_data_out[3] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 688390 -1200 ) N ;
- la_data_out[40] + NET la_data_out[40] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1344350 -1200 ) N ;
- la_data_out[41] + NET la_data_out[41] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1362290 -1200 ) N ;
- la_data_out[42] + NET la_data_out[42] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1380230 -1200 ) N ;
- la_data_out[43] + NET la_data_out[43] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1397710 -1200 ) N ;
- la_data_out[44] + NET la_data_out[44] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1415650 -1200 ) N ;
- la_data_out[45] + NET la_data_out[45] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1433130 -1200 ) N ;
- la_data_out[46] + NET la_data_out[46] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1451070 -1200 ) N ;
- la_data_out[47] + NET la_data_out[47] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1468550 -1200 ) N ;
- la_data_out[48] + NET la_data_out[48] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1486490 -1200 ) N ;
- la_data_out[49] + NET la_data_out[49] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1503970 -1200 ) N ;
- la_data_out[4] + NET la_data_out[4] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 706330 -1200 ) N ;
- la_data_out[50] + NET la_data_out[50] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1521910 -1200 ) N ;
- la_data_out[51] + NET la_data_out[51] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1539850 -1200 ) N ;
- la_data_out[52] + NET la_data_out[52] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1557330 -1200 ) N ;
- la_data_out[53] + NET la_data_out[53] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1575270 -1200 ) N ;
- la_data_out[54] + NET la_data_out[54] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1592750 -1200 ) N ;
- la_data_out[55] + NET la_data_out[55] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1610690 -1200 ) N ;
- la_data_out[56] + NET la_data_out[56] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1628170 -1200 ) N ;
- la_data_out[57] + NET la_data_out[57] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1646110 -1200 ) N ;
- la_data_out[58] + NET la_data_out[58] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1663590 -1200 ) N ;
- la_data_out[59] + NET la_data_out[59] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1681530 -1200 ) N ;
- la_data_out[5] + NET la_data_out[5] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 723810 -1200 ) N ;
- la_data_out[60] + NET la_data_out[60] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1699470 -1200 ) N ;
- la_data_out[61] + NET la_data_out[61] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1716950 -1200 ) N ;
- la_data_out[62] + NET la_data_out[62] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1734890 -1200 ) N ;
- la_data_out[63] + NET la_data_out[63] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1752370 -1200 ) N ;
- la_data_out[64] + NET la_data_out[64] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1770310 -1200 ) N ;
- la_data_out[65] + NET la_data_out[65] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1787790 -1200 ) N ;
- la_data_out[66] + NET la_data_out[66] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1805730 -1200 ) N ;
- la_data_out[67] + NET la_data_out[67] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1823210 -1200 ) N ;
- la_data_out[68] + NET la_data_out[68] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1841150 -1200 ) N ;
- la_data_out[69] + NET la_data_out[69] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1858630 -1200 ) N ;
- la_data_out[6] + NET la_data_out[6] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 741750 -1200 ) N ;
- la_data_out[70] + NET la_data_out[70] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1876570 -1200 ) N ;
- la_data_out[71] + NET la_data_out[71] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1894510 -1200 ) N ;
- la_data_out[72] + NET la_data_out[72] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1911990 -1200 ) N ;
- la_data_out[73] + NET la_data_out[73] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1929930 -1200 ) N ;
- la_data_out[74] + NET la_data_out[74] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1947410 -1200 ) N ;
- la_data_out[75] + NET la_data_out[75] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1965350 -1200 ) N ;
- la_data_out[76] + NET la_data_out[76] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1982830 -1200 ) N ;
- la_data_out[77] + NET la_data_out[77] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2000770 -1200 ) N ;
- la_data_out[78] + NET la_data_out[78] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2018250 -1200 ) N ;
- la_data_out[79] + NET la_data_out[79] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2036190 -1200 ) N ;
- la_data_out[7] + NET la_data_out[7] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 759230 -1200 ) N ;
- la_data_out[80] + NET la_data_out[80] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2054130 -1200 ) N ;
- la_data_out[81] + NET la_data_out[81] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2071610 -1200 ) N ;
- la_data_out[82] + NET la_data_out[82] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2089550 -1200 ) N ;
- la_data_out[83] + NET la_data_out[83] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2107030 -1200 ) N ;
- la_data_out[84] + NET la_data_out[84] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2124970 -1200 ) N ;
- la_data_out[85] + NET la_data_out[85] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2142450 -1200 ) N ;
- la_data_out[86] + NET la_data_out[86] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2160390 -1200 ) N ;
- la_data_out[87] + NET la_data_out[87] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2177870 -1200 ) N ;
- la_data_out[88] + NET la_data_out[88] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2195810 -1200 ) N ;
- la_data_out[89] + NET la_data_out[89] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2213290 -1200 ) N ;
- la_data_out[8] + NET la_data_out[8] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 777170 -1200 ) N ;
- la_data_out[90] + NET la_data_out[90] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2231230 -1200 ) N ;
- la_data_out[91] + NET la_data_out[91] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2249170 -1200 ) N ;
- la_data_out[92] + NET la_data_out[92] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2266650 -1200 ) N ;
- la_data_out[93] + NET la_data_out[93] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2284590 -1200 ) N ;
- la_data_out[94] + NET la_data_out[94] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2302070 -1200 ) N ;
- la_data_out[95] + NET la_data_out[95] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2320010 -1200 ) N ;
- la_data_out[96] + NET la_data_out[96] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2337490 -1200 ) N ;
- la_data_out[97] + NET la_data_out[97] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2355430 -1200 ) N ;
- la_data_out[98] + NET la_data_out[98] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2372910 -1200 ) N ;
- la_data_out[99] + NET la_data_out[99] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2390850 -1200 ) N ;
- la_data_out[9] + NET la_data_out[9] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 794650 -1200 ) N ;
- la_oenb[0] + NET la_oenb[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 641010 -1200 ) N ;
- la_oenb[100] + NET la_oenb[100] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2414310 -1200 ) N ;
- la_oenb[101] + NET la_oenb[101] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2432250 -1200 ) N ;
- la_oenb[102] + NET la_oenb[102] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2449730 -1200 ) N ;
- la_oenb[103] + NET la_oenb[103] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2467670 -1200 ) N ;
- la_oenb[104] + NET la_oenb[104] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2485610 -1200 ) N ;
- la_oenb[105] + NET la_oenb[105] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2503090 -1200 ) N ;
- la_oenb[106] + NET la_oenb[106] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2521030 -1200 ) N ;
- la_oenb[107] + NET la_oenb[107] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2538510 -1200 ) N ;
- la_oenb[108] + NET la_oenb[108] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2556450 -1200 ) N ;
- la_oenb[109] + NET la_oenb[109] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2573930 -1200 ) N ;
- la_oenb[10] + NET la_oenb[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 818570 -1200 ) N ;
- la_oenb[110] + NET la_oenb[110] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2591870 -1200 ) N ;
- la_oenb[111] + NET la_oenb[111] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2609350 -1200 ) N ;
- la_oenb[112] + NET la_oenb[112] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2627290 -1200 ) N ;
- la_oenb[113] + NET la_oenb[113] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2645230 -1200 ) N ;
- la_oenb[114] + NET la_oenb[114] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2662710 -1200 ) N ;
- la_oenb[115] + NET la_oenb[115] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2680650 -1200 ) N ;
- la_oenb[116] + NET la_oenb[116] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2698130 -1200 ) N ;
- la_oenb[117] + NET la_oenb[117] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2716070 -1200 ) N ;
- la_oenb[118] + NET la_oenb[118] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2733550 -1200 ) N ;
- la_oenb[119] + NET la_oenb[119] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2751490 -1200 ) N ;
- la_oenb[11] + NET la_oenb[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 836050 -1200 ) N ;
- la_oenb[120] + NET la_oenb[120] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2768970 -1200 ) N ;
- la_oenb[121] + NET la_oenb[121] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2786910 -1200 ) N ;
- la_oenb[122] + NET la_oenb[122] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2804390 -1200 ) N ;
- la_oenb[123] + NET la_oenb[123] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2822330 -1200 ) N ;
- la_oenb[124] + NET la_oenb[124] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2840270 -1200 ) N ;
- la_oenb[125] + NET la_oenb[125] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2857750 -1200 ) N ;
- la_oenb[126] + NET la_oenb[126] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2875690 -1200 ) N ;
- la_oenb[127] + NET la_oenb[127] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2893170 -1200 ) N ;
- la_oenb[12] + NET la_oenb[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 853990 -1200 ) N ;
- la_oenb[13] + NET la_oenb[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 871470 -1200 ) N ;
- la_oenb[14] + NET la_oenb[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 889410 -1200 ) N ;
- la_oenb[15] + NET la_oenb[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 907350 -1200 ) N ;
- la_oenb[16] + NET la_oenb[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 924830 -1200 ) N ;
- la_oenb[17] + NET la_oenb[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 942770 -1200 ) N ;
- la_oenb[18] + NET la_oenb[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 960250 -1200 ) N ;
- la_oenb[19] + NET la_oenb[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 978190 -1200 ) N ;
- la_oenb[1] + NET la_oenb[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 658950 -1200 ) N ;
- la_oenb[20] + NET la_oenb[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 995670 -1200 ) N ;
- la_oenb[21] + NET la_oenb[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1013610 -1200 ) N ;
- la_oenb[22] + NET la_oenb[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1031090 -1200 ) N ;
- la_oenb[23] + NET la_oenb[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1049030 -1200 ) N ;
- la_oenb[24] + NET la_oenb[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1066970 -1200 ) N ;
- la_oenb[25] + NET la_oenb[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1084450 -1200 ) N ;
- la_oenb[26] + NET la_oenb[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1102390 -1200 ) N ;
- la_oenb[27] + NET la_oenb[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1119870 -1200 ) N ;
- la_oenb[28] + NET la_oenb[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1137810 -1200 ) N ;
- la_oenb[29] + NET la_oenb[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1155290 -1200 ) N ;
- la_oenb[2] + NET la_oenb[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 676430 -1200 ) N ;
- la_oenb[30] + NET la_oenb[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1173230 -1200 ) N ;
- la_oenb[31] + NET la_oenb[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1190710 -1200 ) N ;
- la_oenb[32] + NET la_oenb[32] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1208650 -1200 ) N ;
- la_oenb[33] + NET la_oenb[33] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1226130 -1200 ) N ;
- la_oenb[34] + NET la_oenb[34] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1244070 -1200 ) N ;
- la_oenb[35] + NET la_oenb[35] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1262010 -1200 ) N ;
- la_oenb[36] + NET la_oenb[36] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1279490 -1200 ) N ;
- la_oenb[37] + NET la_oenb[37] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1297430 -1200 ) N ;
- la_oenb[38] + NET la_oenb[38] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1314910 -1200 ) N ;
- la_oenb[39] + NET la_oenb[39] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1332850 -1200 ) N ;
- la_oenb[3] + NET la_oenb[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 694370 -1200 ) N ;
- la_oenb[40] + NET la_oenb[40] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1350330 -1200 ) N ;
- la_oenb[41] + NET la_oenb[41] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1368270 -1200 ) N ;
- la_oenb[42] + NET la_oenb[42] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1385750 -1200 ) N ;
- la_oenb[43] + NET la_oenb[43] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1403690 -1200 ) N ;
- la_oenb[44] + NET la_oenb[44] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1421630 -1200 ) N ;
- la_oenb[45] + NET la_oenb[45] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1439110 -1200 ) N ;
- la_oenb[46] + NET la_oenb[46] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1457050 -1200 ) N ;
- la_oenb[47] + NET la_oenb[47] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1474530 -1200 ) N ;
- la_oenb[48] + NET la_oenb[48] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1492470 -1200 ) N ;
- la_oenb[49] + NET la_oenb[49] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1509950 -1200 ) N ;
- la_oenb[4] + NET la_oenb[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 712310 -1200 ) N ;
- la_oenb[50] + NET la_oenb[50] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1527890 -1200 ) N ;
- la_oenb[51] + NET la_oenb[51] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1545370 -1200 ) N ;
- la_oenb[52] + NET la_oenb[52] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1563310 -1200 ) N ;
- la_oenb[53] + NET la_oenb[53] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1581250 -1200 ) N ;
- la_oenb[54] + NET la_oenb[54] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1598730 -1200 ) N ;
- la_oenb[55] + NET la_oenb[55] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1616670 -1200 ) N ;
- la_oenb[56] + NET la_oenb[56] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1634150 -1200 ) N ;
- la_oenb[57] + NET la_oenb[57] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1652090 -1200 ) N ;
- la_oenb[58] + NET la_oenb[58] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1669570 -1200 ) N ;
- la_oenb[59] + NET la_oenb[59] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1687510 -1200 ) N ;
- la_oenb[5] + NET la_oenb[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 729790 -1200 ) N ;
- la_oenb[60] + NET la_oenb[60] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1704990 -1200 ) N ;
- la_oenb[61] + NET la_oenb[61] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1722930 -1200 ) N ;
- la_oenb[62] + NET la_oenb[62] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1740410 -1200 ) N ;
- la_oenb[63] + NET la_oenb[63] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1758350 -1200 ) N ;
- la_oenb[64] + NET la_oenb[64] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1776290 -1200 ) N ;
- la_oenb[65] + NET la_oenb[65] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1793770 -1200 ) N ;
- la_oenb[66] + NET la_oenb[66] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1811710 -1200 ) N ;
- la_oenb[67] + NET la_oenb[67] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1829190 -1200 ) N ;
- la_oenb[68] + NET la_oenb[68] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1847130 -1200 ) N ;
- la_oenb[69] + NET la_oenb[69] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1864610 -1200 ) N ;
- la_oenb[6] + NET la_oenb[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 747730 -1200 ) N ;
- la_oenb[70] + NET la_oenb[70] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1882550 -1200 ) N ;
- la_oenb[71] + NET la_oenb[71] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1900030 -1200 ) N ;
- la_oenb[72] + NET la_oenb[72] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1917970 -1200 ) N ;
- la_oenb[73] + NET la_oenb[73] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1935910 -1200 ) N ;
- la_oenb[74] + NET la_oenb[74] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1953390 -1200 ) N ;
- la_oenb[75] + NET la_oenb[75] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1971330 -1200 ) N ;
- la_oenb[76] + NET la_oenb[76] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1988810 -1200 ) N ;
- la_oenb[77] + NET la_oenb[77] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2006750 -1200 ) N ;
- la_oenb[78] + NET la_oenb[78] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2024230 -1200 ) N ;
- la_oenb[79] + NET la_oenb[79] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2042170 -1200 ) N ;
- la_oenb[7] + NET la_oenb[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 765210 -1200 ) N ;
- la_oenb[80] + NET la_oenb[80] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2059650 -1200 ) N ;
- la_oenb[81] + NET la_oenb[81] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2077590 -1200 ) N ;
- la_oenb[82] + NET la_oenb[82] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2095070 -1200 ) N ;
- la_oenb[83] + NET la_oenb[83] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2113010 -1200 ) N ;
- la_oenb[84] + NET la_oenb[84] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2130950 -1200 ) N ;
- la_oenb[85] + NET la_oenb[85] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2148430 -1200 ) N ;
- la_oenb[86] + NET la_oenb[86] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2166370 -1200 ) N ;
- la_oenb[87] + NET la_oenb[87] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2183850 -1200 ) N ;
- la_oenb[88] + NET la_oenb[88] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2201790 -1200 ) N ;
- la_oenb[89] + NET la_oenb[89] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2219270 -1200 ) N ;
- la_oenb[8] + NET la_oenb[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 783150 -1200 ) N ;
- la_oenb[90] + NET la_oenb[90] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2237210 -1200 ) N ;
- la_oenb[91] + NET la_oenb[91] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2254690 -1200 ) N ;
- la_oenb[92] + NET la_oenb[92] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2272630 -1200 ) N ;
- la_oenb[93] + NET la_oenb[93] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2290570 -1200 ) N ;
- la_oenb[94] + NET la_oenb[94] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2308050 -1200 ) N ;
- la_oenb[95] + NET la_oenb[95] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2325990 -1200 ) N ;
- la_oenb[96] + NET la_oenb[96] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2343470 -1200 ) N ;
- la_oenb[97] + NET la_oenb[97] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2361410 -1200 ) N ;
- la_oenb[98] + NET la_oenb[98] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2378890 -1200 ) N ;
- la_oenb[99] + NET la_oenb[99] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2396830 -1200 ) N ;
- la_oenb[9] + NET la_oenb[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 800630 -1200 ) N ;
- user_clock2 + NET user_clock2 + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2899150 -1200 ) N ;
- user_irq[0] + NET user_irq[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2905130 -1200 ) N ;
- user_irq[1] + NET user_irq[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2911110 -1200 ) N ;
- user_irq[2] + NET user_irq[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2917090 -1200 ) N ;
- vccd1 + NET vccd1 + SPECIAL + DIRECTION INPUT + USE POWER
+ PORT
+ LAYER met4 ( -1550 -1769310 ) ( 1550 1769310 )
+ LAYER met4 ( -181550 1600160 ) ( -178450 1769310 )
+ LAYER met4 ( -361550 1600160 ) ( -358450 1769310 )
+ LAYER met4 ( -541550 1600160 ) ( -538450 1769310 )
+ LAYER met4 ( -721550 1600160 ) ( -718450 1769310 )
+ LAYER met4 ( -901550 1600160 ) ( -898450 1769310 )
+ LAYER met4 ( -1081550 1600160 ) ( -1078450 1769310 )
+ LAYER met4 ( -1261550 1600160 ) ( -1258450 1769310 )
+ LAYER met4 ( -1441550 1600160 ) ( -1438450 1769310 )
+ LAYER met4 ( -1621550 1600160 ) ( -1618450 1769310 )
+ LAYER met4 ( -1801550 1600160 ) ( -1798450 1769310 )
+ LAYER met4 ( -1981550 1600160 ) ( -1978450 1769310 )
+ LAYER met4 ( -2161550 1600160 ) ( -2158450 1769310 )
+ LAYER met4 ( -2341550 1600160 ) ( -2338450 1769310 )
+ LAYER met4 ( -2521550 1600160 ) ( -2518450 1769310 )
+ LAYER met4 ( -2701550 1600160 ) ( -2698450 1769310 )
+ LAYER met4 ( -2881550 -1769310 ) ( -2878450 1769310 )
+ LAYER met4 ( 36030 -1764510 ) ( 39130 1764510 )
+ LAYER met4 ( -2900550 -1764510 ) ( -2897450 1764510 )
+ LAYER met4 ( -181550 -1769310 ) ( -178450 -1519840 )
+ LAYER met4 ( -361550 -1769310 ) ( -358450 -1519840 )
+ LAYER met4 ( -541550 -1769310 ) ( -538450 -1519840 )
+ LAYER met4 ( -721550 -1769310 ) ( -718450 -1519840 )
+ LAYER met4 ( -901550 -1769310 ) ( -898450 -1519840 )
+ LAYER met4 ( -1081550 -1769310 ) ( -1078450 -1519840 )
+ LAYER met4 ( -1261550 -1769310 ) ( -1258450 -1519840 )
+ LAYER met4 ( -1441550 -1769310 ) ( -1438450 -1519840 )
+ LAYER met4 ( -1621550 -1769310 ) ( -1618450 -1519840 )
+ LAYER met4 ( -1801550 -1769310 ) ( -1798450 -1519840 )
+ LAYER met4 ( -1981550 -1769310 ) ( -1978450 -1519840 )
+ LAYER met4 ( -2161550 -1769310 ) ( -2158450 -1519840 )
+ LAYER met4 ( -2341550 -1769310 ) ( -2338450 -1519840 )
+ LAYER met4 ( -2521550 -1769310 ) ( -2518450 -1519840 )
+ LAYER met4 ( -2701550 -1769310 ) ( -2698450 -1519840 )
+ LAYER met5 ( -2900550 1761410 ) ( 39130 1764510 )
+ LAYER met5 ( -2905350 1674490 ) ( 43930 1677590 )
+ LAYER met5 ( -2905350 1494490 ) ( 43930 1497590 )
+ LAYER met5 ( -2905350 1314490 ) ( 43930 1317590 )
+ LAYER met5 ( -2905350 1134490 ) ( 43930 1137590 )
+ LAYER met5 ( -2905350 954490 ) ( 43930 957590 )
+ LAYER met5 ( -2905350 774490 ) ( 43930 777590 )
+ LAYER met5 ( -2905350 594490 ) ( 43930 597590 )
+ LAYER met5 ( -2905350 414490 ) ( 43930 417590 )
+ LAYER met5 ( -2905350 234490 ) ( 43930 237590 )
+ LAYER met5 ( -2905350 54490 ) ( 43930 57590 )
+ LAYER met5 ( -2905350 -125510 ) ( 43930 -122410 )
+ LAYER met5 ( -2905350 -305510 ) ( 43930 -302410 )
+ LAYER met5 ( -2905350 -485510 ) ( 43930 -482410 )
+ LAYER met5 ( -2905350 -665510 ) ( 43930 -662410 )
+ LAYER met5 ( -2905350 -845510 ) ( 43930 -842410 )
+ LAYER met5 ( -2905350 -1025510 ) ( 43930 -1022410 )
+ LAYER met5 ( -2905350 -1205510 ) ( 43930 -1202410 )
+ LAYER met5 ( -2905350 -1385510 ) ( 43930 -1382410 )
+ LAYER met5 ( -2905350 -1565510 ) ( 43930 -1562410 )
+ LAYER met5 ( -2905350 -1745510 ) ( 43930 -1742410 )
+ LAYER met5 ( -2900550 -1764510 ) ( 39130 -1761410 )
+ FIXED ( 2890520 1759840 ) N ;
- vccd2 + NET vccd2 + SPECIAL + DIRECTION INPUT + USE POWER
+ PORT
+ LAYER met4 ( -1550 -1778910 ) ( 1550 1778910 )
+ LAYER met4 ( -181550 1600160 ) ( -178450 1778910 )
+ LAYER met4 ( -361550 1600160 ) ( -358450 1778910 )
+ LAYER met4 ( -541550 1600160 ) ( -538450 1778910 )
+ LAYER met4 ( -721550 1600160 ) ( -718450 1778910 )
+ LAYER met4 ( -901550 1600160 ) ( -898450 1778910 )
+ LAYER met4 ( -1081550 1600160 ) ( -1078450 1778910 )
+ LAYER met4 ( -1261550 1600160 ) ( -1258450 1778910 )
+ LAYER met4 ( -1441550 1600160 ) ( -1438450 1778910 )
+ LAYER met4 ( -1621550 1600160 ) ( -1618450 1778910 )
+ LAYER met4 ( -1801550 1600160 ) ( -1798450 1778910 )
+ LAYER met4 ( -1981550 1600160 ) ( -1978450 1778910 )
+ LAYER met4 ( -2161550 1600160 ) ( -2158450 1778910 )
+ LAYER met4 ( -2341550 1600160 ) ( -2338450 1778910 )
+ LAYER met4 ( -2521550 1600160 ) ( -2518450 1778910 )
+ LAYER met4 ( -2701550 1600160 ) ( -2698450 1778910 )
+ LAYER met4 ( -2881550 -1778910 ) ( -2878450 1778910 )
+ LAYER met4 ( 27030 -1774110 ) ( 30130 1774110 )
+ LAYER met4 ( -2928750 -1774110 ) ( -2925650 1774110 )
+ LAYER met4 ( -181550 -1778910 ) ( -178450 -1519840 )
+ LAYER met4 ( -361550 -1778910 ) ( -358450 -1519840 )
+ LAYER met4 ( -541550 -1778910 ) ( -538450 -1519840 )
+ LAYER met4 ( -721550 -1778910 ) ( -718450 -1519840 )
+ LAYER met4 ( -901550 -1778910 ) ( -898450 -1519840 )
+ LAYER met4 ( -1081550 -1778910 ) ( -1078450 -1519840 )
+ LAYER met4 ( -1261550 -1778910 ) ( -1258450 -1519840 )
+ LAYER met4 ( -1441550 -1778910 ) ( -1438450 -1519840 )
+ LAYER met4 ( -1621550 -1778910 ) ( -1618450 -1519840 )
+ LAYER met4 ( -1801550 -1778910 ) ( -1798450 -1519840 )
+ LAYER met4 ( -1981550 -1778910 ) ( -1978450 -1519840 )
+ LAYER met4 ( -2161550 -1778910 ) ( -2158450 -1519840 )
+ LAYER met4 ( -2341550 -1778910 ) ( -2338450 -1519840 )
+ LAYER met4 ( -2521550 -1778910 ) ( -2518450 -1519840 )
+ LAYER met4 ( -2701550 -1778910 ) ( -2698450 -1519840 )
+ LAYER met5 ( -2928750 1771010 ) ( 30130 1774110 )
+ LAYER met5 ( -2933550 1693090 ) ( 34930 1696190 )
+ LAYER met5 ( -2933550 1513090 ) ( 34930 1516190 )
+ LAYER met5 ( -2933550 1333090 ) ( 34930 1336190 )
+ LAYER met5 ( -2933550 1153090 ) ( 34930 1156190 )
+ LAYER met5 ( -2933550 973090 ) ( 34930 976190 )
+ LAYER met5 ( -2933550 793090 ) ( 34930 796190 )
+ LAYER met5 ( -2933550 613090 ) ( 34930 616190 )
+ LAYER met5 ( -2933550 433090 ) ( 34930 436190 )
+ LAYER met5 ( -2933550 253090 ) ( 34930 256190 )
+ LAYER met5 ( -2933550 73090 ) ( 34930 76190 )
+ LAYER met5 ( -2933550 -106910 ) ( 34930 -103810 )
+ LAYER met5 ( -2933550 -286910 ) ( 34930 -283810 )
+ LAYER met5 ( -2933550 -466910 ) ( 34930 -463810 )
+ LAYER met5 ( -2933550 -646910 ) ( 34930 -643810 )
+ LAYER met5 ( -2933550 -826910 ) ( 34930 -823810 )
+ LAYER met5 ( -2933550 -1006910 ) ( 34930 -1003810 )
+ LAYER met5 ( -2933550 -1186910 ) ( 34930 -1183810 )
+ LAYER met5 ( -2933550 -1366910 ) ( 34930 -1363810 )
+ LAYER met5 ( -2933550 -1546910 ) ( 34930 -1543810 )
+ LAYER met5 ( -2933550 -1726910 ) ( 34930 -1723810 )
+ LAYER met5 ( -2928750 -1774110 ) ( 30130 -1771010 )
+ FIXED ( 2909120 1759840 ) N ;
- vdda1 + NET vdda1 + SPECIAL + DIRECTION INPUT + USE POWER
+ PORT
+ LAYER met4 ( -1550 -94175 ) ( 1550 94175 )
+ LAYER met4 ( -181550 -94175 ) ( -178450 94175 )
+ LAYER met4 ( -361550 -94175 ) ( -358450 94175 )
+ LAYER met4 ( -541550 -94175 ) ( -538450 94175 )
+ LAYER met4 ( -721550 -94175 ) ( -718450 94175 )
+ LAYER met4 ( -901550 -94175 ) ( -898450 94175 )
+ LAYER met4 ( -1081550 -94175 ) ( -1078450 94175 )
+ LAYER met4 ( -1261550 -94175 ) ( -1258450 94175 )
+ LAYER met4 ( -1441550 -94175 ) ( -1438450 94175 )
+ LAYER met4 ( -1621550 -94175 ) ( -1618450 94175 )
+ LAYER met4 ( -1801550 -94175 ) ( -1798450 94175 )
+ LAYER met4 ( -1981550 -94175 ) ( -1978450 94175 )
+ LAYER met4 ( -2161550 -94175 ) ( -2158450 94175 )
+ LAYER met4 ( -2341550 -94175 ) ( -2338450 94175 )
+ LAYER met4 ( -2521550 -94175 ) ( -2518450 94175 )
+ LAYER met4 ( -2701550 -3482845 ) ( -2698450 94175 )
+ LAYER met4 ( 198030 -3478045 ) ( 201130 89375 )
+ LAYER met4 ( -2776950 -3478045 ) ( -2773850 89375 )
+ LAYER met4 ( -1550 -3482845 ) ( 1550 -3214175 )
+ LAYER met4 ( -181550 -3482845 ) ( -178450 -3214175 )
+ LAYER met4 ( -361550 -3482845 ) ( -358450 -3214175 )
+ LAYER met4 ( -541550 -3482845 ) ( -538450 -3214175 )
+ LAYER met4 ( -721550 -3482845 ) ( -718450 -3214175 )
+ LAYER met4 ( -901550 -3482845 ) ( -898450 -3214175 )
+ LAYER met4 ( -1081550 -3482845 ) ( -1078450 -3214175 )
+ LAYER met4 ( -1261550 -3482845 ) ( -1258450 -3214175 )
+ LAYER met4 ( -1441550 -3482845 ) ( -1438450 -3214175 )
+ LAYER met4 ( -1621550 -3482845 ) ( -1618450 -3214175 )
+ LAYER met4 ( -1801550 -3482845 ) ( -1798450 -3214175 )
+ LAYER met4 ( -1981550 -3482845 ) ( -1978450 -3214175 )
+ LAYER met4 ( -2161550 -3482845 ) ( -2158450 -3214175 )
+ LAYER met4 ( -2341550 -3482845 ) ( -2338450 -3214175 )
+ LAYER met4 ( -2521550 -3482845 ) ( -2518450 -3214175 )
+ LAYER met5 ( -2776950 86275 ) ( 201130 89375 )
+ LAYER met5 ( -2781750 17355 ) ( 205930 20455 )
+ LAYER met5 ( -2781750 -162645 ) ( 205930 -159545 )
+ LAYER met5 ( -2781750 -342645 ) ( 205930 -339545 )
+ LAYER met5 ( -2781750 -522645 ) ( 205930 -519545 )
+ LAYER met5 ( -2781750 -702645 ) ( 205930 -699545 )
+ LAYER met5 ( -2781750 -882645 ) ( 205930 -879545 )
+ LAYER met5 ( -2781750 -1062645 ) ( 205930 -1059545 )
+ LAYER met5 ( -2781750 -1242645 ) ( 205930 -1239545 )
+ LAYER met5 ( -2781750 -1422645 ) ( 205930 -1419545 )
+ LAYER met5 ( -2781750 -1602645 ) ( 205930 -1599545 )
+ LAYER met5 ( -2781750 -1782645 ) ( 205930 -1779545 )
+ LAYER met5 ( -2781750 -1962645 ) ( 205930 -1959545 )
+ LAYER met5 ( -2781750 -2142645 ) ( 205930 -2139545 )
+ LAYER met5 ( -2781750 -2322645 ) ( 205930 -2319545 )
+ LAYER met5 ( -2781750 -2502645 ) ( 205930 -2499545 )
+ LAYER met5 ( -2781750 -2682645 ) ( 205930 -2679545 )
+ LAYER met5 ( -2781750 -2862645 ) ( 205930 -2859545 )
+ LAYER met5 ( -2781750 -3042645 ) ( 205930 -3039545 )
+ LAYER met5 ( -2781750 -3222645 ) ( 205930 -3219545 )
+ LAYER met5 ( -2781750 -3402645 ) ( 205930 -3399545 )
+ LAYER met5 ( -2776950 -3478045 ) ( 201130 -3474945 )
+ FIXED ( 2747720 3454175 ) N ;
- vdda2 + NET vdda2 + SPECIAL + DIRECTION INPUT + USE POWER
+ PORT
+ LAYER met4 ( -1550 -98975 ) ( 1550 98975 )
+ LAYER met4 ( -181550 -98975 ) ( -178450 98975 )
+ LAYER met4 ( -361550 -98975 ) ( -358450 98975 )
+ LAYER met4 ( -541550 -98975 ) ( -538450 98975 )
+ LAYER met4 ( -721550 -98975 ) ( -718450 98975 )
+ LAYER met4 ( -901550 -98975 ) ( -898450 98975 )
+ LAYER met4 ( -1081550 -98975 ) ( -1078450 98975 )
+ LAYER met4 ( -1261550 -98975 ) ( -1258450 98975 )
+ LAYER met4 ( -1441550 -98975 ) ( -1438450 98975 )
+ LAYER met4 ( -1621550 -98975 ) ( -1618450 98975 )
+ LAYER met4 ( -1801550 -98975 ) ( -1798450 98975 )
+ LAYER met4 ( -1981550 -98975 ) ( -1978450 98975 )
+ LAYER met4 ( -2161550 -98975 ) ( -2158450 98975 )
+ LAYER met4 ( -2341550 -98975 ) ( -2338450 98975 )
+ LAYER met4 ( -2521550 -98975 ) ( -2518450 98975 )
+ LAYER met4 ( -2701550 -98975 ) ( -2698450 98975 )
+ LAYER met4 ( 189030 -3492445 ) ( 192130 94175 )
+ LAYER met4 ( -2805150 -3492445 ) ( -2802050 94175 )
+ LAYER met4 ( -1550 -3497245 ) ( 1550 -3218975 )
+ LAYER met4 ( -181550 -3497245 ) ( -178450 -3218975 )
+ LAYER met4 ( -361550 -3497245 ) ( -358450 -3218975 )
+ LAYER met4 ( -541550 -3497245 ) ( -538450 -3218975 )
+ LAYER met4 ( -721550 -3497245 ) ( -718450 -3218975 )
+ LAYER met4 ( -901550 -3497245 ) ( -898450 -3218975 )
+ LAYER met4 ( -1081550 -3497245 ) ( -1078450 -3218975 )
+ LAYER met4 ( -1261550 -3497245 ) ( -1258450 -3218975 )
+ LAYER met4 ( -1441550 -3497245 ) ( -1438450 -3218975 )
+ LAYER met4 ( -1621550 -3497245 ) ( -1618450 -3218975 )
+ LAYER met4 ( -1801550 -3497245 ) ( -1798450 -3218975 )
+ LAYER met4 ( -1981550 -3497245 ) ( -1978450 -3218975 )
+ LAYER met4 ( -2161550 -3497245 ) ( -2158450 -3218975 )
+ LAYER met4 ( -2341550 -3497245 ) ( -2338450 -3218975 )
+ LAYER met4 ( -2521550 -3497245 ) ( -2518450 -3218975 )
+ LAYER met4 ( -2701550 -3497245 ) ( -2698450 -3218975 )
+ LAYER met5 ( -2805150 91075 ) ( 192130 94175 )
+ LAYER met5 ( -2809950 31155 ) ( 196930 34255 )
+ LAYER met5 ( -2809950 -148845 ) ( 196930 -145745 )
+ LAYER met5 ( -2809950 -328845 ) ( 196930 -325745 )
+ LAYER met5 ( -2809950 -508845 ) ( 196930 -505745 )
+ LAYER met5 ( -2809950 -688845 ) ( 196930 -685745 )
+ LAYER met5 ( -2809950 -868845 ) ( 196930 -865745 )
+ LAYER met5 ( -2809950 -1048845 ) ( 196930 -1045745 )
+ LAYER met5 ( -2809950 -1228845 ) ( 196930 -1225745 )
+ LAYER met5 ( -2809950 -1408845 ) ( 196930 -1405745 )
+ LAYER met5 ( -2809950 -1588845 ) ( 196930 -1585745 )
+ LAYER met5 ( -2809950 -1768845 ) ( 196930 -1765745 )
+ LAYER met5 ( -2809950 -1948845 ) ( 196930 -1945745 )
+ LAYER met5 ( -2809950 -2128845 ) ( 196930 -2125745 )
+ LAYER met5 ( -2809950 -2308845 ) ( 196930 -2305745 )
+ LAYER met5 ( -2809950 -2488845 ) ( 196930 -2485745 )
+ LAYER met5 ( -2809950 -2668845 ) ( 196930 -2665745 )
+ LAYER met5 ( -2809950 -2848845 ) ( 196930 -2845745 )
+ LAYER met5 ( -2809950 -3028845 ) ( 196930 -3025745 )
+ LAYER met5 ( -2809950 -3208845 ) ( 196930 -3205745 )
+ LAYER met5 ( -2809950 -3388845 ) ( 196930 -3385745 )
+ LAYER met5 ( -2805150 -3492445 ) ( 192130 -3489345 )
+ FIXED ( 2766320 3458975 ) N ;
- vssa1 + NET vssa1 + SPECIAL + DIRECTION INPUT + USE GROUND
+ PORT
+ LAYER met4 ( -1550 -1788510 ) ( 1550 1788510 )
+ LAYER met4 ( -115930 -1788510 ) ( -112830 1788510 )
+ LAYER met4 ( -295930 1600160 ) ( -292830 1788510 )
+ LAYER met4 ( -475930 1600160 ) ( -472830 1788510 )
+ LAYER met4 ( -655930 1600160 ) ( -652830 1788510 )
+ LAYER met4 ( -835930 1600160 ) ( -832830 1788510 )
+ LAYER met4 ( -1015930 1600160 ) ( -1012830 1788510 )
+ LAYER met4 ( -1195930 1600160 ) ( -1192830 1788510 )
+ LAYER met4 ( -1375930 1600160 ) ( -1372830 1788510 )
+ LAYER met4 ( -1555930 1600160 ) ( -1552830 1788510 )
+ LAYER met4 ( -1735930 1600160 ) ( -1732830 1788510 )
+ LAYER met4 ( -1915930 1600160 ) ( -1912830 1788510 )
+ LAYER met4 ( -2095930 1600160 ) ( -2092830 1788510 )
+ LAYER met4 ( -2275930 1600160 ) ( -2272830 1788510 )
+ LAYER met4 ( -2455930 1600160 ) ( -2452830 1788510 )
+ LAYER met4 ( -2635930 1600160 ) ( -2632830 1788510 )
+ LAYER met4 ( -2815930 1600160 ) ( -2812830 1788510 )
+ LAYER met4 ( -2986130 -1788510 ) ( -2983030 1788510 )
+ LAYER met4 ( -295930 -1788510 ) ( -292830 -1519840 )
+ LAYER met4 ( -475930 -1788510 ) ( -472830 -1519840 )
+ LAYER met4 ( -655930 -1788510 ) ( -652830 -1519840 )
+ LAYER met4 ( -835930 -1788510 ) ( -832830 -1519840 )
+ LAYER met4 ( -1015930 -1788510 ) ( -1012830 -1519840 )
+ LAYER met4 ( -1195930 -1788510 ) ( -1192830 -1519840 )
+ LAYER met4 ( -1375930 -1788510 ) ( -1372830 -1519840 )
+ LAYER met4 ( -1555930 -1788510 ) ( -1552830 -1519840 )
+ LAYER met4 ( -1735930 -1788510 ) ( -1732830 -1519840 )
+ LAYER met4 ( -1915930 -1788510 ) ( -1912830 -1519840 )
+ LAYER met4 ( -2095930 -1788510 ) ( -2092830 -1519840 )
+ LAYER met4 ( -2275930 -1788510 ) ( -2272830 -1519840 )
+ LAYER met4 ( -2455930 -1788510 ) ( -2452830 -1519840 )
+ LAYER met4 ( -2635930 -1788510 ) ( -2632830 -1519840 )
+ LAYER met4 ( -2815930 -1788510 ) ( -2812830 -1519840 )
+ LAYER met5 ( -2986130 1785410 ) ( 1550 1788510 )
+ LAYER met5 ( -2986130 1621690 ) ( 1550 1624790 )
+ LAYER met5 ( -2986130 1441690 ) ( 1550 1444790 )
+ LAYER met5 ( -2986130 1261690 ) ( 1550 1264790 )
+ LAYER met5 ( -2986130 1081690 ) ( 1550 1084790 )
+ LAYER met5 ( -2986130 901690 ) ( 1550 904790 )
+ LAYER met5 ( -2986130 721690 ) ( 1550 724790 )
+ LAYER met5 ( -2986130 541690 ) ( 1550 544790 )
+ LAYER met5 ( -2986130 361690 ) ( 1550 364790 )
+ LAYER met5 ( -2986130 181690 ) ( 1550 184790 )
+ LAYER met5 ( -2986130 1690 ) ( 1550 4790 )
+ LAYER met5 ( -2986130 -178310 ) ( 1550 -175210 )
+ LAYER met5 ( -2986130 -358310 ) ( 1550 -355210 )
+ LAYER met5 ( -2986130 -538310 ) ( 1550 -535210 )
+ LAYER met5 ( -2986130 -718310 ) ( 1550 -715210 )
+ LAYER met5 ( -2986130 -898310 ) ( 1550 -895210 )
+ LAYER met5 ( -2986130 -1078310 ) ( 1550 -1075210 )
+ LAYER met5 ( -2986130 -1258310 ) ( 1550 -1255210 )
+ LAYER met5 ( -2986130 -1438310 ) ( 1550 -1435210 )
+ LAYER met5 ( -2986130 -1618310 ) ( 1550 -1615210 )
+ LAYER met5 ( -2986130 -1788510 ) ( 1550 -1785410 )
+ FIXED ( 2952100 1759840 ) N ;
- vssa2 + NET vssa2 + SPECIAL + DIRECTION INPUT + USE GROUND
+ PORT
+ LAYER met4 ( -1550 -1798110 ) ( 1550 1798110 )
+ LAYER met4 ( -106930 -1798110 ) ( -103830 1798110 )
+ LAYER met4 ( -286930 1600160 ) ( -283830 1798110 )
+ LAYER met4 ( -466930 1600160 ) ( -463830 1798110 )
+ LAYER met4 ( -646930 1600160 ) ( -643830 1798110 )
+ LAYER met4 ( -826930 1600160 ) ( -823830 1798110 )
+ LAYER met4 ( -1006930 1600160 ) ( -1003830 1798110 )
+ LAYER met4 ( -1186930 1600160 ) ( -1183830 1798110 )
+ LAYER met4 ( -1366930 1600160 ) ( -1363830 1798110 )
+ LAYER met4 ( -1546930 1600160 ) ( -1543830 1798110 )
+ LAYER met4 ( -1726930 1600160 ) ( -1723830 1798110 )
+ LAYER met4 ( -1906930 1600160 ) ( -1903830 1798110 )
+ LAYER met4 ( -2086930 1600160 ) ( -2083830 1798110 )
+ LAYER met4 ( -2266930 1600160 ) ( -2263830 1798110 )
+ LAYER met4 ( -2446930 1600160 ) ( -2443830 1798110 )
+ LAYER met4 ( -2626930 1600160 ) ( -2623830 1798110 )
+ LAYER met4 ( -2806930 1600160 ) ( -2803830 1798110 )
+ LAYER met4 ( -3005330 -1798110 ) ( -3002230 1798110 )
+ LAYER met4 ( -286930 -1798110 ) ( -283830 -1519840 )
+ LAYER met4 ( -466930 -1798110 ) ( -463830 -1519840 )
+ LAYER met4 ( -646930 -1798110 ) ( -643830 -1519840 )
+ LAYER met4 ( -826930 -1798110 ) ( -823830 -1519840 )
+ LAYER met4 ( -1006930 -1798110 ) ( -1003830 -1519840 )
+ LAYER met4 ( -1186930 -1798110 ) ( -1183830 -1519840 )
+ LAYER met4 ( -1366930 -1798110 ) ( -1363830 -1519840 )
+ LAYER met4 ( -1546930 -1798110 ) ( -1543830 -1519840 )
+ LAYER met4 ( -1726930 -1798110 ) ( -1723830 -1519840 )
+ LAYER met4 ( -1906930 -1798110 ) ( -1903830 -1519840 )
+ LAYER met4 ( -2086930 -1798110 ) ( -2083830 -1519840 )
+ LAYER met4 ( -2266930 -1798110 ) ( -2263830 -1519840 )
+ LAYER met4 ( -2446930 -1798110 ) ( -2443830 -1519840 )
+ LAYER met4 ( -2626930 -1798110 ) ( -2623830 -1519840 )
+ LAYER met4 ( -2806930 -1798110 ) ( -2803830 -1519840 )
+ LAYER met5 ( -3005330 1795010 ) ( 1550 1798110 )
+ LAYER met5 ( -3005330 1640290 ) ( 1550 1643390 )
+ LAYER met5 ( -3005330 1460290 ) ( 1550 1463390 )
+ LAYER met5 ( -3005330 1280290 ) ( 1550 1283390 )
+ LAYER met5 ( -3005330 1100290 ) ( 1550 1103390 )
+ LAYER met5 ( -3005330 920290 ) ( 1550 923390 )
+ LAYER met5 ( -3005330 740290 ) ( 1550 743390 )
+ LAYER met5 ( -3005330 560290 ) ( 1550 563390 )
+ LAYER met5 ( -3005330 380290 ) ( 1550 383390 )
+ LAYER met5 ( -3005330 200290 ) ( 1550 203390 )
+ LAYER met5 ( -3005330 20290 ) ( 1550 23390 )
+ LAYER met5 ( -3005330 -159710 ) ( 1550 -156610 )
+ LAYER met5 ( -3005330 -339710 ) ( 1550 -336610 )
+ LAYER met5 ( -3005330 -519710 ) ( 1550 -516610 )
+ LAYER met5 ( -3005330 -699710 ) ( 1550 -696610 )
+ LAYER met5 ( -3005330 -879710 ) ( 1550 -876610 )
+ LAYER met5 ( -3005330 -1059710 ) ( 1550 -1056610 )
+ LAYER met5 ( -3005330 -1239710 ) ( 1550 -1236610 )
+ LAYER met5 ( -3005330 -1419710 ) ( 1550 -1416610 )
+ LAYER met5 ( -3005330 -1599710 ) ( 1550 -1596610 )
+ LAYER met5 ( -3005330 -1798110 ) ( 1550 -1795010 )
+ FIXED ( 2961700 1759840 ) N ;
- vssd1 + NET vssd1 + SPECIAL + DIRECTION INPUT + USE GROUND
+ PORT
+ LAYER met4 ( -1550 -1769310 ) ( 1550 1769310 )
+ LAYER met4 ( -133930 -1769310 ) ( -130830 1769310 )
+ LAYER met4 ( -313930 1600160 ) ( -310830 1769310 )
+ LAYER met4 ( -493930 1600160 ) ( -490830 1769310 )
+ LAYER met4 ( -673930 1600160 ) ( -670830 1769310 )
+ LAYER met4 ( -853930 1600160 ) ( -850830 1769310 )
+ LAYER met4 ( -1033930 1600160 ) ( -1030830 1769310 )
+ LAYER met4 ( -1213930 1600160 ) ( -1210830 1769310 )
+ LAYER met4 ( -1393930 1600160 ) ( -1390830 1769310 )
+ LAYER met4 ( -1573930 1600160 ) ( -1570830 1769310 )
+ LAYER met4 ( -1753930 1600160 ) ( -1750830 1769310 )
+ LAYER met4 ( -1933930 1600160 ) ( -1930830 1769310 )
+ LAYER met4 ( -2113930 1600160 ) ( -2110830 1769310 )
+ LAYER met4 ( -2293930 1600160 ) ( -2290830 1769310 )
+ LAYER met4 ( -2473930 1600160 ) ( -2470830 1769310 )
+ LAYER met4 ( -2653930 1600160 ) ( -2650830 1769310 )
+ LAYER met4 ( -2833930 1600160 ) ( -2830830 1769310 )
+ LAYER met4 ( -2947730 -1769310 ) ( -2944630 1769310 )
+ LAYER met4 ( -313930 -1769310 ) ( -310830 -1519840 )
+ LAYER met4 ( -493930 -1769310 ) ( -490830 -1519840 )
+ LAYER met4 ( -673930 -1769310 ) ( -670830 -1519840 )
+ LAYER met4 ( -853930 -1769310 ) ( -850830 -1519840 )
+ LAYER met4 ( -1033930 -1769310 ) ( -1030830 -1519840 )
+ LAYER met4 ( -1213930 -1769310 ) ( -1210830 -1519840 )
+ LAYER met4 ( -1393930 -1769310 ) ( -1390830 -1519840 )
+ LAYER met4 ( -1573930 -1769310 ) ( -1570830 -1519840 )
+ LAYER met4 ( -1753930 -1769310 ) ( -1750830 -1519840 )
+ LAYER met4 ( -1933930 -1769310 ) ( -1930830 -1519840 )
+ LAYER met4 ( -2113930 -1769310 ) ( -2110830 -1519840 )
+ LAYER met4 ( -2293930 -1769310 ) ( -2290830 -1519840 )
+ LAYER met4 ( -2473930 -1769310 ) ( -2470830 -1519840 )
+ LAYER met4 ( -2653930 -1769310 ) ( -2650830 -1519840 )
+ LAYER met4 ( -2833930 -1769310 ) ( -2830830 -1519840 )
+ LAYER met5 ( -2947730 1766210 ) ( 1550 1769310 )
+ LAYER met5 ( -2947730 1584490 ) ( 1550 1587590 )
+ LAYER met5 ( -2947730 1404490 ) ( 1550 1407590 )
+ LAYER met5 ( -2947730 1224490 ) ( 1550 1227590 )
+ LAYER met5 ( -2947730 1044490 ) ( 1550 1047590 )
+ LAYER met5 ( -2947730 864490 ) ( 1550 867590 )
+ LAYER met5 ( -2947730 684490 ) ( 1550 687590 )
+ LAYER met5 ( -2947730 504490 ) ( 1550 507590 )
+ LAYER met5 ( -2947730 324490 ) ( 1550 327590 )
+ LAYER met5 ( -2947730 144490 ) ( 1550 147590 )
+ LAYER met5 ( -2947730 -35510 ) ( 1550 -32410 )
+ LAYER met5 ( -2947730 -215510 ) ( 1550 -212410 )
+ LAYER met5 ( -2947730 -395510 ) ( 1550 -392410 )
+ LAYER met5 ( -2947730 -575510 ) ( 1550 -572410 )
+ LAYER met5 ( -2947730 -755510 ) ( 1550 -752410 )
+ LAYER met5 ( -2947730 -935510 ) ( 1550 -932410 )
+ LAYER met5 ( -2947730 -1115510 ) ( 1550 -1112410 )
+ LAYER met5 ( -2947730 -1295510 ) ( 1550 -1292410 )
+ LAYER met5 ( -2947730 -1475510 ) ( 1550 -1472410 )
+ LAYER met5 ( -2947730 -1655510 ) ( 1550 -1652410 )
+ LAYER met5 ( -2947730 -1769310 ) ( 1550 -1766210 )
+ FIXED ( 2932900 1759840 ) N ;
- vssd2 + NET vssd2 + SPECIAL + DIRECTION INPUT + USE GROUND
+ PORT
+ LAYER met4 ( -1550 -1778910 ) ( 1550 1778910 )
+ LAYER met4 ( -124930 -1778910 ) ( -121830 1778910 )
+ LAYER met4 ( -304930 1600160 ) ( -301830 1778910 )
+ LAYER met4 ( -484930 1600160 ) ( -481830 1778910 )
+ LAYER met4 ( -664930 1600160 ) ( -661830 1778910 )
+ LAYER met4 ( -844930 1600160 ) ( -841830 1778910 )
+ LAYER met4 ( -1024930 1600160 ) ( -1021830 1778910 )
+ LAYER met4 ( -1204930 1600160 ) ( -1201830 1778910 )
+ LAYER met4 ( -1384930 1600160 ) ( -1381830 1778910 )
+ LAYER met4 ( -1564930 1600160 ) ( -1561830 1778910 )
+ LAYER met4 ( -1744930 1600160 ) ( -1741830 1778910 )
+ LAYER met4 ( -1924930 1600160 ) ( -1921830 1778910 )
+ LAYER met4 ( -2104930 1600160 ) ( -2101830 1778910 )
+ LAYER met4 ( -2284930 1600160 ) ( -2281830 1778910 )
+ LAYER met4 ( -2464930 1600160 ) ( -2461830 1778910 )
+ LAYER met4 ( -2644930 1600160 ) ( -2641830 1778910 )
+ LAYER met4 ( -2824930 1600160 ) ( -2821830 1778910 )
+ LAYER met4 ( -2966930 -1778910 ) ( -2963830 1778910 )
+ LAYER met4 ( -304930 -1778910 ) ( -301830 -1519840 )
+ LAYER met4 ( -484930 -1778910 ) ( -481830 -1519840 )
+ LAYER met4 ( -664930 -1778910 ) ( -661830 -1519840 )
+ LAYER met4 ( -844930 -1778910 ) ( -841830 -1519840 )
+ LAYER met4 ( -1024930 -1778910 ) ( -1021830 -1519840 )
+ LAYER met4 ( -1204930 -1778910 ) ( -1201830 -1519840 )
+ LAYER met4 ( -1384930 -1778910 ) ( -1381830 -1519840 )
+ LAYER met4 ( -1564930 -1778910 ) ( -1561830 -1519840 )
+ LAYER met4 ( -1744930 -1778910 ) ( -1741830 -1519840 )
+ LAYER met4 ( -1924930 -1778910 ) ( -1921830 -1519840 )
+ LAYER met4 ( -2104930 -1778910 ) ( -2101830 -1519840 )
+ LAYER met4 ( -2284930 -1778910 ) ( -2281830 -1519840 )
+ LAYER met4 ( -2464930 -1778910 ) ( -2461830 -1519840 )
+ LAYER met4 ( -2644930 -1778910 ) ( -2641830 -1519840 )
+ LAYER met4 ( -2824930 -1778910 ) ( -2821830 -1519840 )
+ LAYER met5 ( -2966930 1775810 ) ( 1550 1778910 )
+ LAYER met5 ( -2966930 1603090 ) ( 1550 1606190 )
+ LAYER met5 ( -2966930 1423090 ) ( 1550 1426190 )
+ LAYER met5 ( -2966930 1243090 ) ( 1550 1246190 )
+ LAYER met5 ( -2966930 1063090 ) ( 1550 1066190 )
+ LAYER met5 ( -2966930 883090 ) ( 1550 886190 )
+ LAYER met5 ( -2966930 703090 ) ( 1550 706190 )
+ LAYER met5 ( -2966930 523090 ) ( 1550 526190 )
+ LAYER met5 ( -2966930 343090 ) ( 1550 346190 )
+ LAYER met5 ( -2966930 163090 ) ( 1550 166190 )
+ LAYER met5 ( -2966930 -16910 ) ( 1550 -13810 )
+ LAYER met5 ( -2966930 -196910 ) ( 1550 -193810 )
+ LAYER met5 ( -2966930 -376910 ) ( 1550 -373810 )
+ LAYER met5 ( -2966930 -556910 ) ( 1550 -553810 )
+ LAYER met5 ( -2966930 -736910 ) ( 1550 -733810 )
+ LAYER met5 ( -2966930 -916910 ) ( 1550 -913810 )
+ LAYER met5 ( -2966930 -1096910 ) ( 1550 -1093810 )
+ LAYER met5 ( -2966930 -1276910 ) ( 1550 -1273810 )
+ LAYER met5 ( -2966930 -1456910 ) ( 1550 -1453810 )
+ LAYER met5 ( -2966930 -1636910 ) ( 1550 -1633810 )
+ LAYER met5 ( -2966930 -1778910 ) ( 1550 -1775810 )
+ FIXED ( 2942500 1759840 ) N ;
- wb_clk_i + NET wb_clk_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2990 -1200 ) N ;
- wb_rst_i + NET wb_rst_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 8510 -1200 ) N ;
- wbs_ack_o + NET wbs_ack_o + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 14490 -1200 ) N ;
- wbs_adr_i[0] + NET wbs_adr_i[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 38410 -1200 ) N ;
- wbs_adr_i[10] + NET wbs_adr_i[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 239430 -1200 ) N ;
- wbs_adr_i[11] + NET wbs_adr_i[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 256910 -1200 ) N ;
- wbs_adr_i[12] + NET wbs_adr_i[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 274850 -1200 ) N ;
- wbs_adr_i[13] + NET wbs_adr_i[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 292330 -1200 ) N ;
- wbs_adr_i[14] + NET wbs_adr_i[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 310270 -1200 ) N ;
- wbs_adr_i[15] + NET wbs_adr_i[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 327750 -1200 ) N ;
- wbs_adr_i[16] + NET wbs_adr_i[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 345690 -1200 ) N ;
- wbs_adr_i[17] + NET wbs_adr_i[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 363170 -1200 ) N ;
- wbs_adr_i[18] + NET wbs_adr_i[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 381110 -1200 ) N ;
- wbs_adr_i[19] + NET wbs_adr_i[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 398590 -1200 ) N ;
- wbs_adr_i[1] + NET wbs_adr_i[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 61870 -1200 ) N ;
- wbs_adr_i[20] + NET wbs_adr_i[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 416530 -1200 ) N ;
- wbs_adr_i[21] + NET wbs_adr_i[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 434470 -1200 ) N ;
- wbs_adr_i[22] + NET wbs_adr_i[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 451950 -1200 ) N ;
- wbs_adr_i[23] + NET wbs_adr_i[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 469890 -1200 ) N ;
- wbs_adr_i[24] + NET wbs_adr_i[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 487370 -1200 ) N ;
- wbs_adr_i[25] + NET wbs_adr_i[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 505310 -1200 ) N ;
- wbs_adr_i[26] + NET wbs_adr_i[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 522790 -1200 ) N ;
- wbs_adr_i[27] + NET wbs_adr_i[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 540730 -1200 ) N ;
- wbs_adr_i[28] + NET wbs_adr_i[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 558210 -1200 ) N ;
- wbs_adr_i[29] + NET wbs_adr_i[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 576150 -1200 ) N ;
- wbs_adr_i[2] + NET wbs_adr_i[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 85330 -1200 ) N ;
- wbs_adr_i[30] + NET wbs_adr_i[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 594090 -1200 ) N ;
- wbs_adr_i[31] + NET wbs_adr_i[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 611570 -1200 ) N ;
- wbs_adr_i[3] + NET wbs_adr_i[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 109250 -1200 ) N ;
- wbs_adr_i[4] + NET wbs_adr_i[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 132710 -1200 ) N ;
- wbs_adr_i[5] + NET wbs_adr_i[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 150650 -1200 ) N ;
- wbs_adr_i[6] + NET wbs_adr_i[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 168130 -1200 ) N ;
- wbs_adr_i[7] + NET wbs_adr_i[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 186070 -1200 ) N ;
- wbs_adr_i[8] + NET wbs_adr_i[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 203550 -1200 ) N ;
- wbs_adr_i[9] + NET wbs_adr_i[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 221490 -1200 ) N ;
- wbs_cyc_i + NET wbs_cyc_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 20470 -1200 ) N ;
- wbs_dat_i[0] + NET wbs_dat_i[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 43930 -1200 ) N ;
- wbs_dat_i[10] + NET wbs_dat_i[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 244950 -1200 ) N ;
- wbs_dat_i[11] + NET wbs_dat_i[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 262890 -1200 ) N ;
- wbs_dat_i[12] + NET wbs_dat_i[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 280370 -1200 ) N ;
- wbs_dat_i[13] + NET wbs_dat_i[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 298310 -1200 ) N ;
- wbs_dat_i[14] + NET wbs_dat_i[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 316250 -1200 ) N ;
- wbs_dat_i[15] + NET wbs_dat_i[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 333730 -1200 ) N ;
- wbs_dat_i[16] + NET wbs_dat_i[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 351670 -1200 ) N ;
- wbs_dat_i[17] + NET wbs_dat_i[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 369150 -1200 ) N ;
- wbs_dat_i[18] + NET wbs_dat_i[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 387090 -1200 ) N ;
- wbs_dat_i[19] + NET wbs_dat_i[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 404570 -1200 ) N ;
- wbs_dat_i[1] + NET wbs_dat_i[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 67850 -1200 ) N ;
- wbs_dat_i[20] + NET wbs_dat_i[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 422510 -1200 ) N ;
- wbs_dat_i[21] + NET wbs_dat_i[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 439990 -1200 ) N ;
- wbs_dat_i[22] + NET wbs_dat_i[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 457930 -1200 ) N ;
- wbs_dat_i[23] + NET wbs_dat_i[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 475870 -1200 ) N ;
- wbs_dat_i[24] + NET wbs_dat_i[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 493350 -1200 ) N ;
- wbs_dat_i[25] + NET wbs_dat_i[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 511290 -1200 ) N ;
- wbs_dat_i[26] + NET wbs_dat_i[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 528770 -1200 ) N ;
- wbs_dat_i[27] + NET wbs_dat_i[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 546710 -1200 ) N ;
- wbs_dat_i[28] + NET wbs_dat_i[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 564190 -1200 ) N ;
- wbs_dat_i[29] + NET wbs_dat_i[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 582130 -1200 ) N ;
- wbs_dat_i[2] + NET wbs_dat_i[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 91310 -1200 ) N ;
- wbs_dat_i[30] + NET wbs_dat_i[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 599610 -1200 ) N ;
- wbs_dat_i[31] + NET wbs_dat_i[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 617550 -1200 ) N ;
- wbs_dat_i[3] + NET wbs_dat_i[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 115230 -1200 ) N ;
- wbs_dat_i[4] + NET wbs_dat_i[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 138690 -1200 ) N ;
- wbs_dat_i[5] + NET wbs_dat_i[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 156630 -1200 ) N ;
- wbs_dat_i[6] + NET wbs_dat_i[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 174110 -1200 ) N ;
- wbs_dat_i[7] + NET wbs_dat_i[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 192050 -1200 ) N ;
- wbs_dat_i[8] + NET wbs_dat_i[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 209530 -1200 ) N ;
- wbs_dat_i[9] + NET wbs_dat_i[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 227470 -1200 ) N ;
- wbs_dat_o[0] + NET wbs_dat_o[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 49910 -1200 ) N ;
- wbs_dat_o[10] + NET wbs_dat_o[10] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 250930 -1200 ) N ;
- wbs_dat_o[11] + NET wbs_dat_o[11] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 268870 -1200 ) N ;
- wbs_dat_o[12] + NET wbs_dat_o[12] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 286350 -1200 ) N ;
- wbs_dat_o[13] + NET wbs_dat_o[13] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 304290 -1200 ) N ;
- wbs_dat_o[14] + NET wbs_dat_o[14] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 321770 -1200 ) N ;
- wbs_dat_o[15] + NET wbs_dat_o[15] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 339710 -1200 ) N ;
- wbs_dat_o[16] + NET wbs_dat_o[16] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 357650 -1200 ) N ;
- wbs_dat_o[17] + NET wbs_dat_o[17] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 375130 -1200 ) N ;
- wbs_dat_o[18] + NET wbs_dat_o[18] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 393070 -1200 ) N ;
- wbs_dat_o[19] + NET wbs_dat_o[19] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 410550 -1200 ) N ;
- wbs_dat_o[1] + NET wbs_dat_o[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 73830 -1200 ) N ;
- wbs_dat_o[20] + NET wbs_dat_o[20] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 428490 -1200 ) N ;
- wbs_dat_o[21] + NET wbs_dat_o[21] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 445970 -1200 ) N ;
- wbs_dat_o[22] + NET wbs_dat_o[22] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 463910 -1200 ) N ;
- wbs_dat_o[23] + NET wbs_dat_o[23] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 481390 -1200 ) N ;
- wbs_dat_o[24] + NET wbs_dat_o[24] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 499330 -1200 ) N ;
- wbs_dat_o[25] + NET wbs_dat_o[25] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 516810 -1200 ) N ;
- wbs_dat_o[26] + NET wbs_dat_o[26] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 534750 -1200 ) N ;
- wbs_dat_o[27] + NET wbs_dat_o[27] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 552690 -1200 ) N ;
- wbs_dat_o[28] + NET wbs_dat_o[28] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 570170 -1200 ) N ;
- wbs_dat_o[29] + NET wbs_dat_o[29] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 588110 -1200 ) N ;
- wbs_dat_o[2] + NET wbs_dat_o[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 97290 -1200 ) N ;
- wbs_dat_o[30] + NET wbs_dat_o[30] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 605590 -1200 ) N ;
- wbs_dat_o[31] + NET wbs_dat_o[31] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 623530 -1200 ) N ;
- wbs_dat_o[3] + NET wbs_dat_o[3] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 121210 -1200 ) N ;
- wbs_dat_o[4] + NET wbs_dat_o[4] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 144670 -1200 ) N ;
- wbs_dat_o[5] + NET wbs_dat_o[5] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 162150 -1200 ) N ;
- wbs_dat_o[6] + NET wbs_dat_o[6] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 180090 -1200 ) N ;
- wbs_dat_o[7] + NET wbs_dat_o[7] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 198030 -1200 ) N ;
- wbs_dat_o[8] + NET wbs_dat_o[8] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 215510 -1200 ) N ;
- wbs_dat_o[9] + NET wbs_dat_o[9] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 233450 -1200 ) N ;
- wbs_sel_i[0] + NET wbs_sel_i[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 55890 -1200 ) N ;
- wbs_sel_i[1] + NET wbs_sel_i[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 79810 -1200 ) N ;
- wbs_sel_i[2] + NET wbs_sel_i[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 103270 -1200 ) N ;
- wbs_sel_i[3] + NET wbs_sel_i[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 126730 -1200 ) N ;
- wbs_stb_i + NET wbs_stb_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 26450 -1200 ) N ;
- wbs_we_i + NET wbs_we_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 32430 -1200 ) N ;
END PINS
SPECIALNETS 8 ;
- vccd1 ( PIN vccd1 ) + USE POWER
+ ROUTED met4 0 + SHAPE STRIPE ( 2703040 3255880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2549440 3255880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2395840 3255880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2242240 3255880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2088640 3255880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1935040 3255880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1781440 3255880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1627840 3255880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1474240 3255880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1320640 3255880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1167040 3255880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1013440 3255880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 859840 3255880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 706240 3255880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 552640 3255880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 399040 3255880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 245440 3255880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 91840 3255880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2703040 3075880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2549440 3075880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2395840 3075880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2242240 3075880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2088640 3075880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1935040 3075880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1781440 3075880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1627840 3075880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1474240 3075880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1320640 3075880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1167040 3075880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1013440 3075880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 859840 3075880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 706240 3075880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 552640 3075880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 399040 3075880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 245440 3075880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 91840 3075880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2703040 2895880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2549440 2895880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2395840 2895880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2242240 2895880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2088640 2895880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1935040 2895880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1781440 2895880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1627840 2895880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1474240 2895880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1320640 2895880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1167040 2895880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1013440 2895880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 859840 2895880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 706240 2895880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 552640 2895880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 399040 2895880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 245440 2895880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 91840 2895880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2703040 2715880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2549440 2715880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2395840 2715880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2242240 2715880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2088640 2715880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1935040 2715880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1781440 2715880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1627840 2715880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1474240 2715880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1320640 2715880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1167040 2715880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1013440 2715880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 859840 2715880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 706240 2715880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 552640 2715880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 399040 2715880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 245440 2715880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 91840 2715880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2703040 2535880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2549440 2535880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2395840 2535880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2242240 2535880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2088640 2535880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1935040 2535880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1781440 2535880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1627840 2535880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1474240 2535880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1320640 2535880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1167040 2535880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1013440 2535880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 859840 2535880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 706240 2535880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 552640 2535880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 399040 2535880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 245440 2535880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 91840 2535880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2703040 2355880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2549440 2355880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2395840 2355880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2242240 2355880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2088640 2355880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1935040 2355880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1781440 2355880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1627840 2355880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1474240 2355880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1320640 2355880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1167040 2355880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1013440 2355880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 859840 2355880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 706240 2355880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 552640 2355880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 399040 2355880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 245440 2355880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 91840 2355880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2703040 2175880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2549440 2175880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2395840 2175880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2242240 2175880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2088640 2175880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1935040 2175880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1781440 2175880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1627840 2175880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1474240 2175880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1320640 2175880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1167040 2175880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1013440 2175880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 859840 2175880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 706240 2175880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 552640 2175880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 399040 2175880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 245440 2175880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 91840 2175880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2703040 1995880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2549440 1995880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2395840 1995880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2242240 1995880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2088640 1995880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1935040 1995880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1781440 1995880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1627840 1995880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1474240 1995880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1320640 1995880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1167040 1995880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1013440 1995880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 859840 1995880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 706240 1995880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 552640 1995880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 399040 1995880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 245440 1995880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 91840 1995880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2703040 1815880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2549440 1815880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2395840 1815880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2242240 1815880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2088640 1815880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1935040 1815880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1781440 1815880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1627840 1815880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1474240 1815880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1320640 1815880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1167040 1815880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1013440 1815880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 859840 1815880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 706240 1815880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 552640 1815880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 399040 1815880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 245440 1815880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 91840 1815880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2703040 1635880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2549440 1635880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2395840 1635880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2242240 1635880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2088640 1635880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1935040 1635880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1781440 1635880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1627840 1635880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1474240 1635880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1320640 1635880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1167040 1635880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1013440 1635880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 859840 1635880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 706240 1635880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 552640 1635880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 399040 1635880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 245440 1635880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 91840 1635880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2703040 1455880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2549440 1455880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2395840 1455880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2242240 1455880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2088640 1455880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1935040 1455880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1781440 1455880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1627840 1455880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1474240 1455880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1320640 1455880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1167040 1455880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1013440 1455880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 859840 1455880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 706240 1455880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 552640 1455880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 399040 1455880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 245440 1455880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 91840 1455880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2703040 1275880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2549440 1275880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2395840 1275880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2242240 1275880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2088640 1275880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1935040 1275880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1781440 1275880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1627840 1275880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1474240 1275880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1320640 1275880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1167040 1275880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1013440 1275880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 859840 1275880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 706240 1275880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 552640 1275880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 399040 1275880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 245440 1275880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 91840 1275880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2703040 1095880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2549440 1095880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2395840 1095880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2242240 1095880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2088640 1095880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1935040 1095880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1781440 1095880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1627840 1095880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1474240 1095880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1320640 1095880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1167040 1095880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1013440 1095880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 859840 1095880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 706240 1095880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 552640 1095880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 399040 1095880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 245440 1095880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 91840 1095880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2703040 915880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2549440 915880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2395840 915880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2242240 915880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2088640 915880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1935040 915880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1781440 915880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1627840 915880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1474240 915880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1320640 915880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1167040 915880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1013440 915880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 859840 915880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 706240 915880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 552640 915880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 399040 915880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 245440 915880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 91840 915880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2703040 735880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2549440 735880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2395840 735880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2242240 735880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2088640 735880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1935040 735880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1781440 735880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1627840 735880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1474240 735880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1320640 735880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1167040 735880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1013440 735880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 859840 735880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 706240 735880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 552640 735880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 399040 735880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 245440 735880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 91840 735880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2703040 555880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2549440 555880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2395840 555880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2242240 555880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2088640 555880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1935040 555880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1781440 555880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1627840 555880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1474240 555880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1320640 555880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1167040 555880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1013440 555880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 859840 555880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 706240 555880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 552640 555880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 399040 555880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 245440 555880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 91840 555880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2703040 375880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2549440 375880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2395840 375880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2242240 375880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2088640 375880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1935040 375880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1781440 375880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1627840 375880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1474240 375880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1320640 375880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1167040 375880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1013440 375880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 859840 375880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 706240 375880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 552640 375880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 399040 375880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 245440 375880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 91840 375880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 -3120 ) via4_3100x3100
NEW met5 3100 + SHAPE STRIPE ( -10030 3522800 ) ( 2929650 3522800 )
NEW met5 3100 + SHAPE STRIPE ( -14830 3435880 ) ( 2934450 3435880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 3255880 ) ( 2934450 3255880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 3075880 ) ( 2934450 3075880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2895880 ) ( 2934450 2895880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2715880 ) ( 2934450 2715880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2535880 ) ( 2934450 2535880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2355880 ) ( 2934450 2355880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2175880 ) ( 2934450 2175880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1995880 ) ( 2934450 1995880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1815880 ) ( 2934450 1815880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1635880 ) ( 2934450 1635880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1455880 ) ( 2934450 1455880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1275880 ) ( 2934450 1275880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1095880 ) ( 2934450 1095880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 915880 ) ( 2934450 915880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 735880 ) ( 2934450 735880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 555880 ) ( 2934450 555880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 375880 ) ( 2934450 375880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 195880 ) ( 2934450 195880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 15880 ) ( 2934450 15880 )
NEW met5 3100 + SHAPE STRIPE ( -10030 -3120 ) ( 2929650 -3120 )
NEW met4 3100 + SHAPE STRIPE ( 2890520 -9470 ) ( 2890520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 2710520 3360000 ) ( 2710520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 2530520 3360000 ) ( 2530520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 2350520 3360000 ) ( 2350520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 2170520 3360000 ) ( 2170520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1990520 3360000 ) ( 1990520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1810520 3360000 ) ( 1810520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1630520 3360000 ) ( 1630520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1450520 3360000 ) ( 1450520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1270520 3360000 ) ( 1270520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1090520 3360000 ) ( 1090520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 910520 3360000 ) ( 910520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 730520 3360000 ) ( 730520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 550520 3360000 ) ( 550520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 370520 3360000 ) ( 370520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 190520 3360000 ) ( 190520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 10520 -9470 ) ( 10520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 2928100 -4670 ) ( 2928100 3524350 )
NEW met4 3100 + SHAPE STRIPE ( -8480 -4670 ) ( -8480 3524350 )
NEW met4 3100 + SHAPE STRIPE ( 2710520 -9470 ) ( 2710520 240000 )
NEW met4 3100 + SHAPE STRIPE ( 2530520 -9470 ) ( 2530520 240000 )
NEW met4 3100 + SHAPE STRIPE ( 2350520 -9470 ) ( 2350520 240000 )
NEW met4 3100 + SHAPE STRIPE ( 2170520 -9470 ) ( 2170520 240000 )
NEW met4 3100 + SHAPE STRIPE ( 1990520 -9470 ) ( 1990520 240000 )
NEW met4 3100 + SHAPE STRIPE ( 1810520 -9470 ) ( 1810520 240000 )
NEW met4 3100 + SHAPE STRIPE ( 1630520 -9470 ) ( 1630520 240000 )
NEW met4 3100 + SHAPE STRIPE ( 1450520 -9470 ) ( 1450520 240000 )
NEW met4 3100 + SHAPE STRIPE ( 1270520 -9470 ) ( 1270520 240000 )
NEW met4 3100 + SHAPE STRIPE ( 1090520 -9470 ) ( 1090520 240000 )
NEW met4 3100 + SHAPE STRIPE ( 910520 -9470 ) ( 910520 240000 )
NEW met4 3100 + SHAPE STRIPE ( 730520 -9470 ) ( 730520 240000 )
NEW met4 3100 + SHAPE STRIPE ( 550520 -9470 ) ( 550520 240000 )
NEW met4 3100 + SHAPE STRIPE ( 370520 -9470 ) ( 370520 240000 )
NEW met4 3100 + SHAPE STRIPE ( 190520 -9470 ) ( 190520 240000 ) ;
- vccd2 ( PIN vccd2 ) + USE POWER
+ ROUTED met4 0 + SHAPE STRIPE ( 2937700 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 -12720 ) via4_3100x3100
NEW met5 3100 + SHAPE STRIPE ( -19630 3532400 ) ( 2939250 3532400 )
NEW met5 3100 + SHAPE STRIPE ( -24430 3454480 ) ( 2944050 3454480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 3274480 ) ( 2944050 3274480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 3094480 ) ( 2944050 3094480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2914480 ) ( 2944050 2914480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2734480 ) ( 2944050 2734480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2554480 ) ( 2944050 2554480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2374480 ) ( 2944050 2374480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2194480 ) ( 2944050 2194480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2014480 ) ( 2944050 2014480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1834480 ) ( 2944050 1834480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1654480 ) ( 2944050 1654480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1474480 ) ( 2944050 1474480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1294480 ) ( 2944050 1294480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1114480 ) ( 2944050 1114480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 934480 ) ( 2944050 934480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 754480 ) ( 2944050 754480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 574480 ) ( 2944050 574480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 394480 ) ( 2944050 394480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 214480 ) ( 2944050 214480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 34480 ) ( 2944050 34480 )
NEW met5 3100 + SHAPE STRIPE ( -19630 -12720 ) ( 2939250 -12720 )
NEW met4 3100 + SHAPE STRIPE ( 2909120 -19070 ) ( 2909120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2729120 3360000 ) ( 2729120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2549120 3360000 ) ( 2549120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2369120 3360000 ) ( 2369120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2189120 3360000 ) ( 2189120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2009120 3360000 ) ( 2009120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1829120 3360000 ) ( 1829120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1649120 3360000 ) ( 1649120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1469120 3360000 ) ( 1469120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1289120 3360000 ) ( 1289120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1109120 3360000 ) ( 1109120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 929120 3360000 ) ( 929120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 749120 3360000 ) ( 749120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 569120 3360000 ) ( 569120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 389120 3360000 ) ( 389120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 209120 3360000 ) ( 209120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 29120 -19070 ) ( 29120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2937700 -14270 ) ( 2937700 3533950 )
NEW met4 3100 + SHAPE STRIPE ( -18080 -14270 ) ( -18080 3533950 )
NEW met4 3100 + SHAPE STRIPE ( 2729120 -19070 ) ( 2729120 240000 )
NEW met4 3100 + SHAPE STRIPE ( 2549120 -19070 ) ( 2549120 240000 )
NEW met4 3100 + SHAPE STRIPE ( 2369120 -19070 ) ( 2369120 240000 )
NEW met4 3100 + SHAPE STRIPE ( 2189120 -19070 ) ( 2189120 240000 )
NEW met4 3100 + SHAPE STRIPE ( 2009120 -19070 ) ( 2009120 240000 )
NEW met4 3100 + SHAPE STRIPE ( 1829120 -19070 ) ( 1829120 240000 )
NEW met4 3100 + SHAPE STRIPE ( 1649120 -19070 ) ( 1649120 240000 )
NEW met4 3100 + SHAPE STRIPE ( 1469120 -19070 ) ( 1469120 240000 )
NEW met4 3100 + SHAPE STRIPE ( 1289120 -19070 ) ( 1289120 240000 )
NEW met4 3100 + SHAPE STRIPE ( 1109120 -19070 ) ( 1109120 240000 )
NEW met4 3100 + SHAPE STRIPE ( 929120 -19070 ) ( 929120 240000 )
NEW met4 3100 + SHAPE STRIPE ( 749120 -19070 ) ( 749120 240000 )
NEW met4 3100 + SHAPE STRIPE ( 569120 -19070 ) ( 569120 240000 )
NEW met4 3100 + SHAPE STRIPE ( 389120 -19070 ) ( 389120 240000 )
NEW met4 3100 + SHAPE STRIPE ( 209120 -19070 ) ( 209120 240000 ) ;
- vdda1 ( PIN vdda1 ) + USE POWER
+ ROUTED met4 0 + SHAPE STRIPE ( 2947300 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 2393080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 2393080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 2393080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 1853080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 1853080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 1853080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 -22320 ) via4_3100x3100
NEW met5 3100 + SHAPE STRIPE ( -29230 3542000 ) ( 2948850 3542000 )
NEW met5 3100 + SHAPE STRIPE ( -34030 3473080 ) ( 2953650 3473080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 3293080 ) ( 2953650 3293080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 3113080 ) ( 2953650 3113080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2933080 ) ( 2953650 2933080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2753080 ) ( 2953650 2753080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2573080 ) ( 2953650 2573080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2393080 ) ( 2953650 2393080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2213080 ) ( 2953650 2213080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2033080 ) ( 2953650 2033080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1853080 ) ( 2953650 1853080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1673080 ) ( 2953650 1673080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1493080 ) ( 2953650 1493080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1313080 ) ( 2953650 1313080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1133080 ) ( 2953650 1133080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 953080 ) ( 2953650 953080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 773080 ) ( 2953650 773080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 593080 ) ( 2953650 593080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 413080 ) ( 2953650 413080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 233080 ) ( 2953650 233080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 53080 ) ( 2953650 53080 )
NEW met5 3100 + SHAPE STRIPE ( -29230 -22320 ) ( 2948850 -22320 )
NEW met4 3100 + SHAPE STRIPE ( 2747720 3360000 ) ( 2747720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 2567720 3360000 ) ( 2567720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 2387720 3360000 ) ( 2387720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 2207720 3360000 ) ( 2207720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 2027720 3360000 ) ( 2027720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1847720 3360000 ) ( 1847720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1667720 3360000 ) ( 1667720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1487720 3360000 ) ( 1487720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1307720 3360000 ) ( 1307720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1127720 3360000 ) ( 1127720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 947720 3360000 ) ( 947720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 767720 3360000 ) ( 767720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 587720 3360000 ) ( 587720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 407720 3360000 ) ( 407720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 227720 3360000 ) ( 227720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 47720 -28670 ) ( 47720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 2947300 -23870 ) ( 2947300 3543550 )
NEW met4 3100 + SHAPE STRIPE ( -27680 -23870 ) ( -27680 3543550 )
NEW met4 3100 + SHAPE STRIPE ( 2747720 -28670 ) ( 2747720 240000 )
NEW met4 3100 + SHAPE STRIPE ( 2567720 -28670 ) ( 2567720 240000 )
NEW met4 3100 + SHAPE STRIPE ( 2387720 -28670 ) ( 2387720 240000 )
NEW met4 3100 + SHAPE STRIPE ( 2207720 -28670 ) ( 2207720 240000 )
NEW met4 3100 + SHAPE STRIPE ( 2027720 -28670 ) ( 2027720 240000 )
NEW met4 3100 + SHAPE STRIPE ( 1847720 -28670 ) ( 1847720 240000 )
NEW met4 3100 + SHAPE STRIPE ( 1667720 -28670 ) ( 1667720 240000 )
NEW met4 3100 + SHAPE STRIPE ( 1487720 -28670 ) ( 1487720 240000 )
NEW met4 3100 + SHAPE STRIPE ( 1307720 -28670 ) ( 1307720 240000 )
NEW met4 3100 + SHAPE STRIPE ( 1127720 -28670 ) ( 1127720 240000 )
NEW met4 3100 + SHAPE STRIPE ( 947720 -28670 ) ( 947720 240000 )
NEW met4 3100 + SHAPE STRIPE ( 767720 -28670 ) ( 767720 240000 )
NEW met4 3100 + SHAPE STRIPE ( 587720 -28670 ) ( 587720 240000 )
NEW met4 3100 + SHAPE STRIPE ( 407720 -28670 ) ( 407720 240000 )
NEW met4 3100 + SHAPE STRIPE ( 227720 -28670 ) ( 227720 240000 ) ;
- vdda2 ( PIN vdda2 ) + USE POWER
+ ROUTED met4 0 + SHAPE STRIPE ( 2956900 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 2591680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 2591680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 2411680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 2411680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 2231680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 2231680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 1871680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 1871680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 -31920 ) via4_3100x3100
NEW met5 3100 + SHAPE STRIPE ( -38830 3551600 ) ( 2958450 3551600 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3491680 ) ( 2963250 3491680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3311680 ) ( 2963250 3311680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3131680 ) ( 2963250 3131680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2951680 ) ( 2963250 2951680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2771680 ) ( 2963250 2771680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2591680 ) ( 2963250 2591680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2411680 ) ( 2963250 2411680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2231680 ) ( 2963250 2231680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2051680 ) ( 2963250 2051680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1871680 ) ( 2963250 1871680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1691680 ) ( 2963250 1691680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1511680 ) ( 2963250 1511680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1331680 ) ( 2963250 1331680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1151680 ) ( 2963250 1151680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 971680 ) ( 2963250 971680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 791680 ) ( 2963250 791680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 611680 ) ( 2963250 611680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 431680 ) ( 2963250 431680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 251680 ) ( 2963250 251680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 71680 ) ( 2963250 71680 )
NEW met5 3100 + SHAPE STRIPE ( -38830 -31920 ) ( 2958450 -31920 )
NEW met4 3100 + SHAPE STRIPE ( 2766320 3360000 ) ( 2766320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2586320 3360000 ) ( 2586320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2406320 3360000 ) ( 2406320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2226320 3360000 ) ( 2226320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2046320 3360000 ) ( 2046320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1866320 3360000 ) ( 1866320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1686320 3360000 ) ( 1686320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1506320 3360000 ) ( 1506320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1326320 3360000 ) ( 1326320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1146320 3360000 ) ( 1146320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 966320 3360000 ) ( 966320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 786320 3360000 ) ( 786320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 606320 3360000 ) ( 606320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 426320 3360000 ) ( 426320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 246320 3360000 ) ( 246320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 66320 3360000 ) ( 66320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2956900 -33470 ) ( 2956900 3553150 )
NEW met4 3100 + SHAPE STRIPE ( -37280 -33470 ) ( -37280 3553150 )
NEW met4 3100 + SHAPE STRIPE ( 2766320 -38270 ) ( 2766320 240000 )
NEW met4 3100 + SHAPE STRIPE ( 2586320 -38270 ) ( 2586320 240000 )
NEW met4 3100 + SHAPE STRIPE ( 2406320 -38270 ) ( 2406320 240000 )
NEW met4 3100 + SHAPE STRIPE ( 2226320 -38270 ) ( 2226320 240000 )
NEW met4 3100 + SHAPE STRIPE ( 2046320 -38270 ) ( 2046320 240000 )
NEW met4 3100 + SHAPE STRIPE ( 1866320 -38270 ) ( 1866320 240000 )
NEW met4 3100 + SHAPE STRIPE ( 1686320 -38270 ) ( 1686320 240000 )
NEW met4 3100 + SHAPE STRIPE ( 1506320 -38270 ) ( 1506320 240000 )
NEW met4 3100 + SHAPE STRIPE ( 1326320 -38270 ) ( 1326320 240000 )
NEW met4 3100 + SHAPE STRIPE ( 1146320 -38270 ) ( 1146320 240000 )
NEW met4 3100 + SHAPE STRIPE ( 966320 -38270 ) ( 966320 240000 )
NEW met4 3100 + SHAPE STRIPE ( 786320 -38270 ) ( 786320 240000 )
NEW met4 3100 + SHAPE STRIPE ( 606320 -38270 ) ( 606320 240000 )
NEW met4 3100 + SHAPE STRIPE ( 426320 -38270 ) ( 426320 240000 )
NEW met4 3100 + SHAPE STRIPE ( 246320 -38270 ) ( 246320 240000 )
NEW met4 3100 + SHAPE STRIPE ( 66320 -38270 ) ( 66320 240000 ) ;
- vssa1 ( PIN vssa1 ) + USE GROUND
+ ROUTED met4 0 + SHAPE STRIPE ( 2952100 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1577720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1577720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 2483080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 2483080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 2483080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 2303080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 2303080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 2303080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 2123080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 2123080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 2123080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1577720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1577720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 -27120 ) via4_3100x3100
NEW met5 3100 + SHAPE STRIPE ( -34030 3546800 ) ( 2953650 3546800 )
NEW met5 3100 + SHAPE STRIPE ( -34030 3383080 ) ( 2953650 3383080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 3203080 ) ( 2953650 3203080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 3023080 ) ( 2953650 3023080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2843080 ) ( 2953650 2843080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2663080 ) ( 2953650 2663080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2483080 ) ( 2953650 2483080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2303080 ) ( 2953650 2303080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2123080 ) ( 2953650 2123080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1943080 ) ( 2953650 1943080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1763080 ) ( 2953650 1763080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1583080 ) ( 2953650 1583080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1403080 ) ( 2953650 1403080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1223080 ) ( 2953650 1223080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1043080 ) ( 2953650 1043080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 863080 ) ( 2953650 863080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 683080 ) ( 2953650 683080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 503080 ) ( 2953650 503080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 323080 ) ( 2953650 323080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 143080 ) ( 2953650 143080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 -27120 ) ( 2953650 -27120 )
NEW met4 3100 + SHAPE STRIPE ( 2952100 -28670 ) ( 2952100 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 2837720 -28670 ) ( 2837720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 2657720 3360000 ) ( 2657720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 2477720 3360000 ) ( 2477720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 2297720 3360000 ) ( 2297720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 2117720 3360000 ) ( 2117720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1937720 3360000 ) ( 1937720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1757720 3360000 ) ( 1757720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1577720 3360000 ) ( 1577720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1397720 3360000 ) ( 1397720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1217720 3360000 ) ( 1217720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1037720 3360000 ) ( 1037720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 857720 3360000 ) ( 857720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 677720 3360000 ) ( 677720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 497720 3360000 ) ( 497720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 317720 3360000 ) ( 317720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 137720 3360000 ) ( 137720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( -32480 -28670 ) ( -32480 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 2657720 -28670 ) ( 2657720 240000 )
NEW met4 3100 + SHAPE STRIPE ( 2477720 -28670 ) ( 2477720 240000 )
NEW met4 3100 + SHAPE STRIPE ( 2297720 -28670 ) ( 2297720 240000 )
NEW met4 3100 + SHAPE STRIPE ( 2117720 -28670 ) ( 2117720 240000 )
NEW met4 3100 + SHAPE STRIPE ( 1937720 -28670 ) ( 1937720 240000 )
NEW met4 3100 + SHAPE STRIPE ( 1757720 -28670 ) ( 1757720 240000 )
NEW met4 3100 + SHAPE STRIPE ( 1577720 -28670 ) ( 1577720 240000 )
NEW met4 3100 + SHAPE STRIPE ( 1397720 -28670 ) ( 1397720 240000 )
NEW met4 3100 + SHAPE STRIPE ( 1217720 -28670 ) ( 1217720 240000 )
NEW met4 3100 + SHAPE STRIPE ( 1037720 -28670 ) ( 1037720 240000 )
NEW met4 3100 + SHAPE STRIPE ( 857720 -28670 ) ( 857720 240000 )
NEW met4 3100 + SHAPE STRIPE ( 677720 -28670 ) ( 677720 240000 )
NEW met4 3100 + SHAPE STRIPE ( 497720 -28670 ) ( 497720 240000 )
NEW met4 3100 + SHAPE STRIPE ( 317720 -28670 ) ( 317720 240000 )
NEW met4 3100 + SHAPE STRIPE ( 137720 -28670 ) ( 137720 240000 ) ;
- vssa2 ( PIN vssa2 ) + USE GROUND
+ ROUTED met4 0 + SHAPE STRIPE ( 2961700 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 2501680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 2501680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 2501680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 2321680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 2321680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 2321680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 2141680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 2141680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 2141680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 -36720 ) via4_3100x3100
NEW met5 3100 + SHAPE STRIPE ( -43630 3556400 ) ( 2963250 3556400 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3401680 ) ( 2963250 3401680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3221680 ) ( 2963250 3221680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3041680 ) ( 2963250 3041680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2861680 ) ( 2963250 2861680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2681680 ) ( 2963250 2681680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2501680 ) ( 2963250 2501680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2321680 ) ( 2963250 2321680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2141680 ) ( 2963250 2141680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1961680 ) ( 2963250 1961680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1781680 ) ( 2963250 1781680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1601680 ) ( 2963250 1601680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1421680 ) ( 2963250 1421680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1241680 ) ( 2963250 1241680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1061680 ) ( 2963250 1061680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 881680 ) ( 2963250 881680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 701680 ) ( 2963250 701680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 521680 ) ( 2963250 521680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 341680 ) ( 2963250 341680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 161680 ) ( 2963250 161680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 -36720 ) ( 2963250 -36720 )
NEW met4 3100 + SHAPE STRIPE ( 2961700 -38270 ) ( 2961700 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2856320 -38270 ) ( 2856320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2676320 3360000 ) ( 2676320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2496320 3360000 ) ( 2496320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2316320 3360000 ) ( 2316320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2136320 3360000 ) ( 2136320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1956320 3360000 ) ( 1956320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1776320 3360000 ) ( 1776320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1596320 3360000 ) ( 1596320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1416320 3360000 ) ( 1416320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1236320 3360000 ) ( 1236320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1056320 3360000 ) ( 1056320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 876320 3360000 ) ( 876320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 696320 3360000 ) ( 696320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 516320 3360000 ) ( 516320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 336320 3360000 ) ( 336320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 156320 3360000 ) ( 156320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( -42080 -38270 ) ( -42080 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2676320 -38270 ) ( 2676320 240000 )
NEW met4 3100 + SHAPE STRIPE ( 2496320 -38270 ) ( 2496320 240000 )
NEW met4 3100 + SHAPE STRIPE ( 2316320 -38270 ) ( 2316320 240000 )
NEW met4 3100 + SHAPE STRIPE ( 2136320 -38270 ) ( 2136320 240000 )
NEW met4 3100 + SHAPE STRIPE ( 1956320 -38270 ) ( 1956320 240000 )
NEW met4 3100 + SHAPE STRIPE ( 1776320 -38270 ) ( 1776320 240000 )
NEW met4 3100 + SHAPE STRIPE ( 1596320 -38270 ) ( 1596320 240000 )
NEW met4 3100 + SHAPE STRIPE ( 1416320 -38270 ) ( 1416320 240000 )
NEW met4 3100 + SHAPE STRIPE ( 1236320 -38270 ) ( 1236320 240000 )
NEW met4 3100 + SHAPE STRIPE ( 1056320 -38270 ) ( 1056320 240000 )
NEW met4 3100 + SHAPE STRIPE ( 876320 -38270 ) ( 876320 240000 )
NEW met4 3100 + SHAPE STRIPE ( 696320 -38270 ) ( 696320 240000 )
NEW met4 3100 + SHAPE STRIPE ( 516320 -38270 ) ( 516320 240000 )
NEW met4 3100 + SHAPE STRIPE ( 336320 -38270 ) ( 336320 240000 )
NEW met4 3100 + SHAPE STRIPE ( 156320 -38270 ) ( 156320 240000 ) ;
- vssd1 ( PIN vssd1 ) + USE GROUND
+ ROUTED met4 0 + SHAPE STRIPE ( 2626240 3165880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2472640 3165880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2319040 3165880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2165440 3165880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2011840 3165880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1858240 3165880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1704640 3165880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1551040 3165880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1397440 3165880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1243840 3165880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1090240 3165880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 936640 3165880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 783040 3165880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 629440 3165880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 475840 3165880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 322240 3165880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 168640 3165880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2626240 2985880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2472640 2985880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2319040 2985880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2165440 2985880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2011840 2985880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1858240 2985880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1704640 2985880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1551040 2985880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1397440 2985880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1243840 2985880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1090240 2985880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 936640 2985880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 783040 2985880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 629440 2985880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 475840 2985880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 322240 2985880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 168640 2985880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2626240 2805880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2472640 2805880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2319040 2805880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2165440 2805880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2011840 2805880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1858240 2805880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1704640 2805880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1551040 2805880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1397440 2805880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1243840 2805880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1090240 2805880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 936640 2805880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 783040 2805880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 629440 2805880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 475840 2805880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 322240 2805880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 168640 2805880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2626240 2625880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2472640 2625880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2319040 2625880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2165440 2625880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2011840 2625880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1858240 2625880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1704640 2625880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1551040 2625880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1397440 2625880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1243840 2625880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1090240 2625880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 936640 2625880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 783040 2625880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 629440 2625880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 475840 2625880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 322240 2625880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 168640 2625880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2626240 2445880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2472640 2445880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2319040 2445880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2165440 2445880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2011840 2445880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1858240 2445880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1704640 2445880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1551040 2445880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1397440 2445880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1243840 2445880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1090240 2445880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 936640 2445880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 783040 2445880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 629440 2445880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 475840 2445880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 322240 2445880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 168640 2445880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2626240 2265880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2472640 2265880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2319040 2265880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2165440 2265880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2011840 2265880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1858240 2265880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1704640 2265880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1551040 2265880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1397440 2265880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1243840 2265880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1090240 2265880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 936640 2265880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 783040 2265880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 629440 2265880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 475840 2265880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 322240 2265880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 168640 2265880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2626240 2085880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2472640 2085880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2319040 2085880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2165440 2085880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2011840 2085880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1858240 2085880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1704640 2085880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1551040 2085880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1397440 2085880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1243840 2085880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1090240 2085880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 936640 2085880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 783040 2085880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 629440 2085880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 475840 2085880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 322240 2085880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 168640 2085880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2626240 1905880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2472640 1905880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2319040 1905880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2165440 1905880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2011840 1905880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1858240 1905880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1704640 1905880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1551040 1905880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1397440 1905880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1243840 1905880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1090240 1905880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 936640 1905880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 783040 1905880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 629440 1905880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 475840 1905880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 322240 1905880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 168640 1905880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2626240 1725880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2472640 1725880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2319040 1725880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2165440 1725880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2011840 1725880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1858240 1725880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1704640 1725880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1551040 1725880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1397440 1725880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1243840 1725880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1090240 1725880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 936640 1725880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 783040 1725880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 629440 1725880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 475840 1725880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 322240 1725880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 168640 1725880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2626240 1545880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2472640 1545880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2319040 1545880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2165440 1545880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2011840 1545880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1858240 1545880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1704640 1545880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1551040 1545880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1397440 1545880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1243840 1545880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1090240 1545880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 936640 1545880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 783040 1545880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 629440 1545880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 475840 1545880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 322240 1545880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 168640 1545880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2626240 1365880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2472640 1365880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2319040 1365880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2165440 1365880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2011840 1365880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1858240 1365880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1704640 1365880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1551040 1365880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1397440 1365880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1243840 1365880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1090240 1365880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 936640 1365880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 783040 1365880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 629440 1365880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 475840 1365880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 322240 1365880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 168640 1365880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2626240 1185880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2472640 1185880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2319040 1185880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2165440 1185880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2011840 1185880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1858240 1185880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1704640 1185880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1551040 1185880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1397440 1185880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1243840 1185880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1090240 1185880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 936640 1185880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 783040 1185880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 629440 1185880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 475840 1185880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 322240 1185880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 168640 1185880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2626240 1005880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2472640 1005880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2319040 1005880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2165440 1005880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2011840 1005880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1858240 1005880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1704640 1005880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1551040 1005880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1397440 1005880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1243840 1005880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1090240 1005880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 936640 1005880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 783040 1005880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 629440 1005880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 475840 1005880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 322240 1005880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 168640 1005880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2626240 825880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2472640 825880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2319040 825880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2165440 825880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2011840 825880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1858240 825880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1704640 825880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1551040 825880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1397440 825880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1243840 825880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1090240 825880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 936640 825880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 783040 825880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 629440 825880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 475840 825880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 322240 825880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 168640 825880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2626240 645880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2472640 645880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2319040 645880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2165440 645880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2011840 645880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1858240 645880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1704640 645880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1551040 645880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1397440 645880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1243840 645880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1090240 645880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 936640 645880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 783040 645880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 629440 645880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 475840 645880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 322240 645880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 168640 645880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2626240 465880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2472640 465880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2319040 465880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2165440 465880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2011840 465880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1858240 465880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1704640 465880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1551040 465880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1397440 465880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1243840 465880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1090240 465880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 936640 465880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 783040 465880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 629440 465880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 475840 465880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 322240 465880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 168640 465880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2626240 285880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2472640 285880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2319040 285880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2165440 285880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2011840 285880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1858240 285880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1704640 285880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1551040 285880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1397440 285880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1243840 285880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1090240 285880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 936640 285880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 783040 285880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 629440 285880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 475840 285880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 322240 285880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 168640 285880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1540520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 2445880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 2445880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 2445880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 2265880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 2265880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 2265880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1540520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1540520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 -7920 ) via4_3100x3100
NEW met5 3100 + SHAPE STRIPE ( -14830 3527600 ) ( 2934450 3527600 )
NEW met5 3100 + SHAPE STRIPE ( -14830 3345880 ) ( 2934450 3345880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 3165880 ) ( 2934450 3165880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2985880 ) ( 2934450 2985880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2805880 ) ( 2934450 2805880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2625880 ) ( 2934450 2625880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2445880 ) ( 2934450 2445880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2265880 ) ( 2934450 2265880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2085880 ) ( 2934450 2085880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1905880 ) ( 2934450 1905880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1725880 ) ( 2934450 1725880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1545880 ) ( 2934450 1545880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1365880 ) ( 2934450 1365880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1185880 ) ( 2934450 1185880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1005880 ) ( 2934450 1005880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 825880 ) ( 2934450 825880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 645880 ) ( 2934450 645880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 465880 ) ( 2934450 465880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 285880 ) ( 2934450 285880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 105880 ) ( 2934450 105880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 -7920 ) ( 2934450 -7920 )
NEW met4 3100 + SHAPE STRIPE ( 2932900 -9470 ) ( 2932900 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 2800520 -9470 ) ( 2800520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 2620520 3360000 ) ( 2620520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 2440520 3360000 ) ( 2440520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 2260520 3360000 ) ( 2260520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 2080520 3360000 ) ( 2080520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1900520 3360000 ) ( 1900520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1720520 3360000 ) ( 1720520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1540520 3360000 ) ( 1540520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1360520 3360000 ) ( 1360520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1180520 3360000 ) ( 1180520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1000520 3360000 ) ( 1000520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 820520 3360000 ) ( 820520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 640520 3360000 ) ( 640520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 460520 3360000 ) ( 460520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 280520 3360000 ) ( 280520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 100520 3360000 ) ( 100520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( -13280 -9470 ) ( -13280 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 2620520 -9470 ) ( 2620520 240000 )
NEW met4 3100 + SHAPE STRIPE ( 2440520 -9470 ) ( 2440520 240000 )
NEW met4 3100 + SHAPE STRIPE ( 2260520 -9470 ) ( 2260520 240000 )
NEW met4 3100 + SHAPE STRIPE ( 2080520 -9470 ) ( 2080520 240000 )
NEW met4 3100 + SHAPE STRIPE ( 1900520 -9470 ) ( 1900520 240000 )
NEW met4 3100 + SHAPE STRIPE ( 1720520 -9470 ) ( 1720520 240000 )
NEW met4 3100 + SHAPE STRIPE ( 1540520 -9470 ) ( 1540520 240000 )
NEW met4 3100 + SHAPE STRIPE ( 1360520 -9470 ) ( 1360520 240000 )
NEW met4 3100 + SHAPE STRIPE ( 1180520 -9470 ) ( 1180520 240000 )
NEW met4 3100 + SHAPE STRIPE ( 1000520 -9470 ) ( 1000520 240000 )
NEW met4 3100 + SHAPE STRIPE ( 820520 -9470 ) ( 820520 240000 )
NEW met4 3100 + SHAPE STRIPE ( 640520 -9470 ) ( 640520 240000 )
NEW met4 3100 + SHAPE STRIPE ( 460520 -9470 ) ( 460520 240000 )
NEW met4 3100 + SHAPE STRIPE ( 280520 -9470 ) ( 280520 240000 )
NEW met4 3100 + SHAPE STRIPE ( 100520 -9470 ) ( 100520 240000 ) ;
- vssd2 ( PIN vssd2 ) + USE GROUND
+ ROUTED met4 0 + SHAPE STRIPE ( 2942500 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1559120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1559120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 2464480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 2464480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 2464480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 2284480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 2284480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 2284480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 2104480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 2104480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 2104480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1559120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1559120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 -17520 ) via4_3100x3100
NEW met5 3100 + SHAPE STRIPE ( -24430 3537200 ) ( 2944050 3537200 )
NEW met5 3100 + SHAPE STRIPE ( -24430 3364480 ) ( 2944050 3364480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 3184480 ) ( 2944050 3184480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 3004480 ) ( 2944050 3004480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2824480 ) ( 2944050 2824480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2644480 ) ( 2944050 2644480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2464480 ) ( 2944050 2464480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2284480 ) ( 2944050 2284480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2104480 ) ( 2944050 2104480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1924480 ) ( 2944050 1924480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1744480 ) ( 2944050 1744480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1564480 ) ( 2944050 1564480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1384480 ) ( 2944050 1384480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1204480 ) ( 2944050 1204480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1024480 ) ( 2944050 1024480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 844480 ) ( 2944050 844480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 664480 ) ( 2944050 664480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 484480 ) ( 2944050 484480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 304480 ) ( 2944050 304480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 124480 ) ( 2944050 124480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 -17520 ) ( 2944050 -17520 )
NEW met4 3100 + SHAPE STRIPE ( 2942500 -19070 ) ( 2942500 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2819120 -19070 ) ( 2819120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2639120 3360000 ) ( 2639120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2459120 3360000 ) ( 2459120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2279120 3360000 ) ( 2279120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2099120 3360000 ) ( 2099120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1919120 3360000 ) ( 1919120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1739120 3360000 ) ( 1739120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1559120 3360000 ) ( 1559120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1379120 3360000 ) ( 1379120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1199120 3360000 ) ( 1199120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1019120 3360000 ) ( 1019120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 839120 3360000 ) ( 839120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 659120 3360000 ) ( 659120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 479120 3360000 ) ( 479120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 299120 3360000 ) ( 299120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 119120 3360000 ) ( 119120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( -22880 -19070 ) ( -22880 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2639120 -19070 ) ( 2639120 240000 )
NEW met4 3100 + SHAPE STRIPE ( 2459120 -19070 ) ( 2459120 240000 )
NEW met4 3100 + SHAPE STRIPE ( 2279120 -19070 ) ( 2279120 240000 )
NEW met4 3100 + SHAPE STRIPE ( 2099120 -19070 ) ( 2099120 240000 )
NEW met4 3100 + SHAPE STRIPE ( 1919120 -19070 ) ( 1919120 240000 )
NEW met4 3100 + SHAPE STRIPE ( 1739120 -19070 ) ( 1739120 240000 )
NEW met4 3100 + SHAPE STRIPE ( 1559120 -19070 ) ( 1559120 240000 )
NEW met4 3100 + SHAPE STRIPE ( 1379120 -19070 ) ( 1379120 240000 )
NEW met4 3100 + SHAPE STRIPE ( 1199120 -19070 ) ( 1199120 240000 )
NEW met4 3100 + SHAPE STRIPE ( 1019120 -19070 ) ( 1019120 240000 )
NEW met4 3100 + SHAPE STRIPE ( 839120 -19070 ) ( 839120 240000 )
NEW met4 3100 + SHAPE STRIPE ( 659120 -19070 ) ( 659120 240000 )
NEW met4 3100 + SHAPE STRIPE ( 479120 -19070 ) ( 479120 240000 )
NEW met4 3100 + SHAPE STRIPE ( 299120 -19070 ) ( 299120 240000 )
NEW met4 3100 + SHAPE STRIPE ( 119120 -19070 ) ( 119120 240000 ) ;
END SPECIALNETS
NETS 637 ;
- analog_io[0] ( PIN analog_io[0] ) + USE SIGNAL ;
- analog_io[10] ( PIN analog_io[10] ) + USE SIGNAL ;
- analog_io[11] ( PIN analog_io[11] ) + USE SIGNAL ;
- analog_io[12] ( PIN analog_io[12] ) + USE SIGNAL ;
- analog_io[13] ( PIN analog_io[13] ) + USE SIGNAL ;
- analog_io[14] ( PIN analog_io[14] ) + USE SIGNAL ;
- analog_io[15] ( PIN analog_io[15] ) + USE SIGNAL ;
- analog_io[16] ( PIN analog_io[16] ) + USE SIGNAL ;
- analog_io[17] ( PIN analog_io[17] ) + USE SIGNAL ;
- analog_io[18] ( PIN analog_io[18] ) + USE SIGNAL ;
- analog_io[19] ( PIN analog_io[19] ) + USE SIGNAL ;
- analog_io[1] ( PIN analog_io[1] ) + USE SIGNAL ;
- analog_io[20] ( PIN analog_io[20] ) + USE SIGNAL ;
- analog_io[21] ( PIN analog_io[21] ) + USE SIGNAL ;
- analog_io[22] ( PIN analog_io[22] ) + USE SIGNAL ;
- analog_io[23] ( PIN analog_io[23] ) + USE SIGNAL ;
- analog_io[24] ( PIN analog_io[24] ) + USE SIGNAL ;
- analog_io[25] ( PIN analog_io[25] ) + USE SIGNAL ;
- analog_io[26] ( PIN analog_io[26] ) + USE SIGNAL ;
- analog_io[27] ( PIN analog_io[27] ) + USE SIGNAL ;
- analog_io[28] ( PIN analog_io[28] ) + USE SIGNAL ;
- analog_io[2] ( PIN analog_io[2] ) + USE SIGNAL ;
- analog_io[3] ( PIN analog_io[3] ) + USE SIGNAL ;
- analog_io[4] ( PIN analog_io[4] ) + USE SIGNAL ;
- analog_io[5] ( PIN analog_io[5] ) + USE SIGNAL ;
- analog_io[6] ( PIN analog_io[6] ) + USE SIGNAL ;
- analog_io[7] ( PIN analog_io[7] ) + USE SIGNAL ;
- analog_io[8] ( PIN analog_io[8] ) + USE SIGNAL ;
- analog_io[9] ( PIN analog_io[9] ) + USE SIGNAL ;
- io_in[0] ( PIN io_in[0] ) ( mprj io_in[0] ) + USE SIGNAL
+ ROUTED met3 ( 2917780 28220 ) ( * 32300 )
NEW met3 ( 2916860 32300 ) ( 2917780 * )
NEW met3 ( 2916860 32300 ) ( * 32980 )
NEW met3 ( 2916860 32980 ) ( 2917780 * 0 )
NEW met3 ( 82340 3346620 ) ( 82570 * )
NEW met2 ( 81650 3346620 0 ) ( 82570 * )
NEW met4 ( 82340 28220 ) ( * 3346620 )
NEW met3 ( 82340 28220 ) ( 2917780 * )
NEW met3 ( 82340 28220 ) M3M4_PR
NEW met3 ( 82340 3346620 ) M3M4_PR
NEW met2 ( 82570 3346620 ) M2M3_PR
NEW met3 ( 82340 3346620 ) RECT ( -390 -150 0 150 ) ;
- io_in[10] ( PIN io_in[10] ) ( mprj io_in[10] ) + USE SIGNAL
+ ROUTED met2 ( 785910 3349340 0 ) ( 786370 * )
NEW met2 ( 786370 3349340 ) ( * 3376030 )
NEW met2 ( 2900070 2290580 ) ( * 2290750 )
NEW met3 ( 2900070 2290580 ) ( 2917780 * 0 )
NEW met1 ( 786370 3376030 ) ( 2779550 * )
NEW met2 ( 2779550 2290750 ) ( * 3376030 )
NEW met1 ( 2779550 2290750 ) ( 2900070 * )
NEW met1 ( 786370 3376030 ) M1M2_PR
NEW met1 ( 2900070 2290750 ) M1M2_PR
NEW met2 ( 2900070 2290580 ) M2M3_PR
NEW met1 ( 2779550 3376030 ) M1M2_PR
NEW met1 ( 2779550 2290750 ) M1M2_PR ;
- io_in[11] ( PIN io_in[11] ) ( mprj io_in[11] ) + USE SIGNAL
+ ROUTED met2 ( 856290 3349340 0 ) ( 858130 * )
NEW met2 ( 858130 3349340 ) ( * 3355630 )
NEW met2 ( 2900070 2556460 ) ( * 2559690 )
NEW met3 ( 2900070 2556460 ) ( 2917780 * 0 )
NEW met1 ( 858130 3355630 ) ( 2784610 * )
NEW met2 ( 2784610 2559690 ) ( * 3355630 )
NEW met1 ( 2784610 2559690 ) ( 2900070 * )
NEW met1 ( 858130 3355630 ) M1M2_PR
NEW met1 ( 2900070 2559690 ) M1M2_PR
NEW met2 ( 2900070 2556460 ) M2M3_PR
NEW met1 ( 2784610 2559690 ) M1M2_PR
NEW met1 ( 2784610 3355630 ) M1M2_PR ;
- io_in[12] ( PIN io_in[12] ) ( mprj io_in[12] ) + USE SIGNAL
+ ROUTED met2 ( 2900070 2821660 ) ( * 2821830 )
NEW met3 ( 2900070 2821660 ) ( 2917780 * 0 )
NEW met1 ( 2780470 2821830 ) ( 2900070 * )
NEW met2 ( 926670 3349340 0 ) ( 928050 * )
NEW met2 ( 928050 3349340 ) ( * 3356310 )
NEW met1 ( 928050 3356310 ) ( 2780470 * )
NEW met2 ( 2780470 2821830 ) ( * 3356310 )
NEW met1 ( 2900070 2821830 ) M1M2_PR
NEW met2 ( 2900070 2821660 ) M2M3_PR
NEW met1 ( 2780470 2821830 ) M1M2_PR
NEW met1 ( 928050 3356310 ) M1M2_PR
NEW met1 ( 2780470 3356310 ) M1M2_PR ;
- io_in[13] ( PIN io_in[13] ) ( mprj io_in[13] ) + USE SIGNAL
+ ROUTED met2 ( 2900070 3087540 ) ( * 3091110 )
NEW met3 ( 2900070 3087540 ) ( 2917780 * 0 )
NEW met2 ( 997050 3349340 0 ) ( 998890 * )
NEW met2 ( 998890 3349340 ) ( * 3357670 )
NEW met1 ( 2786450 3091110 ) ( 2900070 * )
NEW met1 ( 998890 3357670 ) ( 2786450 * )
NEW met2 ( 2786450 3091110 ) ( * 3357670 )
NEW met1 ( 2900070 3091110 ) M1M2_PR
NEW met2 ( 2900070 3087540 ) M2M3_PR
NEW met1 ( 998890 3357670 ) M1M2_PR
NEW met1 ( 2786450 3091110 ) M1M2_PR
NEW met1 ( 2786450 3357670 ) M1M2_PR ;
- io_in[14] ( PIN io_in[14] ) ( mprj io_in[14] ) + USE SIGNAL
+ ROUTED met2 ( 1067430 3349340 0 ) ( 1068810 * )
NEW met2 ( 1068810 3349340 ) ( * 3356990 )
NEW met2 ( 2898230 3353420 ) ( * 3356990 )
NEW met3 ( 2898230 3353420 ) ( 2917780 * 0 )
NEW met1 ( 1068810 3356990 ) ( 2898230 * )
NEW met1 ( 1068810 3356990 ) M1M2_PR
NEW met1 ( 2898230 3356990 ) M1M2_PR
NEW met2 ( 2898230 3353420 ) M2M3_PR ;
- io_in[15] ( PIN io_in[15] ) ( mprj io_in[15] ) + USE SIGNAL
+ ROUTED met2 ( 2794730 3384190 ) ( * 3512100 )
NEW met2 ( 2794730 3512100 ) ( 2798410 * )
NEW met2 ( 2798410 3512100 ) ( * 3517980 0 )
NEW met1 ( 1135970 3384190 ) ( 2794730 * )
NEW met2 ( 1135970 3349340 ) ( 1137810 * 0 )
NEW met2 ( 1135970 3349340 ) ( * 3384190 )
NEW met1 ( 2794730 3384190 ) M1M2_PR
NEW met1 ( 1135970 3384190 ) M1M2_PR ;
- io_in[16] ( PIN io_in[16] ) ( mprj io_in[16] ) + USE SIGNAL
+ ROUTED met1 ( 1207730 3384530 ) ( 2470430 * )
NEW met2 ( 1207730 3349340 ) ( 1208650 * 0 )
NEW met2 ( 1207730 3349340 ) ( * 3384530 )
NEW met2 ( 2470430 3517980 ) ( 2473190 * )
NEW met2 ( 2473190 3517300 ) ( * 3517980 )
NEW met2 ( 2473190 3517300 ) ( 2474110 * )
NEW met2 ( 2474110 3517300 ) ( * 3517980 0 )
NEW met2 ( 2470430 3384530 ) ( * 3517980 )
NEW met1 ( 1207730 3384530 ) M1M2_PR
NEW met1 ( 2470430 3384530 ) M1M2_PR ;
- io_in[17] ( PIN io_in[17] ) ( mprj io_in[17] ) + USE SIGNAL
+ ROUTED met2 ( 1276730 3349340 ) ( 1279030 * 0 )
NEW met2 ( 1276730 3349340 ) ( * 3384870 )
NEW met2 ( 2146130 3517980 ) ( 2148430 * )
NEW met2 ( 2148430 3517300 ) ( * 3517980 )
NEW met2 ( 2148430 3517300 ) ( 2149350 * )
NEW met2 ( 2149350 3517300 ) ( * 3517980 0 )
NEW met2 ( 2146130 3384870 ) ( * 3517980 )
NEW met1 ( 1276730 3384870 ) ( 2146130 * )
NEW met1 ( 1276730 3384870 ) M1M2_PR
NEW met1 ( 2146130 3384870 ) M1M2_PR ;
- io_in[18] ( PIN io_in[18] ) ( mprj io_in[18] ) + USE SIGNAL
+ ROUTED met2 ( 1347570 3349340 ) ( 1349410 * 0 )
NEW met2 ( 1347570 3349340 ) ( * 3385550 )
NEW met2 ( 1821830 3385550 ) ( * 3512100 )
NEW met2 ( 1821830 3512100 ) ( 1825050 * )
NEW met2 ( 1825050 3512100 ) ( * 3517980 0 )
NEW met1 ( 1347570 3385550 ) ( 1821830 * )
NEW met1 ( 1347570 3385550 ) M1M2_PR
NEW met1 ( 1821830 3385550 ) M1M2_PR ;
- io_in[19] ( PIN io_in[19] ) ( mprj io_in[19] ) + USE SIGNAL
+ ROUTED met1 ( 1421170 3371950 ) ( 1497530 * )
NEW met2 ( 1419790 3349340 0 ) ( 1421170 * )
NEW met2 ( 1421170 3349340 ) ( * 3371950 )
NEW met2 ( 1497530 3517980 ) ( 1499830 * )
NEW met2 ( 1499830 3517300 ) ( * 3517980 )
NEW met2 ( 1499830 3517300 ) ( 1500750 * )
NEW met2 ( 1500750 3517300 ) ( * 3517980 0 )
NEW met2 ( 1497530 3371950 ) ( * 3517980 )
NEW met1 ( 1421170 3371950 ) M1M2_PR
NEW met1 ( 1497530 3371950 ) M1M2_PR ;
- io_in[1] ( PIN io_in[1] ) ( mprj io_in[1] ) + USE SIGNAL
+ ROUTED met3 ( 2917780 228140 ) ( * 230860 )
NEW met3 ( 2916860 230860 ) ( 2917780 * )
NEW met3 ( 2916860 230860 ) ( * 231540 )
NEW met3 ( 2916860 231540 ) ( 2917780 * 0 )
NEW met3 ( 153410 3346620 ) ( 154100 * )
NEW met2 ( 152030 3346620 0 ) ( 153410 * )
NEW met4 ( 154100 228140 ) ( * 3346620 )
NEW met3 ( 154100 228140 ) ( 2917780 * )
NEW met3 ( 154100 228140 ) M3M4_PR
NEW met3 ( 154100 3346620 ) M3M4_PR
NEW met2 ( 153410 3346620 ) M2M3_PR ;
- io_in[20] ( PIN io_in[20] ) ( mprj io_in[20] ) + USE SIGNAL
+ ROUTED met2 ( 1173230 3517980 ) ( 1175070 * )
NEW met2 ( 1175070 3517300 ) ( * 3517980 )
NEW met2 ( 1175070 3517300 ) ( 1175990 * )
NEW met2 ( 1175990 3517300 ) ( * 3517980 0 )
NEW met2 ( 1173230 3371610 ) ( * 3517980 )
NEW met1 ( 1173230 3371610 ) ( 1488790 * )
NEW met2 ( 1488790 3349340 ) ( 1490170 * 0 )
NEW met2 ( 1488790 3349340 ) ( * 3371610 )
NEW met1 ( 1173230 3371610 ) M1M2_PR
NEW met1 ( 1488790 3371610 ) M1M2_PR ;
- io_in[21] ( PIN io_in[21] ) ( mprj io_in[21] ) + USE SIGNAL
+ ROUTED met2 ( 1559630 3349340 ) ( 1560550 * 0 )
NEW met2 ( 1559630 3349340 ) ( * 3371270 )
NEW met1 ( 848930 3371270 ) ( 1559630 * )
NEW met2 ( 848930 3371270 ) ( * 3512100 )
NEW met2 ( 848930 3512100 ) ( 851690 * )
NEW met2 ( 851690 3512100 ) ( * 3517980 0 )
NEW met1 ( 1559630 3371270 ) M1M2_PR
NEW met1 ( 848930 3371270 ) M1M2_PR ;
- io_in[22] ( PIN io_in[22] ) ( mprj io_in[22] ) + USE SIGNAL
+ ROUTED met2 ( 1629550 3349340 ) ( 1630930 * 0 )
NEW met2 ( 1629550 3349340 ) ( * 3370930 )
NEW met1 ( 524630 3370930 ) ( 1629550 * )
NEW met2 ( 524630 3517980 ) ( 526470 * )
NEW met2 ( 526470 3517300 ) ( * 3517980 )
NEW met2 ( 526470 3517300 ) ( 527390 * )
NEW met2 ( 527390 3517300 ) ( * 3517980 0 )
NEW met2 ( 524630 3370930 ) ( * 3517980 )
NEW met1 ( 1629550 3370930 ) M1M2_PR
NEW met1 ( 524630 3370930 ) M1M2_PR ;
- io_in[23] ( PIN io_in[23] ) ( mprj io_in[23] ) + USE SIGNAL
+ ROUTED met2 ( 200330 3517980 ) ( 201710 * )
NEW met2 ( 201710 3517300 ) ( * 3517980 )
NEW met2 ( 201710 3517300 ) ( 202630 * )
NEW met2 ( 202630 3517300 ) ( * 3517980 0 )
NEW met2 ( 200330 3370590 ) ( * 3517980 )
NEW met1 ( 200330 3370590 ) ( 1700390 * )
NEW met2 ( 1700390 3349340 ) ( 1701770 * 0 )
NEW met2 ( 1700390 3349340 ) ( * 3370590 )
NEW met1 ( 200330 3370590 ) M1M2_PR
NEW met1 ( 1700390 3370590 ) M1M2_PR ;
- io_in[24] ( PIN io_in[24] ) ( mprj io_in[24] ) + USE SIGNAL
+ ROUTED met3 ( 1380 3421420 0 ) ( 17250 * )
NEW met2 ( 17250 3416150 ) ( * 3421420 )
NEW met2 ( 1769850 3349340 ) ( 1772150 * 0 )
NEW met2 ( 1769850 3349340 ) ( * 3416150 )
NEW met1 ( 17250 3416150 ) ( 1769850 * )
NEW met2 ( 17250 3421420 ) M2M3_PR
NEW met1 ( 17250 3416150 ) M1M2_PR
NEW met1 ( 1769850 3416150 ) M1M2_PR ;
- io_in[25] ( PIN io_in[25] ) ( mprj io_in[25] ) + USE SIGNAL
+ ROUTED met3 ( 1380 3160300 0 ) ( 15870 * )
NEW met2 ( 15870 3160300 ) ( * 3166930 )
NEW met2 ( 1842530 3349340 0 ) ( 1842990 * )
NEW met2 ( 1842990 3349340 ) ( * 3369910 )
NEW met1 ( 69690 3369910 ) ( 1842990 * )
NEW met2 ( 69230 3166930 ) ( * 3174000 )
NEW met2 ( 69230 3174000 ) ( 69690 * )
NEW met1 ( 15870 3166930 ) ( 69230 * )
NEW met2 ( 69690 3174000 ) ( * 3369910 )
NEW met1 ( 1842990 3369910 ) M1M2_PR
NEW met2 ( 15870 3160300 ) M2M3_PR
NEW met1 ( 15870 3166930 ) M1M2_PR
NEW met1 ( 69690 3369910 ) M1M2_PR
NEW met1 ( 69230 3166930 ) M1M2_PR ;
- io_in[26] ( PIN io_in[26] ) ( mprj io_in[26] ) + USE SIGNAL
+ ROUTED met3 ( 1380 2899860 0 ) ( 16330 * )
NEW met2 ( 16330 2899860 ) ( * 2904790 )
NEW met1 ( 16330 2904790 ) ( 66930 * )
NEW met2 ( 66930 2904790 ) ( * 3356650 )
NEW met2 ( 1911530 3349340 ) ( 1912910 * 0 )
NEW met2 ( 1911530 3349340 ) ( * 3356650 )
NEW met1 ( 66930 3356650 ) ( 1911530 * )
NEW met2 ( 16330 2899860 ) M2M3_PR
NEW met1 ( 16330 2904790 ) M1M2_PR
NEW met1 ( 66930 2904790 ) M1M2_PR
NEW met1 ( 66930 3356650 ) M1M2_PR
NEW met1 ( 1911530 3356650 ) M1M2_PR ;
- io_in[27] ( PIN io_in[27] ) ( mprj io_in[27] ) + USE SIGNAL
+ ROUTED met3 ( 1380 2639420 0 ) ( 3220 * )
NEW met3 ( 3220 2638740 ) ( * 2639420 )
NEW met3 ( 1380 2638740 ) ( 3220 * )
NEW met3 ( 1380 2636020 ) ( * 2638740 )
NEW met2 ( 1981910 3349340 ) ( 1983290 * 0 )
NEW met2 ( 1981910 3349340 ) ( * 3355460 )
NEW met3 ( 73140 3355460 ) ( 1981910 * )
NEW met4 ( 69460 2636020 ) ( 73140 * )
NEW met3 ( 1380 2636020 ) ( 69460 * )
NEW met4 ( 73140 2636020 ) ( * 3355460 )
NEW met3 ( 73140 3355460 ) M3M4_PR
NEW met2 ( 1981910 3355460 ) M2M3_PR
NEW met3 ( 69460 2636020 ) M3M4_PR ;
- io_in[28] ( PIN io_in[28] ) ( mprj io_in[28] ) + USE SIGNAL
+ ROUTED met3 ( 1380 2378300 0 ) ( 16790 * )
NEW met2 ( 16790 2378300 ) ( * 2380170 )
NEW met2 ( 2051830 3349340 ) ( 2053670 * 0 )
NEW met2 ( 2051830 3349340 ) ( * 3355290 )
NEW met1 ( 16790 2380170 ) ( 66470 * )
NEW met1 ( 66470 3355290 ) ( 2051830 * )
NEW met2 ( 66470 2380170 ) ( * 3355290 )
NEW met2 ( 16790 2378300 ) M2M3_PR
NEW met1 ( 16790 2380170 ) M1M2_PR
NEW met1 ( 2051830 3355290 ) M1M2_PR
NEW met1 ( 66470 2380170 ) M1M2_PR
NEW met1 ( 66470 3355290 ) M1M2_PR ;
- io_in[29] ( PIN io_in[29] ) ( mprj io_in[29] ) + USE SIGNAL
+ ROUTED met3 ( 1380 2117860 0 ) ( 16790 * )
NEW met2 ( 16790 2117860 ) ( * 2118030 )
NEW met2 ( 2122670 3349340 ) ( 2124050 * 0 )
NEW met2 ( 2122670 3349340 ) ( * 3354950 )
NEW met1 ( 16790 2118030 ) ( 66010 * )
NEW met1 ( 66010 3354950 ) ( 2122670 * )
NEW met2 ( 66010 2118030 ) ( * 3354950 )
NEW met2 ( 16790 2117860 ) M2M3_PR
NEW met1 ( 16790 2118030 ) M1M2_PR
NEW met1 ( 2122670 3354950 ) M1M2_PR
NEW met1 ( 66010 2118030 ) M1M2_PR
NEW met1 ( 66010 3354950 ) M1M2_PR ;
- io_in[2] ( PIN io_in[2] ) ( mprj io_in[2] ) + USE SIGNAL
+ ROUTED met2 ( 222410 3349340 0 ) ( 224250 * )
NEW met2 ( 224250 3349340 ) ( * 3354100 )
NEW met3 ( 2917780 428060 ) ( * 430100 )
NEW met3 ( 2916860 430100 ) ( 2917780 * )
NEW met3 ( 2916860 430100 ) ( * 430780 )
NEW met3 ( 2916860 430780 ) ( 2917780 * 0 )
NEW met3 ( 224250 3354100 ) ( 2770580 * )
NEW met4 ( 2770580 428060 ) ( * 3354100 )
NEW met3 ( 2770580 428060 ) ( 2917780 * )
NEW met2 ( 224250 3354100 ) M2M3_PR
NEW met3 ( 2770580 428060 ) M3M4_PR
NEW met3 ( 2770580 3354100 ) M3M4_PR ;
- io_in[30] ( PIN io_in[30] ) ( mprj io_in[30] ) + USE SIGNAL
+ ROUTED met3 ( 1380 1856740 0 ) ( 69460 * )
NEW met4 ( 69460 1856740 ) ( * 1869900 )
NEW met4 ( 69460 1869900 ) ( 72220 * )
NEW met2 ( 2194430 3349340 0 ) ( 2194890 * )
NEW met2 ( 2194890 3349340 ) ( * 3354780 )
NEW met3 ( 72220 3354780 ) ( 2194890 * )
NEW met4 ( 72220 2670300 ) ( * 3354780 )
NEW met4 ( 71300 2670300 ) ( 72220 * )
NEW met4 ( 72220 1869900 ) ( * 2608200 )
NEW met4 ( 71300 2656500 ) ( * 2670300 )
NEW met4 ( 68540 2656500 ) ( 71300 * )
NEW met4 ( 68540 2608200 ) ( * 2656500 )
NEW met4 ( 68540 2608200 ) ( 72220 * )
NEW met3 ( 69460 1856740 ) M3M4_PR
NEW met3 ( 72220 3354780 ) M3M4_PR
NEW met2 ( 2194890 3354780 ) M2M3_PR ;
- io_in[31] ( PIN io_in[31] ) ( mprj io_in[31] ) + USE SIGNAL
+ ROUTED met3 ( 1380 1596300 0 ) ( 20010 * )
NEW met2 ( 20010 1596300 ) ( * 3351210 )
NEW met2 ( 1977310 3351210 ) ( * 3364470 )
NEW met1 ( 20010 3351210 ) ( 1977310 * )
NEW met2 ( 2263430 3349340 ) ( 2265270 * 0 )
NEW met2 ( 2263430 3349340 ) ( * 3364470 )
NEW met1 ( 1977310 3364470 ) ( 2263430 * )
NEW met2 ( 20010 1596300 ) M2M3_PR
NEW met1 ( 20010 3351210 ) M1M2_PR
NEW met1 ( 1977310 3351210 ) M1M2_PR
NEW met1 ( 1977310 3364470 ) M1M2_PR
NEW met1 ( 2263430 3364470 ) M1M2_PR ;
- io_in[32] ( PIN io_in[32] ) ( mprj io_in[32] ) + USE SIGNAL
+ ROUTED met3 ( 1380 1335860 0 ) ( 19090 * )
NEW met2 ( 19090 1335860 ) ( * 3354270 )
NEW met2 ( 2334270 3349340 ) ( 2335650 * 0 )
NEW met2 ( 2334270 3349340 ) ( * 3354270 )
NEW met1 ( 19090 3354270 ) ( 2334270 * )
NEW met2 ( 19090 1335860 ) M2M3_PR
NEW met1 ( 19090 3354270 ) M1M2_PR
NEW met1 ( 2334270 3354270 ) M1M2_PR ;
- io_in[33] ( PIN io_in[33] ) ( mprj io_in[33] ) + USE SIGNAL
+ ROUTED met3 ( 1380 1074740 0 ) ( 18170 * )
NEW met2 ( 18170 1074740 ) ( * 3350530 )
NEW met2 ( 2404190 3349340 ) ( 2406030 * 0 )
NEW met2 ( 2404190 3349340 ) ( * 3363450 )
NEW met2 ( 2099210 3350530 ) ( * 3363450 )
NEW met1 ( 18170 3350530 ) ( 2099210 * )
NEW met1 ( 2099210 3363450 ) ( 2404190 * )
NEW met2 ( 18170 1074740 ) M2M3_PR
NEW met1 ( 18170 3350530 ) M1M2_PR
NEW met1 ( 2404190 3363450 ) M1M2_PR
NEW met1 ( 2099210 3350530 ) M1M2_PR
NEW met1 ( 2099210 3363450 ) M1M2_PR ;
- io_in[34] ( PIN io_in[34] ) ( mprj io_in[34] ) + USE SIGNAL
+ ROUTED met3 ( 1380 814300 0 ) ( 17250 * )
NEW met2 ( 17250 814300 ) ( * 3353590 )
NEW met2 ( 2475030 3349340 ) ( 2476410 * 0 )
NEW met2 ( 2475030 3349340 ) ( * 3353590 )
NEW met1 ( 17250 3353590 ) ( 2475030 * )
NEW met2 ( 17250 814300 ) M2M3_PR
NEW met1 ( 17250 3353590 ) M1M2_PR
NEW met1 ( 2475030 3353590 ) M1M2_PR ;
- io_in[35] ( PIN io_in[35] ) ( mprj io_in[35] ) + USE SIGNAL
+ ROUTED met3 ( 1380 553180 0 ) ( 17940 * )
NEW met4 ( 17940 553180 ) ( * 3349340 )
NEW met2 ( 2240890 3350020 ) ( * 3362090 )
NEW met3 ( 17940 3349340 ) ( 2159700 * )
NEW met3 ( 2159700 3349340 ) ( * 3350020 )
NEW met3 ( 2159700 3350020 ) ( 2240890 * )
NEW met2 ( 2546330 3349340 ) ( 2546790 * 0 )
NEW met2 ( 2546330 3349340 ) ( * 3362090 )
NEW met1 ( 2240890 3362090 ) ( 2546330 * )
NEW met3 ( 17940 553180 ) M3M4_PR
NEW met3 ( 17940 3349340 ) M3M4_PR
NEW met2 ( 2240890 3350020 ) M2M3_PR
NEW met1 ( 2240890 3362090 ) M1M2_PR
NEW met1 ( 2546330 3362090 ) M1M2_PR ;
- io_in[36] ( PIN io_in[36] ) ( mprj io_in[36] ) + USE SIGNAL
+ ROUTED met3 ( 1380 358020 0 ) ( 17020 * )
NEW met4 ( 17020 358020 ) ( * 3355460 )
NEW met2 ( 2615790 3349340 ) ( 2617170 * 0 )
NEW met2 ( 2615790 3349340 ) ( * 3356140 )
NEW met3 ( 17020 3355460 ) ( 34500 * )
NEW met3 ( 34500 3355460 ) ( * 3356140 )
NEW met3 ( 34500 3356140 ) ( 2615790 * )
NEW met3 ( 17020 358020 ) M3M4_PR
NEW met3 ( 17020 3355460 ) M3M4_PR
NEW met2 ( 2615790 3356140 ) M2M3_PR ;
- io_in[37] ( PIN io_in[37] ) ( mprj io_in[37] ) + USE SIGNAL
+ ROUTED met3 ( 1380 162180 0 ) ( 3220 * )
NEW met3 ( 3220 161500 ) ( * 162180 )
NEW met3 ( 1380 161500 ) ( 3220 * )
NEW met3 ( 1380 159460 ) ( * 161500 )
NEW met3 ( 1380 159460 ) ( 2684100 * )
NEW met3 ( 2684100 3346620 ) ( 2685710 * )
NEW met2 ( 2685710 3346620 ) ( 2687550 * 0 )
NEW met4 ( 2684100 159460 ) ( * 3346620 )
NEW met3 ( 2684100 159460 ) M3M4_PR
NEW met3 ( 2684100 3346620 ) M3M4_PR
NEW met2 ( 2685710 3346620 ) M2M3_PR ;
- io_in[3] ( PIN io_in[3] ) ( mprj io_in[3] ) + USE SIGNAL
+ ROUTED met2 ( 294170 3347980 ) ( * 3348150 )
NEW met2 ( 292790 3347980 0 ) ( 294170 * )
NEW met2 ( 2898230 630020 ) ( * 634610 )
NEW met3 ( 2898230 630020 ) ( 2917780 * 0 )
NEW met1 ( 294170 3348150 ) ( 2777250 * )
NEW met2 ( 2777250 634610 ) ( * 3348150 )
NEW met1 ( 2777250 634610 ) ( 2898230 * )
NEW met1 ( 294170 3348150 ) M1M2_PR
NEW met1 ( 2898230 634610 ) M1M2_PR
NEW met2 ( 2898230 630020 ) M2M3_PR
NEW met1 ( 2777250 634610 ) M1M2_PR
NEW met1 ( 2777250 3348150 ) M1M2_PR ;
- io_in[4] ( PIN io_in[4] ) ( mprj io_in[4] ) + USE SIGNAL
+ ROUTED met2 ( 2898230 829260 ) ( * 834870 )
NEW met3 ( 2898230 829260 ) ( 2917780 * 0 )
NEW met2 ( 363170 3349340 0 ) ( 365010 * )
NEW met2 ( 365010 3349340 ) ( * 3353930 )
NEW met1 ( 365010 3353930 ) ( 2770350 * )
NEW met2 ( 2770350 834870 ) ( * 3353930 )
NEW met1 ( 2770350 834870 ) ( 2898230 * )
NEW met1 ( 2898230 834870 ) M1M2_PR
NEW met2 ( 2898230 829260 ) M2M3_PR
NEW met1 ( 365010 3353930 ) M1M2_PR
NEW met1 ( 2770350 834870 ) M1M2_PR
NEW met1 ( 2770350 3353930 ) M1M2_PR ;
- io_in[5] ( PIN io_in[5] ) ( mprj io_in[5] ) + USE SIGNAL
+ ROUTED met3 ( 2904670 1028500 ) ( 2917780 * 0 )
NEW met2 ( 2904670 1028500 ) ( * 3348490 )
NEW met2 ( 434470 3348490 ) ( * 3348660 )
NEW met2 ( 433550 3348660 0 ) ( 434470 * )
NEW met1 ( 434470 3348490 ) ( 2904670 * )
NEW met2 ( 2904670 1028500 ) M2M3_PR
NEW met1 ( 2904670 3348490 ) M1M2_PR
NEW met1 ( 434470 3348490 ) M1M2_PR ;
- io_in[6] ( PIN io_in[6] ) ( mprj io_in[6] ) + USE SIGNAL
+ ROUTED met2 ( 503930 3349340 0 ) ( 505770 * )
NEW met2 ( 505770 3349340 ) ( * 3369740 )
NEW met2 ( 2900530 1227740 ) ( * 1227910 )
NEW met3 ( 2900530 1227740 ) ( 2917780 * 0 )
NEW met3 ( 505770 3369740 ) ( 2784150 * )
NEW met2 ( 2784150 1227910 ) ( * 3369740 )
NEW met1 ( 2784150 1227910 ) ( 2900530 * )
NEW met2 ( 505770 3369740 ) M2M3_PR
NEW met1 ( 2900530 1227910 ) M1M2_PR
NEW met2 ( 2900530 1227740 ) M2M3_PR
NEW met2 ( 2784150 3369740 ) M2M3_PR
NEW met1 ( 2784150 1227910 ) M1M2_PR ;
- io_in[7] ( PIN io_in[7] ) ( mprj io_in[7] ) + USE SIGNAL
+ ROUTED met2 ( 574310 3349340 0 ) ( 575690 * )
NEW met2 ( 575690 3349340 ) ( * 3363790 )
NEW met2 ( 697590 3347980 ) ( * 3363790 )
NEW met3 ( 697590 3347980 ) ( 697820 * )
NEW met4 ( 697820 3342540 ) ( * 3347980 )
NEW met3 ( 2900530 1493620 ) ( 2917780 * 0 )
NEW met2 ( 2900530 1493620 ) ( * 3342540 )
NEW met1 ( 575690 3363790 ) ( 697590 * )
NEW met3 ( 697820 3342540 ) ( 2900530 * )
NEW met1 ( 575690 3363790 ) M1M2_PR
NEW met1 ( 697590 3363790 ) M1M2_PR
NEW met2 ( 697590 3347980 ) M2M3_PR
NEW met3 ( 697820 3347980 ) M3M4_PR
NEW met3 ( 697820 3342540 ) M3M4_PR
NEW met2 ( 2900530 1493620 ) M2M3_PR
NEW met2 ( 2900530 3342540 ) M2M3_PR
NEW met3 ( 697590 3347980 ) RECT ( -390 -150 0 150 ) ;
- io_in[8] ( PIN io_in[8] ) ( mprj io_in[8] ) + USE SIGNAL
+ ROUTED met2 ( 2900070 1759500 ) ( * 1766130 )
NEW met3 ( 2900070 1759500 ) ( 2917780 * 0 )
NEW met1 ( 2772650 1766130 ) ( 2900070 * )
NEW met2 ( 645150 3349340 0 ) ( 646530 * )
NEW met2 ( 646530 3349340 ) ( * 3354610 )
NEW met1 ( 646530 3354610 ) ( 2772650 * )
NEW met2 ( 2772650 1766130 ) ( * 3354610 )
NEW met1 ( 2900070 1766130 ) M1M2_PR
NEW met2 ( 2900070 1759500 ) M2M3_PR
NEW met1 ( 2772650 1766130 ) M1M2_PR
NEW met1 ( 646530 3354610 ) M1M2_PR
NEW met1 ( 2772650 3354610 ) M1M2_PR ;
- io_in[9] ( PIN io_in[9] ) ( mprj io_in[9] ) + USE SIGNAL
+ ROUTED met2 ( 2900070 2024700 ) ( * 2028270 )
NEW met3 ( 2900070 2024700 ) ( 2917780 * 0 )
NEW met1 ( 2773570 2028270 ) ( 2900070 * )
NEW met2 ( 715530 3349340 0 ) ( 717370 * )
NEW met2 ( 717370 3349340 ) ( * 3349510 )
NEW met1 ( 717370 3349510 ) ( 2773570 * )
NEW met2 ( 2773570 2028270 ) ( * 3349510 )
NEW met1 ( 2900070 2028270 ) M1M2_PR
NEW met2 ( 2900070 2024700 ) M2M3_PR
NEW met1 ( 2773570 2028270 ) M1M2_PR
NEW met1 ( 717370 3349510 ) M1M2_PR
NEW met1 ( 2773570 3349510 ) M1M2_PR ;
- io_oeb[0] ( PIN io_oeb[0] ) ( mprj io_oeb[0] ) + USE SIGNAL
+ ROUTED met3 ( 106490 3346620 ) ( 109940 * )
NEW met2 ( 105110 3346620 0 ) ( 106490 * )
NEW met3 ( 2917780 158780 ) ( * 164900 )
NEW met3 ( 2916860 164900 ) ( 2917780 * )
NEW met3 ( 2916860 164900 ) ( * 165580 )
NEW met3 ( 2916860 165580 ) ( 2917780 * 0 )
NEW met3 ( 109940 158780 ) ( 2917780 * )
NEW met4 ( 109940 158780 ) ( * 3346620 )
NEW met3 ( 109940 158780 ) M3M4_PR
NEW met3 ( 109940 3346620 ) M3M4_PR
NEW met2 ( 106490 3346620 ) M2M3_PR ;
- io_oeb[10] ( PIN io_oeb[10] ) ( mprj io_oeb[10] ) + USE SIGNAL
+ ROUTED met3 ( 2835900 2422500 ) ( * 2423180 )
NEW met3 ( 2835900 2423180 ) ( 2917780 * 0 )
NEW met4 ( 810980 3339820 ) ( * 3347980 )
NEW met3 ( 810750 3347980 ) ( 810980 * )
NEW met2 ( 809370 3347980 0 ) ( 810750 * )
NEW met3 ( 810980 3339820 ) ( 2700660 * )
NEW met5 ( 2700660 2422500 ) ( 2705260 * )
NEW met4 ( 2700660 2422500 ) ( * 3339820 )
NEW met3 ( 2705260 2422500 ) ( 2835900 * )
NEW met3 ( 2700660 3339820 ) M3M4_PR
NEW met3 ( 810980 3339820 ) M3M4_PR
NEW met3 ( 810980 3347980 ) M3M4_PR
NEW met2 ( 810750 3347980 ) M2M3_PR
NEW met4 ( 2700660 2422500 ) M4M5_PR
NEW met3 ( 2705260 2422500 ) M3M4_PR
NEW met4 ( 2705260 2422500 ) M4M5_PR
NEW met3 ( 810980 3347980 ) RECT ( 0 -150 390 150 ) ;
- io_oeb[11] ( PIN io_oeb[11] ) ( mprj io_oeb[11] ) + USE SIGNAL
+ ROUTED met2 ( 879750 3349340 0 ) ( 881130 * )
NEW met2 ( 881130 3349340 ) ( * 3355970 )
NEW met2 ( 2900070 2689060 ) ( * 2690930 )
NEW met3 ( 2900070 2689060 ) ( 2917780 * 0 )
NEW met1 ( 881130 3355970 ) ( 2785530 * )
NEW met2 ( 2785530 2690930 ) ( * 3355970 )
NEW met1 ( 2785530 2690930 ) ( 2900070 * )
NEW met1 ( 881130 3355970 ) M1M2_PR
NEW met1 ( 2900070 2690930 ) M1M2_PR
NEW met2 ( 2900070 2689060 ) M2M3_PR
NEW met1 ( 2785530 2690930 ) M1M2_PR
NEW met1 ( 2785530 3355970 ) M1M2_PR ;
- io_oeb[12] ( PIN io_oeb[12] ) ( mprj io_oeb[12] ) + USE SIGNAL
+ ROUTED met2 ( 2899150 2954940 ) ( * 2959870 )
NEW met3 ( 2899150 2954940 ) ( 2917780 * 0 )
NEW met2 ( 950130 3349340 0 ) ( 951050 * )
NEW met2 ( 951050 3349340 ) ( * 3350190 )
NEW met1 ( 951050 3350190 ) ( 2769890 * )
NEW met2 ( 2769890 2959870 ) ( * 3350190 )
NEW met1 ( 2769890 2959870 ) ( 2899150 * )
NEW met1 ( 2899150 2959870 ) M1M2_PR
NEW met2 ( 2899150 2954940 ) M2M3_PR
NEW met1 ( 951050 3350190 ) M1M2_PR
NEW met1 ( 2769890 2959870 ) M1M2_PR
NEW met1 ( 2769890 3350190 ) M1M2_PR ;
- io_oeb[13] ( PIN io_oeb[13] ) ( mprj io_oeb[13] ) + USE SIGNAL
+ ROUTED met2 ( 2900070 3220140 ) ( * 3222010 )
NEW met3 ( 2900070 3220140 ) ( 2917780 * 0 )
NEW met1 ( 1020970 3370250 ) ( 2786910 * )
NEW met1 ( 2786910 3222010 ) ( 2900070 * )
NEW met2 ( 1020510 3349340 0 ) ( 1020970 * )
NEW met2 ( 1020970 3349340 ) ( * 3370250 )
NEW met2 ( 2786910 3222010 ) ( * 3370250 )
NEW met1 ( 2900070 3222010 ) M1M2_PR
NEW met2 ( 2900070 3220140 ) M2M3_PR
NEW met1 ( 1020970 3370250 ) M1M2_PR
NEW met1 ( 2786910 3222010 ) M1M2_PR
NEW met1 ( 2786910 3370250 ) M1M2_PR ;
- io_oeb[14] ( PIN io_oeb[14] ) ( mprj io_oeb[14] ) + USE SIGNAL
+ ROUTED met2 ( 2900990 3484830 ) ( * 3486020 )
NEW met3 ( 2900990 3486020 ) ( 2917780 * 0 )
NEW met2 ( 1090430 3349340 ) ( 1090890 * 0 )
NEW met2 ( 1090430 3349340 ) ( * 3484830 )
NEW met1 ( 1090430 3484830 ) ( 2900990 * )
NEW met1 ( 1090430 3484830 ) M1M2_PR
NEW met1 ( 2900990 3484830 ) M1M2_PR
NEW met2 ( 2900990 3486020 ) M2M3_PR ;
- io_oeb[15] ( PIN io_oeb[15] ) ( mprj io_oeb[15] ) + USE SIGNAL
+ ROUTED met2 ( 1161730 3349340 0 ) ( 1163570 * )
NEW met2 ( 1163570 3349340 ) ( * 3377390 )
NEW met2 ( 2636030 3377390 ) ( * 3517980 0 )
NEW met1 ( 1163570 3377390 ) ( 2636030 * )
NEW met1 ( 1163570 3377390 ) M1M2_PR
NEW met1 ( 2636030 3377390 ) M1M2_PR ;
- io_oeb[16] ( PIN io_oeb[16] ) ( mprj io_oeb[16] ) + USE SIGNAL
+ ROUTED met2 ( 2311730 3377730 ) ( * 3517980 0 )
NEW met1 ( 1233490 3377730 ) ( 2311730 * )
NEW met2 ( 1232110 3349340 0 ) ( 1233490 * )
NEW met2 ( 1233490 3349340 ) ( * 3377730 )
NEW met1 ( 2311730 3377730 ) M1M2_PR
NEW met1 ( 1233490 3377730 ) M1M2_PR ;
- io_oeb[17] ( PIN io_oeb[17] ) ( mprj io_oeb[17] ) + USE SIGNAL
+ ROUTED met1 ( 1303410 3378070 ) ( 1987430 * )
NEW met2 ( 1302490 3349340 0 ) ( 1303410 * )
NEW met2 ( 1303410 3349340 ) ( * 3378070 )
NEW met2 ( 1987430 3378070 ) ( * 3517980 0 )
NEW met1 ( 1303410 3378070 ) M1M2_PR
NEW met1 ( 1987430 3378070 ) M1M2_PR ;
- io_oeb[18] ( PIN io_oeb[18] ) ( mprj io_oeb[18] ) + USE SIGNAL
+ ROUTED met2 ( 1372410 3349340 ) ( 1372870 * 0 )
NEW met2 ( 1372410 3349340 ) ( * 3378410 )
NEW met1 ( 1656230 3515090 ) ( 1662670 * )
NEW met2 ( 1662670 3515090 ) ( * 3517980 0 )
NEW met2 ( 1656230 3378410 ) ( * 3515090 )
NEW met1 ( 1372410 3378410 ) ( 1656230 * )
NEW met1 ( 1372410 3378410 ) M1M2_PR
NEW met1 ( 1656230 3378410 ) M1M2_PR
NEW met1 ( 1656230 3515090 ) M1M2_PR
NEW met1 ( 1662670 3515090 ) M1M2_PR ;
- io_oeb[19] ( PIN io_oeb[19] ) ( mprj io_oeb[19] ) + USE SIGNAL
+ ROUTED met2 ( 1442330 3349340 ) ( 1443250 * 0 )
NEW met2 ( 1442330 3349340 ) ( * 3378750 )
NEW met1 ( 1331930 3487890 ) ( 1338370 * )
NEW met1 ( 1331930 3378750 ) ( 1442330 * )
NEW met2 ( 1331930 3378750 ) ( * 3487890 )
NEW met2 ( 1338370 3487890 ) ( * 3517980 0 )
NEW met1 ( 1442330 3378750 ) M1M2_PR
NEW met1 ( 1331930 3378750 ) M1M2_PR
NEW met1 ( 1331930 3487890 ) M1M2_PR
NEW met1 ( 1338370 3487890 ) M1M2_PR ;
- io_oeb[1] ( PIN io_oeb[1] ) ( mprj io_oeb[1] ) + USE SIGNAL
+ ROUTED met3 ( 2917780 359380 ) ( * 364140 )
NEW met3 ( 2916860 364140 ) ( 2917780 * )
NEW met3 ( 2916860 364140 ) ( * 364820 )
NEW met3 ( 2916860 364820 ) ( 2917780 * 0 )
NEW met2 ( 175490 3347300 0 ) ( 177330 * )
NEW met3 ( 177330 3347300 ) ( 2769660 * )
NEW met4 ( 2769660 359380 ) ( * 3347300 )
NEW met3 ( 2769660 359380 ) ( 2917780 * )
NEW met2 ( 177330 3347300 ) M2M3_PR
NEW met3 ( 2769660 359380 ) M3M4_PR
NEW met3 ( 2769660 3347300 ) M3M4_PR ;
- io_oeb[20] ( PIN io_oeb[20] ) ( mprj io_oeb[20] ) + USE SIGNAL
+ ROUTED met1 ( 1007630 3385210 ) ( 1511330 * )
NEW met1 ( 1007630 3515090 ) ( 1014070 * )
NEW met2 ( 1014070 3515090 ) ( * 3517980 0 )
NEW met2 ( 1007630 3385210 ) ( * 3515090 )
NEW met2 ( 1511330 3349340 ) ( 1513630 * 0 )
NEW met2 ( 1511330 3349340 ) ( * 3385210 )
NEW met1 ( 1007630 3385210 ) M1M2_PR
NEW met1 ( 1511330 3385210 ) M1M2_PR
NEW met1 ( 1007630 3515090 ) M1M2_PR
NEW met1 ( 1014070 3515090 ) M1M2_PR ;
- io_oeb[21] ( PIN io_oeb[21] ) ( mprj io_oeb[21] ) + USE SIGNAL
+ ROUTED met2 ( 689310 3503530 ) ( * 3517980 0 )
NEW met1 ( 689310 3503530 ) ( 1580330 * )
NEW met2 ( 1582170 3349340 ) ( 1584010 * 0 )
NEW met2 ( 1580330 3463800 ) ( * 3503530 )
NEW met2 ( 1580330 3463800 ) ( 1582170 * )
NEW met2 ( 1582170 3349340 ) ( * 3463800 )
NEW met1 ( 689310 3503530 ) M1M2_PR
NEW met1 ( 1580330 3503530 ) M1M2_PR ;
- io_oeb[22] ( PIN io_oeb[22] ) ( mprj io_oeb[22] ) + USE SIGNAL
+ ROUTED met2 ( 1652090 3349340 ) ( 1654390 * 0 )
NEW met2 ( 1649330 3463800 ) ( * 3502510 )
NEW met2 ( 1649330 3463800 ) ( 1652090 * )
NEW met2 ( 1652090 3349340 ) ( * 3463800 )
NEW met1 ( 365010 3502510 ) ( 1649330 * )
NEW met2 ( 365010 3502510 ) ( * 3517980 0 )
NEW met1 ( 1649330 3502510 ) M1M2_PR
NEW met1 ( 365010 3502510 ) M1M2_PR ;
- io_oeb[23] ( PIN io_oeb[23] ) ( mprj io_oeb[23] ) + USE SIGNAL
+ ROUTED met2 ( 1725230 3349340 0 ) ( 1725690 * )
NEW met2 ( 1725230 3463800 ) ( * 3501490 )
NEW met2 ( 1725230 3463800 ) ( 1725690 * )
NEW met2 ( 1725690 3349340 ) ( * 3463800 )
NEW met1 ( 40710 3501490 ) ( 1725230 * )
NEW met2 ( 40710 3501490 ) ( * 3517980 0 )
NEW met1 ( 1725230 3501490 ) M1M2_PR
NEW met1 ( 40710 3501490 ) M1M2_PR ;
- io_oeb[24] ( PIN io_oeb[24] ) ( mprj io_oeb[24] ) + USE SIGNAL
+ ROUTED met3 ( 1380 3290860 0 ) ( 15870 * )
NEW met2 ( 15870 3290860 ) ( * 3291030 )
NEW met1 ( 15870 3291030 ) ( 32430 * )
NEW met2 ( 32430 3291030 ) ( * 3340500 )
NEW met4 ( 1794460 3340500 ) ( * 3347980 )
NEW met3 ( 1794460 3347980 ) ( 1794690 * )
NEW met2 ( 1794690 3347980 ) ( 1795610 * 0 )
NEW met3 ( 32430 3340500 ) ( 1794460 * )
NEW met2 ( 15870 3290860 ) M2M3_PR
NEW met1 ( 15870 3291030 ) M1M2_PR
NEW met1 ( 32430 3291030 ) M1M2_PR
NEW met2 ( 32430 3340500 ) M2M3_PR
NEW met3 ( 1794460 3340500 ) M3M4_PR
NEW met3 ( 1794460 3347980 ) M3M4_PR
NEW met2 ( 1794690 3347980 ) M2M3_PR
NEW met3 ( 1794460 3347980 ) RECT ( -390 -150 0 150 ) ;
- io_oeb[25] ( PIN io_oeb[25] ) ( mprj io_oeb[25] ) + USE SIGNAL
+ ROUTED met3 ( 1380 3030420 0 ) ( 15870 * )
NEW met2 ( 15870 3030420 ) ( * 3033990 )
NEW met2 ( 1864150 3349340 ) ( 1865990 * 0 )
NEW met2 ( 1864150 3349340 ) ( * 3369570 )
NEW met1 ( 44850 3369570 ) ( 1864150 * )
NEW met1 ( 15870 3033990 ) ( 44850 * )
NEW met2 ( 44850 3033990 ) ( * 3369570 )
NEW met1 ( 1864150 3369570 ) M1M2_PR
NEW met2 ( 15870 3030420 ) M2M3_PR
NEW met1 ( 15870 3033990 ) M1M2_PR
NEW met1 ( 44850 3369570 ) M1M2_PR
NEW met1 ( 44850 3033990 ) M1M2_PR ;
- io_oeb[26] ( PIN io_oeb[26] ) ( mprj io_oeb[26] ) + USE SIGNAL
+ ROUTED met3 ( 1380 2769300 0 ) ( 16790 * )
NEW met2 ( 16790 2769300 ) ( * 2773550 )
NEW met2 ( 1934990 3349340 ) ( 1936370 * 0 )
NEW met2 ( 1934990 3349340 ) ( * 3369230 )
NEW met1 ( 59110 3369230 ) ( 1934990 * )
NEW met1 ( 16790 2773550 ) ( 59110 * )
NEW met2 ( 59110 2773550 ) ( * 3369230 )
NEW met1 ( 1934990 3369230 ) M1M2_PR
NEW met2 ( 16790 2769300 ) M2M3_PR
NEW met1 ( 16790 2773550 ) M1M2_PR
NEW met1 ( 59110 3369230 ) M1M2_PR
NEW met1 ( 59110 2773550 ) M1M2_PR ;
- io_oeb[27] ( PIN io_oeb[27] ) ( mprj io_oeb[27] ) + USE SIGNAL
+ ROUTED met3 ( 1380 2508860 0 ) ( 16330 * )
NEW met2 ( 16330 2508860 ) ( * 2510730 )
NEW met1 ( 16330 2510730 ) ( 31970 * )
NEW met2 ( 31970 2510730 ) ( * 3368890 )
NEW met1 ( 31970 3368890 ) ( 2004910 * )
NEW met2 ( 2004910 3349340 ) ( 2006750 * 0 )
NEW met2 ( 2004910 3349340 ) ( * 3368890 )
NEW met2 ( 16330 2508860 ) M2M3_PR
NEW met1 ( 16330 2510730 ) M1M2_PR
NEW met1 ( 31970 2510730 ) M1M2_PR
NEW met1 ( 31970 3368890 ) M1M2_PR
NEW met1 ( 2004910 3368890 ) M1M2_PR ;
- io_oeb[28] ( PIN io_oeb[28] ) ( mprj io_oeb[28] ) + USE SIGNAL
+ ROUTED met3 ( 1380 2247740 0 ) ( 15870 * )
NEW met2 ( 15870 2247740 ) ( * 2247910 )
NEW met1 ( 15870 2247910 ) ( 31510 * )
NEW met2 ( 31510 2247910 ) ( * 3368550 )
NEW met1 ( 31510 3368550 ) ( 2077590 * )
NEW met2 ( 2077130 3349340 0 ) ( 2077590 * )
NEW met2 ( 2077590 3349340 ) ( * 3368550 )
NEW met2 ( 15870 2247740 ) M2M3_PR
NEW met1 ( 15870 2247910 ) M1M2_PR
NEW met1 ( 31510 2247910 ) M1M2_PR
NEW met1 ( 31510 3368550 ) M1M2_PR
NEW met1 ( 2077590 3368550 ) M1M2_PR ;
- io_oeb[29] ( PIN io_oeb[29] ) ( mprj io_oeb[29] ) + USE SIGNAL
+ ROUTED met3 ( 1380 1987300 0 ) ( 15870 * )
NEW met2 ( 15870 1987300 ) ( * 1993590 )
NEW met1 ( 15870 1993590 ) ( 31050 * )
NEW met2 ( 31050 1993590 ) ( * 3368210 )
NEW met2 ( 2146130 3349340 ) ( 2147510 * 0 )
NEW met2 ( 2146130 3349340 ) ( * 3368210 )
NEW met1 ( 31050 3368210 ) ( 2146130 * )
NEW met1 ( 31050 3368210 ) M1M2_PR
NEW met1 ( 2146130 3368210 ) M1M2_PR
NEW met2 ( 15870 1987300 ) M2M3_PR
NEW met1 ( 15870 1993590 ) M1M2_PR
NEW met1 ( 31050 1993590 ) M1M2_PR ;
- io_oeb[2] ( PIN io_oeb[2] ) ( mprj io_oeb[2] ) + USE SIGNAL
+ ROUTED met3 ( 2902370 564060 ) ( 2917780 * 0 )
NEW met2 ( 2902370 564060 ) ( * 3346790 )
NEW met2 ( 247250 3346620 ) ( * 3346790 )
NEW met2 ( 245870 3346620 0 ) ( 247250 * )
NEW met1 ( 247250 3346790 ) ( 2902370 * )
NEW met2 ( 2902370 564060 ) M2M3_PR
NEW met1 ( 2902370 3346790 ) M1M2_PR
NEW met1 ( 247250 3346790 ) M1M2_PR ;
- io_oeb[30] ( PIN io_oeb[30] ) ( mprj io_oeb[30] ) + USE SIGNAL
+ ROUTED met3 ( 1380 1726860 0 ) ( 14030 * )
NEW met2 ( 14030 1726860 ) ( * 1729410 )
NEW met1 ( 14030 1729410 ) ( 27370 * )
NEW met2 ( 27370 1729410 ) ( * 3367870 )
NEW met2 ( 2216510 3349340 ) ( 2217890 * 0 )
NEW met2 ( 2216510 3349340 ) ( * 3367870 )
NEW met1 ( 27370 3367870 ) ( 2216510 * )
NEW met2 ( 14030 1726860 ) M2M3_PR
NEW met1 ( 14030 1729410 ) M1M2_PR
NEW met1 ( 27370 1729410 ) M1M2_PR
NEW met1 ( 27370 3367870 ) M1M2_PR
NEW met1 ( 2216510 3367870 ) M1M2_PR ;
- io_oeb[31] ( PIN io_oeb[31] ) ( mprj io_oeb[31] ) + USE SIGNAL
+ ROUTED met3 ( 1380 1465740 0 ) ( 19550 * )
NEW met2 ( 19550 1465740 ) ( * 3367530 )
NEW met1 ( 19550 3367530 ) ( 2287350 * )
NEW met2 ( 2287350 3349340 ) ( 2288730 * 0 )
NEW met2 ( 2287350 3349340 ) ( * 3367530 )
NEW met2 ( 19550 1465740 ) M2M3_PR
NEW met1 ( 19550 3367530 ) M1M2_PR
NEW met1 ( 2287350 3367530 ) M1M2_PR ;
- io_oeb[32] ( PIN io_oeb[32] ) ( mprj io_oeb[32] ) + USE SIGNAL
+ ROUTED met3 ( 1380 1205300 0 ) ( 18630 * )
NEW met2 ( 18630 1205300 ) ( * 3369060 )
NEW met3 ( 18630 3369060 ) ( 2357270 * )
NEW met2 ( 2357270 3349340 ) ( 2359110 * 0 )
NEW met2 ( 2357270 3349340 ) ( * 3369060 )
NEW met2 ( 18630 3369060 ) M2M3_PR
NEW met2 ( 18630 1205300 ) M2M3_PR
NEW met2 ( 2357270 3369060 ) M2M3_PR ;
- io_oeb[33] ( PIN io_oeb[33] ) ( mprj io_oeb[33] ) + USE SIGNAL
+ ROUTED met3 ( 1380 944180 0 ) ( 17710 * )
NEW met2 ( 18170 3367200 ) ( * 3368380 )
NEW met2 ( 17710 3367200 ) ( 18170 * )
NEW met2 ( 17710 944180 ) ( * 3367200 )
NEW met2 ( 2429030 3349340 ) ( 2429490 * 0 )
NEW met2 ( 2429030 3349340 ) ( * 3368380 )
NEW met3 ( 18170 3368380 ) ( 2429030 * )
NEW met2 ( 18170 3368380 ) M2M3_PR
NEW met2 ( 2429030 3368380 ) M2M3_PR
NEW met2 ( 17710 944180 ) M2M3_PR ;
- io_oeb[34] ( PIN io_oeb[34] ) ( mprj io_oeb[34] ) + USE SIGNAL
+ ROUTED met3 ( 1380 683740 0 ) ( 16330 * )
NEW met2 ( 16330 683740 ) ( * 689690 )
NEW met2 ( 2498030 3349340 ) ( 2499870 * 0 )
NEW met2 ( 2498030 3349340 ) ( * 3367700 )
NEW met1 ( 16330 689690 ) ( 58650 * )
NEW met3 ( 58650 3367700 ) ( 2498030 * )
NEW met2 ( 58650 689690 ) ( * 3367700 )
NEW met2 ( 16330 683740 ) M2M3_PR
NEW met1 ( 16330 689690 ) M1M2_PR
NEW met2 ( 2498030 3367700 ) M2M3_PR
NEW met1 ( 58650 689690 ) M1M2_PR
NEW met2 ( 58650 3367700 ) M2M3_PR ;
- io_oeb[35] ( PIN io_oeb[35] ) ( mprj io_oeb[35] ) + USE SIGNAL
+ ROUTED met3 ( 1380 423300 0 ) ( 14030 * )
NEW met2 ( 14030 423300 ) ( * 424490 )
NEW met1 ( 14030 424490 ) ( 24610 * )
NEW met2 ( 24610 424490 ) ( * 3374330 )
NEW met1 ( 24610 3374330 ) ( 2568870 * )
NEW met2 ( 2568870 3349340 ) ( 2570250 * 0 )
NEW met2 ( 2568870 3349340 ) ( * 3374330 )
NEW met1 ( 24610 3374330 ) M1M2_PR
NEW met2 ( 14030 423300 ) M2M3_PR
NEW met1 ( 14030 424490 ) M1M2_PR
NEW met1 ( 24610 424490 ) M1M2_PR
NEW met1 ( 2568870 3374330 ) M1M2_PR ;
- io_oeb[36] ( PIN io_oeb[36] ) ( mprj io_oeb[36] ) + USE SIGNAL
+ ROUTED met3 ( 1380 227460 0 ) ( 3220 * )
NEW met3 ( 3220 226780 ) ( * 227460 )
NEW met3 ( 1380 226780 ) ( 3220 * )
NEW met3 ( 1380 224060 ) ( * 226780 )
NEW met3 ( 2636260 3346620 ) ( 2638790 * )
NEW met2 ( 2638790 3346620 ) ( 2640630 * 0 )
NEW met4 ( 2636260 221340 ) ( * 3346620 )
NEW met3 ( 1380 224060 ) ( 34500 * )
NEW met3 ( 34500 221340 ) ( * 224060 )
NEW met3 ( 34500 221340 ) ( 2636260 * )
NEW met3 ( 2636260 221340 ) M3M4_PR
NEW met3 ( 2636260 3346620 ) M3M4_PR
NEW met2 ( 2638790 3346620 ) M2M3_PR ;
- io_oeb[37] ( PIN io_oeb[37] ) ( mprj io_oeb[37] ) + USE SIGNAL
+ ROUTED met3 ( 1380 32300 0 ) ( 3220 * )
NEW met3 ( 3220 31620 ) ( * 32300 )
NEW met3 ( 1380 31620 ) ( 3220 * )
NEW met3 ( 1380 28900 ) ( * 31620 )
NEW met4 ( 2705260 28900 ) ( * 2352900 )
NEW met4 ( 2705260 2352900 ) ( 2707100 * )
NEW met4 ( 2705260 2497800 ) ( 2707100 * )
NEW met3 ( 2705260 3346620 ) ( 2709630 * )
NEW met2 ( 2709630 3346620 ) ( 2711010 * 0 )
NEW met4 ( 2705260 2497800 ) ( * 3346620 )
NEW met4 ( 2707100 2352900 ) ( * 2497800 )
NEW met3 ( 1380 28900 ) ( 2705260 * )
NEW met3 ( 2705260 28900 ) M3M4_PR
NEW met3 ( 2705260 3346620 ) M3M4_PR
NEW met2 ( 2709630 3346620 ) M2M3_PR ;
- io_oeb[3] ( PIN io_oeb[3] ) ( mprj io_oeb[3] ) + USE SIGNAL
+ ROUTED met3 ( 2903290 763300 ) ( 2917780 * 0 )
NEW met2 ( 317170 3347130 ) ( * 3347300 )
NEW met2 ( 316250 3347300 0 ) ( 317170 * )
NEW met2 ( 2903290 763300 ) ( * 3347130 )
NEW met1 ( 317170 3347130 ) ( 2903290 * )
NEW met2 ( 2903290 763300 ) M2M3_PR
NEW met1 ( 317170 3347130 ) M1M2_PR
NEW met1 ( 2903290 3347130 ) M1M2_PR ;
- io_oeb[4] ( PIN io_oeb[4] ) ( mprj io_oeb[4] ) + USE SIGNAL
+ ROUTED met3 ( 2904210 962540 ) ( 2917780 * 0 )
NEW met2 ( 388010 3347300 ) ( * 3347470 )
NEW met2 ( 386630 3347300 0 ) ( 388010 * )
NEW met2 ( 2904210 962540 ) ( * 3347470 )
NEW met1 ( 388010 3347470 ) ( 2904210 * )
NEW met2 ( 2904210 962540 ) M2M3_PR
NEW met1 ( 388010 3347470 ) M1M2_PR
NEW met1 ( 2904210 3347470 ) M1M2_PR ;
- io_oeb[5] ( PIN io_oeb[5] ) ( mprj io_oeb[5] ) + USE SIGNAL
+ ROUTED met3 ( 2900990 1161780 ) ( 2917780 * 0 )
NEW met2 ( 2900990 1161780 ) ( * 3374670 )
NEW met1 ( 458850 3374670 ) ( 2900990 * )
NEW met2 ( 457010 3349340 0 ) ( 458850 * )
NEW met2 ( 458850 3349340 ) ( * 3374670 )
NEW met2 ( 2900990 1161780 ) M2M3_PR
NEW met1 ( 2900990 3374670 ) M1M2_PR
NEW met1 ( 458850 3374670 ) M1M2_PR ;
- io_oeb[6] ( PIN io_oeb[6] ) ( mprj io_oeb[6] ) + USE SIGNAL
+ ROUTED met2 ( 2900530 1361020 ) ( * 1365950 )
NEW met3 ( 2900530 1361020 ) ( 2917780 * 0 )
NEW met1 ( 2771730 1365950 ) ( 2900530 * )
NEW met2 ( 528770 3348660 ) ( * 3348830 )
NEW met2 ( 527390 3348660 0 ) ( 528770 * )
NEW met1 ( 528770 3348830 ) ( 2771730 * )
NEW met2 ( 2771730 1365950 ) ( * 3348830 )
NEW met1 ( 2900530 1365950 ) M1M2_PR
NEW met2 ( 2900530 1361020 ) M2M3_PR
NEW met1 ( 2771730 1365950 ) M1M2_PR
NEW met1 ( 528770 3348830 ) M1M2_PR
NEW met1 ( 2771730 3348830 ) M1M2_PR ;
- io_oeb[7] ( PIN io_oeb[7] ) ( mprj io_oeb[7] ) + USE SIGNAL
+ ROUTED met2 ( 597770 3349340 0 ) ( 599610 * )
NEW met2 ( 599610 3349340 ) ( * 3375010 )
NEW met2 ( 2900070 1626220 ) ( * 1628090 )
NEW met3 ( 2900070 1626220 ) ( 2917780 * 0 )
NEW met1 ( 599610 3375010 ) ( 2772190 * )
NEW met2 ( 2772190 1628090 ) ( * 3375010 )
NEW met1 ( 2772190 1628090 ) ( 2900070 * )
NEW met1 ( 599610 3375010 ) M1M2_PR
NEW met1 ( 2900070 1628090 ) M1M2_PR
NEW met2 ( 2900070 1626220 ) M2M3_PR
NEW met1 ( 2772190 3375010 ) M1M2_PR
NEW met1 ( 2772190 1628090 ) M1M2_PR ;
- io_oeb[8] ( PIN io_oeb[8] ) ( mprj io_oeb[8] ) + USE SIGNAL
+ ROUTED met2 ( 668610 3349340 0 ) ( 669070 * )
NEW met2 ( 669070 3349340 ) ( * 3375350 )
NEW met2 ( 2899150 1892100 ) ( * 1897370 )
NEW met3 ( 2899150 1892100 ) ( 2917780 * 0 )
NEW met1 ( 669070 3375350 ) ( 2773110 * )
NEW met2 ( 2773110 1897370 ) ( * 3375350 )
NEW met1 ( 2773110 1897370 ) ( 2899150 * )
NEW met1 ( 669070 3375350 ) M1M2_PR
NEW met1 ( 2899150 1897370 ) M1M2_PR
NEW met2 ( 2899150 1892100 ) M2M3_PR
NEW met1 ( 2773110 3375350 ) M1M2_PR
NEW met1 ( 2773110 1897370 ) M1M2_PR ;
- io_oeb[9] ( PIN io_oeb[9] ) ( mprj io_oeb[9] ) + USE SIGNAL
+ ROUTED met2 ( 2900070 2157980 ) ( * 2159510 )
NEW met3 ( 2900070 2157980 ) ( 2917780 * 0 )
NEW met1 ( 740370 3375690 ) ( 2779090 * )
NEW met1 ( 2779090 2159510 ) ( 2900070 * )
NEW met2 ( 738990 3349340 0 ) ( 740370 * )
NEW met2 ( 740370 3349340 ) ( * 3375690 )
NEW met2 ( 2779090 2159510 ) ( * 3375690 )
NEW met1 ( 2900070 2159510 ) M1M2_PR
NEW met2 ( 2900070 2157980 ) M2M3_PR
NEW met1 ( 740370 3375690 ) M1M2_PR
NEW met1 ( 2779090 2159510 ) M1M2_PR
NEW met1 ( 2779090 3375690 ) M1M2_PR ;
- io_out[0] ( PIN io_out[0] ) ( mprj io_out[0] ) + USE SIGNAL
+ ROUTED met3 ( 2917780 96900 ) ( * 98260 )
NEW met3 ( 2916860 98260 ) ( 2917780 * )
NEW met3 ( 2916860 98260 ) ( * 98940 )
NEW met3 ( 2916860 98940 ) ( 2917780 * 0 )
NEW met3 ( 129950 3346620 ) ( 130180 * )
NEW met2 ( 128570 3346620 0 ) ( 129950 * )
NEW met4 ( 130180 96900 ) ( * 3346620 )
NEW met3 ( 130180 96900 ) ( 2917780 * )
NEW met3 ( 130180 96900 ) M3M4_PR
NEW met3 ( 130180 3346620 ) M3M4_PR
NEW met2 ( 129950 3346620 ) M2M3_PR
NEW met3 ( 130180 3346620 ) RECT ( 0 -150 390 150 ) ;
- io_out[10] ( PIN io_out[10] ) ( mprj io_out[10] ) + USE SIGNAL
+ ROUTED met2 ( 2899150 2357220 ) ( * 2359770 )
NEW met3 ( 2899150 2357220 ) ( 2917780 * 0 )
NEW met1 ( 834210 3376370 ) ( 2780010 * )
NEW met2 ( 832830 3349340 0 ) ( 834210 * )
NEW met2 ( 834210 3349340 ) ( * 3376370 )
NEW met2 ( 2780010 2359770 ) ( * 3376370 )
NEW met1 ( 2780010 2359770 ) ( 2899150 * )
NEW met1 ( 2899150 2359770 ) M1M2_PR
NEW met2 ( 2899150 2357220 ) M2M3_PR
NEW met1 ( 834210 3376370 ) M1M2_PR
NEW met1 ( 2780010 3376370 ) M1M2_PR
NEW met1 ( 2780010 2359770 ) M1M2_PR ;
- io_out[11] ( PIN io_out[11] ) ( mprj io_out[11] ) + USE SIGNAL
+ ROUTED met2 ( 2900070 2622420 ) ( * 2628710 )
NEW met3 ( 2900070 2622420 ) ( 2917780 * 0 )
NEW met2 ( 903210 3349340 0 ) ( 903670 * )
NEW met2 ( 903670 3349340 ) ( * 3376710 )
NEW met1 ( 903670 3376710 ) ( 2785070 * )
NEW met1 ( 2785070 2628710 ) ( 2900070 * )
NEW met2 ( 2785070 2628710 ) ( * 3376710 )
NEW met1 ( 903670 3376710 ) M1M2_PR
NEW met1 ( 2900070 2628710 ) M1M2_PR
NEW met2 ( 2900070 2622420 ) M2M3_PR
NEW met1 ( 2785070 2628710 ) M1M2_PR
NEW met1 ( 2785070 3376710 ) M1M2_PR ;
- io_out[12] ( PIN io_out[12] ) ( mprj io_out[12] ) + USE SIGNAL
+ ROUTED met2 ( 2899150 2888300 ) ( * 2890850 )
NEW met3 ( 2899150 2888300 ) ( 2917780 * 0 )
NEW met2 ( 973590 3349340 0 ) ( 974970 * )
NEW met2 ( 974970 3349340 ) ( * 3377050 )
NEW met1 ( 974970 3377050 ) ( 2785990 * )
NEW met1 ( 2785990 2890850 ) ( 2899150 * )
NEW met2 ( 2785990 2890850 ) ( * 3377050 )
NEW met1 ( 974970 3377050 ) M1M2_PR
NEW met1 ( 2899150 2890850 ) M1M2_PR
NEW met2 ( 2899150 2888300 ) M2M3_PR
NEW met1 ( 2785990 2890850 ) M1M2_PR
NEW met1 ( 2785990 3377050 ) M1M2_PR ;
- io_out[13] ( PIN io_out[13] ) ( mprj io_out[13] ) + USE SIGNAL
+ ROUTED met2 ( 2900070 3154180 ) ( * 3160130 )
NEW met3 ( 2900070 3154180 ) ( 2917780 * 0 )
NEW met2 ( 1043970 3349340 0 ) ( 1045810 * )
NEW met2 ( 1045810 3349340 ) ( * 3350870 )
NEW met1 ( 1045810 3350870 ) ( 2776790 * )
NEW met2 ( 2776790 3160130 ) ( * 3350870 )
NEW met1 ( 2776790 3160130 ) ( 2900070 * )
NEW met1 ( 2900070 3160130 ) M1M2_PR
NEW met2 ( 2900070 3154180 ) M2M3_PR
NEW met1 ( 1045810 3350870 ) M1M2_PR
NEW met1 ( 2776790 3160130 ) M1M2_PR
NEW met1 ( 2776790 3350870 ) M1M2_PR ;
- io_out[14] ( PIN io_out[14] ) ( mprj io_out[14] ) + USE SIGNAL
+ ROUTED met2 ( 2900990 3415810 ) ( * 3419380 )
NEW met3 ( 2900990 3419380 ) ( 2917780 * 0 )
NEW met2 ( 1112050 3349340 ) ( 1114350 * 0 )
NEW met2 ( 1112050 3349340 ) ( * 3367200 )
NEW met2 ( 1111130 3367200 ) ( 1112050 * )
NEW met2 ( 1111130 3367200 ) ( * 3415810 )
NEW met1 ( 1111130 3415810 ) ( 2900990 * )
NEW met1 ( 2900990 3415810 ) M1M2_PR
NEW met2 ( 2900990 3419380 ) M2M3_PR
NEW met1 ( 1111130 3415810 ) M1M2_PR ;
- io_out[15] ( PIN io_out[15] ) ( mprj io_out[15] ) + USE SIGNAL
+ ROUTED met2 ( 1182890 3349340 ) ( 1185190 * 0 )
NEW met2 ( 1180130 3463800 ) ( * 3502170 )
NEW met2 ( 1180130 3463800 ) ( 1182890 * )
NEW met2 ( 1182890 3349340 ) ( * 3463800 )
NEW met2 ( 2717450 3502170 ) ( * 3517980 0 )
NEW met1 ( 1180130 3502170 ) ( 2717450 * )
NEW met1 ( 1180130 3502170 ) M1M2_PR
NEW met1 ( 2717450 3502170 ) M1M2_PR ;
- io_out[16] ( PIN io_out[16] ) ( mprj io_out[16] ) + USE SIGNAL
+ ROUTED met2 ( 1253730 3349340 ) ( 1255570 * 0 )
NEW met2 ( 1249130 3463800 ) ( * 3503190 )
NEW met2 ( 1249130 3463800 ) ( 1253730 * )
NEW met2 ( 1253730 3349340 ) ( * 3463800 )
NEW met1 ( 1249130 3503190 ) ( 2392690 * )
NEW met2 ( 2392690 3503190 ) ( * 3517980 0 )
NEW met1 ( 1249130 3503190 ) M1M2_PR
NEW met1 ( 2392690 3503190 ) M1M2_PR ;
- io_out[17] ( PIN io_out[17] ) ( mprj io_out[17] ) + USE SIGNAL
+ ROUTED met1 ( 1325030 3504210 ) ( 2068390 * )
NEW met2 ( 1325030 3349340 ) ( 1325950 * 0 )
NEW met2 ( 1325030 3349340 ) ( * 3504210 )
NEW met2 ( 2068390 3504210 ) ( * 3517980 0 )
NEW met1 ( 1325030 3504210 ) M1M2_PR
NEW met1 ( 2068390 3504210 ) M1M2_PR ;
- io_out[18] ( PIN io_out[18] ) ( mprj io_out[18] ) + USE SIGNAL
+ ROUTED met2 ( 1739030 3385890 ) ( * 3512100 )
NEW met2 ( 1739030 3512100 ) ( 1744090 * )
NEW met2 ( 1744090 3512100 ) ( * 3517980 0 )
NEW met1 ( 1394490 3385890 ) ( 1739030 * )
NEW met2 ( 1394490 3349340 ) ( 1396330 * 0 )
NEW met2 ( 1394490 3349340 ) ( * 3385890 )
NEW met1 ( 1739030 3385890 ) M1M2_PR
NEW met1 ( 1394490 3385890 ) M1M2_PR ;
- io_out[19] ( PIN io_out[19] ) ( mprj io_out[19] ) + USE SIGNAL
+ ROUTED met2 ( 1464410 3349340 ) ( 1466710 * 0 )
NEW met2 ( 1464410 3349340 ) ( * 3386230 )
NEW met1 ( 1414730 3386230 ) ( 1464410 * )
NEW met2 ( 1414730 3386230 ) ( * 3512100 )
NEW met2 ( 1414730 3512100 ) ( 1419330 * )
NEW met2 ( 1419330 3512100 ) ( * 3517980 0 )
NEW met1 ( 1464410 3386230 ) M1M2_PR
NEW met1 ( 1414730 3386230 ) M1M2_PR ;
- io_out[1] ( PIN io_out[1] ) ( mprj io_out[1] ) + USE SIGNAL
+ ROUTED met3 ( 2901450 298180 ) ( 2917780 * 0 )
NEW met2 ( 198950 3346620 0 ) ( 199870 * )
NEW met3 ( 2635340 3345940 ) ( * 3346620 )
NEW met3 ( 2635340 3345940 ) ( 2639940 * )
NEW met3 ( 2639940 3345940 ) ( * 3346620 )
NEW met3 ( 2704340 3345940 ) ( * 3346620 )
NEW met3 ( 2704340 3345940 ) ( 2710780 * )
NEW met3 ( 2710780 3345940 ) ( * 3346620 )
NEW met3 ( 2710780 3346620 ) ( 2731940 * )
NEW met3 ( 2731940 3345940 ) ( * 3346620 )
NEW met3 ( 2731940 3345940 ) ( 2733780 * )
NEW met3 ( 2733780 3345940 ) ( * 3346620 )
NEW met2 ( 2901450 298180 ) ( * 3346620 )
NEW met3 ( 199870 3346620 ) ( 2635340 * )
NEW met3 ( 2683180 3345940 ) ( * 3346620 )
NEW met3 ( 2683180 3345940 ) ( 2686860 * )
NEW met3 ( 2686860 3345940 ) ( * 3346620 )
NEW met3 ( 2639940 3346620 ) ( 2683180 * )
NEW met3 ( 2686860 3346620 ) ( 2704340 * )
NEW met3 ( 2733780 3346620 ) ( 2901450 * )
NEW met2 ( 2901450 298180 ) M2M3_PR
NEW met2 ( 199870 3346620 ) M2M3_PR
NEW met2 ( 2901450 3346620 ) M2M3_PR ;
- io_out[20] ( PIN io_out[20] ) ( mprj io_out[20] ) + USE SIGNAL
+ ROUTED met2 ( 1095030 3504550 ) ( * 3517980 0 )
NEW met2 ( 1535250 3349340 ) ( 1537090 * 0 )
NEW met2 ( 1532030 3463800 ) ( * 3504550 )
NEW met2 ( 1532030 3463800 ) ( 1535250 * )
NEW met2 ( 1535250 3349340 ) ( * 3463800 )
NEW met1 ( 1095030 3504550 ) ( 1532030 * )
NEW met1 ( 1095030 3504550 ) M1M2_PR
NEW met1 ( 1532030 3504550 ) M1M2_PR ;
- io_out[21] ( PIN io_out[21] ) ( mprj io_out[21] ) + USE SIGNAL
+ ROUTED met2 ( 770730 3503870 ) ( * 3517980 0 )
NEW met1 ( 770730 3503870 ) ( 1601030 * )
NEW met2 ( 1605170 3349340 ) ( 1607470 * 0 )
NEW met2 ( 1601030 3463800 ) ( * 3503870 )
NEW met2 ( 1601030 3463800 ) ( 1605170 * )
NEW met2 ( 1605170 3349340 ) ( * 3463800 )
NEW met1 ( 770730 3503870 ) M1M2_PR
NEW met1 ( 1601030 3503870 ) M1M2_PR ;
- io_out[22] ( PIN io_out[22] ) ( mprj io_out[22] ) + USE SIGNAL
+ ROUTED met1 ( 445970 3502850 ) ( 1676930 * )
NEW met2 ( 445970 3502850 ) ( * 3517980 0 )
NEW met2 ( 1676930 3349340 ) ( 1677850 * 0 )
NEW met2 ( 1676930 3349340 ) ( * 3502850 )
NEW met1 ( 445970 3502850 ) M1M2_PR
NEW met1 ( 1676930 3502850 ) M1M2_PR ;
- io_out[23] ( PIN io_out[23] ) ( mprj io_out[23] ) + USE SIGNAL
+ ROUTED met2 ( 121670 3501830 ) ( * 3517980 0 )
NEW met2 ( 1746850 3349340 ) ( 1748690 * 0 )
NEW met2 ( 1745930 3463800 ) ( * 3501830 )
NEW met2 ( 1745930 3463800 ) ( 1746850 * )
NEW met2 ( 1746850 3349340 ) ( * 3463800 )
NEW met1 ( 121670 3501830 ) ( 1745930 * )
NEW met1 ( 121670 3501830 ) M1M2_PR
NEW met1 ( 1745930 3501830 ) M1M2_PR ;
- io_out[24] ( PIN io_out[24] ) ( mprj io_out[24] ) + USE SIGNAL
+ ROUTED met3 ( 1380 3356140 0 ) ( 16330 * )
NEW met2 ( 16330 3356140 ) ( * 3357330 )
NEW met2 ( 1817230 3349340 ) ( 1819070 * 0 )
NEW met2 ( 1817230 3349340 ) ( * 3357330 )
NEW met1 ( 16330 3357330 ) ( 1817230 * )
NEW met2 ( 16330 3356140 ) M2M3_PR
NEW met1 ( 16330 3357330 ) M1M2_PR
NEW met1 ( 1817230 3357330 ) M1M2_PR ;
- io_out[25] ( PIN io_out[25] ) ( mprj io_out[25] ) + USE SIGNAL
+ ROUTED met3 ( 1380 3095700 0 ) ( 16330 * )
NEW met2 ( 15410 3336420 ) ( 16330 * )
NEW met2 ( 15410 3336420 ) ( * 3356990 )
NEW met2 ( 16330 3095700 ) ( * 3336420 )
NEW met2 ( 993830 3356990 ) ( * 3364810 )
NEW met1 ( 15410 3356990 ) ( 993830 * )
NEW met2 ( 1888070 3349340 ) ( 1889450 * 0 )
NEW met2 ( 1888070 3349340 ) ( * 3364810 )
NEW met1 ( 993830 3364810 ) ( 1888070 * )
NEW met2 ( 16330 3095700 ) M2M3_PR
NEW met1 ( 15410 3356990 ) M1M2_PR
NEW met1 ( 993830 3356990 ) M1M2_PR
NEW met1 ( 993830 3364810 ) M1M2_PR
NEW met1 ( 1888070 3364810 ) M1M2_PR ;
- io_out[26] ( PIN io_out[26] ) ( mprj io_out[26] ) + USE SIGNAL
+ ROUTED met3 ( 1380 2834580 0 ) ( 16790 * )
NEW met2 ( 16790 2834580 ) ( * 3357670 )
NEW met2 ( 879750 3357670 ) ( * 3364470 )
NEW met2 ( 1959830 3349340 0 ) ( 1960290 * )
NEW met2 ( 1960290 3349340 ) ( * 3364470 )
NEW met1 ( 16790 3357670 ) ( 879750 * )
NEW met1 ( 879750 3364470 ) ( 1960290 * )
NEW met2 ( 16790 2834580 ) M2M3_PR
NEW met1 ( 16790 3357670 ) M1M2_PR
NEW met1 ( 879750 3357670 ) M1M2_PR
NEW met1 ( 879750 3364470 ) M1M2_PR
NEW met1 ( 1960290 3364470 ) M1M2_PR ;
- io_out[27] ( PIN io_out[27] ) ( mprj io_out[27] ) + USE SIGNAL
+ ROUTED met3 ( 1380 2574140 0 ) ( 20470 * )
NEW met2 ( 20470 2574140 ) ( * 3349850 )
NEW met2 ( 2028830 3349340 ) ( 2030210 * 0 )
NEW met2 ( 2028830 3349340 ) ( * 3364130 )
NEW met2 ( 528770 3349850 ) ( * 3364130 )
NEW met1 ( 20470 3349850 ) ( 528770 * )
NEW met1 ( 528770 3364130 ) ( 2028830 * )
NEW met2 ( 20470 2574140 ) M2M3_PR
NEW met1 ( 20470 3349850 ) M1M2_PR
NEW met1 ( 2028830 3364130 ) M1M2_PR
NEW met1 ( 528770 3349850 ) M1M2_PR
NEW met1 ( 528770 3364130 ) M1M2_PR ;
- io_out[28] ( PIN io_out[28] ) ( mprj io_out[28] ) + USE SIGNAL
+ ROUTED met3 ( 1380 2313020 0 ) ( 16790 * )
NEW met2 ( 16790 2313020 ) ( * 2318290 )
NEW met1 ( 16790 2318290 ) ( 51750 * )
NEW met2 ( 51750 2318290 ) ( * 3363450 )
NEW met2 ( 2098750 3349340 ) ( 2100590 * 0 )
NEW met2 ( 2098750 3349340 ) ( * 3363450 )
NEW met1 ( 51750 3363450 ) ( 2098750 * )
NEW met2 ( 16790 2313020 ) M2M3_PR
NEW met1 ( 16790 2318290 ) M1M2_PR
NEW met1 ( 51750 2318290 ) M1M2_PR
NEW met1 ( 51750 3363450 ) M1M2_PR
NEW met1 ( 2098750 3363450 ) M1M2_PR ;
- io_out[29] ( PIN io_out[29] ) ( mprj io_out[29] ) + USE SIGNAL
+ ROUTED met3 ( 1380 2052580 0 ) ( 16790 * )
NEW met2 ( 16790 2052580 ) ( * 2056150 )
NEW met1 ( 16790 2056150 ) ( 65550 * )
NEW met2 ( 2169590 3349340 ) ( 2170970 * 0 )
NEW met2 ( 2169590 3349340 ) ( * 3362770 )
NEW met1 ( 65550 3362770 ) ( 2169590 * )
NEW met2 ( 65550 2056150 ) ( * 3362770 )
NEW met2 ( 16790 2052580 ) M2M3_PR
NEW met1 ( 16790 2056150 ) M1M2_PR
NEW met1 ( 65550 2056150 ) M1M2_PR
NEW met1 ( 65550 3362770 ) M1M2_PR
NEW met1 ( 2169590 3362770 ) M1M2_PR ;
- io_out[2] ( PIN io_out[2] ) ( mprj io_out[2] ) + USE SIGNAL
+ ROUTED met3 ( 2901910 497420 ) ( 2917780 * 0 )
NEW met2 ( 589950 3349850 ) ( * 3362430 )
NEW met2 ( 2901910 497420 ) ( * 3349850 )
NEW met2 ( 269330 3349340 0 ) ( 271170 * )
NEW met2 ( 271170 3349340 ) ( * 3362430 )
NEW met1 ( 271170 3362430 ) ( 589950 * )
NEW met1 ( 589950 3349850 ) ( 2901910 * )
NEW met2 ( 2901910 497420 ) M2M3_PR
NEW met1 ( 589950 3362430 ) M1M2_PR
NEW met1 ( 589950 3349850 ) M1M2_PR
NEW met1 ( 2901910 3349850 ) M1M2_PR
NEW met1 ( 271170 3362430 ) M1M2_PR ;
- io_out[30] ( PIN io_out[30] ) ( mprj io_out[30] ) + USE SIGNAL
+ ROUTED met3 ( 1380 1792140 0 ) ( 14030 * )
NEW met2 ( 14030 1792140 ) ( * 1792310 )
NEW met1 ( 14030 1792310 ) ( 23690 * )
NEW met2 ( 23690 1792310 ) ( * 3362090 )
NEW met2 ( 2240430 3349340 ) ( 2241810 * 0 )
NEW met2 ( 2240430 3349340 ) ( * 3362090 )
NEW met1 ( 23690 3362090 ) ( 2240430 * )
NEW met2 ( 14030 1792140 ) M2M3_PR
NEW met1 ( 14030 1792310 ) M1M2_PR
NEW met1 ( 23690 1792310 ) M1M2_PR
NEW met1 ( 23690 3362090 ) M1M2_PR
NEW met1 ( 2240430 3362090 ) M1M2_PR ;
- io_out[31] ( PIN io_out[31] ) ( mprj io_out[31] ) + USE SIGNAL
+ ROUTED met3 ( 1380 1531020 0 ) ( 14030 * )
NEW met2 ( 14030 1531020 ) ( * 1531190 )
NEW met1 ( 14030 1531190 ) ( 26910 * )
NEW met2 ( 26910 1531190 ) ( * 3361750 )
NEW met2 ( 2311730 3349340 ) ( 2312190 * 0 )
NEW met2 ( 2311730 3349340 ) ( * 3361750 )
NEW met1 ( 26910 3361750 ) ( 2311730 * )
NEW met2 ( 14030 1531020 ) M2M3_PR
NEW met1 ( 14030 1531190 ) M1M2_PR
NEW met1 ( 26910 1531190 ) M1M2_PR
NEW met1 ( 26910 3361750 ) M1M2_PR
NEW met1 ( 2311730 3361750 ) M1M2_PR ;
- io_out[32] ( PIN io_out[32] ) ( mprj io_out[32] ) + USE SIGNAL
+ ROUTED met3 ( 1380 1270580 0 ) ( 14030 * )
NEW met2 ( 14030 1270580 ) ( * 1271770 )
NEW met1 ( 14030 1271770 ) ( 26450 * )
NEW met2 ( 26450 1271770 ) ( * 3361070 )
NEW met2 ( 2381190 3349340 ) ( 2382570 * 0 )
NEW met2 ( 2381190 3349340 ) ( * 3361070 )
NEW met1 ( 26450 3361070 ) ( 2381190 * )
NEW met2 ( 14030 1270580 ) M2M3_PR
NEW met1 ( 14030 1271770 ) M1M2_PR
NEW met1 ( 26450 1271770 ) M1M2_PR
NEW met1 ( 26450 3361070 ) M1M2_PR
NEW met1 ( 2381190 3361070 ) M1M2_PR ;
- io_out[33] ( PIN io_out[33] ) ( mprj io_out[33] ) + USE SIGNAL
+ ROUTED met3 ( 1380 1009460 0 ) ( 14030 * )
NEW met2 ( 14030 1009460 ) ( * 1012010 )
NEW met1 ( 14030 1012010 ) ( 25990 * )
NEW met2 ( 25990 1012010 ) ( * 3360730 )
NEW met2 ( 2451110 3349340 ) ( 2452950 * 0 )
NEW met2 ( 2451110 3349340 ) ( * 3360730 )
NEW met1 ( 25990 3360730 ) ( 2451110 * )
NEW met2 ( 14030 1009460 ) M2M3_PR
NEW met1 ( 14030 1012010 ) M1M2_PR
NEW met1 ( 25990 1012010 ) M1M2_PR
NEW met1 ( 25990 3360730 ) M1M2_PR
NEW met1 ( 2451110 3360730 ) M1M2_PR ;
- io_out[34] ( PIN io_out[34] ) ( mprj io_out[34] ) + USE SIGNAL
+ ROUTED met3 ( 1380 749020 0 ) ( 14030 * )
NEW met2 ( 14030 749020 ) ( * 749530 )
NEW met1 ( 14030 749530 ) ( 25530 * )
NEW met2 ( 25530 749530 ) ( * 3360390 )
NEW met2 ( 2521950 3349340 ) ( 2523330 * 0 )
NEW met2 ( 2521950 3349340 ) ( * 3360390 )
NEW met1 ( 25530 3360390 ) ( 2521950 * )
NEW met2 ( 14030 749020 ) M2M3_PR
NEW met1 ( 14030 749530 ) M1M2_PR
NEW met1 ( 25530 749530 ) M1M2_PR
NEW met1 ( 25530 3360390 ) M1M2_PR
NEW met1 ( 2521950 3360390 ) M1M2_PR ;
- io_out[35] ( PIN io_out[35] ) ( mprj io_out[35] ) + USE SIGNAL
+ ROUTED met3 ( 1380 487900 0 ) ( 14030 * )
NEW met2 ( 14030 487900 ) ( * 489430 )
NEW met1 ( 14030 489430 ) ( 25070 * )
NEW met2 ( 25070 489430 ) ( * 3361580 )
NEW met2 ( 2591870 3349340 ) ( 2593710 * 0 )
NEW met2 ( 2591870 3349340 ) ( * 3361580 )
NEW met3 ( 25070 3361580 ) ( 2591870 * )
NEW met2 ( 14030 487900 ) M2M3_PR
NEW met1 ( 14030 489430 ) M1M2_PR
NEW met1 ( 25070 489430 ) M1M2_PR
NEW met2 ( 25070 3361580 ) M2M3_PR
NEW met2 ( 2591870 3361580 ) M2M3_PR ;
- io_out[36] ( PIN io_out[36] ) ( mprj io_out[36] ) + USE SIGNAL
+ ROUTED met3 ( 1380 292740 0 ) ( 14030 * )
NEW met2 ( 14030 292740 ) ( * 293250 )
NEW met1 ( 14030 293250 ) ( 24150 * )
NEW met2 ( 24150 293250 ) ( * 3362940 )
NEW met2 ( 2663630 3349340 ) ( 2664090 * 0 )
NEW met2 ( 2663630 3349340 ) ( * 3362940 )
NEW met3 ( 24150 3362940 ) ( 2663630 * )
NEW met2 ( 14030 292740 ) M2M3_PR
NEW met1 ( 14030 293250 ) M1M2_PR
NEW met1 ( 24150 293250 ) M1M2_PR
NEW met2 ( 24150 3362940 ) M2M3_PR
NEW met2 ( 2663630 3362940 ) M2M3_PR ;
- io_out[37] ( PIN io_out[37] ) ( mprj io_out[37] ) + USE SIGNAL
+ ROUTED met3 ( 2732860 3346620 ) ( 2733090 * )
NEW met2 ( 2733090 3346620 ) ( 2734470 * 0 )
NEW met4 ( 2732860 97580 ) ( * 3346620 )
NEW met3 ( 1380 96900 0 ) ( 34500 * )
NEW met3 ( 34500 96900 ) ( * 97580 )
NEW met3 ( 34500 97580 ) ( 2732860 * )
NEW met3 ( 2732860 97580 ) M3M4_PR
NEW met3 ( 2732860 3346620 ) M3M4_PR
NEW met2 ( 2733090 3346620 ) M2M3_PR
NEW met3 ( 2732860 3346620 ) RECT ( -390 -150 0 150 ) ;
- io_out[3] ( PIN io_out[3] ) ( mprj io_out[3] ) + USE SIGNAL
+ ROUTED met3 ( 2902830 696660 ) ( 2917780 * 0 )
NEW met2 ( 2902830 696660 ) ( * 3356650 )
NEW met2 ( 339710 3349340 0 ) ( 341090 * )
NEW met2 ( 341090 3349340 ) ( * 3362260 )
NEW met2 ( 1985590 3356650 ) ( * 3362260 )
NEW met3 ( 341090 3362260 ) ( 1985590 * )
NEW met1 ( 1985590 3356650 ) ( 2902830 * )
NEW met2 ( 2902830 696660 ) M2M3_PR
NEW met1 ( 2902830 3356650 ) M1M2_PR
NEW met2 ( 341090 3362260 ) M2M3_PR
NEW met2 ( 1985590 3362260 ) M2M3_PR
NEW met1 ( 1985590 3356650 ) M1M2_PR ;
- io_out[4] ( PIN io_out[4] ) ( mprj io_out[4] ) + USE SIGNAL
+ ROUTED met3 ( 2903750 895900 ) ( 2917780 * 0 )
NEW met2 ( 411930 3347810 ) ( * 3347980 )
NEW met2 ( 410090 3347980 0 ) ( 411930 * )
NEW met2 ( 2903750 895900 ) ( * 3347810 )
NEW met1 ( 411930 3347810 ) ( 2903750 * )
NEW met2 ( 2903750 895900 ) M2M3_PR
NEW met1 ( 411930 3347810 ) M1M2_PR
NEW met1 ( 2903750 3347810 ) M1M2_PR ;
- io_out[5] ( PIN io_out[5] ) ( mprj io_out[5] ) + USE SIGNAL
+ ROUTED met2 ( 2900990 1095140 ) ( * 1097010 )
NEW met3 ( 2900990 1095140 ) ( 2917780 * 0 )
NEW met2 ( 480470 3349340 0 ) ( 481850 * )
NEW met2 ( 481850 3349340 ) ( * 3361410 )
NEW met1 ( 2770810 1097010 ) ( 2900990 * )
NEW met1 ( 481850 3361410 ) ( 2770810 * )
NEW met2 ( 2770810 1097010 ) ( * 3361410 )
NEW met1 ( 2900990 1097010 ) M1M2_PR
NEW met2 ( 2900990 1095140 ) M2M3_PR
NEW met1 ( 481850 3361410 ) M1M2_PR
NEW met1 ( 2770810 1097010 ) M1M2_PR
NEW met1 ( 2770810 3361410 ) M1M2_PR ;
- io_out[6] ( PIN io_out[6] ) ( mprj io_out[6] ) + USE SIGNAL
+ ROUTED met2 ( 2900530 1294380 ) ( * 1296930 )
NEW met3 ( 2900530 1294380 ) ( 2917780 * 0 )
NEW met2 ( 551770 3349170 ) ( * 3349340 )
NEW met2 ( 550850 3349340 0 ) ( 551770 * )
NEW met1 ( 551770 3349170 ) ( 2771270 * )
NEW met2 ( 2771270 1296930 ) ( * 3349170 )
NEW met1 ( 2771270 1296930 ) ( 2900530 * )
NEW met1 ( 2900530 1296930 ) M1M2_PR
NEW met2 ( 2900530 1294380 ) M2M3_PR
NEW met1 ( 551770 3349170 ) M1M2_PR
NEW met1 ( 2771270 1296930 ) M1M2_PR
NEW met1 ( 2771270 3349170 ) M1M2_PR ;
- io_out[7] ( PIN io_out[7] ) ( mprj io_out[7] ) + USE SIGNAL
+ ROUTED met2 ( 2900070 1560260 ) ( * 1566210 )
NEW met3 ( 2900070 1560260 ) ( 2917780 * 0 )
NEW met1 ( 2777710 1566210 ) ( 2900070 * )
NEW met2 ( 621690 3349340 0 ) ( 623530 * )
NEW met2 ( 623530 3349340 ) ( * 3362430 )
NEW met1 ( 623530 3362430 ) ( 2777710 * )
NEW met2 ( 2777710 1566210 ) ( * 3362430 )
NEW met1 ( 2900070 1566210 ) M1M2_PR
NEW met2 ( 2900070 1560260 ) M2M3_PR
NEW met1 ( 2777710 1566210 ) M1M2_PR
NEW met1 ( 623530 3362430 ) M1M2_PR
NEW met1 ( 2777710 3362430 ) M1M2_PR ;
- io_out[8] ( PIN io_out[8] ) ( mprj io_out[8] ) + USE SIGNAL
+ ROUTED met2 ( 2900070 1825460 ) ( * 1828350 )
NEW met3 ( 2900070 1825460 ) ( 2917780 * 0 )
NEW met2 ( 692070 3349340 0 ) ( 693450 * )
NEW met2 ( 693450 3349340 ) ( * 3363110 )
NEW met1 ( 2778170 1828350 ) ( 2900070 * )
NEW met1 ( 693450 3363110 ) ( 2778170 * )
NEW met2 ( 2778170 1828350 ) ( * 3363110 )
NEW met1 ( 2900070 1828350 ) M1M2_PR
NEW met2 ( 2900070 1825460 ) M2M3_PR
NEW met1 ( 693450 3363110 ) M1M2_PR
NEW met1 ( 2778170 1828350 ) M1M2_PR
NEW met1 ( 2778170 3363110 ) M1M2_PR ;
- io_out[9] ( PIN io_out[9] ) ( mprj io_out[9] ) + USE SIGNAL
+ ROUTED met2 ( 762450 3349340 0 ) ( 764290 * )
NEW met2 ( 764290 3349340 ) ( * 3363790 )
NEW met2 ( 2900070 2091340 ) ( * 2097290 )
NEW met3 ( 2900070 2091340 ) ( 2917780 * 0 )
NEW met1 ( 764290 3363790 ) ( 2778630 * )
NEW met2 ( 2778630 2097290 ) ( * 3363790 )
NEW met1 ( 2778630 2097290 ) ( 2900070 * )
NEW met1 ( 764290 3363790 ) M1M2_PR
NEW met1 ( 2900070 2097290 ) M1M2_PR
NEW met2 ( 2900070 2091340 ) M2M3_PR
NEW met1 ( 2778630 2097290 ) M1M2_PR
NEW met1 ( 2778630 3363790 ) M1M2_PR ;
- la_data_in[0] ( PIN la_data_in[0] ) ( mprj la_data_in[0] ) + USE SIGNAL
+ ROUTED met2 ( 628130 1700 ) ( 629510 * 0 )
NEW met1 ( 628130 239190 ) ( 651590 * )
NEW met2 ( 651590 239190 ) ( * 250580 )
NEW met2 ( 651590 250580 ) ( 652970 * 0 )
NEW met2 ( 628130 1700 ) ( * 239190 )
NEW met1 ( 628130 239190 ) M1M2_PR
NEW met1 ( 651590 239190 ) M1M2_PR ;
- la_data_in[100] ( PIN la_data_in[100] ) ( mprj la_data_in[100] ) + USE SIGNAL
+ ROUTED met2 ( 2401430 82800 ) ( 2402810 * )
NEW met2 ( 2402810 1700 0 ) ( * 82800 )
NEW met2 ( 2401430 82800 ) ( * 238850 )
NEW met2 ( 2297470 238850 ) ( * 250580 )
NEW met2 ( 2296090 250580 0 ) ( 2297470 * )
NEW met1 ( 2297470 238850 ) ( 2401430 * )
NEW met1 ( 2401430 238850 ) M1M2_PR
NEW met1 ( 2297470 238850 ) M1M2_PR ;
- la_data_in[101] ( PIN la_data_in[101] ) ( mprj la_data_in[101] ) + USE SIGNAL
+ ROUTED met2 ( 2420290 1700 0 ) ( * 17340 )
NEW met2 ( 2415230 17340 ) ( 2420290 * )
NEW met2 ( 2313570 239870 ) ( * 250580 )
NEW met2 ( 2312190 250580 0 ) ( 2313570 * )
NEW met1 ( 2412010 239870 ) ( * 240210 )
NEW met1 ( 2412010 240210 ) ( 2415230 * )
NEW met2 ( 2415230 17340 ) ( * 240210 )
NEW met1 ( 2313570 239870 ) ( 2412010 * )
NEW met1 ( 2313570 239870 ) M1M2_PR
NEW met1 ( 2415230 240210 ) M1M2_PR ;
- la_data_in[102] ( PIN la_data_in[102] ) ( mprj la_data_in[102] ) + USE SIGNAL
+ ROUTED met2 ( 2435930 1700 ) ( 2438230 * 0 )
NEW met2 ( 2330130 239530 ) ( * 250580 )
NEW met2 ( 2328750 250580 0 ) ( 2330130 * )
NEW met2 ( 2406490 237490 ) ( * 239530 )
NEW met1 ( 2406490 237490 ) ( 2435930 * )
NEW met2 ( 2435930 1700 ) ( * 237490 )
NEW met1 ( 2330130 239530 ) ( 2406490 * )
NEW met1 ( 2330130 239530 ) M1M2_PR
NEW met1 ( 2406490 239530 ) M1M2_PR
NEW met1 ( 2406490 237490 ) M1M2_PR
NEW met1 ( 2435930 237490 ) M1M2_PR ;
- la_data_in[103] ( PIN la_data_in[103] ) ( mprj la_data_in[103] ) + USE SIGNAL
+ ROUTED met2 ( 2345770 240550 ) ( * 250580 )
NEW met2 ( 2345310 250580 0 ) ( 2345770 * )
NEW met2 ( 2453870 1700 ) ( 2455710 * 0 )
NEW met2 ( 2453870 1700 ) ( * 17170 )
NEW met1 ( 2449730 17170 ) ( 2453870 * )
NEW met1 ( 2345770 240550 ) ( 2449730 * )
NEW met2 ( 2449730 17170 ) ( * 240550 )
NEW met1 ( 2345770 240550 ) M1M2_PR
NEW met1 ( 2453870 17170 ) M1M2_PR
NEW met1 ( 2449730 17170 ) M1M2_PR
NEW met1 ( 2449730 240550 ) M1M2_PR ;
- la_data_in[104] ( PIN la_data_in[104] ) ( mprj la_data_in[104] ) + USE SIGNAL
+ ROUTED met2 ( 2363250 238510 ) ( * 250580 )
NEW met2 ( 2361410 250580 0 ) ( 2363250 * )
NEW met2 ( 2470430 82800 ) ( 2473650 * )
NEW met2 ( 2473650 1700 0 ) ( * 82800 )
NEW met1 ( 2363250 238510 ) ( 2470430 * )
NEW met2 ( 2470430 82800 ) ( * 238510 )
NEW met1 ( 2363250 238510 ) M1M2_PR
NEW met1 ( 2470430 238510 ) M1M2_PR ;
- la_data_in[105] ( PIN la_data_in[105] ) ( mprj la_data_in[105] ) + USE SIGNAL
+ ROUTED met2 ( 2379810 237830 ) ( * 250580 )
NEW met2 ( 2377970 250580 0 ) ( 2379810 * )
NEW met1 ( 2379810 237830 ) ( 2491130 * )
NEW met2 ( 2491130 1700 0 ) ( * 237830 )
NEW met1 ( 2379810 237830 ) M1M2_PR
NEW met1 ( 2491130 237830 ) M1M2_PR ;
- la_data_in[106] ( PIN la_data_in[106] ) ( mprj la_data_in[106] ) + USE SIGNAL
+ ROUTED met2 ( 2509070 1700 0 ) ( * 24990 )
NEW met1 ( 2394530 24990 ) ( 2509070 * )
NEW met2 ( 2394530 238850 ) ( 2394990 * )
NEW met2 ( 2394990 238850 ) ( * 250580 )
NEW met2 ( 2394530 250580 0 ) ( 2394990 * )
NEW met2 ( 2394530 24990 ) ( * 238850 )
NEW met1 ( 2509070 24990 ) M1M2_PR
NEW met1 ( 2394530 24990 ) M1M2_PR ;
- la_data_in[107] ( PIN la_data_in[107] ) ( mprj la_data_in[107] ) + USE SIGNAL
+ ROUTED met2 ( 2527010 1700 0 ) ( * 17340 )
NEW met2 ( 2525630 17340 ) ( 2527010 * )
NEW met2 ( 2412930 239530 ) ( * 250580 )
NEW met2 ( 2411090 250580 0 ) ( 2412930 * )
NEW met2 ( 2525630 17340 ) ( * 239190 )
NEW met1 ( 2412930 239530 ) ( 2449500 * )
NEW met1 ( 2449500 239190 ) ( * 239530 )
NEW met1 ( 2449500 239190 ) ( 2525630 * )
NEW met1 ( 2412930 239530 ) M1M2_PR
NEW met1 ( 2525630 239190 ) M1M2_PR ;
- la_data_in[108] ( PIN la_data_in[108] ) ( mprj la_data_in[108] ) + USE SIGNAL
+ ROUTED met2 ( 2428570 238850 ) ( * 250580 )
NEW met2 ( 2427190 250580 0 ) ( 2428570 * )
NEW met2 ( 2539430 82800 ) ( 2544490 * )
NEW met2 ( 2544490 1700 0 ) ( * 82800 )
NEW met2 ( 2539430 82800 ) ( * 238850 )
NEW met1 ( 2428570 238850 ) ( 2539430 * )
NEW met1 ( 2428570 238850 ) M1M2_PR
NEW met1 ( 2539430 238850 ) M1M2_PR ;
- la_data_in[109] ( PIN la_data_in[109] ) ( mprj la_data_in[109] ) + USE SIGNAL
+ ROUTED met2 ( 2443290 240210 ) ( * 250580 )
NEW met2 ( 2443290 250580 ) ( 2443750 * 0 )
NEW met2 ( 2560130 1700 ) ( 2562430 * 0 )
NEW met1 ( 2443290 240210 ) ( 2560130 * )
NEW met2 ( 2560130 1700 ) ( * 240210 )
NEW met1 ( 2443290 240210 ) M1M2_PR
NEW met1 ( 2560130 240210 ) M1M2_PR ;
- la_data_in[10] ( PIN la_data_in[10] ) ( mprj la_data_in[10] ) + USE SIGNAL
+ ROUTED met1 ( 800630 58650 ) ( 806610 * )
NEW met2 ( 806610 1700 0 ) ( * 58650 )
NEW met2 ( 800630 58650 ) ( * 235110 )
NEW met2 ( 815350 235110 ) ( * 250580 )
NEW met2 ( 815350 250580 ) ( 817190 * 0 )
NEW met1 ( 800630 235110 ) ( 815350 * )
NEW met1 ( 800630 58650 ) M1M2_PR
NEW met1 ( 806610 58650 ) M1M2_PR
NEW met1 ( 800630 235110 ) M1M2_PR
NEW met1 ( 815350 235110 ) M1M2_PR ;
- la_data_in[110] ( PIN la_data_in[110] ) ( mprj la_data_in[110] ) + USE SIGNAL
+ ROUTED met2 ( 2577610 1700 ) ( 2579910 * 0 )
NEW met2 ( 2577610 1700 ) ( * 2380 )
NEW met2 ( 2576690 2380 ) ( 2577610 * )
NEW met2 ( 2461690 239530 ) ( * 250580 )
NEW met2 ( 2460310 250580 0 ) ( 2461690 * )
NEW met2 ( 2574390 82800 ) ( 2576690 * )
NEW met2 ( 2576690 2380 ) ( * 82800 )
NEW met1 ( 2461690 239530 ) ( 2574390 * )
NEW met2 ( 2574390 82800 ) ( * 239530 )
NEW met1 ( 2461690 239530 ) M1M2_PR
NEW met1 ( 2574390 239530 ) M1M2_PR ;
- la_data_in[111] ( PIN la_data_in[111] ) ( mprj la_data_in[111] ) + USE SIGNAL
+ ROUTED met2 ( 2594630 82800 ) ( 2597850 * )
NEW met2 ( 2597850 1700 0 ) ( * 82800 )
NEW met2 ( 2594630 82800 ) ( * 238510 )
NEW met2 ( 2476410 238510 ) ( * 250580 )
NEW met2 ( 2476410 250580 ) ( 2476870 * 0 )
NEW met1 ( 2476410 238510 ) ( 2594630 * )
NEW met1 ( 2594630 238510 ) M1M2_PR
NEW met1 ( 2476410 238510 ) M1M2_PR ;
- la_data_in[112] ( PIN la_data_in[112] ) ( mprj la_data_in[112] ) + USE SIGNAL
+ ROUTED met2 ( 2615330 1700 0 ) ( 2616250 * )
NEW met2 ( 2616250 1700 ) ( * 238170 )
NEW met2 ( 2494810 238170 ) ( * 250580 )
NEW met2 ( 2492970 250580 0 ) ( 2494810 * )
NEW met1 ( 2494810 238170 ) ( 2616250 * )
NEW met1 ( 2616250 238170 ) M1M2_PR
NEW met1 ( 2494810 238170 ) M1M2_PR ;
- la_data_in[113] ( PIN la_data_in[113] ) ( mprj la_data_in[113] ) + USE SIGNAL
+ ROUTED met2 ( 2630970 1700 ) ( 2633270 * 0 )
NEW met2 ( 2630970 1700 ) ( * 2380 )
NEW met2 ( 2629130 2380 ) ( 2630970 * )
NEW met2 ( 2511370 237830 ) ( * 250580 )
NEW met2 ( 2509530 250580 0 ) ( 2511370 * )
NEW met2 ( 2629130 2380 ) ( * 237830 )
NEW met1 ( 2511370 237830 ) ( 2629130 * )
NEW met1 ( 2511370 237830 ) M1M2_PR
NEW met1 ( 2629130 237830 ) M1M2_PR ;
- la_data_in[114] ( PIN la_data_in[114] ) ( mprj la_data_in[114] ) + USE SIGNAL
+ ROUTED met2 ( 2526090 239020 ) ( 2526550 * )
NEW met2 ( 2526550 239020 ) ( * 250580 )
NEW met2 ( 2526090 250580 0 ) ( 2526550 * )
NEW met2 ( 2526090 26010 ) ( * 239020 )
NEW met2 ( 2650750 1700 0 ) ( * 26010 )
NEW met1 ( 2526090 26010 ) ( 2650750 * )
NEW met1 ( 2526090 26010 ) M1M2_PR
NEW met1 ( 2650750 26010 ) M1M2_PR ;
- la_data_in[115] ( PIN la_data_in[115] ) ( mprj la_data_in[115] ) + USE SIGNAL
+ ROUTED met2 ( 2543570 239870 ) ( * 250580 )
NEW met2 ( 2542190 250580 0 ) ( 2543570 * )
NEW met2 ( 2668690 1700 0 ) ( * 17340 )
NEW met2 ( 2663630 17340 ) ( 2668690 * )
NEW met1 ( 2543570 239870 ) ( 2663630 * )
NEW met2 ( 2663630 17340 ) ( * 239870 )
NEW met1 ( 2543570 239870 ) M1M2_PR
NEW met1 ( 2663630 239870 ) M1M2_PR ;
- la_data_in[116] ( PIN la_data_in[116] ) ( mprj la_data_in[116] ) + USE SIGNAL
+ ROUTED met2 ( 2686170 1700 0 ) ( * 23970 )
NEW met1 ( 2553690 23970 ) ( 2686170 * )
NEW met2 ( 2553690 23970 ) ( * 227700 )
NEW met2 ( 2553690 227700 ) ( 2554150 * )
NEW met2 ( 2554150 227700 ) ( * 250580 )
NEW met2 ( 2554150 250580 ) ( 2558750 * 0 )
NEW met1 ( 2553690 23970 ) M1M2_PR
NEW met1 ( 2686170 23970 ) M1M2_PR ;
- la_data_in[117] ( PIN la_data_in[117] ) ( mprj la_data_in[117] ) + USE SIGNAL
+ ROUTED met2 ( 2701810 1700 ) ( 2704110 * 0 )
NEW met2 ( 2699050 82800 ) ( 2701810 * )
NEW met2 ( 2701810 1700 ) ( * 82800 )
NEW met2 ( 2699050 82800 ) ( * 240210 )
NEW met2 ( 2576690 240210 ) ( * 250580 )
NEW met2 ( 2575310 250580 0 ) ( 2576690 * )
NEW met1 ( 2576690 240210 ) ( 2699050 * )
NEW met1 ( 2699050 240210 ) M1M2_PR
NEW met1 ( 2576690 240210 ) M1M2_PR ;
- la_data_in[118] ( PIN la_data_in[118] ) ( mprj la_data_in[118] ) + USE SIGNAL
+ ROUTED met2 ( 2722050 1700 0 ) ( * 26690 )
NEW met1 ( 2587730 26690 ) ( 2722050 * )
NEW met2 ( 2587730 250580 ) ( 2591870 * 0 )
NEW met2 ( 2587730 26690 ) ( * 250580 )
NEW met1 ( 2722050 26690 ) M1M2_PR
NEW met1 ( 2587730 26690 ) M1M2_PR ;
- la_data_in[119] ( PIN la_data_in[119] ) ( mprj la_data_in[119] ) + USE SIGNAL
+ ROUTED met2 ( 2607510 237490 ) ( * 250580 )
NEW met2 ( 2607510 250580 ) ( 2607970 * 0 )
NEW met2 ( 2739530 1700 0 ) ( 2740450 * )
NEW met1 ( 2607510 237490 ) ( 2740450 * )
NEW met2 ( 2740450 1700 ) ( * 237490 )
NEW met1 ( 2607510 237490 ) M1M2_PR
NEW met1 ( 2740450 237490 ) M1M2_PR ;
- la_data_in[11] ( PIN la_data_in[11] ) ( mprj la_data_in[11] ) + USE SIGNAL
+ ROUTED met2 ( 824550 1700 0 ) ( * 15130 )
NEW met1 ( 824550 15130 ) ( 828690 * )
NEW met2 ( 828690 250580 ) ( 833750 * 0 )
NEW met2 ( 828690 15130 ) ( * 250580 )
NEW met1 ( 824550 15130 ) M1M2_PR
NEW met1 ( 828690 15130 ) M1M2_PR ;
- la_data_in[120] ( PIN la_data_in[120] ) ( mprj la_data_in[120] ) + USE SIGNAL
+ ROUTED met2 ( 2626370 238850 ) ( * 250580 )
NEW met2 ( 2624530 250580 0 ) ( 2626370 * )
NEW met2 ( 2755170 1700 ) ( 2757470 * 0 )
NEW met1 ( 2626370 238850 ) ( 2642700 * )
NEW met1 ( 2642700 238850 ) ( * 239190 )
NEW met2 ( 2753330 82800 ) ( 2755170 * )
NEW met2 ( 2755170 1700 ) ( * 82800 )
NEW met1 ( 2642700 239190 ) ( 2739300 * )
NEW met1 ( 2739300 238850 ) ( * 239190 )
NEW met1 ( 2739300 238850 ) ( 2753330 * )
NEW met2 ( 2753330 82800 ) ( * 238850 )
NEW met1 ( 2626370 238850 ) M1M2_PR
NEW met1 ( 2753330 238850 ) M1M2_PR ;
- la_data_in[121] ( PIN la_data_in[121] ) ( mprj la_data_in[121] ) + USE SIGNAL
+ ROUTED met2 ( 2641550 238170 ) ( * 250580 )
NEW met2 ( 2641090 250580 0 ) ( 2641550 * )
NEW met2 ( 2774030 1700 ) ( 2774950 * 0 )
NEW met1 ( 2641550 238170 ) ( 2774030 * )
NEW met2 ( 2774030 1700 ) ( * 238170 )
NEW met1 ( 2641550 238170 ) M1M2_PR
NEW met1 ( 2774030 238170 ) M1M2_PR ;
- la_data_in[122] ( PIN la_data_in[122] ) ( mprj la_data_in[122] ) + USE SIGNAL
+ ROUTED met2 ( 2792890 1700 0 ) ( * 26350 )
NEW met1 ( 2656730 26350 ) ( 2792890 * )
NEW met2 ( 2656730 250580 ) ( 2657190 * 0 )
NEW met2 ( 2656730 26350 ) ( * 250580 )
NEW met1 ( 2792890 26350 ) M1M2_PR
NEW met1 ( 2656730 26350 ) M1M2_PR ;
- la_data_in[123] ( PIN la_data_in[123] ) ( mprj la_data_in[123] ) + USE SIGNAL
+ ROUTED met2 ( 2808530 82800 ) ( 2810370 * )
NEW met2 ( 2810370 1700 0 ) ( * 82800 )
NEW met2 ( 2808530 82800 ) ( * 237830 )
NEW met2 ( 2675130 237830 ) ( * 250580 )
NEW met2 ( 2673750 250580 0 ) ( 2675130 * )
NEW met1 ( 2675130 237830 ) ( 2808530 * )
NEW met1 ( 2808530 237830 ) M1M2_PR
NEW met1 ( 2675130 237830 ) M1M2_PR ;
- la_data_in[124] ( PIN la_data_in[124] ) ( mprj la_data_in[124] ) + USE SIGNAL
+ ROUTED met2 ( 2828310 1700 0 ) ( * 24650 )
NEW met1 ( 2684330 24650 ) ( 2828310 * )
NEW met2 ( 2684330 24650 ) ( * 227700 )
NEW met2 ( 2684330 227700 ) ( 2688010 * )
NEW met2 ( 2688010 227700 ) ( * 250580 )
NEW met2 ( 2688010 250580 ) ( 2690310 * 0 )
NEW met1 ( 2828310 24650 ) M1M2_PR
NEW met1 ( 2684330 24650 ) M1M2_PR ;
- la_data_in[125] ( PIN la_data_in[125] ) ( mprj la_data_in[125] ) + USE SIGNAL
+ ROUTED met2 ( 2705030 250580 ) ( 2706870 * 0 )
NEW met2 ( 2705030 24310 ) ( * 250580 )
NEW met2 ( 2845790 1700 0 ) ( * 24310 )
NEW met1 ( 2705030 24310 ) ( 2845790 * )
NEW met1 ( 2705030 24310 ) M1M2_PR
NEW met1 ( 2845790 24310 ) M1M2_PR ;
- la_data_in[126] ( PIN la_data_in[126] ) ( mprj la_data_in[126] ) + USE SIGNAL
+ ROUTED met2 ( 2718830 250580 ) ( 2722970 * 0 )
NEW met2 ( 2718830 23970 ) ( * 250580 )
NEW met2 ( 2863730 1700 0 ) ( * 23970 )
NEW met1 ( 2718830 23970 ) ( 2863730 * )
NEW met1 ( 2718830 23970 ) M1M2_PR
NEW met1 ( 2863730 23970 ) M1M2_PR ;
- la_data_in[127] ( PIN la_data_in[127] ) ( mprj la_data_in[127] ) + USE SIGNAL
+ ROUTED met2 ( 2832450 18530 ) ( * 238850 )
NEW met2 ( 2881670 1700 0 ) ( * 18530 )
NEW met1 ( 2832450 18530 ) ( 2881670 * )
NEW met1 ( 2762990 238850 ) ( * 239530 )
NEW met1 ( 2741370 239530 ) ( 2762990 * )
NEW met2 ( 2741370 239530 ) ( * 250580 )
NEW met2 ( 2739530 250580 0 ) ( 2741370 * )
NEW met1 ( 2762990 238850 ) ( 2832450 * )
NEW met1 ( 2832450 18530 ) M1M2_PR
NEW met1 ( 2832450 238850 ) M1M2_PR
NEW met1 ( 2881670 18530 ) M1M2_PR
NEW met1 ( 2741370 239530 ) M1M2_PR ;
- la_data_in[12] ( PIN la_data_in[12] ) ( mprj la_data_in[12] ) + USE SIGNAL
+ ROUTED met1 ( 842030 238170 ) ( 848930 * )
NEW met2 ( 848930 238170 ) ( * 250580 )
NEW met2 ( 848930 250580 ) ( 849850 * 0 )
NEW met2 ( 842030 1700 0 ) ( * 238170 )
NEW met1 ( 842030 238170 ) M1M2_PR
NEW met1 ( 848930 238170 ) M1M2_PR ;
- la_data_in[13] ( PIN la_data_in[13] ) ( mprj la_data_in[13] ) + USE SIGNAL
+ ROUTED met2 ( 859970 1700 0 ) ( 862270 * )
NEW met2 ( 862270 1700 ) ( * 13940 )
NEW met2 ( 862270 13940 ) ( 863190 * )
NEW met2 ( 863190 250580 ) ( 866410 * 0 )
NEW met2 ( 863190 13940 ) ( * 250580 ) ;
- la_data_in[14] ( PIN la_data_in[14] ) ( mprj la_data_in[14] ) + USE SIGNAL
+ ROUTED met2 ( 876990 82800 ) ( 877450 * )
NEW met2 ( 877450 1700 0 ) ( * 82800 )
NEW met2 ( 876990 82800 ) ( * 227700 )
NEW met2 ( 876990 227700 ) ( 877910 * )
NEW met2 ( 877910 227700 ) ( * 250580 )
NEW met2 ( 877910 250580 ) ( 882970 * 0 ) ;
- la_data_in[15] ( PIN la_data_in[15] ) ( mprj la_data_in[15] ) + USE SIGNAL
+ ROUTED met2 ( 895390 1700 0 ) ( * 17510 )
NEW met1 ( 895390 17510 ) ( 897690 * )
NEW met2 ( 897690 250580 ) ( 899070 * 0 )
NEW met2 ( 897690 17510 ) ( * 250580 )
NEW met1 ( 895390 17510 ) M1M2_PR
NEW met1 ( 897690 17510 ) M1M2_PR ;
- la_data_in[16] ( PIN la_data_in[16] ) ( mprj la_data_in[16] ) + USE SIGNAL
+ ROUTED met2 ( 911030 1700 ) ( 912870 * 0 )
NEW met2 ( 911030 250580 ) ( 915630 * 0 )
NEW met2 ( 911030 1700 ) ( * 250580 ) ;
- la_data_in[17] ( PIN la_data_in[17] ) ( mprj la_data_in[17] ) + USE SIGNAL
+ ROUTED met2 ( 930810 1700 0 ) ( * 16660 )
NEW met2 ( 930810 16660 ) ( 932190 * )
NEW met2 ( 932190 16660 ) ( * 227700 )
NEW met2 ( 932190 227700 ) ( 932650 * )
NEW met2 ( 932650 227700 ) ( * 250580 )
NEW met2 ( 932190 250580 0 ) ( 932650 * ) ;
- la_data_in[18] ( PIN la_data_in[18] ) ( mprj la_data_in[18] ) + USE SIGNAL
+ ROUTED met2 ( 946450 1700 ) ( 948750 * 0 )
NEW met2 ( 945530 82800 ) ( 946450 * )
NEW met2 ( 946450 1700 ) ( * 82800 )
NEW met2 ( 945530 250580 ) ( 948750 * 0 )
NEW met2 ( 945530 82800 ) ( * 250580 ) ;
- la_data_in[19] ( PIN la_data_in[19] ) ( mprj la_data_in[19] ) + USE SIGNAL
+ ROUTED met2 ( 966230 1700 0 ) ( * 17850 )
NEW met1 ( 959790 17850 ) ( 966230 * )
NEW met2 ( 959790 17850 ) ( * 227700 )
NEW met2 ( 959790 227700 ) ( 960250 * )
NEW met2 ( 960250 227700 ) ( * 250580 )
NEW met2 ( 960250 250580 ) ( 964850 * 0 )
NEW met1 ( 966230 17850 ) M1M2_PR
NEW met1 ( 959790 17850 ) M1M2_PR ;
- la_data_in[1] ( PIN la_data_in[1] ) ( mprj la_data_in[1] ) + USE SIGNAL
+ ROUTED met2 ( 667230 235110 ) ( * 250580 )
NEW met2 ( 667230 250580 ) ( 669070 * 0 )
NEW met2 ( 646990 1700 0 ) ( * 17510 )
NEW met1 ( 646990 17510 ) ( 652050 * )
NEW met2 ( 652050 17510 ) ( * 235110 )
NEW met1 ( 652050 235110 ) ( 667230 * )
NEW met1 ( 667230 235110 ) M1M2_PR
NEW met1 ( 646990 17510 ) M1M2_PR
NEW met1 ( 652050 17510 ) M1M2_PR
NEW met1 ( 652050 235110 ) M1M2_PR ;
- la_data_in[20] ( PIN la_data_in[20] ) ( mprj la_data_in[20] ) + USE SIGNAL
+ ROUTED met2 ( 980030 82800 ) ( 984170 * )
NEW met2 ( 984170 1700 0 ) ( * 82800 )
NEW met2 ( 980030 250580 ) ( 981410 * 0 )
NEW met2 ( 980030 82800 ) ( * 250580 ) ;
- la_data_in[21] ( PIN la_data_in[21] ) ( mprj la_data_in[21] ) + USE SIGNAL
+ ROUTED met2 ( 999810 240550 ) ( * 250580 )
NEW met2 ( 997970 250580 0 ) ( 999810 * )
NEW met2 ( 1001650 1700 0 ) ( * 17340 )
NEW met2 ( 1000730 17340 ) ( 1001650 * )
NEW met1 ( 999810 240550 ) ( 1000730 * )
NEW met2 ( 1000730 17340 ) ( * 240550 )
NEW met1 ( 999810 240550 ) M1M2_PR
NEW met1 ( 1000730 240550 ) M1M2_PR ;
- la_data_in[22] ( PIN la_data_in[22] ) ( mprj la_data_in[22] ) + USE SIGNAL
+ ROUTED met2 ( 1019590 1700 0 ) ( * 15810 )
NEW met1 ( 1008090 15810 ) ( 1019590 * )
NEW met1 ( 1008090 239190 ) ( 1012230 * )
NEW met2 ( 1012230 239190 ) ( * 250580 )
NEW met2 ( 1012230 250580 ) ( 1014070 * 0 )
NEW met2 ( 1008090 15810 ) ( * 239190 )
NEW met1 ( 1019590 15810 ) M1M2_PR
NEW met1 ( 1008090 15810 ) M1M2_PR
NEW met1 ( 1008090 239190 ) M1M2_PR
NEW met1 ( 1012230 239190 ) M1M2_PR ;
- la_data_in[23] ( PIN la_data_in[23] ) ( mprj la_data_in[23] ) + USE SIGNAL
+ ROUTED met2 ( 1037070 1700 0 ) ( * 17510 )
NEW met1 ( 1028330 17510 ) ( 1037070 * )
NEW met2 ( 1028330 250580 ) ( 1030630 * 0 )
NEW met2 ( 1028330 17510 ) ( * 250580 )
NEW met1 ( 1037070 17510 ) M1M2_PR
NEW met1 ( 1028330 17510 ) M1M2_PR ;
- la_data_in[24] ( PIN la_data_in[24] ) ( mprj la_data_in[24] ) + USE SIGNAL
+ ROUTED met2 ( 1055010 1700 0 ) ( * 15810 )
NEW met1 ( 1043510 15810 ) ( 1055010 * )
NEW met2 ( 1042590 82800 ) ( 1043510 * )
NEW met2 ( 1043510 15810 ) ( * 82800 )
NEW met2 ( 1042590 250580 ) ( 1047190 * 0 )
NEW met2 ( 1042590 82800 ) ( * 250580 )
NEW met1 ( 1055010 15810 ) M1M2_PR
NEW met1 ( 1043510 15810 ) M1M2_PR ;
- la_data_in[25] ( PIN la_data_in[25] ) ( mprj la_data_in[25] ) + USE SIGNAL
+ ROUTED met2 ( 1072490 1700 0 ) ( * 17340 )
NEW met2 ( 1069730 17340 ) ( 1072490 * )
NEW met1 ( 1065130 239190 ) ( 1069730 * )
NEW met2 ( 1065130 239190 ) ( * 250580 )
NEW met2 ( 1063750 250580 0 ) ( 1065130 * )
NEW met2 ( 1069730 17340 ) ( * 239190 )
NEW met1 ( 1069730 239190 ) M1M2_PR
NEW met1 ( 1065130 239190 ) M1M2_PR ;
- la_data_in[26] ( PIN la_data_in[26] ) ( mprj la_data_in[26] ) + USE SIGNAL
+ ROUTED met2 ( 1090430 1700 0 ) ( * 15810 )
NEW met1 ( 1076630 15810 ) ( 1090430 * )
NEW met2 ( 1076630 250580 ) ( 1079850 * 0 )
NEW met2 ( 1076630 15810 ) ( * 250580 )
NEW met1 ( 1090430 15810 ) M1M2_PR
NEW met1 ( 1076630 15810 ) M1M2_PR ;
- la_data_in[27] ( PIN la_data_in[27] ) ( mprj la_data_in[27] ) + USE SIGNAL
+ ROUTED met2 ( 1096870 234770 ) ( * 250580 )
NEW met2 ( 1096410 250580 0 ) ( 1096870 * )
NEW met2 ( 1107910 1700 0 ) ( * 17510 )
NEW met1 ( 1100550 17510 ) ( 1107910 * )
NEW met1 ( 1096870 234770 ) ( 1100550 * )
NEW met2 ( 1100550 17510 ) ( * 234770 )
NEW met1 ( 1096870 234770 ) M1M2_PR
NEW met1 ( 1107910 17510 ) M1M2_PR
NEW met1 ( 1100550 17510 ) M1M2_PR
NEW met1 ( 1100550 234770 ) M1M2_PR ;
- la_data_in[28] ( PIN la_data_in[28] ) ( mprj la_data_in[28] ) + USE SIGNAL
+ ROUTED met2 ( 1125850 1700 0 ) ( * 17510 )
NEW met1 ( 1111130 17510 ) ( 1125850 * )
NEW met2 ( 1111130 250580 ) ( 1112970 * 0 )
NEW met2 ( 1111130 17510 ) ( * 250580 )
NEW met1 ( 1125850 17510 ) M1M2_PR
NEW met1 ( 1111130 17510 ) M1M2_PR ;
- la_data_in[29] ( PIN la_data_in[29] ) ( mprj la_data_in[29] ) + USE SIGNAL
+ ROUTED met2 ( 1141490 1700 ) ( 1143790 * 0 )
NEW met2 ( 1141490 1700 ) ( * 2380 )
NEW met2 ( 1138730 2380 ) ( 1141490 * )
NEW met1 ( 1130450 239190 ) ( 1138730 * )
NEW met2 ( 1130450 239190 ) ( * 250580 )
NEW met2 ( 1129070 250580 0 ) ( 1130450 * )
NEW met2 ( 1138730 2380 ) ( * 239190 )
NEW met1 ( 1138730 239190 ) M1M2_PR
NEW met1 ( 1130450 239190 ) M1M2_PR ;
- la_data_in[2] ( PIN la_data_in[2] ) ( mprj la_data_in[2] ) + USE SIGNAL
+ ROUTED met2 ( 662630 82800 ) ( 664930 * )
NEW met2 ( 664930 1700 0 ) ( * 82800 )
NEW met2 ( 662630 82800 ) ( * 131100 )
NEW met2 ( 662630 131100 ) ( 663090 * )
NEW met1 ( 663090 238510 ) ( 683790 * )
NEW met2 ( 683790 238510 ) ( * 250580 )
NEW met2 ( 683790 250580 ) ( 685630 * 0 )
NEW met2 ( 663090 131100 ) ( * 238510 )
NEW met1 ( 663090 238510 ) M1M2_PR
NEW met1 ( 683790 238510 ) M1M2_PR ;
- la_data_in[30] ( PIN la_data_in[30] ) ( mprj la_data_in[30] ) + USE SIGNAL
+ ROUTED met2 ( 1159430 1700 ) ( 1161270 * 0 )
NEW met1 ( 1147010 239190 ) ( 1159430 * )
NEW met2 ( 1147010 239190 ) ( * 250580 )
NEW met2 ( 1145630 250580 0 ) ( 1147010 * )
NEW met2 ( 1159430 1700 ) ( * 239190 )
NEW met1 ( 1159430 239190 ) M1M2_PR
NEW met1 ( 1147010 239190 ) M1M2_PR ;
- la_data_in[31] ( PIN la_data_in[31] ) ( mprj la_data_in[31] ) + USE SIGNAL
+ ROUTED met2 ( 1179210 1700 0 ) ( * 17510 )
NEW met1 ( 1159890 17510 ) ( 1179210 * )
NEW met2 ( 1159890 250580 ) ( 1162190 * 0 )
NEW met2 ( 1159890 17510 ) ( * 250580 )
NEW met1 ( 1179210 17510 ) M1M2_PR
NEW met1 ( 1159890 17510 ) M1M2_PR ;
- la_data_in[32] ( PIN la_data_in[32] ) ( mprj la_data_in[32] ) + USE SIGNAL
+ ROUTED met2 ( 1173690 250580 ) ( 1178750 * 0 )
NEW met2 ( 1173690 20230 ) ( * 250580 )
NEW met2 ( 1196690 1700 0 ) ( * 20230 )
NEW met1 ( 1173690 20230 ) ( 1196690 * )
NEW met1 ( 1173690 20230 ) M1M2_PR
NEW met1 ( 1196690 20230 ) M1M2_PR ;
- la_data_in[33] ( PIN la_data_in[33] ) ( mprj la_data_in[33] ) + USE SIGNAL
+ ROUTED met2 ( 1214630 1700 0 ) ( * 16490 )
NEW met1 ( 1193930 16490 ) ( 1214630 * )
NEW met2 ( 1193930 250580 ) ( 1194850 * 0 )
NEW met2 ( 1193930 16490 ) ( * 250580 )
NEW met1 ( 1214630 16490 ) M1M2_PR
NEW met1 ( 1193930 16490 ) M1M2_PR ;
- la_data_in[34] ( PIN la_data_in[34] ) ( mprj la_data_in[34] ) + USE SIGNAL
+ ROUTED met2 ( 1232110 1700 0 ) ( * 16830 )
NEW met1 ( 1207730 16830 ) ( 1232110 * )
NEW met2 ( 1207730 250580 ) ( 1211410 * 0 )
NEW met2 ( 1207730 16830 ) ( * 250580 )
NEW met1 ( 1232110 16830 ) M1M2_PR
NEW met1 ( 1207730 16830 ) M1M2_PR ;
- la_data_in[35] ( PIN la_data_in[35] ) ( mprj la_data_in[35] ) + USE SIGNAL
+ ROUTED met2 ( 1250050 1700 0 ) ( * 17850 )
NEW met1 ( 1221530 17850 ) ( 1250050 * )
NEW met1 ( 1221530 239190 ) ( 1226590 * )
NEW met2 ( 1226590 239190 ) ( * 250580 )
NEW met2 ( 1226590 250580 ) ( 1227970 * 0 )
NEW met2 ( 1221530 17850 ) ( * 239190 )
NEW met1 ( 1250050 17850 ) M1M2_PR
NEW met1 ( 1221530 17850 ) M1M2_PR
NEW met1 ( 1221530 239190 ) M1M2_PR
NEW met1 ( 1226590 239190 ) M1M2_PR ;
- la_data_in[36] ( PIN la_data_in[36] ) ( mprj la_data_in[36] ) + USE SIGNAL
+ ROUTED met2 ( 1267530 1700 0 ) ( * 16830 )
NEW met1 ( 1242230 16830 ) ( 1267530 * )
NEW met2 ( 1242230 250580 ) ( 1244530 * 0 )
NEW met2 ( 1242230 16830 ) ( * 250580 )
NEW met1 ( 1267530 16830 ) M1M2_PR
NEW met1 ( 1242230 16830 ) M1M2_PR ;
- la_data_in[37] ( PIN la_data_in[37] ) ( mprj la_data_in[37] ) + USE SIGNAL
+ ROUTED met2 ( 1285470 1700 0 ) ( * 17850 )
NEW met1 ( 1256030 17850 ) ( 1285470 * )
NEW met2 ( 1256030 250580 ) ( 1260630 * 0 )
NEW met2 ( 1256030 17850 ) ( * 250580 )
NEW met1 ( 1285470 17850 ) M1M2_PR
NEW met1 ( 1256030 17850 ) M1M2_PR ;
- la_data_in[38] ( PIN la_data_in[38] ) ( mprj la_data_in[38] ) + USE SIGNAL
+ ROUTED met2 ( 1277190 15470 ) ( * 227700 )
NEW met2 ( 1277190 227700 ) ( 1277650 * )
NEW met2 ( 1277650 227700 ) ( * 250580 )
NEW met2 ( 1277190 250580 0 ) ( 1277650 * )
NEW met2 ( 1303410 1700 0 ) ( * 15470 )
NEW met1 ( 1277190 15470 ) ( 1303410 * )
NEW met1 ( 1277190 15470 ) M1M2_PR
NEW met1 ( 1303410 15470 ) M1M2_PR ;
- la_data_in[39] ( PIN la_data_in[39] ) ( mprj la_data_in[39] ) + USE SIGNAL
+ ROUTED met2 ( 1320890 1700 0 ) ( * 16830 )
NEW met1 ( 1290530 16830 ) ( 1320890 * )
NEW met2 ( 1290530 250580 ) ( 1293750 * 0 )
NEW met2 ( 1290530 16830 ) ( * 250580 )
NEW met1 ( 1320890 16830 ) M1M2_PR
NEW met1 ( 1290530 16830 ) M1M2_PR ;
- la_data_in[3] ( PIN la_data_in[3] ) ( mprj la_data_in[3] ) + USE SIGNAL
+ ROUTED met2 ( 682410 1700 0 ) ( * 15810 )
NEW met1 ( 682410 15810 ) ( 686550 * )
NEW met1 ( 686550 238170 ) ( 700350 * )
NEW met2 ( 700350 238170 ) ( * 250580 )
NEW met2 ( 700350 250580 ) ( 702190 * 0 )
NEW met2 ( 686550 15810 ) ( * 238170 )
NEW met1 ( 682410 15810 ) M1M2_PR
NEW met1 ( 686550 15810 ) M1M2_PR
NEW met1 ( 686550 238170 ) M1M2_PR
NEW met1 ( 700350 238170 ) M1M2_PR ;
- la_data_in[40] ( PIN la_data_in[40] ) ( mprj la_data_in[40] ) + USE SIGNAL
+ ROUTED met2 ( 1338830 1700 0 ) ( * 19550 )
NEW met1 ( 1321350 19550 ) ( 1338830 * )
NEW met1 ( 1310770 239190 ) ( 1321350 * )
NEW met2 ( 1310770 239190 ) ( * 250580 )
NEW met2 ( 1309850 250580 0 ) ( 1310770 * )
NEW met2 ( 1321350 19550 ) ( * 239190 )
NEW met1 ( 1338830 19550 ) M1M2_PR
NEW met1 ( 1321350 19550 ) M1M2_PR
NEW met1 ( 1321350 239190 ) M1M2_PR
NEW met1 ( 1310770 239190 ) M1M2_PR ;
- la_data_in[41] ( PIN la_data_in[41] ) ( mprj la_data_in[41] ) + USE SIGNAL
+ ROUTED met2 ( 1354010 1700 ) ( 1356310 * 0 )
NEW met2 ( 1354010 1700 ) ( * 2380 )
NEW met2 ( 1352630 2380 ) ( 1354010 * )
NEW met2 ( 1352630 2380 ) ( * 237830 )
NEW met2 ( 1328250 237830 ) ( * 250580 )
NEW met2 ( 1326410 250580 0 ) ( 1328250 * )
NEW met1 ( 1328250 237830 ) ( 1352630 * )
NEW met1 ( 1352630 237830 ) M1M2_PR
NEW met1 ( 1328250 237830 ) M1M2_PR ;
- la_data_in[42] ( PIN la_data_in[42] ) ( mprj la_data_in[42] ) + USE SIGNAL
+ ROUTED met2 ( 1374250 1700 0 ) ( * 16830 )
NEW met1 ( 1339290 16830 ) ( 1374250 * )
NEW met2 ( 1338830 82800 ) ( 1339290 * )
NEW met2 ( 1339290 16830 ) ( * 82800 )
NEW met2 ( 1338830 250580 ) ( 1342970 * 0 )
NEW met2 ( 1338830 82800 ) ( * 250580 )
NEW met1 ( 1374250 16830 ) M1M2_PR
NEW met1 ( 1339290 16830 ) M1M2_PR ;
- la_data_in[43] ( PIN la_data_in[43] ) ( mprj la_data_in[43] ) + USE SIGNAL
+ ROUTED met2 ( 1359530 239190 ) ( * 249220 )
NEW met2 ( 1359070 249220 ) ( 1359530 * )
NEW met2 ( 1359070 249220 ) ( * 250580 )
NEW met2 ( 1359070 250580 ) ( 1359530 * 0 )
NEW met2 ( 1391730 1700 0 ) ( * 17510 )
NEW met2 ( 1387130 17510 ) ( 1391730 * )
NEW met1 ( 1359530 239190 ) ( 1387130 * )
NEW met2 ( 1387130 17510 ) ( * 239190 )
NEW met1 ( 1359530 239190 ) M1M2_PR
NEW met1 ( 1387130 239190 ) M1M2_PR ;
- la_data_in[44] ( PIN la_data_in[44] ) ( mprj la_data_in[44] ) + USE SIGNAL
+ ROUTED met2 ( 1373330 250580 ) ( 1375630 * 0 )
NEW met2 ( 1373330 17850 ) ( * 250580 )
NEW met2 ( 1409670 1700 0 ) ( * 17850 )
NEW met1 ( 1373330 17850 ) ( 1409670 * )
NEW met1 ( 1373330 17850 ) M1M2_PR
NEW met1 ( 1409670 17850 ) M1M2_PR ;
- la_data_in[45] ( PIN la_data_in[45] ) ( mprj la_data_in[45] ) + USE SIGNAL
+ ROUTED met2 ( 1427150 1700 0 ) ( * 19550 )
NEW met1 ( 1387590 19550 ) ( 1427150 * )
NEW met2 ( 1387590 250580 ) ( 1392190 * 0 )
NEW met2 ( 1387590 19550 ) ( * 250580 )
NEW met1 ( 1427150 19550 ) M1M2_PR
NEW met1 ( 1387590 19550 ) M1M2_PR ;
- la_data_in[46] ( PIN la_data_in[46] ) ( mprj la_data_in[46] ) + USE SIGNAL
+ ROUTED met2 ( 1445090 1700 0 ) ( * 14790 )
NEW met1 ( 1407830 14790 ) ( 1445090 * )
NEW met2 ( 1407830 250580 ) ( 1408750 * 0 )
NEW met2 ( 1407830 14790 ) ( * 250580 )
NEW met1 ( 1445090 14790 ) M1M2_PR
NEW met1 ( 1407830 14790 ) M1M2_PR ;
- la_data_in[47] ( PIN la_data_in[47] ) ( mprj la_data_in[47] ) + USE SIGNAL
+ ROUTED met2 ( 1463030 1700 0 ) ( * 18190 )
NEW met1 ( 1421630 18190 ) ( 1463030 * )
NEW met2 ( 1421630 250580 ) ( 1424850 * 0 )
NEW met2 ( 1421630 18190 ) ( * 250580 )
NEW met1 ( 1463030 18190 ) M1M2_PR
NEW met1 ( 1421630 18190 ) M1M2_PR ;
- la_data_in[48] ( PIN la_data_in[48] ) ( mprj la_data_in[48] ) + USE SIGNAL
+ ROUTED met2 ( 1480510 1700 0 ) ( * 14450 )
NEW met1 ( 1435430 14450 ) ( 1480510 * )
NEW met1 ( 1435430 239190 ) ( 1440030 * )
NEW met2 ( 1440030 239190 ) ( * 250580 )
NEW met2 ( 1440030 250580 ) ( 1441410 * 0 )
NEW met2 ( 1435430 14450 ) ( * 239190 )
NEW met1 ( 1480510 14450 ) M1M2_PR
NEW met1 ( 1435430 14450 ) M1M2_PR
NEW met1 ( 1435430 239190 ) M1M2_PR
NEW met1 ( 1440030 239190 ) M1M2_PR ;
- la_data_in[49] ( PIN la_data_in[49] ) ( mprj la_data_in[49] ) + USE SIGNAL
+ ROUTED met2 ( 1456130 250580 ) ( 1457970 * 0 )
NEW met2 ( 1456130 17510 ) ( * 250580 )
NEW met2 ( 1498450 1700 0 ) ( * 17510 )
NEW met1 ( 1456130 17510 ) ( 1498450 * )
NEW met1 ( 1456130 17510 ) M1M2_PR
NEW met1 ( 1498450 17510 ) M1M2_PR ;
- la_data_in[4] ( PIN la_data_in[4] ) ( mprj la_data_in[4] ) + USE SIGNAL
+ ROUTED met2 ( 698050 1700 ) ( 700350 * 0 )
NEW met2 ( 697130 82800 ) ( 698050 * )
NEW met2 ( 698050 1700 ) ( * 82800 )
NEW met2 ( 697130 82800 ) ( * 131100 )
NEW met2 ( 697130 131100 ) ( 697590 * )
NEW met2 ( 697590 131100 ) ( * 235110 )
NEW met2 ( 717830 235110 ) ( * 250580 )
NEW met2 ( 717830 250580 ) ( 718290 * 0 )
NEW met1 ( 697590 235110 ) ( 717830 * )
NEW met1 ( 697590 235110 ) M1M2_PR
NEW met1 ( 717830 235110 ) M1M2_PR ;
- la_data_in[50] ( PIN la_data_in[50] ) ( mprj la_data_in[50] ) + USE SIGNAL
+ ROUTED met2 ( 1469930 250580 ) ( 1474530 * 0 )
NEW met2 ( 1469930 18190 ) ( * 250580 )
NEW met2 ( 1515930 1700 0 ) ( * 18190 )
NEW met1 ( 1469930 18190 ) ( 1515930 * )
NEW met1 ( 1469930 18190 ) M1M2_PR
NEW met1 ( 1515930 18190 ) M1M2_PR ;
- la_data_in[51] ( PIN la_data_in[51] ) ( mprj la_data_in[51] ) + USE SIGNAL
+ ROUTED met2 ( 1533870 1700 0 ) ( * 19890 )
NEW met1 ( 1490630 19890 ) ( 1533870 * )
NEW met2 ( 1490630 239020 ) ( 1491090 * )
NEW met2 ( 1491090 239020 ) ( * 250580 )
NEW met2 ( 1490630 250580 0 ) ( 1491090 * )
NEW met2 ( 1490630 19890 ) ( * 239020 )
NEW met1 ( 1533870 19890 ) M1M2_PR
NEW met1 ( 1490630 19890 ) M1M2_PR ;
- la_data_in[52] ( PIN la_data_in[52] ) ( mprj la_data_in[52] ) + USE SIGNAL
+ ROUTED met2 ( 1551350 1700 0 ) ( * 16830 )
NEW met1 ( 1504430 16830 ) ( 1551350 * )
NEW met2 ( 1504430 250580 ) ( 1507190 * 0 )
NEW met2 ( 1504430 16830 ) ( * 250580 )
NEW met1 ( 1551350 16830 ) M1M2_PR
NEW met1 ( 1504430 16830 ) M1M2_PR ;
- la_data_in[53] ( PIN la_data_in[53] ) ( mprj la_data_in[53] ) + USE SIGNAL
+ ROUTED met2 ( 1569290 1700 0 ) ( * 17850 )
NEW met1 ( 1518690 17850 ) ( 1569290 * )
NEW met2 ( 1518690 17850 ) ( * 227700 )
NEW met2 ( 1518690 227700 ) ( 1519150 * )
NEW met2 ( 1519150 227700 ) ( * 250580 )
NEW met2 ( 1519150 250580 ) ( 1523750 * 0 )
NEW met1 ( 1569290 17850 ) M1M2_PR
NEW met1 ( 1518690 17850 ) M1M2_PR ;
- la_data_in[54] ( PIN la_data_in[54] ) ( mprj la_data_in[54] ) + USE SIGNAL
+ ROUTED met1 ( 1540310 239190 ) ( 1549050 * )
NEW met2 ( 1540310 239190 ) ( * 250580 )
NEW met2 ( 1539850 250580 0 ) ( 1540310 * )
NEW met2 ( 1549050 20230 ) ( * 239190 )
NEW met2 ( 1586770 1700 0 ) ( * 20230 )
NEW met1 ( 1549050 20230 ) ( 1586770 * )
NEW met1 ( 1549050 20230 ) M1M2_PR
NEW met1 ( 1549050 239190 ) M1M2_PR
NEW met1 ( 1540310 239190 ) M1M2_PR
NEW met1 ( 1586770 20230 ) M1M2_PR ;
- la_data_in[55] ( PIN la_data_in[55] ) ( mprj la_data_in[55] ) + USE SIGNAL
+ ROUTED met2 ( 1552730 250580 ) ( 1556410 * 0 )
NEW met2 ( 1552730 19890 ) ( * 250580 )
NEW met2 ( 1604710 1700 0 ) ( * 19890 )
NEW met1 ( 1552730 19890 ) ( 1604710 * )
NEW met1 ( 1552730 19890 ) M1M2_PR
NEW met1 ( 1604710 19890 ) M1M2_PR ;
- la_data_in[56] ( PIN la_data_in[56] ) ( mprj la_data_in[56] ) + USE SIGNAL
+ ROUTED met1 ( 1566530 239190 ) ( 1571590 * )
NEW met2 ( 1571590 239190 ) ( * 250580 )
NEW met2 ( 1571590 250580 ) ( 1572970 * 0 )
NEW met2 ( 1566530 20570 ) ( * 239190 )
NEW met2 ( 1622190 1700 0 ) ( * 20570 )
NEW met1 ( 1566530 20570 ) ( 1622190 * )
NEW met1 ( 1566530 20570 ) M1M2_PR
NEW met1 ( 1566530 239190 ) M1M2_PR
NEW met1 ( 1571590 239190 ) M1M2_PR
NEW met1 ( 1622190 20570 ) M1M2_PR ;
- la_data_in[57] ( PIN la_data_in[57] ) ( mprj la_data_in[57] ) + USE SIGNAL
+ ROUTED met2 ( 1640130 1700 0 ) ( * 19210 )
NEW met1 ( 1587230 19210 ) ( 1640130 * )
NEW met2 ( 1587230 250580 ) ( 1589530 * 0 )
NEW met2 ( 1587230 19210 ) ( * 250580 )
NEW met1 ( 1640130 19210 ) M1M2_PR
NEW met1 ( 1587230 19210 ) M1M2_PR ;
- la_data_in[58] ( PIN la_data_in[58] ) ( mprj la_data_in[58] ) + USE SIGNAL
+ ROUTED met2 ( 1658070 1700 0 ) ( * 18190 )
NEW met1 ( 1601030 18190 ) ( 1658070 * )
NEW met2 ( 1601030 250580 ) ( 1605630 * 0 )
NEW met2 ( 1601030 18190 ) ( * 250580 )
NEW met1 ( 1658070 18190 ) M1M2_PR
NEW met1 ( 1601030 18190 ) M1M2_PR ;
- la_data_in[59] ( PIN la_data_in[59] ) ( mprj la_data_in[59] ) + USE SIGNAL
+ ROUTED met2 ( 1675550 1700 0 ) ( * 15810 )
NEW met1 ( 1621730 15810 ) ( 1675550 * )
NEW met2 ( 1621730 250580 ) ( 1622190 * 0 )
NEW met2 ( 1621730 15810 ) ( * 250580 )
NEW met1 ( 1675550 15810 ) M1M2_PR
NEW met1 ( 1621730 15810 ) M1M2_PR ;
- la_data_in[5] ( PIN la_data_in[5] ) ( mprj la_data_in[5] ) + USE SIGNAL
+ ROUTED met2 ( 717830 1700 0 ) ( * 17340 )
NEW met2 ( 717830 17340 ) ( 718750 * )
NEW met2 ( 718750 17340 ) ( * 34500 )
NEW met2 ( 718750 34500 ) ( 719210 * )
NEW met1 ( 719210 239190 ) ( 733470 * )
NEW met2 ( 733470 239190 ) ( * 250580 )
NEW met2 ( 733470 250580 ) ( 734850 * 0 )
NEW met2 ( 719210 34500 ) ( * 239190 )
NEW met1 ( 719210 239190 ) M1M2_PR
NEW met1 ( 733470 239190 ) M1M2_PR ;
- la_data_in[60] ( PIN la_data_in[60] ) ( mprj la_data_in[60] ) + USE SIGNAL
+ ROUTED met2 ( 1635530 250580 ) ( 1638750 * 0 )
NEW met2 ( 1635530 18530 ) ( * 250580 )
NEW met2 ( 1693490 1700 0 ) ( * 18530 )
NEW met1 ( 1635530 18530 ) ( 1693490 * )
NEW met1 ( 1635530 18530 ) M1M2_PR
NEW met1 ( 1693490 18530 ) M1M2_PR ;
- la_data_in[61] ( PIN la_data_in[61] ) ( mprj la_data_in[61] ) + USE SIGNAL
+ ROUTED met1 ( 1649330 239190 ) ( 1653470 * )
NEW met2 ( 1653470 239190 ) ( * 250580 )
NEW met2 ( 1653470 250580 ) ( 1655310 * 0 )
NEW met2 ( 1649330 19210 ) ( * 239190 )
NEW met2 ( 1710970 1700 0 ) ( * 19210 )
NEW met1 ( 1649330 19210 ) ( 1710970 * )
NEW met1 ( 1649330 19210 ) M1M2_PR
NEW met1 ( 1649330 239190 ) M1M2_PR
NEW met1 ( 1653470 239190 ) M1M2_PR
NEW met1 ( 1710970 19210 ) M1M2_PR ;
- la_data_in[62] ( PIN la_data_in[62] ) ( mprj la_data_in[62] ) + USE SIGNAL
+ ROUTED met2 ( 1728910 1700 0 ) ( * 20230 )
NEW met2 ( 1670030 250580 ) ( 1671410 * 0 )
NEW met2 ( 1670030 20230 ) ( * 250580 )
NEW met1 ( 1670030 20230 ) ( 1728910 * )
NEW met1 ( 1670030 20230 ) M1M2_PR
NEW met1 ( 1728910 20230 ) M1M2_PR ;
- la_data_in[63] ( PIN la_data_in[63] ) ( mprj la_data_in[63] ) + USE SIGNAL
+ ROUTED met2 ( 1746390 1700 0 ) ( * 17170 )
NEW met1 ( 1683830 17170 ) ( 1746390 * )
NEW met2 ( 1683830 250580 ) ( 1687970 * 0 )
NEW met2 ( 1683830 17170 ) ( * 250580 )
NEW met1 ( 1746390 17170 ) M1M2_PR
NEW met1 ( 1683830 17170 ) M1M2_PR ;
- la_data_in[64] ( PIN la_data_in[64] ) ( mprj la_data_in[64] ) + USE SIGNAL
+ ROUTED met2 ( 1764330 1700 0 ) ( * 16830 )
NEW met1 ( 1704530 16830 ) ( 1764330 * )
NEW met2 ( 1704530 238340 ) ( 1704990 * )
NEW met2 ( 1704990 238340 ) ( * 250580 )
NEW met2 ( 1704530 250580 0 ) ( 1704990 * )
NEW met2 ( 1704530 16830 ) ( * 238340 )
NEW met1 ( 1764330 16830 ) M1M2_PR
NEW met1 ( 1704530 16830 ) M1M2_PR ;
- la_data_in[65] ( PIN la_data_in[65] ) ( mprj la_data_in[65] ) + USE SIGNAL
+ ROUTED met2 ( 1781810 1700 0 ) ( * 18870 )
NEW met1 ( 1718330 18870 ) ( 1781810 * )
NEW met2 ( 1718330 250580 ) ( 1720630 * 0 )
NEW met2 ( 1718330 18870 ) ( * 250580 )
NEW met1 ( 1718330 18870 ) M1M2_PR
NEW met1 ( 1781810 18870 ) M1M2_PR ;
- la_data_in[66] ( PIN la_data_in[66] ) ( mprj la_data_in[66] ) + USE SIGNAL
+ ROUTED met2 ( 1738570 237830 ) ( * 250580 )
NEW met2 ( 1737190 250580 0 ) ( 1738570 * )
NEW met2 ( 1799750 1700 0 ) ( * 17510 )
NEW met1 ( 1790550 17510 ) ( 1799750 * )
NEW met1 ( 1738570 237830 ) ( 1790550 * )
NEW met2 ( 1790550 17510 ) ( * 237830 )
NEW met1 ( 1738570 237830 ) M1M2_PR
NEW met1 ( 1799750 17510 ) M1M2_PR
NEW met1 ( 1790550 17510 ) M1M2_PR
NEW met1 ( 1790550 237830 ) M1M2_PR ;
- la_data_in[67] ( PIN la_data_in[67] ) ( mprj la_data_in[67] ) + USE SIGNAL
+ ROUTED met2 ( 1753290 238170 ) ( * 250580 )
NEW met2 ( 1753290 250580 ) ( 1753750 * 0 )
NEW met2 ( 1817690 1700 0 ) ( * 17510 )
NEW met1 ( 1811250 17510 ) ( 1817690 * )
NEW met1 ( 1753290 238170 ) ( 1811250 * )
NEW met2 ( 1811250 17510 ) ( * 238170 )
NEW met1 ( 1753290 238170 ) M1M2_PR
NEW met1 ( 1817690 17510 ) M1M2_PR
NEW met1 ( 1811250 17510 ) M1M2_PR
NEW met1 ( 1811250 238170 ) M1M2_PR ;
- la_data_in[68] ( PIN la_data_in[68] ) ( mprj la_data_in[68] ) + USE SIGNAL
+ ROUTED met2 ( 1835170 1700 0 ) ( * 16830 )
NEW met2 ( 1766630 250580 ) ( 1770310 * 0 )
NEW met2 ( 1766630 16830 ) ( * 250580 )
NEW met1 ( 1766630 16830 ) ( 1835170 * )
NEW met1 ( 1766630 16830 ) M1M2_PR
NEW met1 ( 1835170 16830 ) M1M2_PR ;
- la_data_in[69] ( PIN la_data_in[69] ) ( mprj la_data_in[69] ) + USE SIGNAL
+ ROUTED met2 ( 1853110 1700 0 ) ( * 19890 )
NEW met1 ( 1781350 19890 ) ( 1853110 * )
NEW met2 ( 1781350 250580 ) ( 1786410 * 0 )
NEW met2 ( 1781350 19890 ) ( * 250580 )
NEW met1 ( 1853110 19890 ) M1M2_PR
NEW met1 ( 1781350 19890 ) M1M2_PR ;
- la_data_in[6] ( PIN la_data_in[6] ) ( mprj la_data_in[6] ) + USE SIGNAL
+ ROUTED met2 ( 731630 82800 ) ( 735770 * )
NEW met2 ( 735770 1700 0 ) ( * 82800 )
NEW met1 ( 731630 238850 ) ( 750030 * )
NEW met2 ( 750030 238850 ) ( * 250580 )
NEW met2 ( 750030 250580 ) ( 751410 * 0 )
NEW met2 ( 731630 82800 ) ( * 238850 )
NEW met1 ( 731630 238850 ) M1M2_PR
NEW met1 ( 750030 238850 ) M1M2_PR ;
- la_data_in[70] ( PIN la_data_in[70] ) ( mprj la_data_in[70] ) + USE SIGNAL
+ ROUTED met2 ( 1870590 1700 0 ) ( * 19550 )
NEW met1 ( 1801130 19550 ) ( 1870590 * )
NEW met2 ( 1801130 250580 ) ( 1802970 * 0 )
NEW met2 ( 1801130 19550 ) ( * 250580 )
NEW met1 ( 1801130 19550 ) M1M2_PR
NEW met1 ( 1870590 19550 ) M1M2_PR ;
- la_data_in[71] ( PIN la_data_in[71] ) ( mprj la_data_in[71] ) + USE SIGNAL
+ ROUTED met2 ( 1888530 1700 0 ) ( * 20230 )
NEW met1 ( 1814930 20230 ) ( 1888530 * )
NEW met2 ( 1814930 250580 ) ( 1819530 * 0 )
NEW met2 ( 1814930 20230 ) ( * 250580 )
NEW met1 ( 1814930 20230 ) M1M2_PR
NEW met1 ( 1888530 20230 ) M1M2_PR ;
- la_data_in[72] ( PIN la_data_in[72] ) ( mprj la_data_in[72] ) + USE SIGNAL
+ ROUTED met2 ( 1835630 238170 ) ( * 249220 )
NEW met2 ( 1835170 249220 ) ( 1835630 * )
NEW met2 ( 1835170 249220 ) ( * 250580 )
NEW met2 ( 1835170 250580 ) ( 1835630 * 0 )
NEW met2 ( 1906010 1700 0 ) ( * 17340 )
NEW met2 ( 1904630 17340 ) ( 1906010 * )
NEW met1 ( 1835630 238170 ) ( 1904630 * )
NEW met2 ( 1904630 17340 ) ( * 238170 )
NEW met1 ( 1835630 238170 ) M1M2_PR
NEW met1 ( 1904630 238170 ) M1M2_PR ;
- la_data_in[73] ( PIN la_data_in[73] ) ( mprj la_data_in[73] ) + USE SIGNAL
+ ROUTED met2 ( 1921650 1700 ) ( 1923950 * 0 )
NEW met2 ( 1921650 1700 ) ( * 3060 )
NEW met2 ( 1918430 3060 ) ( 1921650 * )
NEW met2 ( 1853570 239190 ) ( * 250580 )
NEW met2 ( 1852190 250580 0 ) ( 1853570 * )
NEW met2 ( 1918430 3060 ) ( * 239190 )
NEW met1 ( 1853570 239190 ) ( 1918430 * )
NEW met1 ( 1853570 239190 ) M1M2_PR
NEW met1 ( 1918430 239190 ) M1M2_PR ;
- la_data_in[74] ( PIN la_data_in[74] ) ( mprj la_data_in[74] ) + USE SIGNAL
+ ROUTED met2 ( 1939130 1700 ) ( 1941430 * 0 )
NEW met2 ( 1869210 237830 ) ( * 250580 )
NEW met2 ( 1868750 250580 0 ) ( 1869210 * )
NEW met2 ( 1939130 1700 ) ( * 237830 )
NEW met1 ( 1869210 237830 ) ( 1939130 * )
NEW met1 ( 1869210 237830 ) M1M2_PR
NEW met1 ( 1939130 237830 ) M1M2_PR ;
- la_data_in[75] ( PIN la_data_in[75] ) ( mprj la_data_in[75] ) + USE SIGNAL
+ ROUTED met2 ( 1953850 82800 ) ( 1959370 * )
NEW met2 ( 1953850 82800 ) ( * 238850 )
NEW met2 ( 1886690 238850 ) ( * 250580 )
NEW met2 ( 1885310 250580 0 ) ( 1886690 * )
NEW met1 ( 1886690 238850 ) ( 1953850 * )
NEW met2 ( 1959370 1700 0 ) ( * 82800 )
NEW met1 ( 1953850 238850 ) M1M2_PR
NEW met1 ( 1886690 238850 ) M1M2_PR ;
- la_data_in[76] ( PIN la_data_in[76] ) ( mprj la_data_in[76] ) + USE SIGNAL
+ ROUTED met2 ( 1903250 238510 ) ( * 250580 )
NEW met2 ( 1901410 250580 0 ) ( 1903250 * )
NEW met1 ( 1903250 238510 ) ( 1973630 * )
NEW met2 ( 1976850 1700 0 ) ( * 13800 )
NEW met2 ( 1973630 13800 ) ( 1976850 * )
NEW met2 ( 1973630 13800 ) ( * 238510 )
NEW met1 ( 1903250 238510 ) M1M2_PR
NEW met1 ( 1973630 238510 ) M1M2_PR ;
- la_data_in[77] ( PIN la_data_in[77] ) ( mprj la_data_in[77] ) + USE SIGNAL
+ ROUTED met2 ( 1917510 238170 ) ( * 250580 )
NEW met2 ( 1917510 250580 ) ( 1917970 * 0 )
NEW met1 ( 1917510 238170 ) ( 1995250 * )
NEW met2 ( 1994790 1700 0 ) ( * 13800 )
NEW met2 ( 1994790 13800 ) ( 1995250 * )
NEW met2 ( 1995250 13800 ) ( * 238170 )
NEW met1 ( 1917510 238170 ) M1M2_PR
NEW met1 ( 1995250 238170 ) M1M2_PR ;
- la_data_in[78] ( PIN la_data_in[78] ) ( mprj la_data_in[78] ) + USE SIGNAL
+ ROUTED met2 ( 1936370 239190 ) ( * 250580 )
NEW met2 ( 1934530 250580 0 ) ( 1936370 * )
NEW met1 ( 1936370 239190 ) ( 2008130 * )
NEW met2 ( 2012730 1700 0 ) ( * 13800 )
NEW met2 ( 2008130 13800 ) ( 2012730 * )
NEW met2 ( 2008130 13800 ) ( * 239190 )
NEW met1 ( 1936370 239190 ) M1M2_PR
NEW met1 ( 2008130 239190 ) M1M2_PR ;
- la_data_in[79] ( PIN la_data_in[79] ) ( mprj la_data_in[79] ) + USE SIGNAL
+ ROUTED met2 ( 1952010 237830 ) ( * 250580 )
NEW met2 ( 1950630 250580 0 ) ( 1952010 * )
NEW met2 ( 2028830 82800 ) ( 2030210 * )
NEW met2 ( 2028830 82800 ) ( * 237830 )
NEW met1 ( 1952010 237830 ) ( 2028830 * )
NEW met2 ( 2030210 1700 0 ) ( * 82800 )
NEW met1 ( 1952010 237830 ) M1M2_PR
NEW met1 ( 2028830 237830 ) M1M2_PR ;
- la_data_in[7] ( PIN la_data_in[7] ) ( mprj la_data_in[7] ) + USE SIGNAL
+ ROUTED met2 ( 766590 235110 ) ( * 250580 )
NEW met2 ( 766590 250580 ) ( 767970 * 0 )
NEW met2 ( 752330 82800 ) ( 753250 * )
NEW met2 ( 753250 1700 0 ) ( * 82800 )
NEW met2 ( 752330 82800 ) ( * 131100 )
NEW met2 ( 752330 131100 ) ( 752790 * )
NEW met2 ( 752790 131100 ) ( * 235110 )
NEW met1 ( 752790 235110 ) ( 766590 * )
NEW met1 ( 766590 235110 ) M1M2_PR
NEW met1 ( 752790 235110 ) M1M2_PR ;
- la_data_in[80] ( PIN la_data_in[80] ) ( mprj la_data_in[80] ) + USE SIGNAL
+ ROUTED met2 ( 2045850 1700 ) ( 2048150 * 0 )
NEW met2 ( 2045850 1700 ) ( * 3060 )
NEW met2 ( 2042630 3060 ) ( 2045850 * )
NEW met2 ( 2042630 3060 ) ( * 239530 )
NEW met2 ( 1966730 239530 ) ( * 250580 )
NEW met2 ( 1966730 250580 ) ( 1967190 * 0 )
NEW met1 ( 1966730 239530 ) ( 2042630 * )
NEW met1 ( 2042630 239530 ) M1M2_PR
NEW met1 ( 1966730 239530 ) M1M2_PR ;
- la_data_in[81] ( PIN la_data_in[81] ) ( mprj la_data_in[81] ) + USE SIGNAL
+ ROUTED met2 ( 2063330 1700 ) ( 2065630 * 0 )
NEW met2 ( 1985130 238510 ) ( * 250580 )
NEW met2 ( 1983750 250580 0 ) ( 1985130 * )
NEW met1 ( 1985130 238510 ) ( 2063330 * )
NEW met2 ( 2063330 1700 ) ( * 238510 )
NEW met1 ( 1985130 238510 ) M1M2_PR
NEW met1 ( 2063330 238510 ) M1M2_PR ;
- la_data_in[82] ( PIN la_data_in[82] ) ( mprj la_data_in[82] ) + USE SIGNAL
+ ROUTED met2 ( 2000770 238850 ) ( * 250580 )
NEW met2 ( 2000310 250580 0 ) ( 2000770 * )
NEW met2 ( 2078050 82800 ) ( 2083570 * )
NEW met2 ( 2083570 1700 0 ) ( * 82800 )
NEW met1 ( 2000770 238850 ) ( 2078050 * )
NEW met2 ( 2078050 82800 ) ( * 238850 )
NEW met1 ( 2000770 238850 ) M1M2_PR
NEW met1 ( 2078050 238850 ) M1M2_PR ;
- la_data_in[83] ( PIN la_data_in[83] ) ( mprj la_data_in[83] ) + USE SIGNAL
+ ROUTED met2 ( 2018250 238170 ) ( * 250580 )
NEW met2 ( 2016410 250580 0 ) ( 2018250 * )
NEW met2 ( 2097830 82800 ) ( 2101050 * )
NEW met2 ( 2101050 1700 0 ) ( * 82800 )
NEW met1 ( 2018250 238170 ) ( 2097830 * )
NEW met2 ( 2097830 82800 ) ( * 238170 )
NEW met1 ( 2018250 238170 ) M1M2_PR
NEW met1 ( 2097830 238170 ) M1M2_PR ;
- la_data_in[84] ( PIN la_data_in[84] ) ( mprj la_data_in[84] ) + USE SIGNAL
+ ROUTED met2 ( 2034810 237830 ) ( * 250580 )
NEW met2 ( 2032970 250580 0 ) ( 2034810 * )
NEW met2 ( 2118990 1700 0 ) ( * 34500 )
NEW met2 ( 2118990 34500 ) ( 2119450 * )
NEW met2 ( 2119450 34500 ) ( * 237830 )
NEW met1 ( 2034810 237830 ) ( 2119450 * )
NEW met1 ( 2034810 237830 ) M1M2_PR
NEW met1 ( 2119450 237830 ) M1M2_PR ;
- la_data_in[85] ( PIN la_data_in[85] ) ( mprj la_data_in[85] ) + USE SIGNAL
+ ROUTED met2 ( 2134170 1700 ) ( 2136470 * 0 )
NEW met2 ( 2049530 240550 ) ( * 249220 )
NEW met2 ( 2049070 249220 ) ( 2049530 * )
NEW met2 ( 2049070 249220 ) ( * 250580 )
NEW met2 ( 2049070 250580 ) ( 2049530 * 0 )
NEW met2 ( 2132330 82800 ) ( 2134170 * )
NEW met2 ( 2134170 1700 ) ( * 82800 )
NEW met2 ( 2132330 82800 ) ( * 240550 )
NEW met1 ( 2049530 240550 ) ( 2132330 * )
NEW met1 ( 2049530 240550 ) M1M2_PR
NEW met1 ( 2132330 240550 ) M1M2_PR ;
- la_data_in[86] ( PIN la_data_in[86] ) ( mprj la_data_in[86] ) + USE SIGNAL
+ ROUTED met2 ( 2154410 1700 0 ) ( * 17340 )
NEW met2 ( 2153030 17340 ) ( 2154410 * )
NEW met2 ( 2153030 17340 ) ( * 239190 )
NEW met2 ( 2067930 239190 ) ( * 250580 )
NEW met2 ( 2066090 250580 0 ) ( 2067930 * )
NEW met1 ( 2067930 239190 ) ( 2153030 * )
NEW met1 ( 2153030 239190 ) M1M2_PR
NEW met1 ( 2067930 239190 ) M1M2_PR ;
- la_data_in[87] ( PIN la_data_in[87] ) ( mprj la_data_in[87] ) + USE SIGNAL
+ ROUTED met2 ( 2170050 1700 ) ( 2172350 * 0 )
NEW met2 ( 2083570 240890 ) ( * 250580 )
NEW met2 ( 2082190 250580 0 ) ( 2083570 * )
NEW met2 ( 2166830 82800 ) ( 2170050 * )
NEW met2 ( 2170050 1700 ) ( * 82800 )
NEW met1 ( 2083570 240890 ) ( 2166830 * )
NEW met2 ( 2166830 82800 ) ( * 240890 )
NEW met1 ( 2083570 240890 ) M1M2_PR
NEW met1 ( 2166830 240890 ) M1M2_PR ;
- la_data_in[88] ( PIN la_data_in[88] ) ( mprj la_data_in[88] ) + USE SIGNAL
+ ROUTED met2 ( 2187530 1700 ) ( 2189830 * 0 )
NEW met2 ( 2100130 239870 ) ( * 250580 )
NEW met2 ( 2098750 250580 0 ) ( 2100130 * )
NEW met1 ( 2100130 239870 ) ( 2187530 * )
NEW met2 ( 2187530 1700 ) ( * 239870 )
NEW met1 ( 2100130 239870 ) M1M2_PR
NEW met1 ( 2187530 239870 ) M1M2_PR ;
- la_data_in[89] ( PIN la_data_in[89] ) ( mprj la_data_in[89] ) + USE SIGNAL
+ ROUTED met2 ( 2116690 239530 ) ( * 250580 )
NEW met2 ( 2115310 250580 0 ) ( 2116690 * )
NEW met2 ( 2202250 82800 ) ( 2207770 * )
NEW met2 ( 2207770 1700 0 ) ( * 82800 )
NEW met1 ( 2116690 239530 ) ( 2202250 * )
NEW met2 ( 2202250 82800 ) ( * 239530 )
NEW met1 ( 2116690 239530 ) M1M2_PR
NEW met1 ( 2202250 239530 ) M1M2_PR ;
- la_data_in[8] ( PIN la_data_in[8] ) ( mprj la_data_in[8] ) + USE SIGNAL
+ ROUTED met2 ( 768890 1700 ) ( 771190 * 0 )
NEW met2 ( 766590 82800 ) ( 768890 * )
NEW met2 ( 768890 1700 ) ( * 82800 )
NEW met2 ( 766590 82800 ) ( * 227700 )
NEW met2 ( 766590 227700 ) ( 767050 * )
NEW met2 ( 767050 227700 ) ( * 239190 )
NEW met1 ( 767050 239190 ) ( 782230 * )
NEW met2 ( 782230 239190 ) ( * 250580 )
NEW met2 ( 782230 250580 ) ( 784070 * 0 )
NEW met1 ( 767050 239190 ) M1M2_PR
NEW met1 ( 782230 239190 ) M1M2_PR ;
- la_data_in[90] ( PIN la_data_in[90] ) ( mprj la_data_in[90] ) + USE SIGNAL
+ ROUTED met2 ( 2131870 238510 ) ( * 250580 )
NEW met2 ( 2131410 250580 0 ) ( 2131870 * )
NEW met2 ( 2222030 82800 ) ( 2225250 * )
NEW met2 ( 2225250 1700 0 ) ( * 82800 )
NEW met2 ( 2222030 82800 ) ( * 238510 )
NEW met1 ( 2131870 238510 ) ( 2222030 * )
NEW met1 ( 2131870 238510 ) M1M2_PR
NEW met1 ( 2222030 238510 ) M1M2_PR ;
- la_data_in[91] ( PIN la_data_in[91] ) ( mprj la_data_in[91] ) + USE SIGNAL
+ ROUTED met2 ( 2149810 237830 ) ( * 250580 )
NEW met2 ( 2147970 250580 0 ) ( 2149810 * )
NEW met2 ( 2243190 1700 0 ) ( * 237830 )
NEW met1 ( 2149810 237830 ) ( 2243190 * )
NEW met1 ( 2149810 237830 ) M1M2_PR
NEW met1 ( 2243190 237830 ) M1M2_PR ;
- la_data_in[92] ( PIN la_data_in[92] ) ( mprj la_data_in[92] ) + USE SIGNAL
+ ROUTED met2 ( 2258370 1700 ) ( 2260670 * 0 )
NEW met2 ( 2166370 240550 ) ( * 250580 )
NEW met2 ( 2164530 250580 0 ) ( 2166370 * )
NEW met2 ( 2256530 82800 ) ( 2258370 * )
NEW met2 ( 2258370 1700 ) ( * 82800 )
NEW met1 ( 2166370 240550 ) ( 2256530 * )
NEW met2 ( 2256530 82800 ) ( * 240550 )
NEW met1 ( 2166370 240550 ) M1M2_PR
NEW met1 ( 2256530 240550 ) M1M2_PR ;
- la_data_in[93] ( PIN la_data_in[93] ) ( mprj la_data_in[93] ) + USE SIGNAL
+ ROUTED met2 ( 2182930 239190 ) ( * 250580 )
NEW met2 ( 2181090 250580 0 ) ( 2182930 * )
NEW met2 ( 2277230 82800 ) ( 2278610 * )
NEW met2 ( 2278610 1700 0 ) ( * 82800 )
NEW met1 ( 2182930 239190 ) ( 2277230 * )
NEW met2 ( 2277230 82800 ) ( * 239190 )
NEW met1 ( 2182930 239190 ) M1M2_PR
NEW met1 ( 2277230 239190 ) M1M2_PR ;
- la_data_in[94] ( PIN la_data_in[94] ) ( mprj la_data_in[94] ) + USE SIGNAL
+ ROUTED met2 ( 2198570 239870 ) ( * 250580 )
NEW met2 ( 2197190 250580 0 ) ( 2198570 * )
NEW met2 ( 2291030 82800 ) ( 2296090 * )
NEW met2 ( 2296090 1700 0 ) ( * 82800 )
NEW met1 ( 2198570 239870 ) ( 2291030 * )
NEW met2 ( 2291030 82800 ) ( * 239870 )
NEW met1 ( 2198570 239870 ) M1M2_PR
NEW met1 ( 2291030 239870 ) M1M2_PR ;
- la_data_in[95] ( PIN la_data_in[95] ) ( mprj la_data_in[95] ) + USE SIGNAL
+ ROUTED met2 ( 2311730 1700 ) ( 2314030 * 0 )
NEW met2 ( 2214670 238170 ) ( * 250580 )
NEW met2 ( 2213750 250580 0 ) ( 2214670 * )
NEW met2 ( 2311730 1700 ) ( * 238170 )
NEW met1 ( 2214670 238170 ) ( 2311730 * )
NEW met1 ( 2214670 238170 ) M1M2_PR
NEW met1 ( 2311730 238170 ) M1M2_PR ;
- la_data_in[96] ( PIN la_data_in[96] ) ( mprj la_data_in[96] ) + USE SIGNAL
+ ROUTED met2 ( 2329210 1700 ) ( 2331510 * 0 )
NEW met2 ( 2231690 240210 ) ( * 250580 )
NEW met2 ( 2230310 250580 0 ) ( 2231690 * )
NEW met2 ( 2326450 82800 ) ( 2329210 * )
NEW met2 ( 2329210 1700 ) ( * 82800 )
NEW met2 ( 2326450 82800 ) ( * 240210 )
NEW met1 ( 2231690 240210 ) ( 2326450 * )
NEW met1 ( 2231690 240210 ) M1M2_PR
NEW met1 ( 2326450 240210 ) M1M2_PR ;
- la_data_in[97] ( PIN la_data_in[97] ) ( mprj la_data_in[97] ) + USE SIGNAL
+ ROUTED met2 ( 2349450 1700 0 ) ( * 17340 )
NEW met2 ( 2346230 17340 ) ( 2349450 * )
NEW met2 ( 2248250 238510 ) ( * 250580 )
NEW met2 ( 2246410 250580 0 ) ( 2248250 * )
NEW met2 ( 2346230 17340 ) ( * 238510 )
NEW met1 ( 2248250 238510 ) ( 2346230 * )
NEW met1 ( 2248250 238510 ) M1M2_PR
NEW met1 ( 2346230 238510 ) M1M2_PR ;
- la_data_in[98] ( PIN la_data_in[98] ) ( mprj la_data_in[98] ) + USE SIGNAL
+ ROUTED met1 ( 2304600 237490 ) ( * 237830 )
NEW met1 ( 2262510 237490 ) ( 2304600 * )
NEW met2 ( 2262510 237490 ) ( * 250580 )
NEW met2 ( 2262510 250580 ) ( 2262970 * 0 )
NEW met2 ( 2367390 1700 0 ) ( * 34500 )
NEW met2 ( 2367390 34500 ) ( 2367850 * )
NEW met1 ( 2304600 237830 ) ( 2367850 * )
NEW met2 ( 2367850 34500 ) ( * 237830 )
NEW met1 ( 2262510 237490 ) M1M2_PR
NEW met1 ( 2367850 237830 ) M1M2_PR ;
- la_data_in[99] ( PIN la_data_in[99] ) ( mprj la_data_in[99] ) + USE SIGNAL
+ ROUTED met2 ( 2384870 1700 0 ) ( * 17510 )
NEW met1 ( 2377050 17510 ) ( 2384870 * )
NEW met1 ( 2291950 238850 ) ( * 239190 )
NEW met1 ( 2281370 238850 ) ( 2291950 * )
NEW met2 ( 2281370 238850 ) ( * 250580 )
NEW met2 ( 2279530 250580 0 ) ( 2281370 * )
NEW met1 ( 2291950 239190 ) ( 2377050 * )
NEW met2 ( 2377050 17510 ) ( * 239190 )
NEW met1 ( 2384870 17510 ) M1M2_PR
NEW met1 ( 2377050 17510 ) M1M2_PR
NEW met1 ( 2281370 238850 ) M1M2_PR
NEW met1 ( 2377050 239190 ) M1M2_PR ;
- la_data_in[9] ( PIN la_data_in[9] ) ( mprj la_data_in[9] ) + USE SIGNAL
+ ROUTED met2 ( 787290 82800 ) ( 789130 * )
NEW met2 ( 789130 1700 0 ) ( * 82800 )
NEW met1 ( 787290 239190 ) ( 801090 * )
NEW met2 ( 801090 239190 ) ( * 250580 )
NEW met2 ( 800630 250580 0 ) ( 801090 * )
NEW met2 ( 787290 82800 ) ( * 239190 )
NEW met1 ( 787290 239190 ) M1M2_PR
NEW met1 ( 801090 239190 ) M1M2_PR ;
- la_data_out[0] ( PIN la_data_out[0] ) ( mprj la_data_out[0] ) + USE SIGNAL
+ ROUTED met2 ( 635030 1700 0 ) ( * 16830 )
NEW met1 ( 635030 16830 ) ( 652510 * )
NEW met1 ( 652510 239190 ) ( 657110 * )
NEW met2 ( 657110 239190 ) ( * 250580 )
NEW met2 ( 657110 250580 ) ( 658490 * 0 )
NEW met2 ( 652510 16830 ) ( * 239190 )
NEW met1 ( 635030 16830 ) M1M2_PR
NEW met1 ( 652510 16830 ) M1M2_PR
NEW met1 ( 652510 239190 ) M1M2_PR
NEW met1 ( 657110 239190 ) M1M2_PR ;
- la_data_out[100] ( PIN la_data_out[100] ) ( mprj la_data_out[100] ) + USE SIGNAL
+ ROUTED met2 ( 2408790 1700 0 ) ( * 15130 )
NEW met1 ( 2383950 15130 ) ( 2408790 * )
NEW met2 ( 2302990 240890 ) ( * 250580 )
NEW met2 ( 2301610 250580 0 ) ( 2302990 * )
NEW met1 ( 2302990 240890 ) ( 2383950 * )
NEW met2 ( 2383950 15130 ) ( * 240890 )
NEW met1 ( 2408790 15130 ) M1M2_PR
NEW met1 ( 2383950 15130 ) M1M2_PR
NEW met1 ( 2302990 240890 ) M1M2_PR
NEW met1 ( 2383950 240890 ) M1M2_PR ;
- la_data_out[101] ( PIN la_data_out[101] ) ( mprj la_data_out[101] ) + USE SIGNAL
+ ROUTED met2 ( 2426270 1700 0 ) ( * 17510 )
NEW met2 ( 2318170 241230 ) ( * 250580 )
NEW met2 ( 2317710 250580 0 ) ( 2318170 * )
NEW met1 ( 2391310 17510 ) ( 2426270 * )
NEW met2 ( 2390850 82800 ) ( 2391310 * )
NEW met2 ( 2391310 17510 ) ( * 82800 )
NEW met2 ( 2390850 82800 ) ( * 227700 )
NEW met2 ( 2390390 227700 ) ( * 241230 )
NEW met2 ( 2390390 227700 ) ( 2390850 * )
NEW met1 ( 2318170 241230 ) ( 2390390 * )
NEW met1 ( 2426270 17510 ) M1M2_PR
NEW met1 ( 2318170 241230 ) M1M2_PR
NEW met1 ( 2391310 17510 ) M1M2_PR
NEW met1 ( 2390390 241230 ) M1M2_PR ;
- la_data_out[102] ( PIN la_data_out[102] ) ( mprj la_data_out[102] ) + USE SIGNAL
+ ROUTED met2 ( 2444210 1700 0 ) ( * 24650 )
NEW met2 ( 2332430 250580 ) ( 2334270 * 0 )
NEW met2 ( 2332430 24650 ) ( * 250580 )
NEW met1 ( 2332430 24650 ) ( 2444210 * )
NEW met1 ( 2332430 24650 ) M1M2_PR
NEW met1 ( 2444210 24650 ) M1M2_PR ;
- la_data_out[103] ( PIN la_data_out[103] ) ( mprj la_data_out[103] ) + USE SIGNAL
+ ROUTED met2 ( 2346690 250580 ) ( 2350830 * 0 )
NEW met2 ( 2346690 25670 ) ( * 250580 )
NEW met2 ( 2461690 1700 0 ) ( * 25670 )
NEW met1 ( 2346690 25670 ) ( 2461690 * )
NEW met1 ( 2346690 25670 ) M1M2_PR
NEW met1 ( 2461690 25670 ) M1M2_PR ;
- la_data_out[104] ( PIN la_data_out[104] ) ( mprj la_data_out[104] ) + USE SIGNAL
+ ROUTED met2 ( 2479630 1700 0 ) ( * 26010 )
NEW met1 ( 2366930 26010 ) ( 2479630 * )
NEW met2 ( 2366930 82800 ) ( 2367390 * )
NEW met2 ( 2366930 26010 ) ( * 82800 )
NEW met2 ( 2366930 250580 0 ) ( 2367390 * )
NEW met2 ( 2367390 82800 ) ( * 250580 )
NEW met1 ( 2366930 26010 ) M1M2_PR
NEW met1 ( 2479630 26010 ) M1M2_PR ;
- la_data_out[105] ( PIN la_data_out[105] ) ( mprj la_data_out[105] ) + USE SIGNAL
+ ROUTED met2 ( 2497110 1700 0 ) ( * 24310 )
NEW met1 ( 2380730 24310 ) ( 2497110 * )
NEW met2 ( 2380730 250580 ) ( 2383490 * 0 )
NEW met2 ( 2380730 24310 ) ( * 250580 )
NEW met1 ( 2380730 24310 ) M1M2_PR
NEW met1 ( 2497110 24310 ) M1M2_PR ;
- la_data_out[106] ( PIN la_data_out[106] ) ( mprj la_data_out[106] ) + USE SIGNAL
+ ROUTED met2 ( 2515050 1700 0 ) ( * 23970 )
NEW met1 ( 2394990 23970 ) ( 2515050 * )
NEW met2 ( 2394990 23970 ) ( * 227700 )
NEW met2 ( 2394990 227700 ) ( 2395450 * )
NEW met2 ( 2395450 227700 ) ( * 250580 )
NEW met2 ( 2395450 250580 ) ( 2400050 * 0 )
NEW met1 ( 2515050 23970 ) M1M2_PR
NEW met1 ( 2394990 23970 ) M1M2_PR ;
- la_data_out[107] ( PIN la_data_out[107] ) ( mprj la_data_out[107] ) + USE SIGNAL
+ ROUTED met2 ( 2532530 1700 0 ) ( 2533450 * )
NEW met2 ( 2416150 239870 ) ( * 250580 )
NEW met2 ( 2416150 250580 ) ( 2416610 * 0 )
NEW met2 ( 2533450 1700 ) ( * 239870 )
NEW met1 ( 2416150 239870 ) ( 2533450 * )
NEW met1 ( 2416150 239870 ) M1M2_PR
NEW met1 ( 2533450 239870 ) M1M2_PR ;
- la_data_out[108] ( PIN la_data_out[108] ) ( mprj la_data_out[108] ) + USE SIGNAL
+ ROUTED met2 ( 2429030 250580 ) ( 2432710 * 0 )
NEW met2 ( 2429030 17510 ) ( * 250580 )
NEW met2 ( 2550470 1700 0 ) ( * 17510 )
NEW met1 ( 2429030 17510 ) ( 2550470 * )
NEW met1 ( 2429030 17510 ) M1M2_PR
NEW met1 ( 2550470 17510 ) M1M2_PR ;
- la_data_out[109] ( PIN la_data_out[109] ) ( mprj la_data_out[109] ) + USE SIGNAL
+ ROUTED met2 ( 2442830 25330 ) ( * 227700 )
NEW met2 ( 2442830 227700 ) ( 2444210 * )
NEW met2 ( 2444210 227700 ) ( * 250580 )
NEW met2 ( 2444210 250580 ) ( 2449270 * 0 )
NEW met2 ( 2567950 1700 0 ) ( * 25330 )
NEW met1 ( 2442830 25330 ) ( 2567950 * )
NEW met1 ( 2442830 25330 ) M1M2_PR
NEW met1 ( 2567950 25330 ) M1M2_PR ;
- la_data_out[10] ( PIN la_data_out[10] ) ( mprj la_data_out[10] ) + USE SIGNAL
+ ROUTED met2 ( 810290 1700 ) ( 812590 * 0 )
NEW met2 ( 807530 82800 ) ( 810290 * )
NEW met2 ( 810290 1700 ) ( * 82800 )
NEW met2 ( 807530 82800 ) ( * 131100 )
NEW met2 ( 807530 131100 ) ( 807990 * )
NEW met1 ( 807990 239530 ) ( 821330 * )
NEW met2 ( 821330 239530 ) ( * 250580 )
NEW met2 ( 821330 250580 ) ( 822710 * 0 )
NEW met2 ( 807990 131100 ) ( * 239530 )
NEW met1 ( 807990 239530 ) M1M2_PR
NEW met1 ( 821330 239530 ) M1M2_PR ;
- la_data_out[110] ( PIN la_data_out[110] ) ( mprj la_data_out[110] ) + USE SIGNAL
+ ROUTED met2 ( 2585890 1700 0 ) ( * 19890 )
NEW met1 ( 2463530 19890 ) ( 2585890 * )
NEW met2 ( 2463530 250580 ) ( 2465830 * 0 )
NEW met2 ( 2463530 19890 ) ( * 250580 )
NEW met1 ( 2463530 19890 ) M1M2_PR
NEW met1 ( 2585890 19890 ) M1M2_PR ;
- la_data_out[111] ( PIN la_data_out[111] ) ( mprj la_data_out[111] ) + USE SIGNAL
+ ROUTED met2 ( 2603830 1700 0 ) ( * 24650 )
NEW met1 ( 2477330 24650 ) ( 2603830 * )
NEW met2 ( 2477330 250580 ) ( 2481930 * 0 )
NEW met2 ( 2477330 24650 ) ( * 250580 )
NEW met1 ( 2603830 24650 ) M1M2_PR
NEW met1 ( 2477330 24650 ) M1M2_PR ;
- la_data_out[112] ( PIN la_data_out[112] ) ( mprj la_data_out[112] ) + USE SIGNAL
+ ROUTED met2 ( 2621310 1700 0 ) ( * 19210 )
NEW met2 ( 2498030 250580 ) ( 2498490 * 0 )
NEW met2 ( 2498030 19210 ) ( * 250580 )
NEW met1 ( 2498030 19210 ) ( 2621310 * )
NEW met1 ( 2498030 19210 ) M1M2_PR
NEW met1 ( 2621310 19210 ) M1M2_PR ;
- la_data_out[113] ( PIN la_data_out[113] ) ( mprj la_data_out[113] ) + USE SIGNAL
+ ROUTED met2 ( 2639250 1700 0 ) ( * 24990 )
NEW met2 ( 2511830 250580 ) ( 2515050 * 0 )
NEW met2 ( 2511830 24990 ) ( * 250580 )
NEW met1 ( 2511830 24990 ) ( 2639250 * )
NEW met1 ( 2511830 24990 ) M1M2_PR
NEW met1 ( 2639250 24990 ) M1M2_PR ;
- la_data_out[114] ( PIN la_data_out[114] ) ( mprj la_data_out[114] ) + USE SIGNAL
+ ROUTED met2 ( 2526550 24310 ) ( * 227700 )
NEW met2 ( 2526550 227700 ) ( 2527010 * )
NEW met2 ( 2527010 227700 ) ( * 250580 )
NEW met2 ( 2527010 250580 ) ( 2531610 * 0 )
NEW met2 ( 2656730 1700 0 ) ( * 24310 )
NEW met1 ( 2526550 24310 ) ( 2656730 * )
NEW met1 ( 2526550 24310 ) M1M2_PR
NEW met1 ( 2656730 24310 ) M1M2_PR ;
- la_data_out[115] ( PIN la_data_out[115] ) ( mprj la_data_out[115] ) + USE SIGNAL
+ ROUTED met2 ( 2674670 1700 0 ) ( * 25670 )
NEW met1 ( 2546330 25670 ) ( 2674670 * )
NEW met2 ( 2546330 250580 ) ( 2547710 * 0 )
NEW met2 ( 2546330 25670 ) ( * 250580 )
NEW met1 ( 2546330 25670 ) M1M2_PR
NEW met1 ( 2674670 25670 ) M1M2_PR ;
- la_data_out[116] ( PIN la_data_out[116] ) ( mprj la_data_out[116] ) + USE SIGNAL
+ ROUTED met2 ( 2692150 1700 0 ) ( * 17510 )
NEW met1 ( 2560590 17510 ) ( 2692150 * )
NEW met2 ( 2560590 250580 ) ( 2564270 * 0 )
NEW met2 ( 2560590 17510 ) ( * 250580 )
NEW met1 ( 2692150 17510 ) M1M2_PR
NEW met1 ( 2560590 17510 ) M1M2_PR ;
- la_data_out[117] ( PIN la_data_out[117] ) ( mprj la_data_out[117] ) + USE SIGNAL
+ ROUTED met2 ( 2710090 1700 0 ) ( * 27370 )
NEW met1 ( 2580830 27370 ) ( 2710090 * )
NEW met2 ( 2580830 27370 ) ( * 227700 )
NEW met2 ( 2580830 227700 ) ( 2581290 * )
NEW met2 ( 2581290 227700 ) ( * 250580 )
NEW met2 ( 2580830 250580 0 ) ( 2581290 * )
NEW met1 ( 2710090 27370 ) M1M2_PR
NEW met1 ( 2580830 27370 ) M1M2_PR ;
- la_data_out[118] ( PIN la_data_out[118] ) ( mprj la_data_out[118] ) + USE SIGNAL
+ ROUTED met2 ( 2727570 1700 0 ) ( * 19890 )
NEW met1 ( 2598770 236810 ) ( 2611650 * )
NEW met2 ( 2598770 236810 ) ( * 250580 )
NEW met2 ( 2596930 250580 0 ) ( 2598770 * )
NEW met2 ( 2611650 19890 ) ( * 236810 )
NEW met1 ( 2611650 19890 ) ( 2727570 * )
NEW met1 ( 2611650 19890 ) M1M2_PR
NEW met1 ( 2727570 19890 ) M1M2_PR
NEW met1 ( 2611650 236810 ) M1M2_PR
NEW met1 ( 2598770 236810 ) M1M2_PR ;
- la_data_out[119] ( PIN la_data_out[119] ) ( mprj la_data_out[119] ) + USE SIGNAL
+ ROUTED met2 ( 2614870 236470 ) ( * 250580 )
NEW met2 ( 2613490 250580 0 ) ( 2614870 * )
NEW met2 ( 2745510 1700 0 ) ( * 20230 )
NEW met1 ( 2646150 20230 ) ( 2745510 * )
NEW met1 ( 2614870 236470 ) ( 2646150 * )
NEW met2 ( 2646150 20230 ) ( * 236470 )
NEW met1 ( 2614870 236470 ) M1M2_PR
NEW met1 ( 2646150 20230 ) M1M2_PR
NEW met1 ( 2745510 20230 ) M1M2_PR
NEW met1 ( 2646150 236470 ) M1M2_PR ;
- la_data_out[11] ( PIN la_data_out[11] ) ( mprj la_data_out[11] ) + USE SIGNAL
+ ROUTED met2 ( 830530 1700 0 ) ( * 6460 )
NEW met2 ( 828230 6460 ) ( 830530 * )
NEW met1 ( 828230 236810 ) ( 837430 * )
NEW met2 ( 837430 236810 ) ( * 250580 )
NEW met2 ( 837430 250580 ) ( 838810 * 0 )
NEW met2 ( 828230 6460 ) ( * 236810 )
NEW met1 ( 828230 236810 ) M1M2_PR
NEW met1 ( 837430 236810 ) M1M2_PR ;
- la_data_out[120] ( PIN la_data_out[120] ) ( mprj la_data_out[120] ) + USE SIGNAL
+ ROUTED met2 ( 2630050 27030 ) ( * 227700 )
NEW met2 ( 2630050 227700 ) ( 2630510 * )
NEW met2 ( 2630510 227700 ) ( * 250580 )
NEW met2 ( 2630050 250580 0 ) ( 2630510 * )
NEW met2 ( 2763450 1700 0 ) ( * 27030 )
NEW met1 ( 2630050 27030 ) ( 2763450 * )
NEW met1 ( 2630050 27030 ) M1M2_PR
NEW met1 ( 2763450 27030 ) M1M2_PR ;
- la_data_out[121] ( PIN la_data_out[121] ) ( mprj la_data_out[121] ) + USE SIGNAL
+ ROUTED met2 ( 2780930 1700 0 ) ( * 25330 )
NEW met1 ( 2642930 25330 ) ( 2780930 * )
NEW met2 ( 2642930 250580 ) ( 2646610 * 0 )
NEW met2 ( 2642930 25330 ) ( * 250580 )
NEW met1 ( 2642930 25330 ) M1M2_PR
NEW met1 ( 2780930 25330 ) M1M2_PR ;
- la_data_out[122] ( PIN la_data_out[122] ) ( mprj la_data_out[122] ) + USE SIGNAL
+ ROUTED met2 ( 2798870 1700 0 ) ( * 26010 )
NEW met1 ( 2657650 26010 ) ( 2798870 * )
NEW met2 ( 2657650 250580 ) ( 2662710 * 0 )
NEW met2 ( 2657650 26010 ) ( * 250580 )
NEW met1 ( 2798870 26010 ) M1M2_PR
NEW met1 ( 2657650 26010 ) M1M2_PR ;
- la_data_out[123] ( PIN la_data_out[123] ) ( mprj la_data_out[123] ) + USE SIGNAL
+ ROUTED met2 ( 2815430 1700 ) ( 2816350 * 0 )
NEW met2 ( 2815430 1700 ) ( * 238510 )
NEW met2 ( 2680650 238510 ) ( * 250580 )
NEW met2 ( 2679270 250580 0 ) ( 2680650 * )
NEW met1 ( 2680650 238510 ) ( 2815430 * )
NEW met1 ( 2815430 238510 ) M1M2_PR
NEW met1 ( 2680650 238510 ) M1M2_PR ;
- la_data_out[124] ( PIN la_data_out[124] ) ( mprj la_data_out[124] ) + USE SIGNAL
+ ROUTED met2 ( 2834290 1700 0 ) ( * 24990 )
NEW met2 ( 2691230 250580 ) ( 2695830 * 0 )
NEW met2 ( 2691230 24990 ) ( * 250580 )
NEW met1 ( 2691230 24990 ) ( 2834290 * )
NEW met1 ( 2691230 24990 ) M1M2_PR
NEW met1 ( 2834290 24990 ) M1M2_PR ;
- la_data_out[125] ( PIN la_data_out[125] ) ( mprj la_data_out[125] ) + USE SIGNAL
+ ROUTED met2 ( 2712390 25670 ) ( * 227700 )
NEW met2 ( 2712390 227700 ) ( 2712850 * )
NEW met2 ( 2712850 227700 ) ( * 250580 )
NEW met2 ( 2712390 250580 0 ) ( 2712850 * )
NEW met2 ( 2851770 1700 0 ) ( * 25670 )
NEW met1 ( 2712390 25670 ) ( 2851770 * )
NEW met1 ( 2712390 25670 ) M1M2_PR
NEW met1 ( 2851770 25670 ) M1M2_PR ;
- la_data_out[126] ( PIN la_data_out[126] ) ( mprj la_data_out[126] ) + USE SIGNAL
+ ROUTED met2 ( 2725730 250580 ) ( 2728490 * 0 )
NEW met2 ( 2725730 17510 ) ( * 250580 )
NEW met2 ( 2869710 1700 0 ) ( * 17510 )
NEW met1 ( 2725730 17510 ) ( 2869710 * )
NEW met1 ( 2725730 17510 ) M1M2_PR
NEW met1 ( 2869710 17510 ) M1M2_PR ;
- la_data_out[127] ( PIN la_data_out[127] ) ( mprj la_data_out[127] ) + USE SIGNAL
+ ROUTED met2 ( 2887190 1700 0 ) ( * 19210 )
NEW met1 ( 2756550 19210 ) ( 2887190 * )
NEW met1 ( 2745970 239190 ) ( 2756550 * )
NEW met2 ( 2745970 239190 ) ( * 250580 )
NEW met2 ( 2745050 250580 0 ) ( 2745970 * )
NEW met2 ( 2756550 19210 ) ( * 239190 )
NEW met1 ( 2887190 19210 ) M1M2_PR
NEW met1 ( 2756550 19210 ) M1M2_PR
NEW met1 ( 2756550 239190 ) M1M2_PR
NEW met1 ( 2745970 239190 ) M1M2_PR ;
- la_data_out[12] ( PIN la_data_out[12] ) ( mprj la_data_out[12] ) + USE SIGNAL
+ ROUTED met2 ( 848010 1700 0 ) ( * 16660 )
NEW met2 ( 848010 16660 ) ( 849390 * )
NEW met2 ( 849390 16660 ) ( * 227700 )
NEW met2 ( 849390 227700 ) ( 850310 * )
NEW met2 ( 850310 227700 ) ( * 250580 )
NEW met2 ( 850310 250580 ) ( 855370 * 0 ) ;
- la_data_out[13] ( PIN la_data_out[13] ) ( mprj la_data_out[13] ) + USE SIGNAL
+ ROUTED met2 ( 864110 1700 ) ( 865950 * 0 )
NEW met2 ( 864110 1700 ) ( * 17510 )
NEW met1 ( 862730 17510 ) ( 864110 * )
NEW met1 ( 862730 236130 ) ( 870550 * )
NEW met2 ( 870550 236130 ) ( * 250580 )
NEW met2 ( 870550 250580 ) ( 871930 * 0 )
NEW met2 ( 862730 17510 ) ( * 236130 )
NEW met1 ( 864110 17510 ) M1M2_PR
NEW met1 ( 862730 17510 ) M1M2_PR
NEW met1 ( 862730 236130 ) M1M2_PR
NEW met1 ( 870550 236130 ) M1M2_PR ;
- la_data_out[14] ( PIN la_data_out[14] ) ( mprj la_data_out[14] ) + USE SIGNAL
+ ROUTED met2 ( 883430 250580 ) ( 888490 * 0 )
NEW met2 ( 883430 1700 0 ) ( * 250580 ) ;
- la_data_out[15] ( PIN la_data_out[15] ) ( mprj la_data_out[15] ) + USE SIGNAL
+ ROUTED met2 ( 901370 1700 0 ) ( * 16660 )
NEW met2 ( 897230 16660 ) ( 901370 * )
NEW met2 ( 897230 16660 ) ( * 234770 )
NEW met2 ( 904130 234770 ) ( * 250580 )
NEW met2 ( 904130 250580 ) ( 904590 * 0 )
NEW met1 ( 897230 234770 ) ( 904130 * )
NEW met1 ( 897230 234770 ) M1M2_PR
NEW met1 ( 904130 234770 ) M1M2_PR ;
- la_data_out[16] ( PIN la_data_out[16] ) ( mprj la_data_out[16] ) + USE SIGNAL
+ ROUTED met2 ( 917930 82800 ) ( 918850 * )
NEW met2 ( 918850 1700 0 ) ( * 82800 )
NEW met2 ( 917930 250580 ) ( 921150 * 0 )
NEW met2 ( 917930 82800 ) ( * 250580 ) ;
- la_data_out[17] ( PIN la_data_out[17] ) ( mprj la_data_out[17] ) + USE SIGNAL
+ ROUTED met2 ( 934950 1700 ) ( 936790 * 0 )
NEW met2 ( 934950 1700 ) ( * 17510 )
NEW met1 ( 931730 17510 ) ( 934950 * )
NEW met1 ( 931730 239190 ) ( 935870 * )
NEW met2 ( 935870 239190 ) ( * 250580 )
NEW met2 ( 935870 250580 ) ( 937710 * 0 )
NEW met2 ( 931730 17510 ) ( * 239190 )
NEW met1 ( 934950 17510 ) M1M2_PR
NEW met1 ( 931730 17510 ) M1M2_PR
NEW met1 ( 931730 239190 ) M1M2_PR
NEW met1 ( 935870 239190 ) M1M2_PR ;
- la_data_out[18] ( PIN la_data_out[18] ) ( mprj la_data_out[18] ) + USE SIGNAL
+ ROUTED met2 ( 952430 1700 ) ( 954270 * 0 )
NEW met2 ( 952430 250580 ) ( 953810 * 0 )
NEW met2 ( 952430 1700 ) ( * 250580 ) ;
- la_data_out[19] ( PIN la_data_out[19] ) ( mprj la_data_out[19] ) + USE SIGNAL
+ ROUTED met2 ( 966690 82800 ) ( 972210 * )
NEW met2 ( 972210 1700 0 ) ( * 82800 )
NEW met2 ( 966690 250580 ) ( 970370 * 0 )
NEW met2 ( 966690 82800 ) ( * 250580 ) ;
- la_data_out[1] ( PIN la_data_out[1] ) ( mprj la_data_out[1] ) + USE SIGNAL
+ ROUTED met2 ( 669990 250580 ) ( 674590 * 0 )
NEW met2 ( 669990 17850 ) ( * 250580 )
NEW met2 ( 652970 1700 0 ) ( * 17850 )
NEW met1 ( 652970 17850 ) ( 669990 * )
NEW met1 ( 669990 17850 ) M1M2_PR
NEW met1 ( 652970 17850 ) M1M2_PR ;
- la_data_out[20] ( PIN la_data_out[20] ) ( mprj la_data_out[20] ) + USE SIGNAL
+ ROUTED met2 ( 989690 1700 0 ) ( * 17340 )
NEW met2 ( 986930 17340 ) ( 989690 * )
NEW met2 ( 986930 239020 ) ( 987390 * )
NEW met2 ( 987390 239020 ) ( * 250580 )
NEW met2 ( 986930 250580 0 ) ( 987390 * )
NEW met2 ( 986930 17340 ) ( * 239020 ) ;
- la_data_out[21] ( PIN la_data_out[21] ) ( mprj la_data_out[21] ) + USE SIGNAL
+ ROUTED met2 ( 1007630 1700 0 ) ( * 17850 )
NEW met1 ( 1001190 17850 ) ( 1007630 * )
NEW met2 ( 1001190 250580 ) ( 1003490 * 0 )
NEW met2 ( 1001190 17850 ) ( * 250580 )
NEW met1 ( 1007630 17850 ) M1M2_PR
NEW met1 ( 1001190 17850 ) M1M2_PR ;
- la_data_out[22] ( PIN la_data_out[22] ) ( mprj la_data_out[22] ) + USE SIGNAL
+ ROUTED met2 ( 1025570 1700 0 ) ( * 17340 )
NEW met2 ( 1021430 17340 ) ( 1025570 * )
NEW met2 ( 1020970 239020 ) ( 1021430 * )
NEW met2 ( 1020970 239020 ) ( * 250580 )
NEW met2 ( 1019590 250580 0 ) ( 1020970 * )
NEW met2 ( 1021430 17340 ) ( * 239020 ) ;
- la_data_out[23] ( PIN la_data_out[23] ) ( mprj la_data_out[23] ) + USE SIGNAL
+ ROUTED met2 ( 1043050 1700 0 ) ( * 17340 )
NEW met2 ( 1042130 17340 ) ( 1043050 * )
NEW met1 ( 1037530 237150 ) ( 1042130 * )
NEW met2 ( 1037530 237150 ) ( * 250580 )
NEW met2 ( 1036150 250580 0 ) ( 1037530 * )
NEW met2 ( 1042130 17340 ) ( * 237150 )
NEW met1 ( 1042130 237150 ) M1M2_PR
NEW met1 ( 1037530 237150 ) M1M2_PR ;
- la_data_out[24] ( PIN la_data_out[24] ) ( mprj la_data_out[24] ) + USE SIGNAL
+ ROUTED met2 ( 1060990 1700 0 ) ( * 18190 )
NEW met1 ( 1049030 18190 ) ( 1060990 * )
NEW met2 ( 1049030 250580 ) ( 1052710 * 0 )
NEW met2 ( 1049030 18190 ) ( * 250580 )
NEW met1 ( 1060990 18190 ) M1M2_PR
NEW met1 ( 1049030 18190 ) M1M2_PR ;
- la_data_out[25] ( PIN la_data_out[25] ) ( mprj la_data_out[25] ) + USE SIGNAL
+ ROUTED met2 ( 1078470 1700 0 ) ( * 18190 )
NEW met1 ( 1063290 18190 ) ( 1078470 * )
NEW met2 ( 1063290 18190 ) ( * 227700 )
NEW met2 ( 1063290 227700 ) ( 1065590 * )
NEW met2 ( 1065590 227700 ) ( * 250580 )
NEW met2 ( 1065590 250580 ) ( 1069270 * 0 )
NEW met1 ( 1078470 18190 ) M1M2_PR
NEW met1 ( 1063290 18190 ) M1M2_PR ;
- la_data_out[26] ( PIN la_data_out[26] ) ( mprj la_data_out[26] ) + USE SIGNAL
+ ROUTED met2 ( 1096410 1700 0 ) ( * 17510 )
NEW met1 ( 1083530 17510 ) ( 1096410 * )
NEW met2 ( 1083530 250580 ) ( 1085370 * 0 )
NEW met2 ( 1083530 17510 ) ( * 250580 )
NEW met1 ( 1096410 17510 ) M1M2_PR
NEW met1 ( 1083530 17510 ) M1M2_PR ;
- la_data_out[27] ( PIN la_data_out[27] ) ( mprj la_data_out[27] ) + USE SIGNAL
+ ROUTED met2 ( 1113890 1700 0 ) ( * 16830 )
NEW met1 ( 1097330 16830 ) ( 1113890 * )
NEW met2 ( 1097330 250580 ) ( 1101930 * 0 )
NEW met2 ( 1097330 16830 ) ( * 250580 )
NEW met1 ( 1113890 16830 ) M1M2_PR
NEW met1 ( 1097330 16830 ) M1M2_PR ;
- la_data_out[28] ( PIN la_data_out[28] ) ( mprj la_data_out[28] ) + USE SIGNAL
+ ROUTED met2 ( 1131830 1700 0 ) ( * 16490 )
NEW met1 ( 1118490 16490 ) ( 1131830 * )
NEW met2 ( 1118490 16490 ) ( * 227700 )
NEW met2 ( 1118490 227700 ) ( 1118950 * )
NEW met2 ( 1118950 227700 ) ( * 250580 )
NEW met2 ( 1118490 250580 0 ) ( 1118950 * )
NEW met1 ( 1131830 16490 ) M1M2_PR
NEW met1 ( 1118490 16490 ) M1M2_PR ;
- la_data_out[29] ( PIN la_data_out[29] ) ( mprj la_data_out[29] ) + USE SIGNAL
+ ROUTED met2 ( 1149310 1700 0 ) ( * 20230 )
NEW met1 ( 1132290 20230 ) ( 1149310 * )
NEW met2 ( 1131830 82800 ) ( 1132290 * )
NEW met2 ( 1132290 20230 ) ( * 82800 )
NEW met2 ( 1131830 250580 ) ( 1134590 * 0 )
NEW met2 ( 1131830 82800 ) ( * 250580 )
NEW met1 ( 1149310 20230 ) M1M2_PR
NEW met1 ( 1132290 20230 ) M1M2_PR ;
- la_data_out[2] ( PIN la_data_out[2] ) ( mprj la_data_out[2] ) + USE SIGNAL
+ ROUTED met2 ( 669530 1700 ) ( 670910 * 0 )
NEW met1 ( 669530 239190 ) ( 690230 * )
NEW met2 ( 690230 239190 ) ( * 250580 )
NEW met2 ( 690230 250580 ) ( 691150 * 0 )
NEW met2 ( 669530 1700 ) ( * 239190 )
NEW met1 ( 669530 239190 ) M1M2_PR
NEW met1 ( 690230 239190 ) M1M2_PR ;
- la_data_out[30] ( PIN la_data_out[30] ) ( mprj la_data_out[30] ) + USE SIGNAL
+ ROUTED met2 ( 1167250 1700 0 ) ( * 17340 )
NEW met2 ( 1166330 17340 ) ( 1167250 * )
NEW met1 ( 1152070 239530 ) ( 1166330 * )
NEW met2 ( 1152070 239530 ) ( * 250580 )
NEW met2 ( 1151150 250580 0 ) ( 1152070 * )
NEW met2 ( 1166330 17340 ) ( * 239530 )
NEW met1 ( 1166330 239530 ) M1M2_PR
NEW met1 ( 1152070 239530 ) M1M2_PR ;
- la_data_out[31] ( PIN la_data_out[31] ) ( mprj la_data_out[31] ) + USE SIGNAL
+ ROUTED met2 ( 1185190 1700 0 ) ( * 17850 )
NEW met1 ( 1166790 17850 ) ( 1185190 * )
NEW met2 ( 1166790 250580 ) ( 1167710 * 0 )
NEW met2 ( 1166790 17850 ) ( * 250580 )
NEW met1 ( 1185190 17850 ) M1M2_PR
NEW met1 ( 1166790 17850 ) M1M2_PR ;
- la_data_out[32] ( PIN la_data_out[32] ) ( mprj la_data_out[32] ) + USE SIGNAL
+ ROUTED met2 ( 1185650 236470 ) ( * 250580 )
NEW met2 ( 1184270 250580 0 ) ( 1185650 * )
NEW met2 ( 1200830 1700 ) ( 1202670 * 0 )
NEW met1 ( 1185650 236470 ) ( 1200830 * )
NEW met2 ( 1200830 1700 ) ( * 236470 )
NEW met1 ( 1185650 236470 ) M1M2_PR
NEW met1 ( 1200830 236470 ) M1M2_PR ;
- la_data_out[33] ( PIN la_data_out[33] ) ( mprj la_data_out[33] ) + USE SIGNAL
+ ROUTED met2 ( 1220610 1700 0 ) ( * 17510 )
NEW met1 ( 1204050 17510 ) ( 1220610 * )
NEW met1 ( 1199910 238170 ) ( 1204050 * )
NEW met2 ( 1199910 238170 ) ( * 250580 )
NEW met2 ( 1199910 250580 ) ( 1200370 * 0 )
NEW met2 ( 1204050 17510 ) ( * 238170 )
NEW met1 ( 1220610 17510 ) M1M2_PR
NEW met1 ( 1204050 17510 ) M1M2_PR
NEW met1 ( 1204050 238170 ) M1M2_PR
NEW met1 ( 1199910 238170 ) M1M2_PR ;
- la_data_out[34] ( PIN la_data_out[34] ) ( mprj la_data_out[34] ) + USE SIGNAL
+ ROUTED met2 ( 1238090 1700 0 ) ( * 17510 )
NEW met1 ( 1231650 17510 ) ( 1238090 * )
NEW met1 ( 1218770 238170 ) ( 1231650 * )
NEW met2 ( 1218770 238170 ) ( * 250580 )
NEW met2 ( 1216930 250580 0 ) ( 1218770 * )
NEW met2 ( 1231650 17510 ) ( * 238170 )
NEW met1 ( 1238090 17510 ) M1M2_PR
NEW met1 ( 1231650 17510 ) M1M2_PR
NEW met1 ( 1231650 238170 ) M1M2_PR
NEW met1 ( 1218770 238170 ) M1M2_PR ;
- la_data_out[35] ( PIN la_data_out[35] ) ( mprj la_data_out[35] ) + USE SIGNAL
+ ROUTED met2 ( 1256030 1700 0 ) ( * 17170 )
NEW met1 ( 1228430 17170 ) ( 1256030 * )
NEW met2 ( 1228430 250580 ) ( 1233490 * 0 )
NEW met2 ( 1228430 17170 ) ( * 250580 )
NEW met1 ( 1256030 17170 ) M1M2_PR
NEW met1 ( 1228430 17170 ) M1M2_PR ;
- la_data_out[36] ( PIN la_data_out[36] ) ( mprj la_data_out[36] ) + USE SIGNAL
+ ROUTED met2 ( 1273510 1700 0 ) ( * 17510 )
NEW met1 ( 1249130 17510 ) ( 1273510 * )
NEW met2 ( 1249130 250580 ) ( 1249590 * 0 )
NEW met2 ( 1249130 17510 ) ( * 250580 )
NEW met1 ( 1273510 17510 ) M1M2_PR
NEW met1 ( 1249130 17510 ) M1M2_PR ;
- la_data_out[37] ( PIN la_data_out[37] ) ( mprj la_data_out[37] ) + USE SIGNAL
+ ROUTED met2 ( 1262930 250580 ) ( 1266150 * 0 )
NEW met2 ( 1262930 20570 ) ( * 250580 )
NEW met2 ( 1291450 1700 0 ) ( * 20570 )
NEW met1 ( 1262930 20570 ) ( 1291450 * )
NEW met1 ( 1262930 20570 ) M1M2_PR
NEW met1 ( 1291450 20570 ) M1M2_PR ;
- la_data_out[38] ( PIN la_data_out[38] ) ( mprj la_data_out[38] ) + USE SIGNAL
+ ROUTED met1 ( 1276730 239190 ) ( 1280870 * )
NEW met2 ( 1280870 239190 ) ( * 250580 )
NEW met2 ( 1280870 250580 ) ( 1282710 * 0 )
NEW met2 ( 1276730 17510 ) ( * 239190 )
NEW met2 ( 1308930 1700 0 ) ( * 17510 )
NEW met1 ( 1276730 17510 ) ( 1308930 * )
NEW met1 ( 1276730 17510 ) M1M2_PR
NEW met1 ( 1276730 239190 ) M1M2_PR
NEW met1 ( 1280870 239190 ) M1M2_PR
NEW met1 ( 1308930 17510 ) M1M2_PR ;
- la_data_out[39] ( PIN la_data_out[39] ) ( mprj la_data_out[39] ) + USE SIGNAL
+ ROUTED met2 ( 1326870 1700 0 ) ( * 17850 )
NEW met1 ( 1297430 17850 ) ( 1326870 * )
NEW met2 ( 1297430 250580 ) ( 1299270 * 0 )
NEW met2 ( 1297430 17850 ) ( * 250580 )
NEW met1 ( 1326870 17850 ) M1M2_PR
NEW met1 ( 1297430 17850 ) M1M2_PR ;
- la_data_out[3] ( PIN la_data_out[3] ) ( mprj la_data_out[3] ) + USE SIGNAL
+ ROUTED met2 ( 686090 1700 ) ( 688390 * 0 )
NEW met2 ( 683330 82800 ) ( 686090 * )
NEW met2 ( 686090 1700 ) ( * 82800 )
NEW met1 ( 683330 238850 ) ( 705870 * )
NEW met2 ( 705870 238850 ) ( * 250580 )
NEW met2 ( 705870 250580 ) ( 707710 * 0 )
NEW met2 ( 683330 82800 ) ( * 238850 )
NEW met1 ( 683330 238850 ) M1M2_PR
NEW met1 ( 705870 238850 ) M1M2_PR ;
- la_data_out[40] ( PIN la_data_out[40] ) ( mprj la_data_out[40] ) + USE SIGNAL
+ ROUTED met2 ( 1344350 1700 0 ) ( * 15470 )
NEW met1 ( 1311230 15470 ) ( 1344350 * )
NEW met2 ( 1311230 250580 ) ( 1315370 * 0 )
NEW met2 ( 1311230 15470 ) ( * 250580 )
NEW met1 ( 1344350 15470 ) M1M2_PR
NEW met1 ( 1311230 15470 ) M1M2_PR ;
- la_data_out[41] ( PIN la_data_out[41] ) ( mprj la_data_out[41] ) + USE SIGNAL
+ ROUTED met2 ( 1362290 1700 0 ) ( * 18530 )
NEW met1 ( 1331930 18530 ) ( 1362290 * )
NEW met2 ( 1331930 238340 ) ( 1332390 * )
NEW met2 ( 1332390 238340 ) ( * 250580 )
NEW met2 ( 1331930 250580 0 ) ( 1332390 * )
NEW met2 ( 1331930 18530 ) ( * 238340 )
NEW met1 ( 1362290 18530 ) M1M2_PR
NEW met1 ( 1331930 18530 ) M1M2_PR ;
- la_data_out[42] ( PIN la_data_out[42] ) ( mprj la_data_out[42] ) + USE SIGNAL
+ ROUTED met2 ( 1380230 1700 0 ) ( * 17170 )
NEW met1 ( 1345730 17170 ) ( 1380230 * )
NEW met2 ( 1345730 250580 ) ( 1348490 * 0 )
NEW met2 ( 1345730 17170 ) ( * 250580 )
NEW met1 ( 1380230 17170 ) M1M2_PR
NEW met1 ( 1345730 17170 ) M1M2_PR ;
- la_data_out[43] ( PIN la_data_out[43] ) ( mprj la_data_out[43] ) + USE SIGNAL
+ ROUTED met2 ( 1359990 250580 ) ( 1364590 * 0 )
NEW met2 ( 1359990 20230 ) ( * 250580 )
NEW met2 ( 1397710 1700 0 ) ( * 20230 )
NEW met1 ( 1359990 20230 ) ( 1397710 * )
NEW met1 ( 1359990 20230 ) M1M2_PR
NEW met1 ( 1397710 20230 ) M1M2_PR ;
- la_data_out[44] ( PIN la_data_out[44] ) ( mprj la_data_out[44] ) + USE SIGNAL
+ ROUTED met2 ( 1380230 250580 ) ( 1381150 * 0 )
NEW met2 ( 1380230 18190 ) ( * 250580 )
NEW met2 ( 1415650 1700 0 ) ( * 18190 )
NEW met1 ( 1380230 18190 ) ( 1415650 * )
NEW met1 ( 1380230 18190 ) M1M2_PR
NEW met1 ( 1415650 18190 ) M1M2_PR ;
- la_data_out[45] ( PIN la_data_out[45] ) ( mprj la_data_out[45] ) + USE SIGNAL
+ ROUTED met2 ( 1433130 1700 0 ) ( * 15470 )
NEW met1 ( 1394030 15470 ) ( 1433130 * )
NEW met2 ( 1394030 250580 ) ( 1397710 * 0 )
NEW met2 ( 1394030 15470 ) ( * 250580 )
NEW met1 ( 1433130 15470 ) M1M2_PR
NEW met1 ( 1394030 15470 ) M1M2_PR ;
- la_data_out[46] ( PIN la_data_out[46] ) ( mprj la_data_out[46] ) + USE SIGNAL
+ ROUTED met2 ( 1451070 1700 0 ) ( * 19210 )
NEW met1 ( 1408290 19210 ) ( 1451070 * )
NEW met2 ( 1408290 19210 ) ( * 227700 )
NEW met2 ( 1408290 227700 ) ( 1409670 * )
NEW met2 ( 1409670 227700 ) ( * 250580 )
NEW met2 ( 1409670 250580 ) ( 1414270 * 0 )
NEW met1 ( 1451070 19210 ) M1M2_PR
NEW met1 ( 1408290 19210 ) M1M2_PR ;
- la_data_out[47] ( PIN la_data_out[47] ) ( mprj la_data_out[47] ) + USE SIGNAL
+ ROUTED met2 ( 1468550 1700 0 ) ( * 19550 )
NEW met1 ( 1428530 19550 ) ( 1468550 * )
NEW met2 ( 1428530 250580 ) ( 1430370 * 0 )
NEW met2 ( 1428530 19550 ) ( * 250580 )
NEW met1 ( 1468550 19550 ) M1M2_PR
NEW met1 ( 1428530 19550 ) M1M2_PR ;
- la_data_out[48] ( PIN la_data_out[48] ) ( mprj la_data_out[48] ) + USE SIGNAL
+ ROUTED met2 ( 1442330 250580 ) ( 1446930 * 0 )
NEW met2 ( 1442330 17170 ) ( * 250580 )
NEW met2 ( 1486490 1700 0 ) ( * 17170 )
NEW met1 ( 1442330 17170 ) ( 1486490 * )
NEW met1 ( 1442330 17170 ) M1M2_PR
NEW met1 ( 1486490 17170 ) M1M2_PR ;
- la_data_out[49] ( PIN la_data_out[49] ) ( mprj la_data_out[49] ) + USE SIGNAL
+ ROUTED met2 ( 1463030 250580 ) ( 1463490 * 0 )
NEW met2 ( 1463030 20570 ) ( * 250580 )
NEW met2 ( 1503970 1700 0 ) ( * 20570 )
NEW met1 ( 1463030 20570 ) ( 1503970 * )
NEW met1 ( 1463030 20570 ) M1M2_PR
NEW met1 ( 1503970 20570 ) M1M2_PR ;
- la_data_out[4] ( PIN la_data_out[4] ) ( mprj la_data_out[4] ) + USE SIGNAL
+ ROUTED met2 ( 704490 82800 ) ( 706330 * )
NEW met2 ( 706330 1700 0 ) ( * 82800 )
NEW met2 ( 704490 82800 ) ( * 234770 )
NEW met2 ( 722430 234770 ) ( * 250580 )
NEW met2 ( 722430 250580 ) ( 723810 * 0 )
NEW met1 ( 704490 234770 ) ( 722430 * )
NEW met1 ( 704490 234770 ) M1M2_PR
NEW met1 ( 722430 234770 ) M1M2_PR ;
- la_data_out[50] ( PIN la_data_out[50] ) ( mprj la_data_out[50] ) + USE SIGNAL
+ ROUTED met2 ( 1476830 250580 ) ( 1480050 * 0 )
NEW met2 ( 1476830 20230 ) ( * 250580 )
NEW met2 ( 1521910 1700 0 ) ( * 20230 )
NEW met1 ( 1476830 20230 ) ( 1521910 * )
NEW met1 ( 1476830 20230 ) M1M2_PR
NEW met1 ( 1521910 20230 ) M1M2_PR ;
- la_data_out[51] ( PIN la_data_out[51] ) ( mprj la_data_out[51] ) + USE SIGNAL
+ ROUTED met2 ( 1539850 1700 0 ) ( * 17170 )
NEW met1 ( 1491090 17170 ) ( 1539850 * )
NEW met2 ( 1491090 17170 ) ( * 227700 )
NEW met2 ( 1491090 227700 ) ( 1492010 * )
NEW met2 ( 1492010 227700 ) ( * 250580 )
NEW met2 ( 1492010 250580 ) ( 1496150 * 0 )
NEW met1 ( 1539850 17170 ) M1M2_PR
NEW met1 ( 1491090 17170 ) M1M2_PR ;
- la_data_out[52] ( PIN la_data_out[52] ) ( mprj la_data_out[52] ) + USE SIGNAL
+ ROUTED met2 ( 1557330 1700 0 ) ( * 20570 )
NEW met1 ( 1511330 20570 ) ( 1557330 * )
NEW met2 ( 1511330 250580 ) ( 1512710 * 0 )
NEW met2 ( 1511330 20570 ) ( * 250580 )
NEW met1 ( 1557330 20570 ) M1M2_PR
NEW met1 ( 1511330 20570 ) M1M2_PR ;
- la_data_out[53] ( PIN la_data_out[53] ) ( mprj la_data_out[53] ) + USE SIGNAL
+ ROUTED met2 ( 1575270 1700 0 ) ( * 18530 )
NEW met1 ( 1525130 18530 ) ( 1575270 * )
NEW met2 ( 1525130 250580 ) ( 1529270 * 0 )
NEW met2 ( 1525130 18530 ) ( * 250580 )
NEW met1 ( 1575270 18530 ) M1M2_PR
NEW met1 ( 1525130 18530 ) M1M2_PR ;
- la_data_out[54] ( PIN la_data_out[54] ) ( mprj la_data_out[54] ) + USE SIGNAL
+ ROUTED met2 ( 1538930 18190 ) ( * 227700 )
NEW met2 ( 1538930 227700 ) ( 1540770 * )
NEW met2 ( 1540770 227700 ) ( * 250580 )
NEW met2 ( 1540770 250580 ) ( 1545370 * 0 )
NEW met2 ( 1592750 1700 0 ) ( * 18190 )
NEW met1 ( 1538930 18190 ) ( 1592750 * )
NEW met1 ( 1538930 18190 ) M1M2_PR
NEW met1 ( 1592750 18190 ) M1M2_PR ;
- la_data_out[55] ( PIN la_data_out[55] ) ( mprj la_data_out[55] ) + USE SIGNAL
+ ROUTED met2 ( 1559630 250580 ) ( 1561930 * 0 )
NEW met2 ( 1559630 18870 ) ( * 250580 )
NEW met2 ( 1610690 1700 0 ) ( * 18870 )
NEW met1 ( 1559630 18870 ) ( 1610690 * )
NEW met1 ( 1559630 18870 ) M1M2_PR
NEW met1 ( 1610690 18870 ) M1M2_PR ;
- la_data_out[56] ( PIN la_data_out[56] ) ( mprj la_data_out[56] ) + USE SIGNAL
+ ROUTED met2 ( 1573430 250580 ) ( 1578490 * 0 )
NEW met2 ( 1573430 17850 ) ( * 250580 )
NEW met2 ( 1628170 1700 0 ) ( * 17850 )
NEW met1 ( 1573430 17850 ) ( 1628170 * )
NEW met1 ( 1573430 17850 ) M1M2_PR
NEW met1 ( 1628170 17850 ) M1M2_PR ;
- la_data_out[57] ( PIN la_data_out[57] ) ( mprj la_data_out[57] ) + USE SIGNAL
+ ROUTED met2 ( 1646110 1700 0 ) ( * 19550 )
NEW met1 ( 1594130 19550 ) ( 1646110 * )
NEW met2 ( 1594130 250580 ) ( 1595050 * 0 )
NEW met2 ( 1594130 19550 ) ( * 250580 )
NEW met1 ( 1646110 19550 ) M1M2_PR
NEW met1 ( 1594130 19550 ) M1M2_PR ;
- la_data_out[58] ( PIN la_data_out[58] ) ( mprj la_data_out[58] ) + USE SIGNAL
+ ROUTED met2 ( 1663590 1700 0 ) ( * 17510 )
NEW met1 ( 1607930 17510 ) ( 1663590 * )
NEW met2 ( 1607930 250580 ) ( 1611150 * 0 )
NEW met2 ( 1607930 17510 ) ( * 250580 )
NEW met1 ( 1663590 17510 ) M1M2_PR
NEW met1 ( 1607930 17510 ) M1M2_PR ;
- la_data_out[59] ( PIN la_data_out[59] ) ( mprj la_data_out[59] ) + USE SIGNAL
+ ROUTED met2 ( 1681530 1700 0 ) ( * 17170 )
NEW met1 ( 1622650 17170 ) ( 1681530 * )
NEW met2 ( 1622650 250580 ) ( 1627710 * 0 )
NEW met2 ( 1622650 17170 ) ( * 250580 )
NEW met1 ( 1622650 17170 ) M1M2_PR
NEW met1 ( 1681530 17170 ) M1M2_PR ;
- la_data_out[5] ( PIN la_data_out[5] ) ( mprj la_data_out[5] ) + USE SIGNAL
+ ROUTED met2 ( 723810 1700 0 ) ( * 17850 )
NEW met1 ( 718290 17850 ) ( 723810 * )
NEW met1 ( 718290 237830 ) ( 739450 * )
NEW met2 ( 739450 237830 ) ( * 250580 )
NEW met2 ( 739450 250580 ) ( 740370 * 0 )
NEW met2 ( 718290 17850 ) ( * 237830 )
NEW met1 ( 723810 17850 ) M1M2_PR
NEW met1 ( 718290 17850 ) M1M2_PR
NEW met1 ( 718290 237830 ) M1M2_PR
NEW met1 ( 739450 237830 ) M1M2_PR ;
- la_data_out[60] ( PIN la_data_out[60] ) ( mprj la_data_out[60] ) + USE SIGNAL
+ ROUTED met2 ( 1642430 250580 ) ( 1644270 * 0 )
NEW met2 ( 1642430 18870 ) ( * 250580 )
NEW met2 ( 1699470 1700 0 ) ( * 18870 )
NEW met1 ( 1642430 18870 ) ( 1699470 * )
NEW met1 ( 1642430 18870 ) M1M2_PR
NEW met1 ( 1699470 18870 ) M1M2_PR ;
- la_data_out[61] ( PIN la_data_out[61] ) ( mprj la_data_out[61] ) + USE SIGNAL
+ ROUTED met2 ( 1656230 250580 ) ( 1660370 * 0 )
NEW met2 ( 1656230 16490 ) ( * 250580 )
NEW met2 ( 1716950 1700 0 ) ( * 16490 )
NEW met1 ( 1656230 16490 ) ( 1716950 * )
NEW met1 ( 1656230 16490 ) M1M2_PR
NEW met1 ( 1716950 16490 ) M1M2_PR ;
- la_data_out[62] ( PIN la_data_out[62] ) ( mprj la_data_out[62] ) + USE SIGNAL
+ ROUTED met2 ( 1734890 1700 0 ) ( * 18190 )
NEW met1 ( 1676930 18190 ) ( 1734890 * )
NEW met2 ( 1676930 239020 ) ( 1677390 * )
NEW met2 ( 1677390 239020 ) ( * 250580 )
NEW met2 ( 1676930 250580 0 ) ( 1677390 * )
NEW met2 ( 1676930 18190 ) ( * 239020 )
NEW met1 ( 1734890 18190 ) M1M2_PR
NEW met1 ( 1676930 18190 ) M1M2_PR ;
- la_data_out[63] ( PIN la_data_out[63] ) ( mprj la_data_out[63] ) + USE SIGNAL
+ ROUTED met2 ( 1752370 1700 0 ) ( * 19890 )
NEW met1 ( 1690730 19890 ) ( 1752370 * )
NEW met2 ( 1690730 250580 ) ( 1693490 * 0 )
NEW met2 ( 1690730 19890 ) ( * 250580 )
NEW met1 ( 1752370 19890 ) M1M2_PR
NEW met1 ( 1690730 19890 ) M1M2_PR ;
- la_data_out[64] ( PIN la_data_out[64] ) ( mprj la_data_out[64] ) + USE SIGNAL
+ ROUTED met2 ( 1770310 1700 0 ) ( * 16150 )
NEW met1 ( 1705450 16150 ) ( 1770310 * )
NEW met2 ( 1704990 82800 ) ( 1705450 * )
NEW met2 ( 1705450 16150 ) ( * 82800 )
NEW met2 ( 1704990 82800 ) ( * 227700 )
NEW met2 ( 1704990 227700 ) ( 1705450 * )
NEW met2 ( 1705450 227700 ) ( * 250580 )
NEW met2 ( 1705450 250580 ) ( 1710050 * 0 )
NEW met1 ( 1770310 16150 ) M1M2_PR
NEW met1 ( 1705450 16150 ) M1M2_PR ;
- la_data_out[65] ( PIN la_data_out[65] ) ( mprj la_data_out[65] ) + USE SIGNAL
+ ROUTED met2 ( 1725230 250580 ) ( 1726150 * 0 )
NEW met2 ( 1725230 19550 ) ( * 250580 )
NEW met2 ( 1787790 1700 0 ) ( * 19550 )
NEW met1 ( 1725230 19550 ) ( 1787790 * )
NEW met1 ( 1725230 19550 ) M1M2_PR
NEW met1 ( 1787790 19550 ) M1M2_PR ;
- la_data_out[66] ( PIN la_data_out[66] ) ( mprj la_data_out[66] ) + USE SIGNAL
+ ROUTED met2 ( 1739030 250580 ) ( 1742710 * 0 )
NEW met2 ( 1739030 20230 ) ( * 250580 )
NEW met2 ( 1805730 1700 0 ) ( * 20230 )
NEW met1 ( 1739030 20230 ) ( 1805730 * )
NEW met1 ( 1739030 20230 ) M1M2_PR
NEW met1 ( 1805730 20230 ) M1M2_PR ;
- la_data_out[67] ( PIN la_data_out[67] ) ( mprj la_data_out[67] ) + USE SIGNAL
+ ROUTED met2 ( 1823210 1700 0 ) ( * 17170 )
NEW met2 ( 1752830 17510 ) ( * 227700 )
NEW met2 ( 1752830 227700 ) ( 1754210 * )
NEW met2 ( 1754210 227700 ) ( * 250580 )
NEW met2 ( 1754210 250580 ) ( 1759270 * 0 )
NEW met1 ( 1752830 17510 ) ( 1773300 * )
NEW met1 ( 1773300 17170 ) ( * 17510 )
NEW met1 ( 1773300 17170 ) ( 1823210 * )
NEW met1 ( 1752830 17510 ) M1M2_PR
NEW met1 ( 1823210 17170 ) M1M2_PR ;
- la_data_out[68] ( PIN la_data_out[68] ) ( mprj la_data_out[68] ) + USE SIGNAL
+ ROUTED met2 ( 1841150 1700 0 ) ( * 19210 )
NEW met1 ( 1773530 19210 ) ( 1841150 * )
NEW met2 ( 1773530 250580 ) ( 1775370 * 0 )
NEW met2 ( 1773530 19210 ) ( * 250580 )
NEW met1 ( 1841150 19210 ) M1M2_PR
NEW met1 ( 1773530 19210 ) M1M2_PR ;
- la_data_out[69] ( PIN la_data_out[69] ) ( mprj la_data_out[69] ) + USE SIGNAL
+ ROUTED met2 ( 1858630 1700 0 ) ( * 18190 )
NEW met1 ( 1835630 18190 ) ( 1858630 * )
NEW met1 ( 1835630 18190 ) ( * 18530 )
NEW met1 ( 1787330 18870 ) ( 1812170 * )
NEW met1 ( 1812170 18530 ) ( * 18870 )
NEW met1 ( 1812170 18530 ) ( 1835630 * )
NEW met2 ( 1787330 250580 ) ( 1791930 * 0 )
NEW met2 ( 1787330 18870 ) ( * 250580 )
NEW met1 ( 1858630 18190 ) M1M2_PR
NEW met1 ( 1787330 18870 ) M1M2_PR ;
- la_data_out[6] ( PIN la_data_out[6] ) ( mprj la_data_out[6] ) + USE SIGNAL
+ ROUTED met2 ( 739450 1700 ) ( 741750 * 0 )
NEW met2 ( 738990 82800 ) ( 739450 * )
NEW met2 ( 739450 1700 ) ( * 82800 )
NEW met1 ( 738990 238170 ) ( 755550 * )
NEW met2 ( 755550 238170 ) ( * 250580 )
NEW met2 ( 755550 250580 ) ( 756930 * 0 )
NEW met2 ( 738990 82800 ) ( * 238170 )
NEW met1 ( 738990 238170 ) M1M2_PR
NEW met1 ( 755550 238170 ) M1M2_PR ;
- la_data_out[70] ( PIN la_data_out[70] ) ( mprj la_data_out[70] ) + USE SIGNAL
+ ROUTED met2 ( 1831950 17170 ) ( * 234770 )
NEW met2 ( 1876570 1700 0 ) ( * 17170 )
NEW met1 ( 1831950 17170 ) ( 1876570 * )
NEW met2 ( 1810330 234770 ) ( * 250580 )
NEW met2 ( 1808490 250580 0 ) ( 1810330 * )
NEW met1 ( 1810330 234770 ) ( 1831950 * )
NEW met1 ( 1831950 17170 ) M1M2_PR
NEW met1 ( 1831950 234770 ) M1M2_PR
NEW met1 ( 1876570 17170 ) M1M2_PR
NEW met1 ( 1810330 234770 ) M1M2_PR ;
- la_data_out[71] ( PIN la_data_out[71] ) ( mprj la_data_out[71] ) + USE SIGNAL
+ ROUTED met2 ( 1821830 250580 ) ( 1825050 * 0 )
NEW met2 ( 1821830 18870 ) ( * 250580 )
NEW met2 ( 1894510 1700 0 ) ( * 18870 )
NEW met1 ( 1821830 18870 ) ( 1894510 * )
NEW met1 ( 1821830 18870 ) M1M2_PR
NEW met1 ( 1894510 18870 ) M1M2_PR ;
- la_data_out[72] ( PIN la_data_out[72] ) ( mprj la_data_out[72] ) + USE SIGNAL
+ ROUTED met2 ( 1836090 250580 ) ( 1841150 * 0 )
NEW met2 ( 1836090 18530 ) ( * 250580 )
NEW met2 ( 1911990 1700 0 ) ( * 18530 )
NEW met1 ( 1836090 18530 ) ( 1911990 * )
NEW met1 ( 1836090 18530 ) M1M2_PR
NEW met1 ( 1911990 18530 ) M1M2_PR ;
- la_data_out[73] ( PIN la_data_out[73] ) ( mprj la_data_out[73] ) + USE SIGNAL
+ ROUTED met2 ( 1929930 1700 0 ) ( * 19890 )
NEW met2 ( 1856330 250580 ) ( 1857710 * 0 )
NEW met2 ( 1856330 19890 ) ( * 250580 )
NEW met1 ( 1856330 19890 ) ( 1929930 * )
NEW met1 ( 1856330 19890 ) M1M2_PR
NEW met1 ( 1929930 19890 ) M1M2_PR ;
- la_data_out[74] ( PIN la_data_out[74] ) ( mprj la_data_out[74] ) + USE SIGNAL
+ ROUTED met2 ( 1870130 250580 ) ( 1874270 * 0 )
NEW met2 ( 1870130 17850 ) ( * 250580 )
NEW met1 ( 1870130 17850 ) ( 1947410 * )
NEW met2 ( 1947410 1700 0 ) ( * 17850 )
NEW met1 ( 1870130 17850 ) M1M2_PR
NEW met1 ( 1947410 17850 ) M1M2_PR ;
- la_data_out[75] ( PIN la_data_out[75] ) ( mprj la_data_out[75] ) + USE SIGNAL
+ ROUTED met2 ( 1890830 239190 ) ( 1891290 * )
NEW met2 ( 1891290 239190 ) ( * 250580 )
NEW met2 ( 1890830 250580 0 ) ( 1891290 * )
NEW met2 ( 1890830 16830 ) ( * 239190 )
NEW met1 ( 1890830 16830 ) ( 1965350 * )
NEW met2 ( 1965350 1700 0 ) ( * 16830 )
NEW met1 ( 1890830 16830 ) M1M2_PR
NEW met1 ( 1965350 16830 ) M1M2_PR ;
- la_data_out[76] ( PIN la_data_out[76] ) ( mprj la_data_out[76] ) + USE SIGNAL
+ ROUTED met2 ( 1905090 250580 ) ( 1906930 * 0 )
NEW met2 ( 1905090 20570 ) ( * 250580 )
NEW met1 ( 1905090 20570 ) ( 1982830 * )
NEW met2 ( 1982830 1700 0 ) ( * 20570 )
NEW met1 ( 1905090 20570 ) M1M2_PR
NEW met1 ( 1982830 20570 ) M1M2_PR ;
- la_data_out[77] ( PIN la_data_out[77] ) ( mprj la_data_out[77] ) + USE SIGNAL
+ ROUTED met2 ( 1918890 250580 ) ( 1923490 * 0 )
NEW met2 ( 1918890 19550 ) ( * 250580 )
NEW met2 ( 2000770 1700 0 ) ( * 19210 )
NEW met1 ( 1918890 19550 ) ( 1945800 * )
NEW met1 ( 1945800 19210 ) ( * 19550 )
NEW met1 ( 1945800 19210 ) ( 2000770 * )
NEW met1 ( 1918890 19550 ) M1M2_PR
NEW met1 ( 2000770 19210 ) M1M2_PR ;
- la_data_out[78] ( PIN la_data_out[78] ) ( mprj la_data_out[78] ) + USE SIGNAL
+ ROUTED met2 ( 1939590 250580 ) ( 1940050 * 0 )
NEW met2 ( 1939590 20230 ) ( * 250580 )
NEW met1 ( 1994790 19550 ) ( * 19890 )
NEW met1 ( 1994790 19890 ) ( 2018250 * )
NEW met2 ( 2018250 1700 0 ) ( * 19890 )
NEW met1 ( 1994100 19550 ) ( 1994790 * )
NEW met1 ( 1939590 20230 ) ( 1945800 * )
NEW met1 ( 1945800 19890 ) ( * 20230 )
NEW met1 ( 1945800 19890 ) ( 1994100 * )
NEW met1 ( 1994100 19550 ) ( * 19890 )
NEW met1 ( 1939590 20230 ) M1M2_PR
NEW met1 ( 2018250 19890 ) M1M2_PR ;
- la_data_out[79] ( PIN la_data_out[79] ) ( mprj la_data_out[79] ) + USE SIGNAL
+ ROUTED met2 ( 1953390 250580 ) ( 1956150 * 0 )
NEW met2 ( 2015030 16490 ) ( * 18190 )
NEW met1 ( 2015030 16490 ) ( 2036190 * )
NEW met2 ( 2036190 1700 0 ) ( * 16490 )
NEW met2 ( 1953390 18190 ) ( * 250580 )
NEW met1 ( 1953390 18190 ) ( 2015030 * )
NEW met1 ( 2015030 18190 ) M1M2_PR
NEW met1 ( 2015030 16490 ) M1M2_PR
NEW met1 ( 2036190 16490 ) M1M2_PR
NEW met1 ( 1953390 18190 ) M1M2_PR ;
- la_data_out[7] ( PIN la_data_out[7] ) ( mprj la_data_out[7] ) + USE SIGNAL
+ ROUTED met2 ( 759230 1700 0 ) ( * 34500 )
NEW met2 ( 759230 34500 ) ( 759690 * )
NEW met1 ( 759690 238510 ) ( 773030 * )
NEW met2 ( 773030 238510 ) ( * 250580 )
NEW met2 ( 773030 250580 ) ( 773490 * 0 )
NEW met2 ( 759690 34500 ) ( * 238510 )
NEW met1 ( 759690 238510 ) M1M2_PR
NEW met1 ( 773030 238510 ) M1M2_PR ;
- la_data_out[80] ( PIN la_data_out[80] ) ( mprj la_data_out[80] ) + USE SIGNAL
+ ROUTED met2 ( 2054130 1700 0 ) ( * 17850 )
NEW met2 ( 1966730 227700 ) ( 1967650 * )
NEW met2 ( 1967650 227700 ) ( * 250580 )
NEW met2 ( 1967650 250580 ) ( 1972710 * 0 )
NEW met1 ( 2025150 16830 ) ( * 17850 )
NEW met1 ( 2025150 17850 ) ( 2054130 * )
NEW met2 ( 1966730 16830 ) ( * 227700 )
NEW met1 ( 1966730 16830 ) ( 2025150 * )
NEW met1 ( 2054130 17850 ) M1M2_PR
NEW met1 ( 1966730 16830 ) M1M2_PR ;
- la_data_out[81] ( PIN la_data_out[81] ) ( mprj la_data_out[81] ) + USE SIGNAL
+ ROUTED met2 ( 2071610 1700 0 ) ( * 18870 )
NEW met2 ( 1987430 250580 ) ( 1989270 * 0 )
NEW met2 ( 1987430 18870 ) ( * 250580 )
NEW met1 ( 1987430 18870 ) ( 2071610 * )
NEW met1 ( 2071610 18870 ) M1M2_PR
NEW met1 ( 1987430 18870 ) M1M2_PR ;
- la_data_out[82] ( PIN la_data_out[82] ) ( mprj la_data_out[82] ) + USE SIGNAL
+ ROUTED met2 ( 2089550 1700 0 ) ( * 17170 )
NEW met2 ( 2001230 82800 ) ( 2001690 * )
NEW met2 ( 2001230 250580 ) ( 2005830 * 0 )
NEW met2 ( 2001230 82800 ) ( * 250580 )
NEW met1 ( 2042400 17170 ) ( 2089550 * )
NEW met1 ( 2001690 16150 ) ( 2042400 * )
NEW met1 ( 2042400 16150 ) ( * 17170 )
NEW met2 ( 2001690 16150 ) ( * 82800 )
NEW met1 ( 2089550 17170 ) M1M2_PR
NEW met1 ( 2001690 16150 ) M1M2_PR ;
- la_data_out[83] ( PIN la_data_out[83] ) ( mprj la_data_out[83] ) + USE SIGNAL
+ ROUTED met2 ( 2021930 227700 ) ( 2022390 * )
NEW met2 ( 2022390 227700 ) ( * 250580 )
NEW met2 ( 2021930 250580 0 ) ( 2022390 * )
NEW met2 ( 2107030 1700 0 ) ( * 18530 )
NEW met1 ( 2063100 18530 ) ( 2107030 * )
NEW met1 ( 2063100 18190 ) ( * 18530 )
NEW met2 ( 2021930 18190 ) ( * 227700 )
NEW met1 ( 2021930 18190 ) ( 2063100 * )
NEW met1 ( 2107030 18530 ) M1M2_PR
NEW met1 ( 2021930 18190 ) M1M2_PR ;
- la_data_out[84] ( PIN la_data_out[84] ) ( mprj la_data_out[84] ) + USE SIGNAL
+ ROUTED met2 ( 2124970 1700 0 ) ( * 17510 )
NEW met2 ( 2035730 250580 ) ( 2038490 * 0 )
NEW met2 ( 2035730 17510 ) ( * 250580 )
NEW met1 ( 2035730 17510 ) ( 2124970 * )
NEW met1 ( 2124970 17510 ) M1M2_PR
NEW met1 ( 2035730 17510 ) M1M2_PR ;
- la_data_out[85] ( PIN la_data_out[85] ) ( mprj la_data_out[85] ) + USE SIGNAL
+ ROUTED met2 ( 2142450 1700 0 ) ( * 19890 )
NEW met2 ( 2049990 250580 ) ( 2055050 * 0 )
NEW met2 ( 2049990 19890 ) ( * 250580 )
NEW met1 ( 2049990 19890 ) ( 2142450 * )
NEW met1 ( 2049990 19890 ) M1M2_PR
NEW met1 ( 2142450 19890 ) M1M2_PR ;
- la_data_out[86] ( PIN la_data_out[86] ) ( mprj la_data_out[86] ) + USE SIGNAL
+ ROUTED met2 ( 2070690 240210 ) ( * 250580 )
NEW met2 ( 2070690 250580 ) ( 2071150 * 0 )
NEW met2 ( 2160390 1700 0 ) ( * 34500 )
NEW met2 ( 2160390 34500 ) ( 2160850 * )
NEW met1 ( 2070690 240210 ) ( 2160850 * )
NEW met2 ( 2160850 34500 ) ( * 240210 )
NEW met1 ( 2070690 240210 ) M1M2_PR
NEW met1 ( 2160850 240210 ) M1M2_PR ;
- la_data_out[87] ( PIN la_data_out[87] ) ( mprj la_data_out[87] ) + USE SIGNAL
+ ROUTED met2 ( 2177870 1700 0 ) ( * 19210 )
NEW met1 ( 2084030 19210 ) ( 2177870 * )
NEW met2 ( 2084030 250580 ) ( 2087710 * 0 )
NEW met2 ( 2084030 19210 ) ( * 250580 )
NEW met1 ( 2084030 19210 ) M1M2_PR
NEW met1 ( 2177870 19210 ) M1M2_PR ;
- la_data_out[88] ( PIN la_data_out[88] ) ( mprj la_data_out[88] ) + USE SIGNAL
+ ROUTED met2 ( 2195810 1700 0 ) ( * 17170 )
NEW met1 ( 2190750 17170 ) ( 2195810 * )
NEW met2 ( 2103810 238170 ) ( * 250580 )
NEW met2 ( 2103810 250580 ) ( 2104270 * 0 )
NEW met1 ( 2103810 238170 ) ( 2190750 * )
NEW met2 ( 2190750 17170 ) ( * 238170 )
NEW met1 ( 2195810 17170 ) M1M2_PR
NEW met1 ( 2190750 17170 ) M1M2_PR
NEW met1 ( 2103810 238170 ) M1M2_PR
NEW met1 ( 2190750 238170 ) M1M2_PR ;
- la_data_out[89] ( PIN la_data_out[89] ) ( mprj la_data_out[89] ) + USE SIGNAL
+ ROUTED met2 ( 2213290 1700 0 ) ( * 19550 )
NEW met2 ( 2118530 82800 ) ( 2118990 * )
NEW met2 ( 2118530 19550 ) ( * 82800 )
NEW met2 ( 2118990 250580 ) ( 2120830 * 0 )
NEW met2 ( 2118990 82800 ) ( * 250580 )
NEW met1 ( 2118530 19550 ) ( 2213290 * )
NEW met1 ( 2118530 19550 ) M1M2_PR
NEW met1 ( 2213290 19550 ) M1M2_PR ;
- la_data_out[8] ( PIN la_data_out[8] ) ( mprj la_data_out[8] ) + USE SIGNAL
+ ROUTED met2 ( 773030 82800 ) ( 777170 * )
NEW met2 ( 777170 1700 0 ) ( * 82800 )
NEW met1 ( 773030 237830 ) ( 787750 * )
NEW met2 ( 787750 237830 ) ( * 250580 )
NEW met2 ( 787750 250580 ) ( 789590 * 0 )
NEW met2 ( 773030 82800 ) ( * 237830 )
NEW met1 ( 773030 237830 ) M1M2_PR
NEW met1 ( 787750 237830 ) M1M2_PR ;
- la_data_out[90] ( PIN la_data_out[90] ) ( mprj la_data_out[90] ) + USE SIGNAL
+ ROUTED met2 ( 2231230 1700 0 ) ( * 18870 )
NEW met2 ( 2138770 237490 ) ( * 250580 )
NEW met2 ( 2136930 250580 0 ) ( 2138770 * )
NEW met1 ( 2197650 18870 ) ( 2231230 * )
NEW met1 ( 2138770 237490 ) ( 2197650 * )
NEW met2 ( 2197650 18870 ) ( * 237490 )
NEW met1 ( 2231230 18870 ) M1M2_PR
NEW met1 ( 2138770 237490 ) M1M2_PR
NEW met1 ( 2197650 18870 ) M1M2_PR
NEW met1 ( 2197650 237490 ) M1M2_PR ;
- la_data_out[91] ( PIN la_data_out[91] ) ( mprj la_data_out[91] ) + USE SIGNAL
+ ROUTED met2 ( 2153490 19380 ) ( 2154870 * )
NEW met2 ( 2154870 16830 ) ( * 19380 )
NEW met2 ( 2249170 1700 0 ) ( * 16830 )
NEW met2 ( 2153490 239020 ) ( 2153950 * )
NEW met2 ( 2153950 239020 ) ( * 250580 )
NEW met2 ( 2153490 250580 0 ) ( 2153950 * )
NEW met2 ( 2153490 19380 ) ( * 239020 )
NEW met1 ( 2154870 16830 ) ( 2159700 * )
NEW met1 ( 2208000 16830 ) ( 2249170 * )
NEW met1 ( 2159700 16490 ) ( * 16830 )
NEW met1 ( 2159700 16490 ) ( 2208000 * )
NEW met1 ( 2208000 16490 ) ( * 16830 )
NEW met1 ( 2154870 16830 ) M1M2_PR
NEW met1 ( 2249170 16830 ) M1M2_PR ;
- la_data_out[92] ( PIN la_data_out[92] ) ( mprj la_data_out[92] ) + USE SIGNAL
+ ROUTED met2 ( 2232150 14790 ) ( * 241230 )
NEW met2 ( 2266650 1700 0 ) ( * 14790 )
NEW met1 ( 2232150 14790 ) ( 2266650 * )
NEW met2 ( 2171890 241230 ) ( * 250580 )
NEW met2 ( 2170050 250580 0 ) ( 2171890 * )
NEW met1 ( 2171890 241230 ) ( 2232150 * )
NEW met1 ( 2232150 14790 ) M1M2_PR
NEW met1 ( 2232150 241230 ) M1M2_PR
NEW met1 ( 2266650 14790 ) M1M2_PR
NEW met1 ( 2171890 241230 ) M1M2_PR ;
- la_data_out[93] ( PIN la_data_out[93] ) ( mprj la_data_out[93] ) + USE SIGNAL
+ ROUTED met2 ( 2284590 1700 0 ) ( * 17340 )
NEW met2 ( 2284590 17340 ) ( 2285510 * )
NEW met2 ( 2187070 238850 ) ( * 250580 )
NEW met2 ( 2186150 250580 0 ) ( 2187070 * )
NEW met2 ( 2258830 237830 ) ( * 238850 )
NEW met1 ( 2258830 237830 ) ( 2285510 * )
NEW met1 ( 2187070 238850 ) ( 2258830 * )
NEW met2 ( 2285510 17340 ) ( * 237830 )
NEW met1 ( 2187070 238850 ) M1M2_PR
NEW met1 ( 2258830 238850 ) M1M2_PR
NEW met1 ( 2258830 237830 ) M1M2_PR
NEW met1 ( 2285510 237830 ) M1M2_PR ;
- la_data_out[94] ( PIN la_data_out[94] ) ( mprj la_data_out[94] ) + USE SIGNAL
+ ROUTED met2 ( 2302070 1700 0 ) ( * 23970 )
NEW met1 ( 2201790 23970 ) ( 2302070 * )
NEW met2 ( 2201790 250580 ) ( 2202710 * 0 )
NEW met2 ( 2201790 23970 ) ( * 250580 )
NEW met1 ( 2201790 23970 ) M1M2_PR
NEW met1 ( 2302070 23970 ) M1M2_PR ;
- la_data_out[95] ( PIN la_data_out[95] ) ( mprj la_data_out[95] ) + USE SIGNAL
+ ROUTED met2 ( 2320010 1700 0 ) ( * 17850 )
NEW met2 ( 2215130 250580 ) ( 2219270 * 0 )
NEW met2 ( 2215130 17850 ) ( * 250580 )
NEW met1 ( 2215130 17850 ) ( 2320010 * )
NEW met1 ( 2215130 17850 ) M1M2_PR
NEW met1 ( 2320010 17850 ) M1M2_PR ;
- la_data_out[96] ( PIN la_data_out[96] ) ( mprj la_data_out[96] ) + USE SIGNAL
+ ROUTED met2 ( 2337490 1700 0 ) ( * 20570 )
NEW met2 ( 2235830 239020 ) ( 2236290 * )
NEW met2 ( 2236290 239020 ) ( * 250580 )
NEW met2 ( 2235830 250580 0 ) ( 2236290 * )
NEW met2 ( 2235830 20570 ) ( * 239020 )
NEW met1 ( 2235830 20570 ) ( 2337490 * )
NEW met1 ( 2235830 20570 ) M1M2_PR
NEW met1 ( 2337490 20570 ) M1M2_PR ;
- la_data_out[97] ( PIN la_data_out[97] ) ( mprj la_data_out[97] ) + USE SIGNAL
+ ROUTED met2 ( 2249630 250580 ) ( 2251930 * 0 )
NEW met2 ( 2249630 18870 ) ( * 250580 )
NEW met2 ( 2355430 1700 0 ) ( * 18870 )
NEW met1 ( 2249630 18870 ) ( 2355430 * )
NEW met1 ( 2249630 18870 ) M1M2_PR
NEW met1 ( 2355430 18870 ) M1M2_PR ;
- la_data_out[98] ( PIN la_data_out[98] ) ( mprj la_data_out[98] ) + USE SIGNAL
+ ROUTED met2 ( 2372910 1700 0 ) ( * 19210 )
NEW met1 ( 2263430 19210 ) ( 2372910 * )
NEW met2 ( 2263430 250580 ) ( 2268490 * 0 )
NEW met2 ( 2263430 19210 ) ( * 250580 )
NEW met1 ( 2263430 19210 ) M1M2_PR
NEW met1 ( 2372910 19210 ) M1M2_PR ;
- la_data_out[99] ( PIN la_data_out[99] ) ( mprj la_data_out[99] ) + USE SIGNAL
+ ROUTED met2 ( 2390850 1700 0 ) ( * 19890 )
NEW met1 ( 2285050 19890 ) ( 2390850 * )
NEW met2 ( 2285050 239020 ) ( 2285510 * )
NEW met2 ( 2285510 239020 ) ( * 250580 )
NEW met2 ( 2285050 250580 0 ) ( 2285510 * )
NEW met2 ( 2285050 19890 ) ( * 239020 )
NEW met1 ( 2285050 19890 ) M1M2_PR
NEW met1 ( 2390850 19890 ) M1M2_PR ;
- la_data_out[9] ( PIN la_data_out[9] ) ( mprj la_data_out[9] ) + USE SIGNAL
+ ROUTED met2 ( 794190 82800 ) ( 794650 * )
NEW met2 ( 794650 1700 0 ) ( * 82800 )
NEW met1 ( 794190 238170 ) ( 804310 * )
NEW met2 ( 804310 238170 ) ( * 250580 )
NEW met2 ( 804310 250580 ) ( 806150 * 0 )
NEW met2 ( 794190 82800 ) ( * 238170 )
NEW met1 ( 794190 238170 ) M1M2_PR
NEW met1 ( 804310 238170 ) M1M2_PR ;
- la_oenb[0] ( PIN la_oenb[0] ) ( mprj la_oenb[0] ) + USE SIGNAL
+ ROUTED met2 ( 663090 239530 ) ( * 250580 )
NEW met2 ( 663090 250580 ) ( 663550 * 0 )
NEW met2 ( 641010 1700 0 ) ( * 17510 )
NEW met1 ( 635030 17510 ) ( 641010 * )
NEW met2 ( 635030 17510 ) ( * 131100 )
NEW met2 ( 635030 131100 ) ( 635490 * )
NEW met2 ( 635490 131100 ) ( * 239530 )
NEW met1 ( 635490 239530 ) ( 663090 * )
NEW met1 ( 663090 239530 ) M1M2_PR
NEW met1 ( 641010 17510 ) M1M2_PR
NEW met1 ( 635030 17510 ) M1M2_PR
NEW met1 ( 635490 239530 ) M1M2_PR ;
- la_oenb[100] ( PIN la_oenb[100] ) ( mprj la_oenb[100] ) + USE SIGNAL
+ ROUTED met2 ( 2414310 1700 0 ) ( * 18190 )
NEW met2 ( 2304830 250580 ) ( 2306670 * 0 )
NEW met2 ( 2304830 18190 ) ( * 250580 )
NEW met1 ( 2304830 18190 ) ( 2414310 * )
NEW met1 ( 2304830 18190 ) M1M2_PR
NEW met1 ( 2414310 18190 ) M1M2_PR ;
- la_oenb[101] ( PIN la_oenb[101] ) ( mprj la_oenb[101] ) + USE SIGNAL
+ ROUTED met2 ( 2432250 1700 0 ) ( * 18530 )
NEW met2 ( 2318630 250580 ) ( 2323230 * 0 )
NEW met2 ( 2318630 18530 ) ( * 250580 )
NEW met1 ( 2318630 18530 ) ( 2432250 * )
NEW met1 ( 2318630 18530 ) M1M2_PR
NEW met1 ( 2432250 18530 ) M1M2_PR ;
- la_oenb[102] ( PIN la_oenb[102] ) ( mprj la_oenb[102] ) + USE SIGNAL
+ ROUTED met2 ( 2341170 238170 ) ( * 250580 )
NEW met2 ( 2339790 250580 0 ) ( 2341170 * )
NEW met2 ( 2449730 1700 0 ) ( * 16660 )
NEW met2 ( 2449730 16660 ) ( 2450190 * )
NEW met1 ( 2341170 238170 ) ( 2450190 * )
NEW met2 ( 2450190 16660 ) ( * 238170 )
NEW met1 ( 2341170 238170 ) M1M2_PR
NEW met1 ( 2450190 238170 ) M1M2_PR ;
- la_oenb[103] ( PIN la_oenb[103] ) ( mprj la_oenb[103] ) + USE SIGNAL
+ ROUTED met2 ( 2467670 1700 0 ) ( * 17850 )
NEW met1 ( 2353130 17850 ) ( 2467670 * )
NEW met2 ( 2353130 250580 ) ( 2356350 * 0 )
NEW met2 ( 2353130 17850 ) ( * 250580 )
NEW met1 ( 2353130 17850 ) M1M2_PR
NEW met1 ( 2467670 17850 ) M1M2_PR ;
- la_oenb[104] ( PIN la_oenb[104] ) ( mprj la_oenb[104] ) + USE SIGNAL
+ ROUTED met2 ( 2411550 18870 ) ( * 240210 )
NEW met2 ( 2485610 1700 0 ) ( * 18870 )
NEW met1 ( 2411550 18870 ) ( 2485610 * )
NEW met2 ( 2373370 240210 ) ( * 250580 )
NEW met2 ( 2372450 250580 0 ) ( 2373370 * )
NEW met1 ( 2373370 240210 ) ( 2411550 * )
NEW met1 ( 2411550 18870 ) M1M2_PR
NEW met1 ( 2411550 240210 ) M1M2_PR
NEW met1 ( 2485610 18870 ) M1M2_PR
NEW met1 ( 2373370 240210 ) M1M2_PR ;
- la_oenb[105] ( PIN la_oenb[105] ) ( mprj la_oenb[105] ) + USE SIGNAL
+ ROUTED met2 ( 2503090 1700 0 ) ( * 20570 )
NEW met2 ( 2425350 20570 ) ( * 240890 )
NEW met1 ( 2425350 20570 ) ( 2503090 * )
NEW met2 ( 2390850 240890 ) ( * 250580 )
NEW met2 ( 2389010 250580 0 ) ( 2390850 * )
NEW met1 ( 2390850 240890 ) ( 2425350 * )
NEW met1 ( 2425350 20570 ) M1M2_PR
NEW met1 ( 2503090 20570 ) M1M2_PR
NEW met1 ( 2425350 240890 ) M1M2_PR
NEW met1 ( 2390850 240890 ) M1M2_PR ;
- la_oenb[106] ( PIN la_oenb[106] ) ( mprj la_oenb[106] ) + USE SIGNAL
+ ROUTED met2 ( 2521030 1700 0 ) ( * 19550 )
NEW met1 ( 2407410 239190 ) ( 2432250 * )
NEW met2 ( 2407410 239190 ) ( * 250580 )
NEW met2 ( 2405570 250580 0 ) ( 2407410 * )
NEW met2 ( 2432250 19550 ) ( * 239190 )
NEW met1 ( 2432250 19550 ) ( 2521030 * )
NEW met1 ( 2432250 19550 ) M1M2_PR
NEW met1 ( 2521030 19550 ) M1M2_PR
NEW met1 ( 2432250 239190 ) M1M2_PR
NEW met1 ( 2407410 239190 ) M1M2_PR ;
- la_oenb[107] ( PIN la_oenb[107] ) ( mprj la_oenb[107] ) + USE SIGNAL
+ ROUTED met2 ( 2538510 1700 0 ) ( * 18190 )
NEW met2 ( 2415690 18190 ) ( * 227700 )
NEW met2 ( 2415690 227700 ) ( 2417070 * )
NEW met2 ( 2417070 227700 ) ( * 250580 )
NEW met2 ( 2417070 250580 ) ( 2421670 * 0 )
NEW met1 ( 2415690 18190 ) ( 2538510 * )
NEW met1 ( 2415690 18190 ) M1M2_PR
NEW met1 ( 2538510 18190 ) M1M2_PR ;
- la_oenb[108] ( PIN la_oenb[108] ) ( mprj la_oenb[108] ) + USE SIGNAL
+ ROUTED met2 ( 2439610 234770 ) ( * 250580 )
NEW met2 ( 2438230 250580 0 ) ( 2439610 * )
NEW met2 ( 2556450 1700 0 ) ( * 20230 )
NEW met1 ( 2452950 20230 ) ( 2556450 * )
NEW met1 ( 2439610 234770 ) ( 2452950 * )
NEW met2 ( 2452950 20230 ) ( * 234770 )
NEW met1 ( 2439610 234770 ) M1M2_PR
NEW met1 ( 2452950 20230 ) M1M2_PR
NEW met1 ( 2556450 20230 ) M1M2_PR
NEW met1 ( 2452950 234770 ) M1M2_PR ;
- la_oenb[109] ( PIN la_oenb[109] ) ( mprj la_oenb[109] ) + USE SIGNAL
+ ROUTED met1 ( 2450650 16830 ) ( 2497800 * )
NEW met1 ( 2497800 16830 ) ( * 17170 )
NEW met2 ( 2573930 1700 0 ) ( * 17170 )
NEW met1 ( 2497800 17170 ) ( 2573930 * )
NEW met2 ( 2450650 250580 ) ( 2454790 * 0 )
NEW met2 ( 2450650 16830 ) ( * 250580 )
NEW met1 ( 2450650 16830 ) M1M2_PR
NEW met1 ( 2573930 17170 ) M1M2_PR ;
- la_oenb[10] ( PIN la_oenb[10] ) ( mprj la_oenb[10] ) + USE SIGNAL
+ ROUTED met2 ( 814890 82800 ) ( 818570 * )
NEW met2 ( 818570 1700 0 ) ( * 82800 )
NEW met1 ( 814890 238170 ) ( 828230 * )
NEW met2 ( 828230 238170 ) ( * 249220 )
NEW met2 ( 827770 249220 ) ( 828230 * )
NEW met2 ( 827770 249220 ) ( * 250580 )
NEW met2 ( 827770 250580 ) ( 828230 * 0 )
NEW met2 ( 814890 82800 ) ( * 238170 )
NEW met1 ( 814890 238170 ) M1M2_PR
NEW met1 ( 828230 238170 ) M1M2_PR ;
- la_oenb[110] ( PIN la_oenb[110] ) ( mprj la_oenb[110] ) + USE SIGNAL
+ ROUTED met2 ( 2591870 1700 0 ) ( * 15130 )
NEW met1 ( 2577150 15130 ) ( 2591870 * )
NEW met2 ( 2472730 240550 ) ( * 250580 )
NEW met2 ( 2471350 250580 0 ) ( 2472730 * )
NEW met1 ( 2472730 240550 ) ( 2577150 * )
NEW met2 ( 2577150 15130 ) ( * 240550 )
NEW met1 ( 2591870 15130 ) M1M2_PR
NEW met1 ( 2577150 15130 ) M1M2_PR
NEW met1 ( 2472730 240550 ) M1M2_PR
NEW met1 ( 2577150 240550 ) M1M2_PR ;
- la_oenb[111] ( PIN la_oenb[111] ) ( mprj la_oenb[111] ) + USE SIGNAL
+ ROUTED met2 ( 2609350 1700 0 ) ( * 17850 )
NEW met1 ( 2484230 17850 ) ( 2609350 * )
NEW met2 ( 2484230 250580 ) ( 2487450 * 0 )
NEW met2 ( 2484230 17850 ) ( * 250580 )
NEW met1 ( 2609350 17850 ) M1M2_PR
NEW met1 ( 2484230 17850 ) M1M2_PR ;
- la_oenb[112] ( PIN la_oenb[112] ) ( mprj la_oenb[112] ) + USE SIGNAL
+ ROUTED met2 ( 2627290 1700 0 ) ( * 18870 )
NEW met2 ( 2498950 250580 ) ( 2504010 * 0 )
NEW met2 ( 2498950 18870 ) ( * 250580 )
NEW met1 ( 2498950 18870 ) ( 2627290 * )
NEW met1 ( 2498950 18870 ) M1M2_PR
NEW met1 ( 2627290 18870 ) M1M2_PR ;
- la_oenb[113] ( PIN la_oenb[113] ) ( mprj la_oenb[113] ) + USE SIGNAL
+ ROUTED met2 ( 2518730 250580 ) ( 2520570 * 0 )
NEW met2 ( 2518730 18530 ) ( * 250580 )
NEW met2 ( 2645230 1700 0 ) ( * 18530 )
NEW met1 ( 2518730 18530 ) ( 2645230 * )
NEW met1 ( 2518730 18530 ) M1M2_PR
NEW met1 ( 2645230 18530 ) M1M2_PR ;
- la_oenb[114] ( PIN la_oenb[114] ) ( mprj la_oenb[114] ) + USE SIGNAL
+ ROUTED met2 ( 2532990 250580 ) ( 2537130 * 0 )
NEW met2 ( 2532990 19550 ) ( * 250580 )
NEW met2 ( 2662710 1700 0 ) ( * 19550 )
NEW met1 ( 2532990 19550 ) ( 2662710 * )
NEW met1 ( 2532990 19550 ) M1M2_PR
NEW met1 ( 2662710 19550 ) M1M2_PR ;
- la_oenb[115] ( PIN la_oenb[115] ) ( mprj la_oenb[115] ) + USE SIGNAL
+ ROUTED met2 ( 2680650 1700 0 ) ( * 18190 )
NEW met1 ( 2553230 18190 ) ( 2680650 * )
NEW met2 ( 2553230 239020 ) ( 2553690 * )
NEW met2 ( 2553690 239020 ) ( * 250580 )
NEW met2 ( 2553230 250580 0 ) ( 2553690 * )
NEW met2 ( 2553230 18190 ) ( * 239020 )
NEW met1 ( 2553230 18190 ) M1M2_PR
NEW met1 ( 2680650 18190 ) M1M2_PR ;
- la_oenb[116] ( PIN la_oenb[116] ) ( mprj la_oenb[116] ) + USE SIGNAL
+ ROUTED met2 ( 2698130 1700 0 ) ( * 17850 )
NEW met2 ( 2625450 17850 ) ( * 240890 )
NEW met1 ( 2625450 17850 ) ( 2698130 * )
NEW met2 ( 2571170 240890 ) ( * 250580 )
NEW met2 ( 2569790 250580 0 ) ( 2571170 * )
NEW met1 ( 2571170 240890 ) ( 2625450 * )
NEW met1 ( 2625450 17850 ) M1M2_PR
NEW met1 ( 2698130 17850 ) M1M2_PR
NEW met1 ( 2625450 240890 ) M1M2_PR
NEW met1 ( 2571170 240890 ) M1M2_PR ;
- la_oenb[117] ( PIN la_oenb[117] ) ( mprj la_oenb[117] ) + USE SIGNAL
+ ROUTED met2 ( 2713770 1700 ) ( 2716070 * 0 )
NEW met2 ( 2713770 1700 ) ( * 2380 )
NEW met2 ( 2711930 2380 ) ( 2713770 * )
NEW met2 ( 2711930 2380 ) ( * 239530 )
NEW met2 ( 2587270 239530 ) ( * 250580 )
NEW met2 ( 2586350 250580 0 ) ( 2587270 * )
NEW met1 ( 2587270 239530 ) ( 2711930 * )
NEW met1 ( 2711930 239530 ) M1M2_PR
NEW met1 ( 2587270 239530 ) M1M2_PR ;
- la_oenb[118] ( PIN la_oenb[118] ) ( mprj la_oenb[118] ) + USE SIGNAL
+ ROUTED met2 ( 2733550 1700 0 ) ( * 17170 )
NEW met2 ( 2601530 250580 ) ( 2602450 * 0 )
NEW met2 ( 2601530 17170 ) ( * 250580 )
NEW met1 ( 2601530 17170 ) ( 2733550 * )
NEW met1 ( 2601530 17170 ) M1M2_PR
NEW met1 ( 2733550 17170 ) M1M2_PR ;
- la_oenb[119] ( PIN la_oenb[119] ) ( mprj la_oenb[119] ) + USE SIGNAL
+ ROUTED met1 ( 2615790 20570 ) ( 2621770 * )
NEW met2 ( 2621770 19210 ) ( * 20570 )
NEW met2 ( 2615790 250580 ) ( 2619010 * 0 )
NEW met2 ( 2615790 20570 ) ( * 250580 )
NEW met2 ( 2751490 1700 0 ) ( * 19210 )
NEW met1 ( 2621770 19210 ) ( 2751490 * )
NEW met1 ( 2615790 20570 ) M1M2_PR
NEW met1 ( 2621770 20570 ) M1M2_PR
NEW met1 ( 2621770 19210 ) M1M2_PR
NEW met1 ( 2751490 19210 ) M1M2_PR ;
- la_oenb[11] ( PIN la_oenb[11] ) ( mprj la_oenb[11] ) + USE SIGNAL
+ ROUTED met2 ( 836050 1700 0 ) ( * 15130 )
NEW met1 ( 836050 15130 ) ( 842490 * )
NEW met2 ( 842490 250580 ) ( 844330 * 0 )
NEW met2 ( 842490 15130 ) ( * 250580 )
NEW met1 ( 836050 15130 ) M1M2_PR
NEW met1 ( 842490 15130 ) M1M2_PR ;
- la_oenb[120] ( PIN la_oenb[120] ) ( mprj la_oenb[120] ) + USE SIGNAL
+ ROUTED met1 ( 2629590 239190 ) ( 2634190 * )
NEW met2 ( 2634190 239190 ) ( * 250580 )
NEW met2 ( 2634190 250580 ) ( 2635570 * 0 )
NEW met2 ( 2629590 18870 ) ( * 239190 )
NEW met2 ( 2768970 1700 0 ) ( * 18870 )
NEW met1 ( 2629590 18870 ) ( 2768970 * )
NEW met1 ( 2629590 18870 ) M1M2_PR
NEW met1 ( 2629590 239190 ) M1M2_PR
NEW met1 ( 2634190 239190 ) M1M2_PR
NEW met1 ( 2768970 18870 ) M1M2_PR ;
- la_oenb[121] ( PIN la_oenb[121] ) ( mprj la_oenb[121] ) + USE SIGNAL
+ ROUTED met2 ( 2786910 1700 0 ) ( * 15810 )
NEW met1 ( 2770350 15810 ) ( 2786910 * )
NEW met2 ( 2653970 240550 ) ( * 250580 )
NEW met2 ( 2652130 250580 0 ) ( 2653970 * )
NEW met1 ( 2653970 240550 ) ( 2770350 * )
NEW met2 ( 2770350 15810 ) ( * 240550 )
NEW met1 ( 2786910 15810 ) M1M2_PR
NEW met1 ( 2770350 15810 ) M1M2_PR
NEW met1 ( 2653970 240550 ) M1M2_PR
NEW met1 ( 2770350 240550 ) M1M2_PR ;
- la_oenb[122] ( PIN la_oenb[122] ) ( mprj la_oenb[122] ) + USE SIGNAL
+ ROUTED met2 ( 2804390 1700 0 ) ( * 18530 )
NEW met1 ( 2664090 18530 ) ( 2804390 * )
NEW met2 ( 2664090 250580 ) ( 2668230 * 0 )
NEW met2 ( 2664090 18530 ) ( * 250580 )
NEW met1 ( 2804390 18530 ) M1M2_PR
NEW met1 ( 2664090 18530 ) M1M2_PR ;
- la_oenb[123] ( PIN la_oenb[123] ) ( mprj la_oenb[123] ) + USE SIGNAL
+ ROUTED met2 ( 2822330 1700 0 ) ( * 20570 )
NEW met1 ( 2777250 20570 ) ( 2822330 * )
NEW met2 ( 2686170 239870 ) ( * 250580 )
NEW met2 ( 2684790 250580 0 ) ( 2686170 * )
NEW met1 ( 2686170 239870 ) ( 2739300 * )
NEW met1 ( 2739300 239870 ) ( * 240210 )
NEW met1 ( 2739300 240210 ) ( 2777250 * )
NEW met2 ( 2777250 20570 ) ( * 240210 )
NEW met1 ( 2822330 20570 ) M1M2_PR
NEW met1 ( 2777250 20570 ) M1M2_PR
NEW met1 ( 2686170 239870 ) M1M2_PR
NEW met1 ( 2777250 240210 ) M1M2_PR ;
- la_oenb[124] ( PIN la_oenb[124] ) ( mprj la_oenb[124] ) + USE SIGNAL
+ ROUTED met2 ( 2698590 250580 ) ( 2701350 * 0 )
NEW met2 ( 2698590 18190 ) ( * 250580 )
NEW met2 ( 2840270 1700 0 ) ( * 18190 )
NEW met1 ( 2698590 18190 ) ( 2840270 * )
NEW met1 ( 2698590 18190 ) M1M2_PR
NEW met1 ( 2840270 18190 ) M1M2_PR ;
- la_oenb[125] ( PIN la_oenb[125] ) ( mprj la_oenb[125] ) + USE SIGNAL
+ ROUTED met2 ( 2718370 239530 ) ( * 250580 )
NEW met2 ( 2717450 250580 0 ) ( 2718370 * )
NEW met2 ( 2857750 1700 0 ) ( * 20230 )
NEW met1 ( 2784150 20230 ) ( 2857750 * )
NEW met2 ( 2740910 237490 ) ( * 239530 )
NEW met1 ( 2740910 237490 ) ( 2784150 * )
NEW met1 ( 2718370 239530 ) ( 2740910 * )
NEW met2 ( 2784150 20230 ) ( * 237490 )
NEW met1 ( 2718370 239530 ) M1M2_PR
NEW met1 ( 2784150 20230 ) M1M2_PR
NEW met1 ( 2857750 20230 ) M1M2_PR
NEW met1 ( 2740910 239530 ) M1M2_PR
NEW met1 ( 2740910 237490 ) M1M2_PR
NEW met1 ( 2784150 237490 ) M1M2_PR ;
- la_oenb[126] ( PIN la_oenb[126] ) ( mprj la_oenb[126] ) + USE SIGNAL
+ ROUTED met2 ( 2732630 250580 ) ( 2734010 * 0 )
NEW met2 ( 2732630 17850 ) ( * 250580 )
NEW met2 ( 2875690 1700 0 ) ( * 17850 )
NEW met1 ( 2732630 17850 ) ( 2875690 * )
NEW met1 ( 2732630 17850 ) M1M2_PR
NEW met1 ( 2875690 17850 ) M1M2_PR ;
- la_oenb[127] ( PIN la_oenb[127] ) ( mprj la_oenb[127] ) + USE SIGNAL
+ ROUTED met2 ( 2893170 1700 0 ) ( * 19550 )
NEW met1 ( 2784610 19550 ) ( 2893170 * )
NEW met1 ( 2752410 239870 ) ( 2784610 * )
NEW met2 ( 2752410 239870 ) ( * 250580 )
NEW met2 ( 2750570 250580 0 ) ( 2752410 * )
NEW met2 ( 2784610 19550 ) ( * 239870 )
NEW met1 ( 2893170 19550 ) M1M2_PR
NEW met1 ( 2784610 19550 ) M1M2_PR
NEW met1 ( 2784610 239870 ) M1M2_PR
NEW met1 ( 2752410 239870 ) M1M2_PR ;
- la_oenb[12] ( PIN la_oenb[12] ) ( mprj la_oenb[12] ) + USE SIGNAL
+ ROUTED met2 ( 859510 234770 ) ( * 250580 )
NEW met2 ( 859510 250580 ) ( 860890 * 0 )
NEW met2 ( 852150 1700 ) ( 853990 * 0 )
NEW met2 ( 852150 1700 ) ( * 17510 )
NEW met1 ( 848930 17510 ) ( 852150 * )
NEW met2 ( 848930 17510 ) ( * 234770 )
NEW met1 ( 848930 234770 ) ( 859510 * )
NEW met1 ( 859510 234770 ) M1M2_PR
NEW met1 ( 852150 17510 ) M1M2_PR
NEW met1 ( 848930 17510 ) M1M2_PR
NEW met1 ( 848930 234770 ) M1M2_PR ;
- la_oenb[13] ( PIN la_oenb[13] ) ( mprj la_oenb[13] ) + USE SIGNAL
+ ROUTED met2 ( 869630 1700 ) ( 871470 * 0 )
NEW met1 ( 869630 238850 ) ( 876530 * )
NEW met2 ( 876530 238850 ) ( * 250580 )
NEW met2 ( 876530 250580 ) ( 877450 * 0 )
NEW met2 ( 869630 1700 ) ( * 238850 )
NEW met1 ( 869630 238850 ) M1M2_PR
NEW met1 ( 876530 238850 ) M1M2_PR ;
- la_oenb[14] ( PIN la_oenb[14] ) ( mprj la_oenb[14] ) + USE SIGNAL
+ ROUTED met2 ( 889410 1700 0 ) ( * 17340 )
NEW met2 ( 889410 17340 ) ( 890330 * )
NEW met2 ( 890330 250580 ) ( 894010 * 0 )
NEW met2 ( 890330 17340 ) ( * 250580 ) ;
- la_oenb[15] ( PIN la_oenb[15] ) ( mprj la_oenb[15] ) + USE SIGNAL
+ ROUTED met2 ( 905050 1700 ) ( 907350 * 0 )
NEW met2 ( 905050 250580 ) ( 910110 * 0 )
NEW met2 ( 905050 1700 ) ( * 250580 ) ;
- la_oenb[16] ( PIN la_oenb[16] ) ( mprj la_oenb[16] ) + USE SIGNAL
+ ROUTED met2 ( 924830 250580 ) ( 926670 * 0 )
NEW met2 ( 924830 1700 0 ) ( * 250580 ) ;
- la_oenb[17] ( PIN la_oenb[17] ) ( mprj la_oenb[17] ) + USE SIGNAL
+ ROUTED met2 ( 938630 82800 ) ( 942770 * )
NEW met2 ( 942770 1700 0 ) ( * 82800 )
NEW met2 ( 938630 250580 ) ( 943230 * 0 )
NEW met2 ( 938630 82800 ) ( * 250580 ) ;
- la_oenb[18] ( PIN la_oenb[18] ) ( mprj la_oenb[18] ) + USE SIGNAL
+ ROUTED met2 ( 960250 1700 0 ) ( * 17340 )
NEW met2 ( 959330 17340 ) ( 960250 * )
NEW met2 ( 959330 239020 ) ( 959790 * )
NEW met2 ( 959790 239020 ) ( * 250580 )
NEW met2 ( 959330 250580 0 ) ( 959790 * )
NEW met2 ( 959330 17340 ) ( * 239020 ) ;
- la_oenb[19] ( PIN la_oenb[19] ) ( mprj la_oenb[19] ) + USE SIGNAL
+ ROUTED met2 ( 975890 1700 ) ( 978190 * 0 )
NEW met2 ( 973130 82800 ) ( 975890 * )
NEW met2 ( 975890 1700 ) ( * 82800 )
NEW met2 ( 973130 250580 ) ( 975890 * 0 )
NEW met2 ( 973130 82800 ) ( * 250580 ) ;
- la_oenb[1] ( PIN la_oenb[1] ) ( mprj la_oenb[1] ) + USE SIGNAL
+ ROUTED met2 ( 678270 238170 ) ( * 250580 )
NEW met2 ( 678270 250580 ) ( 680110 * 0 )
NEW met2 ( 656650 1700 ) ( 658950 * 0 )
NEW met2 ( 655730 82800 ) ( 656650 * )
NEW met2 ( 656650 1700 ) ( * 82800 )
NEW met2 ( 655730 82800 ) ( * 131100 )
NEW met2 ( 655730 131100 ) ( 656190 * )
NEW met2 ( 656190 131100 ) ( * 238170 )
NEW met1 ( 656190 238170 ) ( 678270 * )
NEW met1 ( 678270 238170 ) M1M2_PR
NEW met1 ( 656190 238170 ) M1M2_PR ;
- la_oenb[20] ( PIN la_oenb[20] ) ( mprj la_oenb[20] ) + USE SIGNAL
+ ROUTED met2 ( 995670 1700 0 ) ( * 17850 )
NEW met1 ( 987390 17850 ) ( 995670 * )
NEW met2 ( 987390 17850 ) ( * 227700 )
NEW met2 ( 987390 227700 ) ( 987850 * )
NEW met2 ( 987850 227700 ) ( * 250580 )
NEW met2 ( 987850 250580 ) ( 992450 * 0 )
NEW met1 ( 995670 17850 ) M1M2_PR
NEW met1 ( 987390 17850 ) M1M2_PR ;
- la_oenb[21] ( PIN la_oenb[21] ) ( mprj la_oenb[21] ) + USE SIGNAL
+ ROUTED met2 ( 1008550 82800 ) ( 1013610 * )
NEW met2 ( 1013610 1700 0 ) ( * 82800 )
NEW met2 ( 1008550 250580 ) ( 1009010 * 0 )
NEW met2 ( 1008550 82800 ) ( * 250580 ) ;
- la_oenb[22] ( PIN la_oenb[22] ) ( mprj la_oenb[22] ) + USE SIGNAL
+ ROUTED met2 ( 1031090 1700 0 ) ( * 17850 )
NEW met1 ( 1021890 17850 ) ( 1031090 * )
NEW met2 ( 1021890 250580 ) ( 1025110 * 0 )
NEW met2 ( 1021890 17850 ) ( * 250580 )
NEW met1 ( 1031090 17850 ) M1M2_PR
NEW met1 ( 1021890 17850 ) M1M2_PR ;
- la_oenb[23] ( PIN la_oenb[23] ) ( mprj la_oenb[23] ) + USE SIGNAL
+ ROUTED met2 ( 1049030 1700 0 ) ( * 17510 )
NEW met1 ( 1048800 17510 ) ( 1049030 * )
NEW met1 ( 1035230 17850 ) ( 1048800 * )
NEW met1 ( 1048800 17510 ) ( * 17850 )
NEW met2 ( 1035230 17850 ) ( * 227700 )
NEW met2 ( 1035230 227700 ) ( 1038450 * )
NEW met2 ( 1038450 227700 ) ( * 250580 )
NEW met2 ( 1038450 250580 ) ( 1041670 * 0 )
NEW met1 ( 1049030 17510 ) M1M2_PR
NEW met1 ( 1035230 17850 ) M1M2_PR ;
- la_oenb[24] ( PIN la_oenb[24] ) ( mprj la_oenb[24] ) + USE SIGNAL
+ ROUTED met2 ( 1066970 1700 0 ) ( * 17340 )
NEW met2 ( 1062830 17340 ) ( 1066970 * )
NEW met1 ( 1059610 239190 ) ( 1062830 * )
NEW met2 ( 1059610 239190 ) ( * 250580 )
NEW met2 ( 1058230 250580 0 ) ( 1059610 * )
NEW met2 ( 1062830 17340 ) ( * 239190 )
NEW met1 ( 1062830 239190 ) M1M2_PR
NEW met1 ( 1059610 239190 ) M1M2_PR ;
- la_oenb[25] ( PIN la_oenb[25] ) ( mprj la_oenb[25] ) + USE SIGNAL
+ ROUTED met2 ( 1084450 1700 0 ) ( * 17850 )
NEW met1 ( 1070190 17850 ) ( 1084450 * )
NEW met2 ( 1070190 250580 ) ( 1074330 * 0 )
NEW met2 ( 1070190 17850 ) ( * 250580 )
NEW met1 ( 1084450 17850 ) M1M2_PR
NEW met1 ( 1070190 17850 ) M1M2_PR ;
- la_oenb[26] ( PIN la_oenb[26] ) ( mprj la_oenb[26] ) + USE SIGNAL
+ ROUTED met2 ( 1090430 250580 ) ( 1090890 * 0 )
NEW met2 ( 1090430 17850 ) ( * 250580 )
NEW met2 ( 1102390 1700 0 ) ( * 17850 )
NEW met1 ( 1090430 17850 ) ( 1102390 * )
NEW met1 ( 1090430 17850 ) M1M2_PR
NEW met1 ( 1102390 17850 ) M1M2_PR ;
- la_oenb[27] ( PIN la_oenb[27] ) ( mprj la_oenb[27] ) + USE SIGNAL
+ ROUTED met2 ( 1119870 1700 0 ) ( * 17170 )
NEW met1 ( 1104230 17170 ) ( 1119870 * )
NEW met2 ( 1104230 250580 ) ( 1107450 * 0 )
NEW met2 ( 1104230 17170 ) ( * 250580 )
NEW met1 ( 1119870 17170 ) M1M2_PR
NEW met1 ( 1104230 17170 ) M1M2_PR ;
- la_oenb[28] ( PIN la_oenb[28] ) ( mprj la_oenb[28] ) + USE SIGNAL
+ ROUTED met2 ( 1137810 1700 0 ) ( * 17850 )
NEW met1 ( 1118030 17850 ) ( 1137810 * )
NEW met1 ( 1118030 232390 ) ( 1122630 * )
NEW met2 ( 1122630 232390 ) ( * 250580 )
NEW met2 ( 1122630 250580 ) ( 1124010 * 0 )
NEW met2 ( 1118030 17850 ) ( * 232390 )
NEW met1 ( 1137810 17850 ) M1M2_PR
NEW met1 ( 1118030 17850 ) M1M2_PR
NEW met1 ( 1118030 232390 ) M1M2_PR
NEW met1 ( 1122630 232390 ) M1M2_PR ;
- la_oenb[29] ( PIN la_oenb[29] ) ( mprj la_oenb[29] ) + USE SIGNAL
+ ROUTED met2 ( 1155290 1700 0 ) ( * 19210 )
NEW met1 ( 1139190 19210 ) ( 1155290 * )
NEW met2 ( 1139190 250580 ) ( 1140110 * 0 )
NEW met2 ( 1139190 19210 ) ( * 250580 )
NEW met1 ( 1155290 19210 ) M1M2_PR
NEW met1 ( 1139190 19210 ) M1M2_PR ;
- la_oenb[2] ( PIN la_oenb[2] ) ( mprj la_oenb[2] ) + USE SIGNAL
+ ROUTED met2 ( 676430 1700 0 ) ( * 34500 )
NEW met2 ( 676430 34500 ) ( 677350 * )
NEW met1 ( 677350 236810 ) ( 694830 * )
NEW met2 ( 694830 236810 ) ( * 250580 )
NEW met2 ( 694830 250580 ) ( 696670 * 0 )
NEW met2 ( 677350 34500 ) ( * 236810 )
NEW met1 ( 677350 236810 ) M1M2_PR
NEW met1 ( 694830 236810 ) M1M2_PR ;
- la_oenb[30] ( PIN la_oenb[30] ) ( mprj la_oenb[30] ) + USE SIGNAL
+ ROUTED met2 ( 1173230 1700 0 ) ( * 15130 )
NEW met1 ( 1152530 15130 ) ( 1173230 * )
NEW met2 ( 1152530 250580 ) ( 1156670 * 0 )
NEW met2 ( 1152530 15130 ) ( * 250580 )
NEW met1 ( 1173230 15130 ) M1M2_PR
NEW met1 ( 1152530 15130 ) M1M2_PR ;
- la_oenb[31] ( PIN la_oenb[31] ) ( mprj la_oenb[31] ) + USE SIGNAL
+ ROUTED met2 ( 1188410 1700 ) ( 1190710 * 0 )
NEW met2 ( 1188410 1700 ) ( * 2380 )
NEW met2 ( 1187030 2380 ) ( 1188410 * )
NEW met1 ( 1173230 238170 ) ( 1187030 * )
NEW met2 ( 1173230 238170 ) ( * 249220 )
NEW met2 ( 1172770 249220 ) ( 1173230 * )
NEW met2 ( 1172770 249220 ) ( * 250580 )
NEW met2 ( 1172770 250580 ) ( 1173230 * 0 )
NEW met2 ( 1187030 2380 ) ( * 238170 )
NEW met1 ( 1187030 238170 ) M1M2_PR
NEW met1 ( 1173230 238170 ) M1M2_PR ;
- la_oenb[32] ( PIN la_oenb[32] ) ( mprj la_oenb[32] ) + USE SIGNAL
+ ROUTED met2 ( 1187490 250580 ) ( 1189330 * 0 )
NEW met2 ( 1187490 18530 ) ( * 250580 )
NEW met2 ( 1208650 1700 0 ) ( * 18530 )
NEW met1 ( 1187490 18530 ) ( 1208650 * )
NEW met1 ( 1187490 18530 ) M1M2_PR
NEW met1 ( 1208650 18530 ) M1M2_PR ;
- la_oenb[33] ( PIN la_oenb[33] ) ( mprj la_oenb[33] ) + USE SIGNAL
+ ROUTED met2 ( 1226130 1700 0 ) ( * 17170 )
NEW met1 ( 1201290 17170 ) ( 1226130 * )
NEW met2 ( 1201290 250580 ) ( 1205890 * 0 )
NEW met2 ( 1201290 17170 ) ( * 250580 )
NEW met1 ( 1226130 17170 ) M1M2_PR
NEW met1 ( 1201290 17170 ) M1M2_PR ;
- la_oenb[34] ( PIN la_oenb[34] ) ( mprj la_oenb[34] ) + USE SIGNAL
+ ROUTED met2 ( 1244070 1700 0 ) ( * 14790 )
NEW met1 ( 1221990 14790 ) ( 1244070 * )
NEW met2 ( 1221990 250580 ) ( 1222450 * 0 )
NEW met2 ( 1221990 14790 ) ( * 250580 )
NEW met1 ( 1244070 14790 ) M1M2_PR
NEW met1 ( 1221990 14790 ) M1M2_PR ;
- la_oenb[35] ( PIN la_oenb[35] ) ( mprj la_oenb[35] ) + USE SIGNAL
+ ROUTED met2 ( 1262010 1700 0 ) ( * 19210 )
NEW met1 ( 1235330 19210 ) ( 1262010 * )
NEW met2 ( 1235330 250580 ) ( 1239010 * 0 )
NEW met2 ( 1235330 19210 ) ( * 250580 )
NEW met1 ( 1262010 19210 ) M1M2_PR
NEW met1 ( 1235330 19210 ) M1M2_PR ;
- la_oenb[36] ( PIN la_oenb[36] ) ( mprj la_oenb[36] ) + USE SIGNAL
+ ROUTED met2 ( 1279490 1700 0 ) ( * 18530 )
NEW met1 ( 1250050 18530 ) ( 1279490 * )
NEW met2 ( 1250050 250580 ) ( 1255110 * 0 )
NEW met2 ( 1250050 18530 ) ( * 250580 )
NEW met1 ( 1279490 18530 ) M1M2_PR
NEW met1 ( 1250050 18530 ) M1M2_PR ;
- la_oenb[37] ( PIN la_oenb[37] ) ( mprj la_oenb[37] ) + USE SIGNAL
+ ROUTED met2 ( 1269830 250580 ) ( 1271670 * 0 )
NEW met2 ( 1269830 16490 ) ( * 250580 )
NEW met2 ( 1297430 1700 0 ) ( * 16490 )
NEW met1 ( 1269830 16490 ) ( 1297430 * )
NEW met1 ( 1269830 16490 ) M1M2_PR
NEW met1 ( 1297430 16490 ) M1M2_PR ;
- la_oenb[38] ( PIN la_oenb[38] ) ( mprj la_oenb[38] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 250580 ) ( 1288230 * 0 )
NEW met2 ( 1283630 18870 ) ( * 250580 )
NEW met2 ( 1314910 1700 0 ) ( * 18870 )
NEW met1 ( 1283630 18870 ) ( 1314910 * )
NEW met1 ( 1283630 18870 ) M1M2_PR
NEW met1 ( 1314910 18870 ) M1M2_PR ;
- la_oenb[39] ( PIN la_oenb[39] ) ( mprj la_oenb[39] ) + USE SIGNAL
+ ROUTED met2 ( 1332850 1700 0 ) ( * 17170 )
NEW met1 ( 1304330 17170 ) ( 1332850 * )
NEW met2 ( 1304330 250580 ) ( 1304790 * 0 )
NEW met2 ( 1304330 17170 ) ( * 250580 )
NEW met1 ( 1332850 17170 ) M1M2_PR
NEW met1 ( 1304330 17170 ) M1M2_PR ;
- la_oenb[3] ( PIN la_oenb[3] ) ( mprj la_oenb[3] ) + USE SIGNAL
+ ROUTED met2 ( 694370 1700 0 ) ( * 17850 )
NEW met1 ( 694370 17850 ) ( 711390 * )
NEW met2 ( 711390 250580 ) ( 713230 * 0 )
NEW met2 ( 711390 17850 ) ( * 250580 )
NEW met1 ( 694370 17850 ) M1M2_PR
NEW met1 ( 711390 17850 ) M1M2_PR ;
- la_oenb[40] ( PIN la_oenb[40] ) ( mprj la_oenb[40] ) + USE SIGNAL
+ ROUTED met2 ( 1350330 1700 0 ) ( * 19890 )
NEW met1 ( 1318130 19890 ) ( 1350330 * )
NEW met2 ( 1318130 250580 ) ( 1320890 * 0 )
NEW met2 ( 1318130 19890 ) ( * 250580 )
NEW met1 ( 1350330 19890 ) M1M2_PR
NEW met1 ( 1318130 19890 ) M1M2_PR ;
- la_oenb[41] ( PIN la_oenb[41] ) ( mprj la_oenb[41] ) + USE SIGNAL
+ ROUTED met2 ( 1368270 1700 0 ) ( * 17850 )
NEW met1 ( 1332390 17850 ) ( 1368270 * )
NEW met2 ( 1332390 17850 ) ( * 227700 )
NEW met2 ( 1332390 227700 ) ( 1332850 * )
NEW met2 ( 1332850 227700 ) ( * 250580 )
NEW met2 ( 1332850 250580 ) ( 1337450 * 0 )
NEW met1 ( 1368270 17850 ) M1M2_PR
NEW met1 ( 1332390 17850 ) M1M2_PR ;
- la_oenb[42] ( PIN la_oenb[42] ) ( mprj la_oenb[42] ) + USE SIGNAL
+ ROUTED met2 ( 1385750 1700 0 ) ( * 17510 )
NEW met1 ( 1353090 17510 ) ( 1385750 * )
NEW met2 ( 1353090 250580 ) ( 1354010 * 0 )
NEW met2 ( 1353090 17510 ) ( * 250580 )
NEW met1 ( 1385750 17510 ) M1M2_PR
NEW met1 ( 1353090 17510 ) M1M2_PR ;
- la_oenb[43] ( PIN la_oenb[43] ) ( mprj la_oenb[43] ) + USE SIGNAL
+ ROUTED met2 ( 1366430 250580 ) ( 1370110 * 0 )
NEW met2 ( 1366430 18530 ) ( * 250580 )
NEW met2 ( 1403690 1700 0 ) ( * 18530 )
NEW met1 ( 1366430 18530 ) ( 1403690 * )
NEW met1 ( 1366430 18530 ) M1M2_PR
NEW met1 ( 1403690 18530 ) M1M2_PR ;
- la_oenb[44] ( PIN la_oenb[44] ) ( mprj la_oenb[44] ) + USE SIGNAL
+ ROUTED met2 ( 1380690 17170 ) ( * 227700 )
NEW met2 ( 1380690 227700 ) ( 1381610 * )
NEW met2 ( 1381610 227700 ) ( * 250580 )
NEW met2 ( 1381610 250580 ) ( 1386670 * 0 )
NEW met2 ( 1421630 1700 0 ) ( * 17170 )
NEW met1 ( 1380690 17170 ) ( 1421630 * )
NEW met1 ( 1380690 17170 ) M1M2_PR
NEW met1 ( 1421630 17170 ) M1M2_PR ;
- la_oenb[45] ( PIN la_oenb[45] ) ( mprj la_oenb[45] ) + USE SIGNAL
+ ROUTED met2 ( 1439110 1700 0 ) ( * 15810 )
NEW met1 ( 1400930 15810 ) ( 1439110 * )
NEW met2 ( 1400930 250580 ) ( 1403230 * 0 )
NEW met2 ( 1400930 15810 ) ( * 250580 )
NEW met1 ( 1439110 15810 ) M1M2_PR
NEW met1 ( 1400930 15810 ) M1M2_PR ;
- la_oenb[46] ( PIN la_oenb[46] ) ( mprj la_oenb[46] ) + USE SIGNAL
+ ROUTED met2 ( 1457050 1700 0 ) ( * 18870 )
NEW met1 ( 1414730 18870 ) ( 1457050 * )
NEW met2 ( 1414730 250580 ) ( 1419790 * 0 )
NEW met2 ( 1414730 18870 ) ( * 250580 )
NEW met1 ( 1457050 18870 ) M1M2_PR
NEW met1 ( 1414730 18870 ) M1M2_PR ;
- la_oenb[47] ( PIN la_oenb[47] ) ( mprj la_oenb[47] ) + USE SIGNAL
+ ROUTED met2 ( 1474530 1700 0 ) ( * 17850 )
NEW met1 ( 1435890 17850 ) ( 1474530 * )
NEW met2 ( 1435890 17850 ) ( * 227700 )
NEW met2 ( 1435890 227700 ) ( 1436350 * )
NEW met2 ( 1436350 227700 ) ( * 250580 )
NEW met2 ( 1435890 250580 0 ) ( 1436350 * )
NEW met1 ( 1474530 17850 ) M1M2_PR
NEW met1 ( 1435890 17850 ) M1M2_PR ;
- la_oenb[48] ( PIN la_oenb[48] ) ( mprj la_oenb[48] ) + USE SIGNAL
+ ROUTED met2 ( 1449230 250580 ) ( 1452450 * 0 )
NEW met2 ( 1449230 15130 ) ( * 250580 )
NEW met2 ( 1492470 1700 0 ) ( * 15130 )
NEW met1 ( 1449230 15130 ) ( 1492470 * )
NEW met1 ( 1449230 15130 ) M1M2_PR
NEW met1 ( 1492470 15130 ) M1M2_PR ;
- la_oenb[49] ( PIN la_oenb[49] ) ( mprj la_oenb[49] ) + USE SIGNAL
+ ROUTED met2 ( 1469470 237830 ) ( * 250580 )
NEW met2 ( 1469010 250580 0 ) ( 1469470 * )
NEW met2 ( 1509950 1700 0 ) ( * 15130 )
NEW met1 ( 1500750 15130 ) ( 1509950 * )
NEW met1 ( 1469470 237830 ) ( 1500750 * )
NEW met2 ( 1500750 15130 ) ( * 237830 )
NEW met1 ( 1469470 237830 ) M1M2_PR
NEW met1 ( 1509950 15130 ) M1M2_PR
NEW met1 ( 1500750 15130 ) M1M2_PR
NEW met1 ( 1500750 237830 ) M1M2_PR ;
- la_oenb[4] ( PIN la_oenb[4] ) ( mprj la_oenb[4] ) + USE SIGNAL
+ ROUTED met2 ( 710930 1700 ) ( 712310 * 0 )
NEW met1 ( 710930 237490 ) ( 727950 * )
NEW met2 ( 727950 237490 ) ( * 250580 )
NEW met2 ( 727950 250580 ) ( 729330 * 0 )
NEW met2 ( 710930 1700 ) ( * 237490 )
NEW met1 ( 710930 237490 ) M1M2_PR
NEW met1 ( 727950 237490 ) M1M2_PR ;
- la_oenb[50] ( PIN la_oenb[50] ) ( mprj la_oenb[50] ) + USE SIGNAL
+ ROUTED met2 ( 1527890 1700 0 ) ( * 16490 )
NEW met1 ( 1483730 16490 ) ( 1527890 * )
NEW met2 ( 1483730 250580 ) ( 1485110 * 0 )
NEW met2 ( 1483730 16490 ) ( * 250580 )
NEW met1 ( 1527890 16490 ) M1M2_PR
NEW met1 ( 1483730 16490 ) M1M2_PR ;
- la_oenb[51] ( PIN la_oenb[51] ) ( mprj la_oenb[51] ) + USE SIGNAL
+ ROUTED met2 ( 1545370 1700 0 ) ( * 18870 )
NEW met1 ( 1497530 18870 ) ( 1545370 * )
NEW met2 ( 1497530 250580 ) ( 1501670 * 0 )
NEW met2 ( 1497530 18870 ) ( * 250580 )
NEW met1 ( 1545370 18870 ) M1M2_PR
NEW met1 ( 1497530 18870 ) M1M2_PR ;
- la_oenb[52] ( PIN la_oenb[52] ) ( mprj la_oenb[52] ) + USE SIGNAL
+ ROUTED met2 ( 1563310 1700 0 ) ( * 19550 )
NEW met1 ( 1518230 19550 ) ( 1563310 * )
NEW met2 ( 1518230 239020 ) ( 1518690 * )
NEW met2 ( 1518690 239020 ) ( * 250580 )
NEW met2 ( 1518230 250580 0 ) ( 1518690 * )
NEW met2 ( 1518230 19550 ) ( * 239020 )
NEW met1 ( 1563310 19550 ) M1M2_PR
NEW met1 ( 1518230 19550 ) M1M2_PR ;
- la_oenb[53] ( PIN la_oenb[53] ) ( mprj la_oenb[53] ) + USE SIGNAL
+ ROUTED met2 ( 1532030 250580 ) ( 1534790 * 0 )
NEW met2 ( 1532030 19210 ) ( * 250580 )
NEW met2 ( 1581250 1700 0 ) ( * 19210 )
NEW met1 ( 1532030 19210 ) ( 1581250 * )
NEW met1 ( 1532030 19210 ) M1M2_PR
NEW met1 ( 1581250 19210 ) M1M2_PR ;
- la_oenb[54] ( PIN la_oenb[54] ) ( mprj la_oenb[54] ) + USE SIGNAL
+ ROUTED met2 ( 1545830 250580 ) ( 1550890 * 0 )
NEW met2 ( 1545830 17510 ) ( * 250580 )
NEW met2 ( 1598730 1700 0 ) ( * 17510 )
NEW met1 ( 1545830 17510 ) ( 1598730 * )
NEW met1 ( 1545830 17510 ) M1M2_PR
NEW met1 ( 1598730 17510 ) M1M2_PR ;
- la_oenb[55] ( PIN la_oenb[55] ) ( mprj la_oenb[55] ) + USE SIGNAL
+ ROUTED met2 ( 1566990 250580 ) ( 1567450 * 0 )
NEW met2 ( 1566990 16830 ) ( * 250580 )
NEW met2 ( 1616670 1700 0 ) ( * 16830 )
NEW met1 ( 1566990 16830 ) ( 1616670 * )
NEW met1 ( 1566990 16830 ) M1M2_PR
NEW met1 ( 1616670 16830 ) M1M2_PR ;
- la_oenb[56] ( PIN la_oenb[56] ) ( mprj la_oenb[56] ) + USE SIGNAL
+ ROUTED met2 ( 1634150 1700 0 ) ( * 18530 )
NEW met1 ( 1580330 18530 ) ( 1634150 * )
NEW met2 ( 1580330 250580 ) ( 1584010 * 0 )
NEW met2 ( 1580330 18530 ) ( * 250580 )
NEW met1 ( 1634150 18530 ) M1M2_PR
NEW met1 ( 1580330 18530 ) M1M2_PR ;
- la_oenb[57] ( PIN la_oenb[57] ) ( mprj la_oenb[57] ) + USE SIGNAL
+ ROUTED met2 ( 1652090 1700 0 ) ( * 19890 )
NEW met1 ( 1618050 19890 ) ( 1652090 * )
NEW met1 ( 1600570 239190 ) ( 1618050 * )
NEW met2 ( 1600570 239190 ) ( * 250580 )
NEW met2 ( 1600110 250580 0 ) ( 1600570 * )
NEW met2 ( 1618050 19890 ) ( * 239190 )
NEW met1 ( 1652090 19890 ) M1M2_PR
NEW met1 ( 1618050 19890 ) M1M2_PR
NEW met1 ( 1618050 239190 ) M1M2_PR
NEW met1 ( 1600570 239190 ) M1M2_PR ;
- la_oenb[58] ( PIN la_oenb[58] ) ( mprj la_oenb[58] ) + USE SIGNAL
+ ROUTED met2 ( 1669570 1700 0 ) ( * 20230 )
NEW met1 ( 1614830 20230 ) ( 1669570 * )
NEW met2 ( 1614830 250580 ) ( 1616670 * 0 )
NEW met2 ( 1614830 20230 ) ( * 250580 )
NEW met1 ( 1669570 20230 ) M1M2_PR
NEW met1 ( 1614830 20230 ) M1M2_PR ;
- la_oenb[59] ( PIN la_oenb[59] ) ( mprj la_oenb[59] ) + USE SIGNAL
+ ROUTED met2 ( 1628630 250580 ) ( 1633230 * 0 )
NEW met2 ( 1628630 17850 ) ( * 250580 )
NEW met2 ( 1687510 1700 0 ) ( * 17850 )
NEW met1 ( 1628630 17850 ) ( 1687510 * )
NEW met1 ( 1628630 17850 ) M1M2_PR
NEW met1 ( 1687510 17850 ) M1M2_PR ;
- la_oenb[5] ( PIN la_oenb[5] ) ( mprj la_oenb[5] ) + USE SIGNAL
+ ROUTED met2 ( 727490 1700 ) ( 729790 * 0 )
NEW met2 ( 724730 82800 ) ( 727490 * )
NEW met2 ( 727490 1700 ) ( * 82800 )
NEW met1 ( 724730 239530 ) ( 745430 * )
NEW met2 ( 745430 239530 ) ( * 250580 )
NEW met2 ( 745430 250580 ) ( 745890 * 0 )
NEW met2 ( 724730 82800 ) ( * 239530 )
NEW met1 ( 724730 239530 ) M1M2_PR
NEW met1 ( 745430 239530 ) M1M2_PR ;
- la_oenb[60] ( PIN la_oenb[60] ) ( mprj la_oenb[60] ) + USE SIGNAL
+ ROUTED met2 ( 1649790 16150 ) ( * 227700 )
NEW met2 ( 1649790 227700 ) ( 1650250 * )
NEW met2 ( 1650250 227700 ) ( * 250580 )
NEW met2 ( 1649790 250580 0 ) ( 1650250 * )
NEW met2 ( 1704990 1700 0 ) ( * 16150 )
NEW met1 ( 1649790 16150 ) ( 1704990 * )
NEW met1 ( 1649790 16150 ) M1M2_PR
NEW met1 ( 1704990 16150 ) M1M2_PR ;
- la_oenb[61] ( PIN la_oenb[61] ) ( mprj la_oenb[61] ) + USE SIGNAL
+ ROUTED met2 ( 1663130 250580 ) ( 1665890 * 0 )
NEW met2 ( 1663130 19550 ) ( * 250580 )
NEW met2 ( 1722930 1700 0 ) ( * 19550 )
NEW met1 ( 1663130 19550 ) ( 1722930 * )
NEW met1 ( 1663130 19550 ) M1M2_PR
NEW met1 ( 1722930 19550 ) M1M2_PR ;
- la_oenb[62] ( PIN la_oenb[62] ) ( mprj la_oenb[62] ) + USE SIGNAL
+ ROUTED met2 ( 1740410 1700 0 ) ( * 17510 )
NEW met1 ( 1677390 17510 ) ( 1740410 * )
NEW met2 ( 1677390 17510 ) ( * 227700 )
NEW met2 ( 1677390 227700 ) ( 1677850 * )
NEW met2 ( 1677850 227700 ) ( * 250580 )
NEW met2 ( 1677850 250580 ) ( 1682450 * 0 )
NEW met1 ( 1740410 17510 ) M1M2_PR
NEW met1 ( 1677390 17510 ) M1M2_PR ;
- la_oenb[63] ( PIN la_oenb[63] ) ( mprj la_oenb[63] ) + USE SIGNAL
+ ROUTED met2 ( 1758350 1700 0 ) ( * 20570 )
NEW met1 ( 1697630 20570 ) ( 1758350 * )
NEW met2 ( 1697630 250580 ) ( 1699010 * 0 )
NEW met2 ( 1697630 20570 ) ( * 250580 )
NEW met1 ( 1758350 20570 ) M1M2_PR
NEW met1 ( 1697630 20570 ) M1M2_PR ;
- la_oenb[64] ( PIN la_oenb[64] ) ( mprj la_oenb[64] ) + USE SIGNAL
+ ROUTED met2 ( 1776290 1700 0 ) ( * 17850 )
NEW met1 ( 1711430 17850 ) ( 1776290 * )
NEW met2 ( 1711430 250580 ) ( 1715570 * 0 )
NEW met2 ( 1711430 17850 ) ( * 250580 )
NEW met1 ( 1711430 17850 ) M1M2_PR
NEW met1 ( 1776290 17850 ) M1M2_PR ;
- la_oenb[65] ( PIN la_oenb[65] ) ( mprj la_oenb[65] ) + USE SIGNAL
+ ROUTED met2 ( 1725690 16490 ) ( * 227700 )
NEW met2 ( 1725690 227700 ) ( 1726610 * )
NEW met2 ( 1726610 227700 ) ( * 250580 )
NEW met2 ( 1726610 250580 ) ( 1731670 * 0 )
NEW met2 ( 1793770 1700 0 ) ( * 16490 )
NEW met1 ( 1725690 16490 ) ( 1793770 * )
NEW met1 ( 1725690 16490 ) M1M2_PR
NEW met1 ( 1793770 16490 ) M1M2_PR ;
- la_oenb[66] ( PIN la_oenb[66] ) ( mprj la_oenb[66] ) + USE SIGNAL
+ ROUTED met2 ( 1745930 250580 ) ( 1748230 * 0 )
NEW met2 ( 1745930 18530 ) ( * 250580 )
NEW met2 ( 1811710 1700 0 ) ( * 18530 )
NEW met1 ( 1745930 18530 ) ( 1811710 * )
NEW met1 ( 1745930 18530 ) M1M2_PR
NEW met1 ( 1811710 18530 ) M1M2_PR ;
- la_oenb[67] ( PIN la_oenb[67] ) ( mprj la_oenb[67] ) + USE SIGNAL
+ ROUTED met2 ( 1829190 1700 0 ) ( * 18190 )
NEW met2 ( 1759730 250580 ) ( 1764790 * 0 )
NEW met2 ( 1759730 18190 ) ( * 250580 )
NEW met1 ( 1759730 18190 ) ( 1829190 * )
NEW met1 ( 1759730 18190 ) M1M2_PR
NEW met1 ( 1829190 18190 ) M1M2_PR ;
- la_oenb[68] ( PIN la_oenb[68] ) ( mprj la_oenb[68] ) + USE SIGNAL
+ ROUTED met2 ( 1847130 1700 0 ) ( * 20570 )
NEW met1 ( 1780430 20570 ) ( 1847130 * )
NEW met2 ( 1780430 250580 ) ( 1780890 * 0 )
NEW met2 ( 1780430 20570 ) ( * 250580 )
NEW met1 ( 1847130 20570 ) M1M2_PR
NEW met1 ( 1780430 20570 ) M1M2_PR ;
- la_oenb[69] ( PIN la_oenb[69] ) ( mprj la_oenb[69] ) + USE SIGNAL
+ ROUTED met2 ( 1864610 1700 0 ) ( * 17850 )
NEW met1 ( 1794230 17850 ) ( 1864610 * )
NEW met2 ( 1794230 250580 ) ( 1797450 * 0 )
NEW met2 ( 1794230 17850 ) ( * 250580 )
NEW met1 ( 1864610 17850 ) M1M2_PR
NEW met1 ( 1794230 17850 ) M1M2_PR ;
- la_oenb[6] ( PIN la_oenb[6] ) ( mprj la_oenb[6] ) + USE SIGNAL
+ ROUTED met2 ( 761070 234770 ) ( * 250580 )
NEW met2 ( 761070 250580 ) ( 762450 * 0 )
NEW met2 ( 746350 82800 ) ( 747730 * )
NEW met2 ( 747730 1700 0 ) ( * 82800 )
NEW met2 ( 746350 82800 ) ( * 234770 )
NEW met1 ( 746350 234770 ) ( 761070 * )
NEW met1 ( 761070 234770 ) M1M2_PR
NEW met1 ( 746350 234770 ) M1M2_PR ;
- la_oenb[70] ( PIN la_oenb[70] ) ( mprj la_oenb[70] ) + USE SIGNAL
+ ROUTED met2 ( 1880250 1700 ) ( 1882550 * 0 )
NEW met2 ( 1880250 1700 ) ( * 3060 )
NEW met2 ( 1877030 3060 ) ( 1880250 * )
NEW met2 ( 1814470 238510 ) ( * 250580 )
NEW met2 ( 1814010 250580 0 ) ( 1814470 * )
NEW met1 ( 1814470 238510 ) ( 1877030 * )
NEW met2 ( 1877030 3060 ) ( * 238510 )
NEW met1 ( 1814470 238510 ) M1M2_PR
NEW met1 ( 1877030 238510 ) M1M2_PR ;
- la_oenb[71] ( PIN la_oenb[71] ) ( mprj la_oenb[71] ) + USE SIGNAL
+ ROUTED met2 ( 1828730 250580 ) ( 1830570 * 0 )
NEW met2 ( 1828730 17510 ) ( * 250580 )
NEW met2 ( 1900030 1700 0 ) ( * 17510 )
NEW met1 ( 1828730 17510 ) ( 1900030 * )
NEW met1 ( 1828730 17510 ) M1M2_PR
NEW met1 ( 1900030 17510 ) M1M2_PR ;
- la_oenb[72] ( PIN la_oenb[72] ) ( mprj la_oenb[72] ) + USE SIGNAL
+ ROUTED met2 ( 1842530 250580 ) ( 1846670 * 0 )
NEW met2 ( 1842530 19210 ) ( * 250580 )
NEW met2 ( 1917970 1700 0 ) ( * 19210 )
NEW met1 ( 1842530 19210 ) ( 1917970 * )
NEW met1 ( 1842530 19210 ) M1M2_PR
NEW met1 ( 1917970 19210 ) M1M2_PR ;
- la_oenb[73] ( PIN la_oenb[73] ) ( mprj la_oenb[73] ) + USE SIGNAL
+ ROUTED met2 ( 1935910 1700 0 ) ( * 17510 )
NEW met1 ( 1928550 17510 ) ( 1935910 * )
NEW met2 ( 1864610 239530 ) ( * 250580 )
NEW met2 ( 1863230 250580 0 ) ( 1864610 * )
NEW met2 ( 1928550 17510 ) ( * 239530 )
NEW met1 ( 1864610 239530 ) ( 1928550 * )
NEW met1 ( 1935910 17510 ) M1M2_PR
NEW met1 ( 1928550 17510 ) M1M2_PR
NEW met1 ( 1864610 239530 ) M1M2_PR
NEW met1 ( 1928550 239530 ) M1M2_PR ;
- la_oenb[74] ( PIN la_oenb[74] ) ( mprj la_oenb[74] ) + USE SIGNAL
+ ROUTED met2 ( 1953390 1700 0 ) ( * 9180 )
NEW met2 ( 1952930 9180 ) ( 1953390 * )
NEW met2 ( 1877490 250580 ) ( 1879790 * 0 )
NEW met2 ( 1877490 18190 ) ( * 250580 )
NEW met1 ( 1877490 18190 ) ( 1952930 * )
NEW met2 ( 1952930 9180 ) ( * 18190 )
NEW met1 ( 1877490 18190 ) M1M2_PR
NEW met1 ( 1952930 18190 ) M1M2_PR ;
- la_oenb[75] ( PIN la_oenb[75] ) ( mprj la_oenb[75] ) + USE SIGNAL
+ ROUTED met2 ( 1891290 16490 ) ( * 227700 )
NEW met2 ( 1891290 227700 ) ( 1892670 * )
NEW met2 ( 1892670 227700 ) ( * 250580 )
NEW met2 ( 1892670 250580 ) ( 1895890 * 0 )
NEW met1 ( 1891290 16490 ) ( 1971330 * )
NEW met2 ( 1971330 1700 0 ) ( * 16490 )
NEW met1 ( 1891290 16490 ) M1M2_PR
NEW met1 ( 1971330 16490 ) M1M2_PR ;
- la_oenb[76] ( PIN la_oenb[76] ) ( mprj la_oenb[76] ) + USE SIGNAL
+ ROUTED met2 ( 1911530 250580 ) ( 1912450 * 0 )
NEW met2 ( 1911530 17170 ) ( * 250580 )
NEW met1 ( 1911530 17170 ) ( 1988810 * )
NEW met2 ( 1988810 1700 0 ) ( * 17170 )
NEW met1 ( 1911530 17170 ) M1M2_PR
NEW met1 ( 1988810 17170 ) M1M2_PR ;
- la_oenb[77] ( PIN la_oenb[77] ) ( mprj la_oenb[77] ) + USE SIGNAL
+ ROUTED met2 ( 1925330 250580 ) ( 1929010 * 0 )
NEW met2 ( 1925330 18530 ) ( * 250580 )
NEW met2 ( 2006750 1700 0 ) ( * 18530 )
NEW met1 ( 1925330 18530 ) ( 2006750 * )
NEW met1 ( 1925330 18530 ) M1M2_PR
NEW met1 ( 2006750 18530 ) M1M2_PR ;
- la_oenb[78] ( PIN la_oenb[78] ) ( mprj la_oenb[78] ) + USE SIGNAL
+ ROUTED met2 ( 1940510 250580 ) ( 1945570 * 0 )
NEW met2 ( 1940510 17510 ) ( * 250580 )
NEW met2 ( 2024230 1700 0 ) ( * 17510 )
NEW met1 ( 1940510 17510 ) ( 2024230 * )
NEW met1 ( 1940510 17510 ) M1M2_PR
NEW met1 ( 2024230 17510 ) M1M2_PR ;
- la_oenb[79] ( PIN la_oenb[79] ) ( mprj la_oenb[79] ) + USE SIGNAL
+ ROUTED met2 ( 1959830 250580 ) ( 1961670 * 0 )
NEW met2 ( 2042170 1700 0 ) ( * 20230 )
NEW met2 ( 1959830 20230 ) ( * 250580 )
NEW met1 ( 1959830 20230 ) ( 2042170 * )
NEW met1 ( 2042170 20230 ) M1M2_PR
NEW met1 ( 1959830 20230 ) M1M2_PR ;
- la_oenb[7] ( PIN la_oenb[7] ) ( mprj la_oenb[7] ) + USE SIGNAL
+ ROUTED met2 ( 765210 1700 0 ) ( * 48110 )
NEW met1 ( 759230 239530 ) ( 776710 * )
NEW met2 ( 776710 239530 ) ( * 250580 )
NEW met2 ( 776710 250580 ) ( 778550 * 0 )
NEW met2 ( 759230 48300 ) ( * 239530 )
NEW met2 ( 758770 48110 ) ( * 48300 )
NEW met1 ( 758770 48110 ) ( 765210 * )
NEW met2 ( 758770 48300 ) ( 759230 * )
NEW met1 ( 765210 48110 ) M1M2_PR
NEW met1 ( 759230 239530 ) M1M2_PR
NEW met1 ( 776710 239530 ) M1M2_PR
NEW met1 ( 758770 48110 ) M1M2_PR ;
- la_oenb[80] ( PIN la_oenb[80] ) ( mprj la_oenb[80] ) + USE SIGNAL
+ ROUTED met2 ( 2059650 1700 0 ) ( * 18530 )
NEW met2 ( 1974090 250580 ) ( 1978230 * 0 )
NEW met1 ( 2018250 17850 ) ( * 18530 )
NEW met1 ( 2018250 18530 ) ( 2059650 * )
NEW met2 ( 1974090 17850 ) ( * 250580 )
NEW met1 ( 1974090 17850 ) ( 2018250 * )
NEW met1 ( 2059650 18530 ) M1M2_PR
NEW met1 ( 1974090 17850 ) M1M2_PR ;
- la_oenb[81] ( PIN la_oenb[81] ) ( mprj la_oenb[81] ) + USE SIGNAL
+ ROUTED met2 ( 2077590 1700 0 ) ( * 19550 )
NEW met2 ( 1994330 82800 ) ( 1994790 * )
NEW met2 ( 1994790 82800 ) ( * 227700 )
NEW met2 ( 1994330 227700 ) ( 1994790 * )
NEW met2 ( 1994330 227700 ) ( * 250580 )
NEW met2 ( 1994330 250580 ) ( 1994790 * 0 )
NEW met1 ( 1994330 20570 ) ( 1995710 * )
NEW met2 ( 1995710 19550 ) ( * 20570 )
NEW met2 ( 1994330 20570 ) ( * 82800 )
NEW met1 ( 1995710 19550 ) ( 2077590 * )
NEW met1 ( 2077590 19550 ) M1M2_PR
NEW met1 ( 1994330 20570 ) M1M2_PR
NEW met1 ( 1995710 20570 ) M1M2_PR
NEW met1 ( 1995710 19550 ) M1M2_PR ;
- la_oenb[82] ( PIN la_oenb[82] ) ( mprj la_oenb[82] ) + USE SIGNAL
+ ROUTED met2 ( 2095070 1700 0 ) ( * 20570 )
NEW met2 ( 2008590 250580 ) ( 2010890 * 0 )
NEW met2 ( 2008590 20570 ) ( * 250580 )
NEW met1 ( 2008590 20570 ) ( 2095070 * )
NEW met1 ( 2095070 20570 ) M1M2_PR
NEW met1 ( 2008590 20570 ) M1M2_PR ;
- la_oenb[83] ( PIN la_oenb[83] ) ( mprj la_oenb[83] ) + USE SIGNAL
+ ROUTED met2 ( 2113010 1700 0 ) ( * 16830 )
NEW met2 ( 2059650 82800 ) ( 2060110 * )
NEW met2 ( 2060110 16830 ) ( * 82800 )
NEW met1 ( 2028370 239190 ) ( 2059650 * )
NEW met2 ( 2028370 239190 ) ( * 250580 )
NEW met2 ( 2027450 250580 0 ) ( 2028370 * )
NEW met2 ( 2059650 82800 ) ( * 239190 )
NEW met1 ( 2060110 16830 ) ( 2113010 * )
NEW met1 ( 2060110 16830 ) M1M2_PR
NEW met1 ( 2113010 16830 ) M1M2_PR
NEW met1 ( 2059650 239190 ) M1M2_PR
NEW met1 ( 2028370 239190 ) M1M2_PR ;
- la_oenb[84] ( PIN la_oenb[84] ) ( mprj la_oenb[84] ) + USE SIGNAL
+ ROUTED met2 ( 2130950 1700 0 ) ( * 20230 )
NEW met2 ( 2043090 250580 ) ( 2044010 * 0 )
NEW met2 ( 2043090 20230 ) ( * 250580 )
NEW met1 ( 2043090 20230 ) ( 2130950 * )
NEW met1 ( 2043090 20230 ) M1M2_PR
NEW met1 ( 2130950 20230 ) M1M2_PR ;
- la_oenb[85] ( PIN la_oenb[85] ) ( mprj la_oenb[85] ) + USE SIGNAL
+ ROUTED met2 ( 2148430 1700 0 ) ( * 17850 )
NEW met2 ( 2056430 250580 ) ( 2060570 * 0 )
NEW met2 ( 2056430 17850 ) ( * 250580 )
NEW met1 ( 2056430 17850 ) ( 2148430 * )
NEW met1 ( 2056430 17850 ) M1M2_PR
NEW met1 ( 2148430 17850 ) M1M2_PR ;
- la_oenb[86] ( PIN la_oenb[86] ) ( mprj la_oenb[86] ) + USE SIGNAL
+ ROUTED met2 ( 2166370 1700 0 ) ( * 18190 )
NEW met1 ( 2070230 18190 ) ( 2166370 * )
NEW met2 ( 2070230 18190 ) ( * 227700 )
NEW met2 ( 2070230 227700 ) ( 2071610 * )
NEW met2 ( 2071610 227700 ) ( * 250580 )
NEW met2 ( 2071610 250580 ) ( 2076670 * 0 )
NEW met1 ( 2070230 18190 ) M1M2_PR
NEW met1 ( 2166370 18190 ) M1M2_PR ;
- la_oenb[87] ( PIN la_oenb[87] ) ( mprj la_oenb[87] ) + USE SIGNAL
+ ROUTED met2 ( 2183850 1700 0 ) ( * 18870 )
NEW met1 ( 2090930 18870 ) ( 2183850 * )
NEW met2 ( 2090930 250580 ) ( 2093230 * 0 )
NEW met2 ( 2090930 18870 ) ( * 250580 )
NEW met1 ( 2090930 18870 ) M1M2_PR
NEW met1 ( 2183850 18870 ) M1M2_PR ;
- la_oenb[88] ( PIN la_oenb[88] ) ( mprj la_oenb[88] ) + USE SIGNAL
+ ROUTED met2 ( 2201790 1700 0 ) ( * 16830 )
NEW met1 ( 2190290 16830 ) ( 2201790 * )
NEW met1 ( 2190290 16830 ) ( * 17170 )
NEW met1 ( 2104730 17170 ) ( 2190290 * )
NEW met2 ( 2104730 250580 ) ( 2109790 * 0 )
NEW met2 ( 2104730 17170 ) ( * 250580 )
NEW met1 ( 2104730 17170 ) M1M2_PR
NEW met1 ( 2201790 16830 ) M1M2_PR ;
- la_oenb[89] ( PIN la_oenb[89] ) ( mprj la_oenb[89] ) + USE SIGNAL
+ ROUTED met2 ( 2219270 1700 0 ) ( * 18530 )
NEW met2 ( 2125430 250580 ) ( 2126350 * 0 )
NEW met2 ( 2125430 18530 ) ( * 250580 )
NEW met1 ( 2125430 18530 ) ( 2219270 * )
NEW met1 ( 2125430 18530 ) M1M2_PR
NEW met1 ( 2219270 18530 ) M1M2_PR ;
- la_oenb[8] ( PIN la_oenb[8] ) ( mprj la_oenb[8] ) + USE SIGNAL
+ ROUTED met2 ( 780850 1700 ) ( 783150 * 0 )
NEW met2 ( 780390 82800 ) ( 780850 * )
NEW met2 ( 780850 1700 ) ( * 82800 )
NEW met1 ( 780390 237490 ) ( 793730 * )
NEW met2 ( 793730 237490 ) ( * 250580 )
NEW met2 ( 793730 250580 ) ( 795110 * 0 )
NEW met2 ( 780390 82800 ) ( * 237490 )
NEW met1 ( 780390 237490 ) M1M2_PR
NEW met1 ( 793730 237490 ) M1M2_PR ;
- la_oenb[90] ( PIN la_oenb[90] ) ( mprj la_oenb[90] ) + USE SIGNAL
+ ROUTED met2 ( 2237210 1700 0 ) ( * 17510 )
NEW met2 ( 2139230 250580 ) ( 2142450 * 0 )
NEW met2 ( 2139230 17510 ) ( * 250580 )
NEW met1 ( 2139230 17510 ) ( 2159700 * )
NEW met1 ( 2208000 17510 ) ( 2237210 * )
NEW met1 ( 2159700 17510 ) ( * 17850 )
NEW met1 ( 2159700 17850 ) ( 2208000 * )
NEW met1 ( 2208000 17510 ) ( * 17850 )
NEW met1 ( 2139230 17510 ) M1M2_PR
NEW met1 ( 2237210 17510 ) M1M2_PR ;
- la_oenb[91] ( PIN la_oenb[91] ) ( mprj la_oenb[91] ) + USE SIGNAL
+ ROUTED met2 ( 2254690 1700 0 ) ( * 19890 )
NEW met2 ( 2153950 19890 ) ( * 227700 )
NEW met2 ( 2153950 227700 ) ( 2155330 * )
NEW met2 ( 2155330 227700 ) ( * 250580 )
NEW met2 ( 2155330 250580 ) ( 2159010 * 0 )
NEW met1 ( 2153950 19890 ) ( 2254690 * )
NEW met1 ( 2153950 19890 ) M1M2_PR
NEW met1 ( 2254690 19890 ) M1M2_PR ;
- la_oenb[92] ( PIN la_oenb[92] ) ( mprj la_oenb[92] ) + USE SIGNAL
+ ROUTED met2 ( 2272630 1700 0 ) ( * 18190 )
NEW met1 ( 2173730 18190 ) ( 2272630 * )
NEW met2 ( 2173730 250580 ) ( 2175570 * 0 )
NEW met2 ( 2173730 18190 ) ( * 250580 )
NEW met1 ( 2173730 18190 ) M1M2_PR
NEW met1 ( 2272630 18190 ) M1M2_PR ;
- la_oenb[93] ( PIN la_oenb[93] ) ( mprj la_oenb[93] ) + USE SIGNAL
+ ROUTED met2 ( 2290570 1700 0 ) ( * 17510 )
NEW met1 ( 2273550 17510 ) ( 2290570 * )
NEW met2 ( 2193050 240890 ) ( * 250580 )
NEW met2 ( 2191670 250580 0 ) ( 2193050 * )
NEW met1 ( 2193050 240890 ) ( 2273550 * )
NEW met2 ( 2273550 17510 ) ( * 240890 )
NEW met1 ( 2290570 17510 ) M1M2_PR
NEW met1 ( 2273550 17510 ) M1M2_PR
NEW met1 ( 2193050 240890 ) M1M2_PR
NEW met1 ( 2273550 240890 ) M1M2_PR ;
- la_oenb[94] ( PIN la_oenb[94] ) ( mprj la_oenb[94] ) + USE SIGNAL
+ ROUTED met2 ( 2308050 1700 0 ) ( * 18530 )
NEW met2 ( 2208230 20230 ) ( * 227700 )
NEW met2 ( 2208230 227700 ) ( 2208690 * )
NEW met2 ( 2208690 227700 ) ( * 250580 )
NEW met2 ( 2208230 250580 0 ) ( 2208690 * )
NEW met2 ( 2280450 18530 ) ( * 20230 )
NEW met1 ( 2208230 20230 ) ( 2280450 * )
NEW met1 ( 2280450 18530 ) ( 2308050 * )
NEW met1 ( 2208230 20230 ) M1M2_PR
NEW met1 ( 2308050 18530 ) M1M2_PR
NEW met1 ( 2280450 20230 ) M1M2_PR
NEW met1 ( 2280450 18530 ) M1M2_PR ;
- la_oenb[95] ( PIN la_oenb[95] ) ( mprj la_oenb[95] ) + USE SIGNAL
+ ROUTED met2 ( 2325990 1700 0 ) ( * 17850 )
NEW met1 ( 2321850 17850 ) ( 2325990 * )
NEW met2 ( 2226170 239530 ) ( * 250580 )
NEW met2 ( 2224790 250580 0 ) ( 2226170 * )
NEW met2 ( 2321850 17850 ) ( * 239530 )
NEW met1 ( 2226170 239530 ) ( 2321850 * )
NEW met1 ( 2325990 17850 ) M1M2_PR
NEW met1 ( 2321850 17850 ) M1M2_PR
NEW met1 ( 2226170 239530 ) M1M2_PR
NEW met1 ( 2321850 239530 ) M1M2_PR ;
- la_oenb[96] ( PIN la_oenb[96] ) ( mprj la_oenb[96] ) + USE SIGNAL
+ ROUTED met2 ( 2343470 1700 0 ) ( * 19550 )
NEW met2 ( 2236290 19550 ) ( * 227700 )
NEW met2 ( 2236290 227700 ) ( 2237210 * )
NEW met2 ( 2237210 227700 ) ( * 250580 )
NEW met2 ( 2237210 250580 ) ( 2241350 * 0 )
NEW met1 ( 2236290 19550 ) ( 2343470 * )
NEW met1 ( 2236290 19550 ) M1M2_PR
NEW met1 ( 2343470 19550 ) M1M2_PR ;
- la_oenb[97] ( PIN la_oenb[97] ) ( mprj la_oenb[97] ) + USE SIGNAL
+ ROUTED met1 ( 2266650 16490 ) ( 2304600 * )
NEW met1 ( 2304600 16490 ) ( * 16830 )
NEW met2 ( 2361410 1700 0 ) ( * 16830 )
NEW met1 ( 2304600 16830 ) ( 2361410 * )
NEW met1 ( 2259290 238850 ) ( 2266650 * )
NEW met2 ( 2259290 238850 ) ( * 250580 )
NEW met2 ( 2257450 250580 0 ) ( 2259290 * )
NEW met2 ( 2266650 16490 ) ( * 238850 )
NEW met1 ( 2266650 16490 ) M1M2_PR
NEW met1 ( 2361410 16830 ) M1M2_PR
NEW met1 ( 2266650 238850 ) M1M2_PR
NEW met1 ( 2259290 238850 ) M1M2_PR ;
- la_oenb[98] ( PIN la_oenb[98] ) ( mprj la_oenb[98] ) + USE SIGNAL
+ ROUTED met1 ( 2270330 16830 ) ( 2291030 * )
NEW met1 ( 2291030 16830 ) ( * 17510 )
NEW met2 ( 2378890 1700 0 ) ( * 17170 )
NEW met1 ( 2376590 17170 ) ( 2378890 * )
NEW met1 ( 2376590 17170 ) ( * 17510 )
NEW met1 ( 2291030 17510 ) ( 2376590 * )
NEW met2 ( 2270330 250580 ) ( 2274010 * 0 )
NEW met2 ( 2270330 16830 ) ( * 250580 )
NEW met1 ( 2270330 16830 ) M1M2_PR
NEW met1 ( 2378890 17170 ) M1M2_PR ;
- la_oenb[99] ( PIN la_oenb[99] ) ( mprj la_oenb[99] ) + USE SIGNAL
+ ROUTED met1 ( 2284130 18190 ) ( 2291490 * )
NEW met2 ( 2291490 17170 ) ( * 18190 )
NEW met2 ( 2396830 1700 0 ) ( * 16830 )
NEW met1 ( 2376130 16830 ) ( 2396830 * )
NEW met1 ( 2376130 16830 ) ( * 17170 )
NEW met1 ( 2291490 17170 ) ( 2376130 * )
NEW met2 ( 2284130 18190 ) ( * 34500 )
NEW met2 ( 2284130 34500 ) ( 2284590 * )
NEW met1 ( 2284590 239190 ) ( 2289190 * )
NEW met2 ( 2289190 239190 ) ( * 250580 )
NEW met2 ( 2289190 250580 ) ( 2290570 * 0 )
NEW met2 ( 2284590 34500 ) ( * 239190 )
NEW met1 ( 2284130 18190 ) M1M2_PR
NEW met1 ( 2291490 18190 ) M1M2_PR
NEW met1 ( 2291490 17170 ) M1M2_PR
NEW met1 ( 2396830 16830 ) M1M2_PR
NEW met1 ( 2284590 239190 ) M1M2_PR
NEW met1 ( 2289190 239190 ) M1M2_PR ;
- la_oenb[9] ( PIN la_oenb[9] ) ( mprj la_oenb[9] ) + USE SIGNAL
+ ROUTED met2 ( 800630 1700 0 ) ( * 34500 )
NEW met2 ( 800630 34500 ) ( 801090 * )
NEW met2 ( 801090 34500 ) ( * 131100 )
NEW met2 ( 801090 131100 ) ( 801550 * )
NEW met2 ( 801550 131100 ) ( * 234770 )
NEW met2 ( 809830 234770 ) ( * 250580 )
NEW met2 ( 809830 250580 ) ( 811670 * 0 )
NEW met1 ( 801550 234770 ) ( 809830 * )
NEW met1 ( 801550 234770 ) M1M2_PR
NEW met1 ( 809830 234770 ) M1M2_PR ;
- user_clock2 ( PIN user_clock2 ) + USE SIGNAL ;
- user_irq[0] ( PIN user_irq[0] ) ( mprj irq[0] ) + USE SIGNAL
+ ROUTED met2 ( 2905130 1700 0 ) ( * 18870 )
NEW met2 ( 2791050 18870 ) ( * 236810 )
NEW met1 ( 2791050 18870 ) ( 2905130 * )
NEW met2 ( 2757930 236810 ) ( * 250580 )
NEW met2 ( 2756090 250580 0 ) ( 2757930 * )
NEW met1 ( 2757930 236810 ) ( 2791050 * )
NEW met1 ( 2791050 18870 ) M1M2_PR
NEW met1 ( 2905130 18870 ) M1M2_PR
NEW met1 ( 2791050 236810 ) M1M2_PR
NEW met1 ( 2757930 236810 ) M1M2_PR ;
- user_irq[1] ( PIN user_irq[1] ) ( mprj irq[1] ) + USE SIGNAL
+ ROUTED met2 ( 2911110 1700 0 ) ( * 19890 )
NEW met2 ( 2804850 19890 ) ( * 239190 )
NEW met1 ( 2804850 19890 ) ( 2911110 * )
NEW met2 ( 2763450 239190 ) ( * 250580 )
NEW met2 ( 2761610 250580 0 ) ( 2763450 * )
NEW met1 ( 2763450 239190 ) ( 2804850 * )
NEW met1 ( 2804850 19890 ) M1M2_PR
NEW met1 ( 2911110 19890 ) M1M2_PR
NEW met1 ( 2804850 239190 ) M1M2_PR
NEW met1 ( 2763450 239190 ) M1M2_PR ;
- user_irq[2] ( PIN user_irq[2] ) ( mprj irq[2] ) + USE SIGNAL
+ ROUTED met2 ( 2917090 1700 0 ) ( * 17170 )
NEW met1 ( 2767130 17170 ) ( 2917090 * )
NEW met2 ( 2767130 17170 ) ( * 227700 )
NEW met2 ( 2767130 227700 ) ( 2767590 * )
NEW met2 ( 2767590 227700 ) ( * 250580 )
NEW met2 ( 2767130 250580 0 ) ( 2767590 * )
NEW met1 ( 2917090 17170 ) M1M2_PR
NEW met1 ( 2767130 17170 ) M1M2_PR ;
- wb_clk_i ( PIN wb_clk_i ) ( mprj wb_clk_i ) + USE CLOCK
+ ROUTED met2 ( 2990 1700 0 ) ( * 17170 )
NEW met1 ( 2990 17170 ) ( 69230 * )
NEW met2 ( 69230 250580 ) ( 72450 * 0 )
NEW met2 ( 69230 17170 ) ( * 250580 )
NEW met1 ( 2990 17170 ) M1M2_PR
NEW met1 ( 69230 17170 ) M1M2_PR ;
- wb_rst_i ( PIN wb_rst_i ) ( mprj wb_rst_i ) + USE SIGNAL
+ ROUTED met2 ( 8510 1700 0 ) ( * 17510 )
NEW met1 ( 76590 17510 ) ( * 17850 )
NEW met1 ( 8510 17510 ) ( 76590 * )
NEW met2 ( 76590 250580 ) ( 77510 * 0 )
NEW met2 ( 76590 17850 ) ( * 250580 )
NEW met1 ( 8510 17510 ) M1M2_PR
NEW met1 ( 76590 17850 ) M1M2_PR ;
- wbs_ack_o ( PIN wbs_ack_o ) ( mprj wbs_ack_o ) + USE SIGNAL
+ ROUTED met2 ( 14490 1700 0 ) ( * 17850 )
NEW met2 ( 83030 250580 0 ) ( 83490 * )
NEW met2 ( 83490 17850 ) ( * 250580 )
NEW met1 ( 14490 17850 ) ( 34500 * )
NEW met1 ( 82800 17850 ) ( 83490 * )
NEW met1 ( 34500 17850 ) ( * 18530 )
NEW met1 ( 34500 18530 ) ( 82800 * )
NEW met1 ( 82800 17850 ) ( * 18530 )
NEW met1 ( 14490 17850 ) M1M2_PR
NEW met1 ( 83490 17850 ) M1M2_PR ;
- wbs_adr_i[0] ( PIN wbs_adr_i[0] ) ( mprj wbs_adr_i[0] ) + USE SIGNAL
+ ROUTED met2 ( 103730 238510 ) ( * 250580 )
NEW met2 ( 103730 250580 ) ( 105110 * 0 )
NEW met2 ( 34730 82800 ) ( 38410 * )
NEW met2 ( 38410 1700 0 ) ( * 82800 )
NEW met2 ( 34730 82800 ) ( * 238510 )
NEW met1 ( 34730 238510 ) ( 103730 * )
NEW met1 ( 103730 238510 ) M1M2_PR
NEW met1 ( 34730 238510 ) M1M2_PR ;
- wbs_adr_i[10] ( PIN wbs_adr_i[10] ) ( mprj wbs_adr_i[10] ) + USE SIGNAL
+ ROUTED met2 ( 290030 238850 ) ( * 250580 )
NEW met2 ( 290030 250580 ) ( 291410 * 0 )
NEW met2 ( 237130 1700 ) ( 239430 * 0 )
NEW met2 ( 234830 82800 ) ( 237130 * )
NEW met2 ( 237130 1700 ) ( * 82800 )
NEW met2 ( 234830 82800 ) ( * 238850 )
NEW met1 ( 234830 238850 ) ( 290030 * )
NEW met1 ( 290030 238850 ) M1M2_PR
NEW met1 ( 234830 238850 ) M1M2_PR ;
- wbs_adr_i[11] ( PIN wbs_adr_i[11] ) ( mprj wbs_adr_i[11] ) + USE SIGNAL
+ ROUTED met2 ( 305670 239870 ) ( * 250580 )
NEW met2 ( 305670 250580 ) ( 307510 * 0 )
NEW met2 ( 255990 1700 ) ( 256910 * 0 )
NEW met2 ( 255990 1700 ) ( * 239870 )
NEW met1 ( 255990 239870 ) ( 305670 * )
NEW met1 ( 305670 239870 ) M1M2_PR
NEW met1 ( 255990 239870 ) M1M2_PR ;
- wbs_adr_i[12] ( PIN wbs_adr_i[12] ) ( mprj wbs_adr_i[12] ) + USE SIGNAL
+ ROUTED met2 ( 322230 239190 ) ( * 250580 )
NEW met2 ( 322230 250580 ) ( 324070 * 0 )
NEW met2 ( 270250 82800 ) ( 274850 * )
NEW met2 ( 274850 1700 0 ) ( * 82800 )
NEW met2 ( 270250 82800 ) ( * 239190 )
NEW met1 ( 270250 239190 ) ( 322230 * )
NEW met1 ( 322230 239190 ) M1M2_PR
NEW met1 ( 270250 239190 ) M1M2_PR ;
- wbs_adr_i[13] ( PIN wbs_adr_i[13] ) ( mprj wbs_adr_i[13] ) + USE SIGNAL
+ ROUTED met2 ( 290030 82800 ) ( 292330 * )
NEW met2 ( 292330 1700 0 ) ( * 82800 )
NEW met2 ( 290030 82800 ) ( * 238170 )
NEW met2 ( 338790 238170 ) ( * 250580 )
NEW met2 ( 338790 250580 ) ( 340630 * 0 )
NEW met1 ( 290030 238170 ) ( 338790 * )
NEW met1 ( 290030 238170 ) M1M2_PR
NEW met1 ( 338790 238170 ) M1M2_PR ;
- wbs_adr_i[14] ( PIN wbs_adr_i[14] ) ( mprj wbs_adr_i[14] ) + USE SIGNAL
+ ROUTED met2 ( 307970 1700 ) ( 310270 * 0 )
NEW met2 ( 304290 82800 ) ( 307970 * )
NEW met2 ( 307970 1700 ) ( * 82800 )
NEW met2 ( 304290 82800 ) ( * 240550 )
NEW met2 ( 355350 240550 ) ( * 250580 )
NEW met2 ( 355350 250580 ) ( 357190 * 0 )
NEW met1 ( 304290 240550 ) ( 355350 * )
NEW met1 ( 304290 240550 ) M1M2_PR
NEW met1 ( 355350 240550 ) M1M2_PR ;
- wbs_adr_i[15] ( PIN wbs_adr_i[15] ) ( mprj wbs_adr_i[15] ) + USE SIGNAL
+ ROUTED met2 ( 372830 237830 ) ( * 250580 )
NEW met2 ( 372830 250580 ) ( 373290 * 0 )
NEW met2 ( 325450 1700 ) ( 327750 * 0 )
NEW met2 ( 324530 82800 ) ( 325450 * )
NEW met2 ( 325450 1700 ) ( * 82800 )
NEW met2 ( 324530 82800 ) ( * 237830 )
NEW met1 ( 324530 237830 ) ( 372830 * )
NEW met1 ( 372830 237830 ) M1M2_PR
NEW met1 ( 324530 237830 ) M1M2_PR ;
- wbs_adr_i[16] ( PIN wbs_adr_i[16] ) ( mprj wbs_adr_i[16] ) + USE SIGNAL
+ ROUTED met2 ( 388470 240210 ) ( * 250580 )
NEW met2 ( 388470 250580 ) ( 389850 * 0 )
NEW met2 ( 345690 1700 0 ) ( * 240210 )
NEW met1 ( 345690 240210 ) ( 388470 * )
NEW met1 ( 388470 240210 ) M1M2_PR
NEW met1 ( 345690 240210 ) M1M2_PR ;
- wbs_adr_i[17] ( PIN wbs_adr_i[17] ) ( mprj wbs_adr_i[17] ) + USE SIGNAL
+ ROUTED met2 ( 405030 239530 ) ( * 250580 )
NEW met2 ( 405030 250580 ) ( 406410 * 0 )
NEW met2 ( 359490 82800 ) ( 363170 * )
NEW met2 ( 363170 1700 0 ) ( * 82800 )
NEW met2 ( 359490 82800 ) ( * 239530 )
NEW met1 ( 359490 239530 ) ( 405030 * )
NEW met1 ( 405030 239530 ) M1M2_PR
NEW met1 ( 359490 239530 ) M1M2_PR ;
- wbs_adr_i[18] ( PIN wbs_adr_i[18] ) ( mprj wbs_adr_i[18] ) + USE SIGNAL
+ ROUTED met2 ( 379730 1700 ) ( 381110 * 0 )
NEW met2 ( 379730 1700 ) ( * 238510 )
NEW met2 ( 421590 238510 ) ( * 250580 )
NEW met2 ( 421590 250580 ) ( 422970 * 0 )
NEW met1 ( 379730 238510 ) ( 421590 * )
NEW met1 ( 379730 238510 ) M1M2_PR
NEW met1 ( 421590 238510 ) M1M2_PR ;
- wbs_adr_i[19] ( PIN wbs_adr_i[19] ) ( mprj wbs_adr_i[19] ) + USE SIGNAL
+ ROUTED met2 ( 396290 1700 ) ( 398590 * 0 )
NEW met2 ( 393990 82800 ) ( 396290 * )
NEW met2 ( 396290 1700 ) ( * 82800 )
NEW met2 ( 393990 82800 ) ( * 239870 )
NEW met2 ( 437230 239870 ) ( * 250580 )
NEW met2 ( 437230 250580 ) ( 439070 * 0 )
NEW met1 ( 393990 239870 ) ( 437230 * )
NEW met1 ( 393990 239870 ) M1M2_PR
NEW met1 ( 437230 239870 ) M1M2_PR ;
- wbs_adr_i[1] ( PIN wbs_adr_i[1] ) ( mprj wbs_adr_i[1] ) + USE SIGNAL
+ ROUTED met2 ( 125350 239870 ) ( * 250580 )
NEW met2 ( 125350 250580 ) ( 127190 * 0 )
NEW met2 ( 59570 1700 ) ( 61870 * 0 )
NEW met2 ( 55890 82800 ) ( 59570 * )
NEW met2 ( 59570 1700 ) ( * 82800 )
NEW met2 ( 55890 82800 ) ( * 239870 )
NEW met1 ( 55890 239870 ) ( 125350 * )
NEW met1 ( 125350 239870 ) M1M2_PR
NEW met1 ( 55890 239870 ) M1M2_PR ;
- wbs_adr_i[20] ( PIN wbs_adr_i[20] ) ( mprj wbs_adr_i[20] ) + USE SIGNAL
+ ROUTED met2 ( 414230 82800 ) ( 416530 * )
NEW met2 ( 416530 1700 0 ) ( * 82800 )
NEW met2 ( 414230 82800 ) ( * 237830 )
NEW met2 ( 456090 237830 ) ( * 250580 )
NEW met2 ( 455630 250580 0 ) ( 456090 * )
NEW met1 ( 414230 237830 ) ( 456090 * )
NEW met1 ( 414230 237830 ) M1M2_PR
NEW met1 ( 456090 237830 ) M1M2_PR ;
- wbs_adr_i[21] ( PIN wbs_adr_i[21] ) ( mprj wbs_adr_i[21] ) + USE SIGNAL
+ ROUTED met2 ( 470350 239190 ) ( * 250580 )
NEW met2 ( 470350 250580 ) ( 472190 * 0 )
NEW met2 ( 432170 1700 ) ( 434470 * 0 )
NEW met2 ( 428950 82800 ) ( 432170 * )
NEW met2 ( 432170 1700 ) ( * 82800 )
NEW met2 ( 428950 82800 ) ( * 239190 )
NEW met1 ( 428950 239190 ) ( 470350 * )
NEW met1 ( 470350 239190 ) M1M2_PR
NEW met1 ( 428950 239190 ) M1M2_PR ;
- wbs_adr_i[22] ( PIN wbs_adr_i[22] ) ( mprj wbs_adr_i[22] ) + USE SIGNAL
+ ROUTED met2 ( 486910 239870 ) ( * 250580 )
NEW met2 ( 486910 250580 ) ( 488290 * 0 )
NEW met2 ( 449650 1700 ) ( 451950 * 0 )
NEW met2 ( 449190 82800 ) ( 449650 * )
NEW met2 ( 449650 1700 ) ( * 82800 )
NEW met2 ( 449190 82800 ) ( * 239870 )
NEW met1 ( 449190 239870 ) ( 486910 * )
NEW met1 ( 486910 239870 ) M1M2_PR
NEW met1 ( 449190 239870 ) M1M2_PR ;
- wbs_adr_i[23] ( PIN wbs_adr_i[23] ) ( mprj wbs_adr_i[23] ) + USE SIGNAL
+ ROUTED met2 ( 469890 1700 0 ) ( * 34500 )
NEW met2 ( 469430 34500 ) ( 469890 * )
NEW met1 ( 469430 236470 ) ( 503930 * )
NEW met2 ( 503930 236470 ) ( * 250580 )
NEW met2 ( 503930 250580 ) ( 504850 * 0 )
NEW met2 ( 469430 34500 ) ( * 236470 )
NEW met1 ( 469430 236470 ) M1M2_PR
NEW met1 ( 503930 236470 ) M1M2_PR ;
- wbs_adr_i[24] ( PIN wbs_adr_i[24] ) ( mprj wbs_adr_i[24] ) + USE SIGNAL
+ ROUTED met2 ( 483230 82800 ) ( 487370 * )
NEW met2 ( 487370 1700 0 ) ( * 82800 )
NEW met2 ( 483230 82800 ) ( * 240890 )
NEW met2 ( 520030 240890 ) ( * 250580 )
NEW met2 ( 520030 250580 ) ( 521410 * 0 )
NEW met1 ( 483230 240890 ) ( 520030 * )
NEW met1 ( 483230 240890 ) M1M2_PR
NEW met1 ( 520030 240890 ) M1M2_PR ;
- wbs_adr_i[25] ( PIN wbs_adr_i[25] ) ( mprj wbs_adr_i[25] ) + USE SIGNAL
+ ROUTED met2 ( 504850 82800 ) ( 505310 * )
NEW met2 ( 505310 1700 0 ) ( * 82800 )
NEW met2 ( 504850 82800 ) ( * 241230 )
NEW met2 ( 536590 241230 ) ( * 250580 )
NEW met2 ( 536590 250580 ) ( 537970 * 0 )
NEW met1 ( 504850 241230 ) ( 536590 * )
NEW met1 ( 504850 241230 ) M1M2_PR
NEW met1 ( 536590 241230 ) M1M2_PR ;
- wbs_adr_i[26] ( PIN wbs_adr_i[26] ) ( mprj wbs_adr_i[26] ) + USE SIGNAL
+ ROUTED met2 ( 520490 1700 ) ( 522790 * 0 )
NEW met2 ( 517730 82800 ) ( 520490 * )
NEW met2 ( 520490 1700 ) ( * 82800 )
NEW met1 ( 517730 240210 ) ( 552230 * )
NEW met2 ( 552230 240210 ) ( * 250580 )
NEW met2 ( 552230 250580 ) ( 554070 * 0 )
NEW met2 ( 517730 82800 ) ( * 240210 )
NEW met1 ( 517730 240210 ) M1M2_PR
NEW met1 ( 552230 240210 ) M1M2_PR ;
- wbs_adr_i[27] ( PIN wbs_adr_i[27] ) ( mprj wbs_adr_i[27] ) + USE SIGNAL
+ ROUTED met2 ( 568790 238850 ) ( * 250580 )
NEW met2 ( 568790 250580 ) ( 570630 * 0 )
NEW met2 ( 538430 82800 ) ( 540730 * )
NEW met2 ( 540730 1700 0 ) ( * 82800 )
NEW met2 ( 538430 82800 ) ( * 238850 )
NEW met1 ( 538430 238850 ) ( 568790 * )
NEW met1 ( 568790 238850 ) M1M2_PR
NEW met1 ( 538430 238850 ) M1M2_PR ;
- wbs_adr_i[28] ( PIN wbs_adr_i[28] ) ( mprj wbs_adr_i[28] ) + USE SIGNAL
+ ROUTED met2 ( 586730 237830 ) ( * 250580 )
NEW met2 ( 586730 250580 ) ( 587190 * 0 )
NEW met2 ( 558210 1700 0 ) ( * 17510 )
NEW met1 ( 552690 17510 ) ( 558210 * )
NEW met2 ( 552690 17510 ) ( * 237830 )
NEW met1 ( 552690 237830 ) ( 586730 * )
NEW met1 ( 586730 237830 ) M1M2_PR
NEW met1 ( 558210 17510 ) M1M2_PR
NEW met1 ( 552690 17510 ) M1M2_PR
NEW met1 ( 552690 237830 ) M1M2_PR ;
- wbs_adr_i[29] ( PIN wbs_adr_i[29] ) ( mprj wbs_adr_i[29] ) + USE SIGNAL
+ ROUTED met2 ( 573850 1700 ) ( 576150 * 0 )
NEW met2 ( 572930 82800 ) ( 573850 * )
NEW met2 ( 573850 1700 ) ( * 82800 )
NEW met1 ( 572930 238850 ) ( 601910 * )
NEW met2 ( 601910 238850 ) ( * 250580 )
NEW met2 ( 601910 250580 ) ( 603290 * 0 )
NEW met2 ( 572930 82800 ) ( * 238850 )
NEW met1 ( 572930 238850 ) M1M2_PR
NEW met1 ( 601910 238850 ) M1M2_PR ;
- wbs_adr_i[2] ( PIN wbs_adr_i[2] ) ( mprj wbs_adr_i[2] ) + USE SIGNAL
+ ROUTED met2 ( 85330 1700 0 ) ( * 17340 )
NEW met2 ( 83030 17340 ) ( 85330 * )
NEW met2 ( 83030 17340 ) ( * 240550 )
NEW met2 ( 147430 240550 ) ( * 250580 )
NEW met2 ( 147430 250580 ) ( 148810 * 0 )
NEW met1 ( 83030 240550 ) ( 147430 * )
NEW met1 ( 83030 240550 ) M1M2_PR
NEW met1 ( 147430 240550 ) M1M2_PR ;
- wbs_adr_i[30] ( PIN wbs_adr_i[30] ) ( mprj wbs_adr_i[30] ) + USE SIGNAL
+ ROUTED met2 ( 594090 1700 0 ) ( * 16660 )
NEW met2 ( 594090 16660 ) ( 594550 * )
NEW met2 ( 594550 16660 ) ( * 234770 )
NEW met2 ( 618470 234770 ) ( * 250580 )
NEW met2 ( 618470 250580 ) ( 619850 * 0 )
NEW met1 ( 594550 234770 ) ( 618470 * )
NEW met1 ( 594550 234770 ) M1M2_PR
NEW met1 ( 618470 234770 ) M1M2_PR ;
- wbs_adr_i[31] ( PIN wbs_adr_i[31] ) ( mprj wbs_adr_i[31] ) + USE SIGNAL
+ ROUTED met2 ( 607430 82800 ) ( 611570 * )
NEW met2 ( 611570 1700 0 ) ( * 82800 )
NEW met2 ( 607430 82800 ) ( * 238850 )
NEW met2 ( 635030 238850 ) ( * 250580 )
NEW met2 ( 635030 250580 ) ( 636410 * 0 )
NEW met1 ( 607430 238850 ) ( 635030 * )
NEW met1 ( 607430 238850 ) M1M2_PR
NEW met1 ( 635030 238850 ) M1M2_PR ;
- wbs_adr_i[3] ( PIN wbs_adr_i[3] ) ( mprj wbs_adr_i[3] ) + USE SIGNAL
+ ROUTED met2 ( 103730 82800 ) ( 109250 * )
NEW met2 ( 109250 1700 0 ) ( * 82800 )
NEW met2 ( 103730 82800 ) ( * 237830 )
NEW met2 ( 169510 237830 ) ( * 250580 )
NEW met2 ( 169510 250580 ) ( 170890 * 0 )
NEW met1 ( 103730 237830 ) ( 169510 * )
NEW met1 ( 103730 237830 ) M1M2_PR
NEW met1 ( 169510 237830 ) M1M2_PR ;
- wbs_adr_i[4] ( PIN wbs_adr_i[4] ) ( mprj wbs_adr_i[4] ) + USE SIGNAL
+ ROUTED met2 ( 190670 237490 ) ( * 250580 )
NEW met2 ( 190670 250580 ) ( 192510 * 0 )
NEW met2 ( 131330 1700 ) ( 132710 * 0 )
NEW met2 ( 131330 1700 ) ( * 237490 )
NEW met1 ( 131330 237490 ) ( 190670 * )
NEW met1 ( 190670 237490 ) M1M2_PR
NEW met1 ( 131330 237490 ) M1M2_PR ;
- wbs_adr_i[5] ( PIN wbs_adr_i[5] ) ( mprj wbs_adr_i[5] ) + USE SIGNAL
+ ROUTED met2 ( 207230 238170 ) ( * 250580 )
NEW met2 ( 207230 250580 ) ( 209070 * 0 )
NEW met2 ( 145130 82800 ) ( 150650 * )
NEW met2 ( 150650 1700 0 ) ( * 82800 )
NEW met2 ( 145130 82800 ) ( * 238170 )
NEW met1 ( 145130 238170 ) ( 207230 * )
NEW met1 ( 207230 238170 ) M1M2_PR
NEW met1 ( 145130 238170 ) M1M2_PR ;
- wbs_adr_i[6] ( PIN wbs_adr_i[6] ) ( mprj wbs_adr_i[6] ) + USE SIGNAL
+ ROUTED met2 ( 223790 239530 ) ( * 250580 )
NEW met2 ( 223790 250580 ) ( 225630 * 0 )
NEW met2 ( 166290 82800 ) ( 168130 * )
NEW met2 ( 168130 1700 0 ) ( * 82800 )
NEW met2 ( 166290 82800 ) ( * 239530 )
NEW met1 ( 166290 239530 ) ( 223790 * )
NEW met1 ( 223790 239530 ) M1M2_PR
NEW met1 ( 166290 239530 ) M1M2_PR ;
- wbs_adr_i[7] ( PIN wbs_adr_i[7] ) ( mprj wbs_adr_i[7] ) + USE SIGNAL
+ ROUTED met2 ( 184230 1700 ) ( 186070 * 0 )
NEW met2 ( 184230 1700 ) ( * 17510 )
NEW met1 ( 179630 17510 ) ( 184230 * )
NEW met2 ( 179630 17510 ) ( * 237830 )
NEW met2 ( 241730 237830 ) ( * 250580 )
NEW met2 ( 241730 250580 ) ( 242190 * 0 )
NEW met1 ( 179630 237830 ) ( 241730 * )
NEW met1 ( 184230 17510 ) M1M2_PR
NEW met1 ( 179630 17510 ) M1M2_PR
NEW met1 ( 179630 237830 ) M1M2_PR
NEW met1 ( 241730 237830 ) M1M2_PR ;
- wbs_adr_i[8] ( PIN wbs_adr_i[8] ) ( mprj wbs_adr_i[8] ) + USE SIGNAL
+ ROUTED met2 ( 201250 1700 ) ( 203550 * 0 )
NEW met2 ( 200790 82800 ) ( 201250 * )
NEW met2 ( 201250 1700 ) ( * 82800 )
NEW met2 ( 200790 82800 ) ( * 239190 )
NEW met2 ( 256910 239190 ) ( * 250580 )
NEW met2 ( 256910 250580 ) ( 258290 * 0 )
NEW met1 ( 200790 239190 ) ( 256910 * )
NEW met1 ( 200790 239190 ) M1M2_PR
NEW met1 ( 256910 239190 ) M1M2_PR ;
- wbs_adr_i[9] ( PIN wbs_adr_i[9] ) ( mprj wbs_adr_i[9] ) + USE SIGNAL
+ ROUTED met2 ( 221490 1700 0 ) ( * 236470 )
NEW met2 ( 273470 236470 ) ( * 250580 )
NEW met2 ( 273470 250580 ) ( 274850 * 0 )
NEW met1 ( 221490 236470 ) ( 273470 * )
NEW met1 ( 221490 236470 ) M1M2_PR
NEW met1 ( 273470 236470 ) M1M2_PR ;
- wbs_cyc_i ( PIN wbs_cyc_i ) ( mprj wbs_cyc_i ) + USE SIGNAL
+ ROUTED met2 ( 18170 1700 ) ( 20470 * 0 )
NEW met2 ( 14030 82800 ) ( 18170 * )
NEW met2 ( 18170 1700 ) ( * 82800 )
NEW met2 ( 14030 82800 ) ( * 239190 )
NEW met2 ( 86710 239190 ) ( * 250580 )
NEW met2 ( 86710 250580 ) ( 88550 * 0 )
NEW met1 ( 14030 239190 ) ( 86710 * )
NEW met1 ( 14030 239190 ) M1M2_PR
NEW met1 ( 86710 239190 ) M1M2_PR ;
- wbs_dat_i[0] ( PIN wbs_dat_i[0] ) ( mprj wbs_dat_i[0] ) + USE SIGNAL
+ ROUTED met2 ( 111090 238850 ) ( * 250580 )
NEW met2 ( 110630 250580 0 ) ( 111090 * )
NEW met2 ( 41630 82800 ) ( 43930 * )
NEW met2 ( 43930 1700 0 ) ( * 82800 )
NEW met2 ( 41630 82800 ) ( * 238850 )
NEW met1 ( 41630 238850 ) ( 111090 * )
NEW met1 ( 111090 238850 ) M1M2_PR
NEW met1 ( 41630 238850 ) M1M2_PR ;
- wbs_dat_i[10] ( PIN wbs_dat_i[10] ) ( mprj wbs_dat_i[10] ) + USE SIGNAL
+ ROUTED met2 ( 297390 238510 ) ( * 250580 )
NEW met2 ( 296930 250580 0 ) ( 297390 * )
NEW met2 ( 242650 1700 ) ( 244950 * 0 )
NEW met2 ( 242190 82800 ) ( 242650 * )
NEW met2 ( 242650 1700 ) ( * 82800 )
NEW met2 ( 242190 82800 ) ( * 238510 )
NEW met1 ( 242190 238510 ) ( 297390 * )
NEW met1 ( 297390 238510 ) M1M2_PR
NEW met1 ( 242190 238510 ) M1M2_PR ;
- wbs_dat_i[11] ( PIN wbs_dat_i[11] ) ( mprj wbs_dat_i[11] ) + USE SIGNAL
+ ROUTED met2 ( 311190 240890 ) ( * 250580 )
NEW met2 ( 311190 250580 ) ( 313030 * 0 )
NEW met2 ( 262890 1700 0 ) ( * 240890 )
NEW met1 ( 262890 240890 ) ( 311190 * )
NEW met1 ( 311190 240890 ) M1M2_PR
NEW met1 ( 262890 240890 ) M1M2_PR ;
- wbs_dat_i[12] ( PIN wbs_dat_i[12] ) ( mprj wbs_dat_i[12] ) + USE SIGNAL
+ ROUTED met2 ( 276690 82800 ) ( 280370 * )
NEW met2 ( 280370 1700 0 ) ( * 82800 )
NEW met2 ( 276690 82800 ) ( * 241230 )
NEW met2 ( 327750 241230 ) ( * 250580 )
NEW met2 ( 327750 250580 ) ( 329590 * 0 )
NEW met1 ( 276690 241230 ) ( 327750 * )
NEW met1 ( 276690 241230 ) M1M2_PR
NEW met1 ( 327750 241230 ) M1M2_PR ;
- wbs_dat_i[13] ( PIN wbs_dat_i[13] ) ( mprj wbs_dat_i[13] ) + USE SIGNAL
+ ROUTED met2 ( 297850 82800 ) ( 298310 * )
NEW met2 ( 298310 1700 0 ) ( * 82800 )
NEW met2 ( 297850 82800 ) ( * 239530 )
NEW met2 ( 345230 239530 ) ( * 250580 )
NEW met2 ( 345230 250580 ) ( 346150 * 0 )
NEW met1 ( 297850 239530 ) ( 345230 * )
NEW met1 ( 297850 239530 ) M1M2_PR
NEW met1 ( 345230 239530 ) M1M2_PR ;
- wbs_dat_i[14] ( PIN wbs_dat_i[14] ) ( mprj wbs_dat_i[14] ) + USE SIGNAL
+ ROUTED met2 ( 310730 82800 ) ( 316250 * )
NEW met2 ( 316250 1700 0 ) ( * 82800 )
NEW met2 ( 310730 82800 ) ( * 238510 )
NEW met2 ( 360870 238510 ) ( * 250580 )
NEW met2 ( 360870 250580 ) ( 362710 * 0 )
NEW met1 ( 310730 238510 ) ( 360870 * )
NEW met1 ( 310730 238510 ) M1M2_PR
NEW met1 ( 360870 238510 ) M1M2_PR ;
- wbs_dat_i[15] ( PIN wbs_dat_i[15] ) ( mprj wbs_dat_i[15] ) + USE SIGNAL
+ ROUTED met2 ( 377430 241230 ) ( * 250580 )
NEW met2 ( 377430 250580 ) ( 378810 * 0 )
NEW met2 ( 333730 1700 0 ) ( * 17510 )
NEW met1 ( 333730 17510 ) ( 341550 * )
NEW met2 ( 341550 17510 ) ( * 241230 )
NEW met1 ( 341550 241230 ) ( 377430 * )
NEW met1 ( 377430 241230 ) M1M2_PR
NEW met1 ( 333730 17510 ) M1M2_PR
NEW met1 ( 341550 17510 ) M1M2_PR
NEW met1 ( 341550 241230 ) M1M2_PR ;
- wbs_dat_i[16] ( PIN wbs_dat_i[16] ) ( mprj wbs_dat_i[16] ) + USE SIGNAL
+ ROUTED met2 ( 394450 238170 ) ( * 250580 )
NEW met2 ( 394450 250580 ) ( 395370 * 0 )
NEW met2 ( 349830 1700 ) ( 351670 * 0 )
NEW met2 ( 349830 1700 ) ( * 17510 )
NEW met1 ( 345230 17510 ) ( 349830 * )
NEW met2 ( 345230 17510 ) ( * 238170 )
NEW met1 ( 345230 238170 ) ( 394450 * )
NEW met1 ( 394450 238170 ) M1M2_PR
NEW met1 ( 349830 17510 ) M1M2_PR
NEW met1 ( 345230 17510 ) M1M2_PR
NEW met1 ( 345230 238170 ) M1M2_PR ;
- wbs_dat_i[17] ( PIN wbs_dat_i[17] ) ( mprj wbs_dat_i[17] ) + USE SIGNAL
+ ROUTED met1 ( 376050 237830 ) ( 410550 * )
NEW met2 ( 410550 237830 ) ( * 250580 )
NEW met2 ( 410550 250580 ) ( 411930 * 0 )
NEW met2 ( 376050 14790 ) ( * 237830 )
NEW met2 ( 369150 1700 0 ) ( * 14790 )
NEW met1 ( 369150 14790 ) ( 376050 * )
NEW met1 ( 376050 14790 ) M1M2_PR
NEW met1 ( 376050 237830 ) M1M2_PR
NEW met1 ( 410550 237830 ) M1M2_PR
NEW met1 ( 369150 14790 ) M1M2_PR ;
- wbs_dat_i[18] ( PIN wbs_dat_i[18] ) ( mprj wbs_dat_i[18] ) + USE SIGNAL
+ ROUTED met2 ( 387090 1700 0 ) ( * 20570 )
NEW met1 ( 387090 20570 ) ( 428950 * )
NEW met2 ( 428490 58820 ) ( 428950 * )
NEW met2 ( 428950 20570 ) ( * 58820 )
NEW met2 ( 428030 250580 0 ) ( 428490 * )
NEW met2 ( 428490 58820 ) ( * 250580 )
NEW met1 ( 387090 20570 ) M1M2_PR
NEW met1 ( 428950 20570 ) M1M2_PR ;
- wbs_dat_i[19] ( PIN wbs_dat_i[19] ) ( mprj wbs_dat_i[19] ) + USE SIGNAL
+ ROUTED met2 ( 401350 82800 ) ( 404570 * )
NEW met2 ( 404570 1700 0 ) ( * 82800 )
NEW met2 ( 401350 82800 ) ( * 240210 )
NEW met2 ( 442750 240210 ) ( * 250580 )
NEW met2 ( 442750 250580 ) ( 444590 * 0 )
NEW met1 ( 401350 240210 ) ( 442750 * )
NEW met1 ( 401350 240210 ) M1M2_PR
NEW met1 ( 442750 240210 ) M1M2_PR ;
- wbs_dat_i[1] ( PIN wbs_dat_i[1] ) ( mprj wbs_dat_i[1] ) + USE SIGNAL
+ ROUTED met2 ( 62330 82800 ) ( 67850 * )
NEW met2 ( 67850 1700 0 ) ( * 82800 )
NEW met2 ( 62330 82800 ) ( * 239530 )
NEW met2 ( 131790 239530 ) ( * 250580 )
NEW met2 ( 131790 250580 ) ( 132250 * 0 )
NEW met1 ( 62330 239530 ) ( 131790 * )
NEW met1 ( 62330 239530 ) M1M2_PR
NEW met1 ( 131790 239530 ) M1M2_PR ;
- wbs_dat_i[20] ( PIN wbs_dat_i[20] ) ( mprj wbs_dat_i[20] ) + USE SIGNAL
+ ROUTED met2 ( 421590 1700 ) ( 422510 * 0 )
NEW met1 ( 421590 237490 ) ( 459310 * )
NEW met2 ( 459310 237490 ) ( * 250580 )
NEW met2 ( 459310 250580 ) ( 461150 * 0 )
NEW met2 ( 421590 1700 ) ( * 237490 )
NEW met1 ( 421590 237490 ) M1M2_PR
NEW met1 ( 459310 237490 ) M1M2_PR ;
- wbs_dat_i[21] ( PIN wbs_dat_i[21] ) ( mprj wbs_dat_i[21] ) + USE SIGNAL
+ ROUTED met2 ( 476330 238850 ) ( * 250580 )
NEW met2 ( 476330 250580 ) ( 477710 * 0 )
NEW met2 ( 437690 1700 ) ( 439990 * 0 )
NEW met2 ( 434930 82800 ) ( 437690 * )
NEW met2 ( 437690 1700 ) ( * 82800 )
NEW met2 ( 434930 82800 ) ( * 238850 )
NEW met1 ( 434930 238850 ) ( 476330 * )
NEW met1 ( 476330 238850 ) M1M2_PR
NEW met1 ( 434930 238850 ) M1M2_PR ;
- wbs_dat_i[22] ( PIN wbs_dat_i[22] ) ( mprj wbs_dat_i[22] ) + USE SIGNAL
+ ROUTED met2 ( 492430 240210 ) ( * 250580 )
NEW met2 ( 492430 250580 ) ( 493810 * 0 )
NEW met2 ( 455630 82800 ) ( 457930 * )
NEW met2 ( 457930 1700 0 ) ( * 82800 )
NEW met2 ( 455630 82800 ) ( * 240210 )
NEW met1 ( 455630 240210 ) ( 492430 * )
NEW met1 ( 492430 240210 ) M1M2_PR
NEW met1 ( 455630 240210 ) M1M2_PR ;
- wbs_dat_i[23] ( PIN wbs_dat_i[23] ) ( mprj wbs_dat_i[23] ) + USE SIGNAL
+ ROUTED met2 ( 473570 1700 ) ( 475870 * 0 )
NEW met2 ( 469890 82800 ) ( 473570 * )
NEW met2 ( 473570 1700 ) ( * 82800 )
NEW met1 ( 469890 237830 ) ( 508990 * )
NEW met2 ( 508990 237830 ) ( * 250580 )
NEW met2 ( 508990 250580 ) ( 510370 * 0 )
NEW met2 ( 469890 82800 ) ( * 237830 )
NEW met1 ( 469890 237830 ) M1M2_PR
NEW met1 ( 508990 237830 ) M1M2_PR ;
- wbs_dat_i[24] ( PIN wbs_dat_i[24] ) ( mprj wbs_dat_i[24] ) + USE SIGNAL
+ ROUTED met2 ( 491050 1700 ) ( 493350 * 0 )
NEW met2 ( 490590 82800 ) ( 491050 * )
NEW met2 ( 491050 1700 ) ( * 82800 )
NEW met2 ( 490590 82800 ) ( * 239190 )
NEW met2 ( 525550 239190 ) ( * 250580 )
NEW met2 ( 525550 250580 ) ( 526930 * 0 )
NEW met1 ( 490590 239190 ) ( 525550 * )
NEW met1 ( 490590 239190 ) M1M2_PR
NEW met1 ( 525550 239190 ) M1M2_PR ;
- wbs_dat_i[25] ( PIN wbs_dat_i[25] ) ( mprj wbs_dat_i[25] ) + USE SIGNAL
+ ROUTED met2 ( 511290 1700 0 ) ( * 19210 )
NEW met1 ( 511290 19210 ) ( 527850 * )
NEW met1 ( 527850 239190 ) ( 541190 * )
NEW met2 ( 541190 239190 ) ( * 250580 )
NEW met2 ( 541190 250580 ) ( 543030 * 0 )
NEW met2 ( 527850 19210 ) ( * 239190 )
NEW met1 ( 511290 19210 ) M1M2_PR
NEW met1 ( 527850 19210 ) M1M2_PR
NEW met1 ( 527850 239190 ) M1M2_PR
NEW met1 ( 541190 239190 ) M1M2_PR ;
- wbs_dat_i[26] ( PIN wbs_dat_i[26] ) ( mprj wbs_dat_i[26] ) + USE SIGNAL
+ ROUTED met2 ( 528770 1700 0 ) ( * 17340 )
NEW met2 ( 527390 17340 ) ( 528770 * )
NEW met2 ( 524630 82800 ) ( 527390 * )
NEW met2 ( 527390 17340 ) ( * 82800 )
NEW met1 ( 524630 239870 ) ( 559130 * )
NEW met2 ( 559130 239870 ) ( * 250580 )
NEW met2 ( 559130 250580 ) ( 559590 * 0 )
NEW met2 ( 524630 82800 ) ( * 239870 )
NEW met1 ( 524630 239870 ) M1M2_PR
NEW met1 ( 559130 239870 ) M1M2_PR ;
- wbs_dat_i[27] ( PIN wbs_dat_i[27] ) ( mprj wbs_dat_i[27] ) + USE SIGNAL
+ ROUTED met2 ( 574310 239530 ) ( * 250580 )
NEW met2 ( 574310 250580 ) ( 576150 * 0 )
NEW met2 ( 545790 1700 ) ( 546710 * 0 )
NEW met2 ( 545790 1700 ) ( * 239530 )
NEW met1 ( 545790 239530 ) ( 574310 * )
NEW met1 ( 574310 239530 ) M1M2_PR
NEW met1 ( 545790 239530 ) M1M2_PR ;
- wbs_dat_i[28] ( PIN wbs_dat_i[28] ) ( mprj wbs_dat_i[28] ) + USE SIGNAL
+ ROUTED met2 ( 590870 240210 ) ( * 250580 )
NEW met2 ( 590870 250580 ) ( 592710 * 0 )
NEW met2 ( 561890 1700 ) ( 564190 * 0 )
NEW met2 ( 560050 82800 ) ( 561890 * )
NEW met2 ( 561890 1700 ) ( * 82800 )
NEW met2 ( 560050 82800 ) ( * 240210 )
NEW met1 ( 560050 240210 ) ( 590870 * )
NEW met1 ( 590870 240210 ) M1M2_PR
NEW met1 ( 560050 240210 ) M1M2_PR ;
- wbs_dat_i[29] ( PIN wbs_dat_i[29] ) ( mprj wbs_dat_i[29] ) + USE SIGNAL
+ ROUTED met2 ( 580290 82800 ) ( 582130 * )
NEW met2 ( 582130 1700 0 ) ( * 82800 )
NEW met1 ( 580290 239190 ) ( 607890 * )
NEW met2 ( 607890 239190 ) ( * 250580 )
NEW met2 ( 607890 250580 ) ( 608810 * 0 )
NEW met2 ( 580290 82800 ) ( * 239190 )
NEW met1 ( 580290 239190 ) M1M2_PR
NEW met1 ( 607890 239190 ) M1M2_PR ;
- wbs_dat_i[2] ( PIN wbs_dat_i[2] ) ( mprj wbs_dat_i[2] ) + USE SIGNAL
+ ROUTED met2 ( 89930 1700 ) ( 91310 * 0 )
NEW met2 ( 89930 1700 ) ( * 237150 )
NEW met2 ( 152950 237150 ) ( * 250580 )
NEW met2 ( 152950 250580 ) ( 154330 * 0 )
NEW met1 ( 89930 237150 ) ( 152950 * )
NEW met1 ( 89930 237150 ) M1M2_PR
NEW met1 ( 152950 237150 ) M1M2_PR ;
- wbs_dat_i[30] ( PIN wbs_dat_i[30] ) ( mprj wbs_dat_i[30] ) + USE SIGNAL
+ ROUTED met2 ( 599610 1700 0 ) ( * 17510 )
NEW met1 ( 594090 17510 ) ( 599610 * )
NEW met2 ( 594090 17510 ) ( * 235110 )
NEW met2 ( 623990 235110 ) ( * 250580 )
NEW met2 ( 623990 250580 ) ( 625370 * 0 )
NEW met1 ( 594090 235110 ) ( 623990 * )
NEW met1 ( 599610 17510 ) M1M2_PR
NEW met1 ( 594090 17510 ) M1M2_PR
NEW met1 ( 594090 235110 ) M1M2_PR
NEW met1 ( 623990 235110 ) M1M2_PR ;
- wbs_dat_i[31] ( PIN wbs_dat_i[31] ) ( mprj wbs_dat_i[31] ) + USE SIGNAL
+ ROUTED met2 ( 615250 1700 ) ( 617550 * 0 )
NEW met2 ( 614330 82800 ) ( 615250 * )
NEW met2 ( 615250 1700 ) ( * 82800 )
NEW met1 ( 614330 237830 ) ( 642390 * )
NEW met2 ( 642390 237830 ) ( * 250580 )
NEW met2 ( 641930 250580 0 ) ( 642390 * )
NEW met2 ( 614330 82800 ) ( * 237830 )
NEW met1 ( 614330 237830 ) M1M2_PR
NEW met1 ( 642390 237830 ) M1M2_PR ;
- wbs_dat_i[3] ( PIN wbs_dat_i[3] ) ( mprj wbs_dat_i[3] ) + USE SIGNAL
+ ROUTED met2 ( 112930 1700 ) ( 115230 * 0 )
NEW met2 ( 111550 82800 ) ( 112930 * )
NEW met2 ( 112930 1700 ) ( * 82800 )
NEW met2 ( 111550 82800 ) ( * 241230 )
NEW met2 ( 175030 241230 ) ( * 250580 )
NEW met2 ( 175030 250580 ) ( 176410 * 0 )
NEW met1 ( 111550 241230 ) ( 175030 * )
NEW met1 ( 111550 241230 ) M1M2_PR
NEW met1 ( 175030 241230 ) M1M2_PR ;
- wbs_dat_i[4] ( PIN wbs_dat_i[4] ) ( mprj wbs_dat_i[4] ) + USE SIGNAL
+ ROUTED met2 ( 196190 236470 ) ( * 250580 )
NEW met2 ( 196190 250580 ) ( 198030 * 0 )
NEW met2 ( 138690 1700 0 ) ( * 236470 )
NEW met1 ( 138690 236470 ) ( 196190 * )
NEW met1 ( 196190 236470 ) M1M2_PR
NEW met1 ( 138690 236470 ) M1M2_PR ;
- wbs_dat_i[5] ( PIN wbs_dat_i[5] ) ( mprj wbs_dat_i[5] ) + USE SIGNAL
+ ROUTED met2 ( 214590 17170 ) ( * 227700 )
NEW met2 ( 214590 227700 ) ( 215050 * )
NEW met2 ( 215050 227700 ) ( * 250580 )
NEW met2 ( 214590 250580 0 ) ( 215050 * )
NEW met2 ( 156630 1700 0 ) ( * 17170 )
NEW met1 ( 156630 17170 ) ( 214590 * )
NEW met1 ( 214590 17170 ) M1M2_PR
NEW met1 ( 156630 17170 ) M1M2_PR ;
- wbs_dat_i[6] ( PIN wbs_dat_i[6] ) ( mprj wbs_dat_i[6] ) + USE SIGNAL
+ ROUTED met2 ( 172730 1700 ) ( 174110 * 0 )
NEW met2 ( 172730 1700 ) ( * 237150 )
NEW met2 ( 229310 237150 ) ( * 250580 )
NEW met2 ( 229310 250580 ) ( 231150 * 0 )
NEW met1 ( 172730 237150 ) ( 229310 * )
NEW met1 ( 172730 237150 ) M1M2_PR
NEW met1 ( 229310 237150 ) M1M2_PR ;
- wbs_dat_i[7] ( PIN wbs_dat_i[7] ) ( mprj wbs_dat_i[7] ) + USE SIGNAL
+ ROUTED met2 ( 186530 82800 ) ( 192050 * )
NEW met2 ( 192050 1700 0 ) ( * 82800 )
NEW met2 ( 186530 82800 ) ( * 236810 )
NEW met2 ( 245870 236810 ) ( * 250580 )
NEW met2 ( 245870 250580 ) ( 247710 * 0 )
NEW met1 ( 186530 236810 ) ( 245870 * )
NEW met1 ( 186530 236810 ) M1M2_PR
NEW met1 ( 245870 236810 ) M1M2_PR ;
- wbs_dat_i[8] ( PIN wbs_dat_i[8] ) ( mprj wbs_dat_i[8] ) + USE SIGNAL
+ ROUTED met2 ( 207690 82800 ) ( 209530 * )
NEW met2 ( 209530 1700 0 ) ( * 82800 )
NEW met2 ( 207690 82800 ) ( * 240890 )
NEW met2 ( 262430 240890 ) ( * 250580 )
NEW met2 ( 262430 250580 ) ( 263810 * 0 )
NEW met1 ( 207690 240890 ) ( 262430 * )
NEW met1 ( 207690 240890 ) M1M2_PR
NEW met1 ( 262430 240890 ) M1M2_PR ;
- wbs_dat_i[9] ( PIN wbs_dat_i[9] ) ( mprj wbs_dat_i[9] ) + USE SIGNAL
+ ROUTED met2 ( 225170 1700 ) ( 227470 * 0 )
NEW met2 ( 221950 82800 ) ( 225170 * )
NEW met2 ( 225170 1700 ) ( * 82800 )
NEW met2 ( 221950 82800 ) ( * 240550 )
NEW met2 ( 278990 240550 ) ( * 250580 )
NEW met2 ( 278990 250580 ) ( 280370 * 0 )
NEW met1 ( 221950 240550 ) ( 278990 * )
NEW met1 ( 221950 240550 ) M1M2_PR
NEW met1 ( 278990 240550 ) M1M2_PR ;
- wbs_dat_o[0] ( PIN wbs_dat_o[0] ) ( mprj wbs_dat_o[0] ) + USE SIGNAL
+ ROUTED met2 ( 114310 237490 ) ( * 250580 )
NEW met2 ( 114310 250580 ) ( 116150 * 0 )
NEW met2 ( 48530 1700 ) ( 49910 * 0 )
NEW met2 ( 48530 1700 ) ( * 237490 )
NEW met1 ( 48530 237490 ) ( 114310 * )
NEW met1 ( 114310 237490 ) M1M2_PR
NEW met1 ( 48530 237490 ) M1M2_PR ;
- wbs_dat_o[10] ( PIN wbs_dat_o[10] ) ( mprj wbs_dat_o[10] ) + USE SIGNAL
+ ROUTED met2 ( 301070 237490 ) ( * 250580 )
NEW met2 ( 301070 250580 ) ( 302450 * 0 )
NEW met2 ( 248630 82800 ) ( 250930 * )
NEW met2 ( 250930 1700 0 ) ( * 82800 )
NEW met2 ( 248630 82800 ) ( * 237490 )
NEW met1 ( 248630 237490 ) ( 301070 * )
NEW met1 ( 301070 237490 ) M1M2_PR
NEW met1 ( 248630 237490 ) M1M2_PR ;
- wbs_dat_o[11] ( PIN wbs_dat_o[11] ) ( mprj wbs_dat_o[11] ) + USE SIGNAL
+ ROUTED met2 ( 317630 237830 ) ( * 250580 )
NEW met2 ( 317630 250580 ) ( 318550 * 0 )
NEW met2 ( 267030 1700 ) ( 268870 * 0 )
NEW met2 ( 267030 1700 ) ( * 17510 )
NEW met1 ( 262430 17510 ) ( 267030 * )
NEW met2 ( 262430 17510 ) ( * 237830 )
NEW met1 ( 262430 237830 ) ( 317630 * )
NEW met1 ( 317630 237830 ) M1M2_PR
NEW met1 ( 267030 17510 ) M1M2_PR
NEW met1 ( 262430 17510 ) M1M2_PR
NEW met1 ( 262430 237830 ) M1M2_PR ;
- wbs_dat_o[12] ( PIN wbs_dat_o[12] ) ( mprj wbs_dat_o[12] ) + USE SIGNAL
+ ROUTED met2 ( 284050 1700 ) ( 286350 * 0 )
NEW met2 ( 283590 82800 ) ( 284050 * )
NEW met2 ( 284050 1700 ) ( * 82800 )
NEW met2 ( 283590 82800 ) ( * 240210 )
NEW met2 ( 333270 240210 ) ( * 250580 )
NEW met2 ( 333270 250580 ) ( 335110 * 0 )
NEW met1 ( 283590 240210 ) ( 333270 * )
NEW met1 ( 283590 240210 ) M1M2_PR
NEW met1 ( 333270 240210 ) M1M2_PR ;
- wbs_dat_o[13] ( PIN wbs_dat_o[13] ) ( mprj wbs_dat_o[13] ) + USE SIGNAL
+ ROUTED met2 ( 304290 1700 0 ) ( * 34500 )
NEW met2 ( 303830 34500 ) ( 304290 * )
NEW met2 ( 303830 34500 ) ( * 238850 )
NEW met2 ( 349830 238850 ) ( * 250580 )
NEW met2 ( 349830 250580 ) ( 351670 * 0 )
NEW met1 ( 303830 238850 ) ( 349830 * )
NEW met1 ( 303830 238850 ) M1M2_PR
NEW met1 ( 349830 238850 ) M1M2_PR ;
- wbs_dat_o[14] ( PIN wbs_dat_o[14] ) ( mprj wbs_dat_o[14] ) + USE SIGNAL
+ ROUTED met2 ( 318550 82800 ) ( 321770 * )
NEW met2 ( 321770 1700 0 ) ( * 82800 )
NEW met2 ( 318550 82800 ) ( * 239870 )
NEW met2 ( 366390 239870 ) ( * 250580 )
NEW met2 ( 366390 250580 ) ( 367770 * 0 )
NEW met1 ( 318550 239870 ) ( 366390 * )
NEW met1 ( 318550 239870 ) M1M2_PR
NEW met1 ( 366390 239870 ) M1M2_PR ;
- wbs_dat_o[15] ( PIN wbs_dat_o[15] ) ( mprj wbs_dat_o[15] ) + USE SIGNAL
+ ROUTED met2 ( 382950 239190 ) ( * 250580 )
NEW met2 ( 382950 250580 ) ( 384330 * 0 )
NEW met2 ( 338790 1700 ) ( 339710 * 0 )
NEW met2 ( 338790 1700 ) ( * 227700 )
NEW met2 ( 338790 227700 ) ( 339250 * )
NEW met2 ( 339250 227700 ) ( * 239190 )
NEW met1 ( 339250 239190 ) ( 382950 * )
NEW met1 ( 382950 239190 ) M1M2_PR
NEW met1 ( 339250 239190 ) M1M2_PR ;
- wbs_dat_o[16] ( PIN wbs_dat_o[16] ) ( mprj wbs_dat_o[16] ) + USE SIGNAL
+ ROUTED met2 ( 400430 238850 ) ( * 250580 )
NEW met2 ( 400430 250580 ) ( 400890 * 0 )
NEW met2 ( 352130 82800 ) ( 357650 * )
NEW met2 ( 357650 1700 0 ) ( * 82800 )
NEW met2 ( 352130 82800 ) ( * 238850 )
NEW met1 ( 352130 238850 ) ( 400430 * )
NEW met1 ( 400430 238850 ) M1M2_PR
NEW met1 ( 352130 238850 ) M1M2_PR ;
- wbs_dat_o[17] ( PIN wbs_dat_o[17] ) ( mprj wbs_dat_o[17] ) + USE SIGNAL
+ ROUTED met2 ( 372830 82800 ) ( 375130 * )
NEW met2 ( 375130 1700 0 ) ( * 82800 )
NEW met1 ( 372830 237150 ) ( 416070 * )
NEW met2 ( 416070 237150 ) ( * 250580 )
NEW met2 ( 416070 250580 ) ( 417450 * 0 )
NEW met2 ( 372830 82800 ) ( * 237150 )
NEW met1 ( 372830 237150 ) M1M2_PR
NEW met1 ( 416070 237150 ) M1M2_PR ;
- wbs_dat_o[18] ( PIN wbs_dat_o[18] ) ( mprj wbs_dat_o[18] ) + USE SIGNAL
+ ROUTED met2 ( 390770 1700 ) ( 393070 * 0 )
NEW met2 ( 386630 82800 ) ( 390770 * )
NEW met2 ( 390770 1700 ) ( * 82800 )
NEW met2 ( 386630 82800 ) ( * 234770 )
NEW met2 ( 431710 234770 ) ( * 250580 )
NEW met2 ( 431710 250580 ) ( 433550 * 0 )
NEW met1 ( 386630 234770 ) ( 431710 * )
NEW met1 ( 386630 234770 ) M1M2_PR
NEW met1 ( 431710 234770 ) M1M2_PR ;
- wbs_dat_o[19] ( PIN wbs_dat_o[19] ) ( mprj wbs_dat_o[19] ) + USE SIGNAL
+ ROUTED met2 ( 408250 1700 ) ( 410550 * 0 )
NEW met2 ( 407330 82800 ) ( 408250 * )
NEW met2 ( 408250 1700 ) ( * 82800 )
NEW met2 ( 407330 82800 ) ( * 238170 )
NEW met2 ( 448730 238170 ) ( * 250580 )
NEW met2 ( 448730 250580 ) ( 450110 * 0 )
NEW met1 ( 407330 238170 ) ( 448730 * )
NEW met1 ( 407330 238170 ) M1M2_PR
NEW met1 ( 448730 238170 ) M1M2_PR ;
- wbs_dat_o[1] ( PIN wbs_dat_o[1] ) ( mprj wbs_dat_o[1] ) + USE SIGNAL
+ ROUTED met2 ( 71530 1700 ) ( 73830 * 0 )
NEW met2 ( 69690 82800 ) ( 71530 * )
NEW met2 ( 71530 1700 ) ( * 82800 )
NEW met2 ( 69690 82800 ) ( * 236810 )
NEW met2 ( 136390 236810 ) ( * 250580 )
NEW met2 ( 136390 250580 ) ( 137770 * 0 )
NEW met1 ( 69690 236810 ) ( 136390 * )
NEW met1 ( 69690 236810 ) M1M2_PR
NEW met1 ( 136390 236810 ) M1M2_PR ;
- wbs_dat_o[20] ( PIN wbs_dat_o[20] ) ( mprj wbs_dat_o[20] ) + USE SIGNAL
+ ROUTED met2 ( 428490 1700 0 ) ( * 34500 )
NEW met2 ( 428030 34500 ) ( 428490 * )
NEW met1 ( 428030 236470 ) ( 464830 * )
NEW met2 ( 464830 236470 ) ( * 250580 )
NEW met2 ( 464830 250580 ) ( 466670 * 0 )
NEW met2 ( 428030 34500 ) ( * 236470 )
NEW met1 ( 428030 236470 ) M1M2_PR
NEW met1 ( 464830 236470 ) M1M2_PR ;
- wbs_dat_o[21] ( PIN wbs_dat_o[21] ) ( mprj wbs_dat_o[21] ) + USE SIGNAL
+ ROUTED met2 ( 483690 238510 ) ( * 250580 )
NEW met2 ( 483230 250580 0 ) ( 483690 * )
NEW met2 ( 441830 82800 ) ( 445970 * )
NEW met2 ( 445970 1700 0 ) ( * 82800 )
NEW met2 ( 441830 82800 ) ( * 238510 )
NEW met1 ( 441830 238510 ) ( 483690 * )
NEW met1 ( 483690 238510 ) M1M2_PR
NEW met1 ( 441830 238510 ) M1M2_PR ;
- wbs_dat_o[22] ( PIN wbs_dat_o[22] ) ( mprj wbs_dat_o[22] ) + USE SIGNAL
+ ROUTED met2 ( 497950 239530 ) ( * 250580 )
NEW met2 ( 497950 250580 ) ( 499330 * 0 )
NEW met2 ( 462530 1700 ) ( 463910 * 0 )
NEW met2 ( 462530 1700 ) ( * 239530 )
NEW met1 ( 462530 239530 ) ( 497950 * )
NEW met1 ( 497950 239530 ) M1M2_PR
NEW met1 ( 462530 239530 ) M1M2_PR ;
- wbs_dat_o[23] ( PIN wbs_dat_o[23] ) ( mprj wbs_dat_o[23] ) + USE SIGNAL
+ ROUTED met2 ( 479090 1700 ) ( 481390 * 0 )
NEW met2 ( 476330 82800 ) ( 479090 * )
NEW met2 ( 479090 1700 ) ( * 82800 )
NEW met1 ( 476330 238170 ) ( 514510 * )
NEW met2 ( 514510 238170 ) ( * 250580 )
NEW met2 ( 514510 250580 ) ( 515890 * 0 )
NEW met2 ( 476330 82800 ) ( * 238170 )
NEW met1 ( 476330 238170 ) M1M2_PR
NEW met1 ( 514510 238170 ) M1M2_PR ;
- wbs_dat_o[24] ( PIN wbs_dat_o[24] ) ( mprj wbs_dat_o[24] ) + USE SIGNAL
+ ROUTED met2 ( 497030 82800 ) ( 499330 * )
NEW met2 ( 499330 1700 0 ) ( * 82800 )
NEW met2 ( 497030 82800 ) ( * 238850 )
NEW met2 ( 531530 238850 ) ( * 250580 )
NEW met2 ( 531530 250580 ) ( 532450 * 0 )
NEW met1 ( 497030 238850 ) ( 531530 * )
NEW met1 ( 497030 238850 ) M1M2_PR
NEW met1 ( 531530 238850 ) M1M2_PR ;
- wbs_dat_o[25] ( PIN wbs_dat_o[25] ) ( mprj wbs_dat_o[25] ) + USE SIGNAL
+ ROUTED met2 ( 511750 82800 ) ( 516810 * )
NEW met2 ( 516810 1700 0 ) ( * 82800 )
NEW met2 ( 511750 82800 ) ( * 238510 )
NEW met2 ( 546710 238510 ) ( * 250580 )
NEW met2 ( 546710 250580 ) ( 548550 * 0 )
NEW met1 ( 511750 238510 ) ( 546710 * )
NEW met1 ( 511750 238510 ) M1M2_PR
NEW met1 ( 546710 238510 ) M1M2_PR ;
- wbs_dat_o[26] ( PIN wbs_dat_o[26] ) ( mprj wbs_dat_o[26] ) + USE SIGNAL
+ ROUTED met2 ( 532450 1700 ) ( 534750 * 0 )
NEW met2 ( 531530 82800 ) ( 532450 * )
NEW met2 ( 532450 1700 ) ( * 82800 )
NEW met1 ( 531530 238170 ) ( 563270 * )
NEW met2 ( 563270 238170 ) ( * 250580 )
NEW met2 ( 563270 250580 ) ( 565110 * 0 )
NEW met2 ( 531530 82800 ) ( * 238170 )
NEW met1 ( 531530 238170 ) M1M2_PR
NEW met1 ( 563270 238170 ) M1M2_PR ;
- wbs_dat_o[27] ( PIN wbs_dat_o[27] ) ( mprj wbs_dat_o[27] ) + USE SIGNAL
+ ROUTED met2 ( 579830 238510 ) ( * 250580 )
NEW met2 ( 579830 250580 ) ( 581670 * 0 )
NEW met2 ( 552690 1700 0 ) ( * 16660 )
NEW met2 ( 552690 16660 ) ( 553150 * )
NEW met2 ( 553150 16660 ) ( * 238510 )
NEW met1 ( 553150 238510 ) ( 579830 * )
NEW met1 ( 579830 238510 ) M1M2_PR
NEW met1 ( 553150 238510 ) M1M2_PR ;
- wbs_dat_o[28] ( PIN wbs_dat_o[28] ) ( mprj wbs_dat_o[28] ) + USE SIGNAL
+ ROUTED met2 ( 566030 82800 ) ( 570170 * )
NEW met2 ( 570170 1700 0 ) ( * 82800 )
NEW met1 ( 566030 239870 ) ( 596390 * )
NEW met2 ( 596390 239870 ) ( * 250580 )
NEW met2 ( 596390 250580 ) ( 598230 * 0 )
NEW met2 ( 566030 82800 ) ( * 239870 )
NEW met1 ( 566030 239870 ) M1M2_PR
NEW met1 ( 596390 239870 ) M1M2_PR ;
- wbs_dat_o[29] ( PIN wbs_dat_o[29] ) ( mprj wbs_dat_o[29] ) + USE SIGNAL
+ ROUTED met2 ( 586730 1700 ) ( 588110 * 0 )
NEW met2 ( 586730 1700 ) ( * 235450 )
NEW met2 ( 614790 235450 ) ( * 250580 )
NEW met2 ( 614330 250580 0 ) ( 614790 * )
NEW met1 ( 586730 235450 ) ( 614790 * )
NEW met1 ( 586730 235450 ) M1M2_PR
NEW met1 ( 614790 235450 ) M1M2_PR ;
- wbs_dat_o[2] ( PIN wbs_dat_o[2] ) ( mprj wbs_dat_o[2] ) + USE SIGNAL
+ ROUTED met2 ( 97290 1700 0 ) ( * 34500 )
NEW met2 ( 97290 34500 ) ( 97750 * )
NEW met2 ( 97750 34500 ) ( * 227700 )
NEW met2 ( 97750 227700 ) ( 98210 * )
NEW met2 ( 98210 227700 ) ( * 239190 )
NEW met2 ( 158930 239190 ) ( * 250580 )
NEW met2 ( 158930 250580 ) ( 159850 * 0 )
NEW met1 ( 98210 239190 ) ( 158930 * )
NEW met1 ( 98210 239190 ) M1M2_PR
NEW met1 ( 158930 239190 ) M1M2_PR ;
- wbs_dat_o[30] ( PIN wbs_dat_o[30] ) ( mprj wbs_dat_o[30] ) + USE SIGNAL
+ ROUTED met2 ( 605590 1700 0 ) ( * 18870 )
NEW met1 ( 605590 18870 ) ( 624450 * )
NEW met1 ( 624450 236130 ) ( 629510 * )
NEW met2 ( 629510 236130 ) ( * 250580 )
NEW met2 ( 629510 250580 ) ( 630890 * 0 )
NEW met2 ( 624450 18870 ) ( * 236130 )
NEW met1 ( 605590 18870 ) M1M2_PR
NEW met1 ( 624450 18870 ) M1M2_PR
NEW met1 ( 624450 236130 ) M1M2_PR
NEW met1 ( 629510 236130 ) M1M2_PR ;
- wbs_dat_o[31] ( PIN wbs_dat_o[31] ) ( mprj wbs_dat_o[31] ) + USE SIGNAL
+ ROUTED met2 ( 621690 82800 ) ( 623530 * )
NEW met2 ( 623530 1700 0 ) ( * 82800 )
NEW met1 ( 621690 238510 ) ( 646070 * )
NEW met2 ( 646070 238510 ) ( * 250580 )
NEW met2 ( 646070 250580 ) ( 647450 * 0 )
NEW met2 ( 621690 82800 ) ( * 238510 )
NEW met1 ( 621690 238510 ) M1M2_PR
NEW met1 ( 646070 238510 ) M1M2_PR ;
- wbs_dat_o[3] ( PIN wbs_dat_o[3] ) ( mprj wbs_dat_o[3] ) + USE SIGNAL
+ ROUTED met2 ( 117530 82800 ) ( 121210 * )
NEW met2 ( 121210 1700 0 ) ( * 82800 )
NEW met2 ( 117530 82800 ) ( * 238850 )
NEW met2 ( 180550 238850 ) ( * 250580 )
NEW met2 ( 180550 250580 ) ( 181930 * 0 )
NEW met1 ( 117530 238850 ) ( 180550 * )
NEW met1 ( 117530 238850 ) M1M2_PR
NEW met1 ( 180550 238850 ) M1M2_PR ;
- wbs_dat_o[4] ( PIN wbs_dat_o[4] ) ( mprj wbs_dat_o[4] ) + USE SIGNAL
+ ROUTED met2 ( 201710 238510 ) ( * 250580 )
NEW met2 ( 201710 250580 ) ( 203550 * 0 )
NEW met2 ( 142830 1700 ) ( 144670 * 0 )
NEW met2 ( 142830 1700 ) ( * 17510 )
NEW met1 ( 138230 17510 ) ( 142830 * )
NEW met2 ( 138230 17510 ) ( * 238510 )
NEW met1 ( 138230 238510 ) ( 201710 * )
NEW met1 ( 201710 238510 ) M1M2_PR
NEW met1 ( 142830 17510 ) M1M2_PR
NEW met1 ( 138230 17510 ) M1M2_PR
NEW met1 ( 138230 238510 ) M1M2_PR ;
- wbs_dat_o[5] ( PIN wbs_dat_o[5] ) ( mprj wbs_dat_o[5] ) + USE SIGNAL
+ ROUTED met2 ( 218270 239870 ) ( * 250580 )
NEW met2 ( 218270 250580 ) ( 220110 * 0 )
NEW met2 ( 159850 1700 ) ( 162150 * 0 )
NEW met2 ( 159850 1700 ) ( * 239870 )
NEW met1 ( 159850 239870 ) ( 218270 * )
NEW met1 ( 218270 239870 ) M1M2_PR
NEW met1 ( 159850 239870 ) M1M2_PR ;
- wbs_dat_o[6] ( PIN wbs_dat_o[6] ) ( mprj wbs_dat_o[6] ) + USE SIGNAL
+ ROUTED met2 ( 180090 1700 0 ) ( * 241230 )
NEW met2 ( 234830 241230 ) ( * 250580 )
NEW met2 ( 234830 250580 ) ( 236670 * 0 )
NEW met1 ( 180090 241230 ) ( 234830 * )
NEW met1 ( 180090 241230 ) M1M2_PR
NEW met1 ( 234830 241230 ) M1M2_PR ;
- wbs_dat_o[7] ( PIN wbs_dat_o[7] ) ( mprj wbs_dat_o[7] ) + USE SIGNAL
+ ROUTED met2 ( 195730 1700 ) ( 198030 * 0 )
NEW met2 ( 193890 82800 ) ( 195730 * )
NEW met2 ( 195730 1700 ) ( * 82800 )
NEW met2 ( 193890 82800 ) ( * 240210 )
NEW met2 ( 251390 240210 ) ( * 250580 )
NEW met2 ( 251390 250580 ) ( 252770 * 0 )
NEW met1 ( 193890 240210 ) ( 251390 * )
NEW met1 ( 193890 240210 ) M1M2_PR
NEW met1 ( 251390 240210 ) M1M2_PR ;
- wbs_dat_o[8] ( PIN wbs_dat_o[8] ) ( mprj wbs_dat_o[8] ) + USE SIGNAL
+ ROUTED met2 ( 214130 1700 ) ( 215510 * 0 )
NEW met2 ( 214130 1700 ) ( * 238170 )
NEW met2 ( 269790 238170 ) ( * 250580 )
NEW met2 ( 269330 250580 0 ) ( 269790 * )
NEW met1 ( 214130 238170 ) ( 269790 * )
NEW met1 ( 214130 238170 ) M1M2_PR
NEW met1 ( 269790 238170 ) M1M2_PR ;
- wbs_dat_o[9] ( PIN wbs_dat_o[9] ) ( mprj wbs_dat_o[9] ) + USE SIGNAL
+ ROUTED met2 ( 284510 239530 ) ( * 250580 )
NEW met2 ( 284510 250580 ) ( 285890 * 0 )
NEW met2 ( 228390 82800 ) ( 233450 * )
NEW met2 ( 233450 1700 0 ) ( * 82800 )
NEW met2 ( 228390 82800 ) ( * 239530 )
NEW met1 ( 228390 239530 ) ( 284510 * )
NEW met1 ( 284510 239530 ) M1M2_PR
NEW met1 ( 228390 239530 ) M1M2_PR ;
- wbs_sel_i[0] ( PIN wbs_sel_i[0] ) ( mprj wbs_sel_i[0] ) + USE SIGNAL
+ ROUTED met2 ( 119830 240890 ) ( * 250580 )
NEW met2 ( 119830 250580 ) ( 121670 * 0 )
NEW met2 ( 55890 1700 0 ) ( * 34500 )
NEW met2 ( 55430 34500 ) ( 55890 * )
NEW met2 ( 55430 34500 ) ( * 240890 )
NEW met1 ( 55430 240890 ) ( 119830 * )
NEW met1 ( 119830 240890 ) M1M2_PR
NEW met1 ( 55430 240890 ) M1M2_PR ;
- wbs_sel_i[1] ( PIN wbs_sel_i[1] ) ( mprj wbs_sel_i[1] ) + USE SIGNAL
+ ROUTED met2 ( 79810 1700 0 ) ( * 17340 )
NEW met2 ( 76130 17340 ) ( 79810 * )
NEW met2 ( 76130 17340 ) ( * 240210 )
NEW met2 ( 141910 240210 ) ( * 250580 )
NEW met2 ( 141910 250580 ) ( 143290 * 0 )
NEW met1 ( 76130 240210 ) ( 141910 * )
NEW met1 ( 76130 240210 ) M1M2_PR
NEW met1 ( 141910 240210 ) M1M2_PR ;
- wbs_sel_i[2] ( PIN wbs_sel_i[2] ) ( mprj wbs_sel_i[2] ) + USE SIGNAL
+ ROUTED met2 ( 103270 1700 0 ) ( * 16490 )
NEW met1 ( 103270 16490 ) ( 127650 * )
NEW met2 ( 127650 16490 ) ( * 236130 )
NEW met2 ( 163990 236130 ) ( * 250580 )
NEW met2 ( 163990 250580 ) ( 165370 * 0 )
NEW met1 ( 127650 236130 ) ( 163990 * )
NEW met1 ( 103270 16490 ) M1M2_PR
NEW met1 ( 127650 16490 ) M1M2_PR
NEW met1 ( 127650 236130 ) M1M2_PR
NEW met1 ( 163990 236130 ) M1M2_PR ;
- wbs_sel_i[3] ( PIN wbs_sel_i[3] ) ( mprj wbs_sel_i[3] ) + USE SIGNAL
+ ROUTED met2 ( 124890 82800 ) ( 126730 * )
NEW met2 ( 126730 1700 0 ) ( * 82800 )
NEW met2 ( 124890 82800 ) ( * 240890 )
NEW met2 ( 186530 240890 ) ( * 250580 )
NEW met2 ( 186530 250580 ) ( 187450 * 0 )
NEW met1 ( 124890 240890 ) ( 186530 * )
NEW met1 ( 124890 240890 ) M1M2_PR
NEW met1 ( 186530 240890 ) M1M2_PR ;
- wbs_stb_i ( PIN wbs_stb_i ) ( mprj wbs_stb_i ) + USE SIGNAL
+ ROUTED met2 ( 20930 82800 ) ( 26450 * )
NEW met2 ( 26450 1700 0 ) ( * 82800 )
NEW met2 ( 20930 82800 ) ( * 237830 )
NEW met2 ( 92230 237830 ) ( * 250580 )
NEW met2 ( 92230 250580 ) ( 94070 * 0 )
NEW met1 ( 20930 237830 ) ( 92230 * )
NEW met1 ( 20930 237830 ) M1M2_PR
NEW met1 ( 92230 237830 ) M1M2_PR ;
- wbs_we_i ( PIN wbs_we_i ) ( mprj wbs_we_i ) + USE SIGNAL
+ ROUTED met2 ( 30130 1700 ) ( 32430 * 0 )
NEW met2 ( 27830 82800 ) ( 30130 * )
NEW met2 ( 30130 1700 ) ( * 82800 )
NEW met2 ( 27830 82800 ) ( * 238170 )
NEW met2 ( 97750 238170 ) ( * 250580 )
NEW met2 ( 97750 250580 ) ( 99590 * 0 )
NEW met1 ( 27830 238170 ) ( 97750 * )
NEW met1 ( 27830 238170 ) M1M2_PR
NEW met1 ( 97750 238170 ) M1M2_PR ;
END NETS
END DESIGN