Document clean up
diff --git a/README.md b/README.md
index 6f0984e..27eb561 100644
--- a/README.md
+++ b/README.md
@@ -521,135 +521,6 @@
 
 
 
-# Repository contents
-
-```
-|verilog
-|   |─  rtl.
-|   |    ├── clk_skew_adjust
-|   |    │   ├── src
-|   |    │   │   ├── clk_skew_adjust.gv
-|   |    │   │   └── clk_skew_adjust.v
-|   |    ├── digital_pll
-|   |    │   └── src
-|   |    │       ├── digital_pll_controller.v
-|   |    │       ├── digital_pll.v
-|   |    │       └── ring_osc2x13.v
-|   |    ├── i2cm
-|   |    │   └── src
-|   |    │       ├── core
-|   |    │       │   ├── i2cm_bit_ctrl.v
-|   |    │       │   ├── i2cm_byte_ctrl.v
-|   |    │       │   └── i2cm_top.v
-|   |    │       └── includes
-|   |    │           └── i2cm_defines.v
-|   |    ├── lib
-|   |    │   ├── async_fifo.sv
-|   |    │   ├── async_fifo_th.sv
-|   |    │   ├── async_reg_bus.sv
-|   |    │   ├── async_wb.sv
-|   |    │   ├── clk_buf.v
-|   |    │   ├── clk_ctl.v
-|   |    │   ├── clk_skew_adjust.gv
-|   |    │   ├── ctech_cells.sv
-|   |    │   ├── double_sync_high.v
-|   |    │   ├── double_sync_low.v
-|   |    │   ├── pulse_gen_type1.sv
-|   |    │   ├── pulse_gen_type2.sv
-|   |    │   ├── registers.v
-|   |    │   ├── reset_sync.sv
-|   |    │   ├── ser_inf_32b.sv
-|   |    │   ├── ser_shift.sv
-|   |    │   ├── sync_fifo2.sv
-|   |    │   ├── sync_fifo.sv
-|   |    │   ├── sync_wbb.sv
-|   |    │   ├── wb_interface.v
-|   |    │   └── wb_stagging.sv
-|   |    ├── pinmux
-|   |    │   └── src
-|   |    │       ├── gpio_control.sv
-|   |    │       ├── gpio_intr.sv
-|   |    │       ├── pinmux_reg.sv
-|   |    │       ├── pinmux.sv
-|   |    │       ├── pwm.sv
-|   |    │       └── timer.sv
-|   |    ├── qspim
-|   |    │   ├── lib
-|   |    │   │   ├── clk_skew_adjust.gv
-|   |    │   │   ├── ctech_cells.sv
-|   |    │   │   └── reset_sync.sv
-|   |    │   ├── src
-|   |    │   │   ├── filelist.f
-|   |    │   │   ├── qspim_clkgen.sv
-|   |    │   │   ├── qspim_ctrl.sv
-|   |    │   │   ├── qspim_fifo.sv
-|   |    │   │   ├── qspim_if.sv
-|   |    │   │   ├── qspim_regs.sv
-|   |    │   │   ├── qspim_rx.sv
-|   |    │   │   ├── qspim_top.sv
-|   |    │   │   └── qspim_tx.sv
-|   |    ├── sram_macros
-|   |    │   └── sky130_sram_2kbyte_1rw1r_32x512_8.v
-|   |    ├── sspim
-|   |    │   └── src
-|   |    │       ├── sspim_cfg.sv
-|   |    │       ├── sspim_ctl.sv
-|   |    │       ├── sspim_if.sv
-|   |    │       └── sspim_top.sv
-|   |    ├── sspis
-|   |    │   └── src
-|   |    │       ├── spi2wb.sv
-|   |    │       ├── sspis_if.sv
-|   |    │       └── sspis_top.sv
-|   |    ├── uart
-|   |    │   └── src
-|   |    │       ├── uart_cfg.sv
-|   |    │       ├── uart_core.sv
-|   |    │       ├── uart_rxfsm.sv
-|   |    │       └── uart_txfsm.sv
-|   |    ├── uart2wb
-|   |    │   └── src
-|   |    │       ├── run_verilog
-|   |    │       ├── uart2_core.sv
-|   |    │       ├── uart2wb.sv
-|   |    │       └── uart_msg_handler.v
-|   |    ├── uart_i2c
-|   |    │   └── src
-|   |    │       └── uart_i2c_top.sv
-|   |    ├── uart_i2c_usb
-|   |    │   └── src
-|   |    │       └── uart_i2c_usb.sv
-|   |    ├── uart_i2c_usb_spi
-|   |    │   └── src
-|   |    │       └── uart_i2c_usb_spi.sv
-|   |    ├── usb1_host
-|   |    │   └── src
-|   |    │       ├── core
-|   |    │       │   ├── usbh_core.sv
-|   |    │       │   ├── usbh_crc16.sv
-|   |    │       │   ├── usbh_crc5.sv
-|   |    │       │   ├── usbh_fifo.sv
-|   |    │       │   └── usbh_sie.sv
-|   |    │       ├── filelist.f
-|   |    │       ├── includes
-|   |    │       │   └── usbh_host_defs.v
-|   |    │       ├── phy
-|   |    │       │   ├── usb_fs_phy.v
-|   |    │       │   └── usb_transceiver.v
-|   |    │       └── top
-|   |    │           └── usb1_host.sv
-|   |    ├── user_project_wrapper.v
-|   |    ├── user_reg_map.v
-|   |    ├── wb_host
-|   |    │   └── src
-|   |    │       └── wb_host.sv
-|   |    ├── wb_interconnect
-|   |    │   └── src
-|   |    │       ├── wb_arb.sv
-|   |    │       ├── wb_interconnect.sv
-|   |    │       └── wb_slave_port.sv
-```
-
 
 # Prerequisites
    - Docker (ensure docker daemon is running) -- tested with version 19.03.12, but any recent version should suffice.