| export CARAVEL_ROOT=/home/askartos/sandbox/caravel_tutorial/fossiAES/caravel && cd openlane && make aes |
| make[1]: Entering directory '/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane' |
| ############################################### |
| [INFO]: |
| ___ ____ ___ ____ _ ____ ____ ___ |
| / \ | \ / _]| \ | | / || \ / _] |
| | | | o ) [_ | _ || | | o || _ | / [_ |
| | O | | _/ _]| | || |___ | || | || _] |
| | | | | | [_ | | || || _ || | || [_ |
| \___/ |__| |_____||__|__||_____||__|__||__|__||_____| |
| |
| |
| [INFO]: Version: 2022.02.23_02.50.41 |
| [INFO]: Running non-interactively |
| [INFO]: Using design configuration at /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/config.tcl |
| [INFO]: Sourcing Configurations from /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/config.tcl |
| [INFO]: PDKs root directory: /home/askartos/sandbox/caravel_tutorial/pdks |
| [INFO]: PDK: sky130A |
| [INFO]: Setting PDKPATH to /home/askartos/sandbox/caravel_tutorial/pdks/sky130A |
| [INFO]: Standard Cell Library: sky130_fd_sc_hd |
| [INFO]: Optimization Standard Cell Library is set to: sky130_fd_sc_hd |
| [INFO]: Sourcing Configurations from /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/config.tcl |
| [WARNING]: Removing exisiting run /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes |
| [INFO]: Current run directory is /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes |
| [INFO]: Storing configs into config.tcl ... |
| [INFO]: Preparing LEF Files |
| [INFO]: Extracting the number of available metal layers from /home/askartos/sandbox/caravel_tutorial/pdks/sky130A/libs.ref/sky130_fd_sc_hd/techlef/sky130_fd_sc_hd.tlef |
| [INFO]: The available metal layers (6) are li1 met1 met2 met3 met4 met5 |
| [INFO]: Merging LEF Files... |
| mergeLef.py : Merging LEFs |
| sky130_fd_sc_hd.lef: SITEs matched found: 0 |
| sky130_fd_sc_hd.lef: MACROs matched found: 441 |
| mergeLef.py : Merging LEFs complete |
| [INFO]: Trimming Liberty... |
| [INFO]: Generating Exclude List... |
| [INFO]: Generating Exclude List... |
| [INFO]: Creating ::env(DONT_USE_CELLS)... |
| [INFO]: Preparation complete |
| [INFO]: Incremented step index to 0. |
| [INFO]: Running Synthesis... |
| |
| /----------------------------------------------------------------------------\ |
| | | |
| | yosys -- Yosys Open SYnthesis Suite | |
| | | |
| | Copyright (C) 2012 - 2020 Claire Xenia Wolf <claire@yosyshq.com> | |
| | | |
| | Permission to use, copy, modify, and/or distribute this software for any | |
| | purpose with or without fee is hereby granted, provided that the above | |
| | copyright notice and this permission notice appear in all copies. | |
| | | |
| | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | |
| | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | |
| | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | |
| | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | |
| | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | |
| | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | |
| | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | |
| | | |
| \----------------------------------------------------------------------------/ |
| |
| Yosys 0.12+45 (git sha1 UNKNOWN, gcc 8.3.1 -fPIC -Os) |
| |
| [TCL: yosys -import] Command name collision: found pre-existing command `cd' -> skip. |
| [TCL: yosys -import] Command name collision: found pre-existing command `eval' -> skip. |
| [TCL: yosys -import] Command name collision: found pre-existing command `exec' -> skip. |
| [TCL: yosys -import] Command name collision: found pre-existing command `read' -> skip. |
| [TCL: yosys -import] Command name collision: found pre-existing command `trace' -> skip. |
| |
| 1. Executing Verilog-2005 frontend: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v |
| Parsing SystemVerilog input from `/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v' to AST representation. |
| Generating RTLIL representation for module `\sbox'. |
| Generating RTLIL representation for module `\mix'. |
| Generating RTLIL representation for module `\aes'. |
| Successfully finished Verilog frontend. |
| |
| 2. Generating Graphviz representation of design. |
| Writing dot description to `/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/tmp/synthesis/hierarchy.dot'. |
| Dumping module aes to page 1. |
| |
| 3. Executing HIERARCHY pass (managing design hierarchy). |
| |
| 3.1. Analyzing design hierarchy.. |
| Top module: \aes |
| Used module: \mix |
| Used module: \sbox |
| |
| 3.2. Analyzing design hierarchy.. |
| Top module: \aes |
| Used module: \mix |
| Used module: \sbox |
| Removed 0 unused modules. |
| |
| 4. Executing TRIBUF pass. |
| |
| 5. Executing SYNTH pass. |
| |
| 5.1. Executing HIERARCHY pass (managing design hierarchy). |
| |
| 5.1.1. Analyzing design hierarchy.. |
| Top module: \aes |
| Used module: \mix |
| Used module: \sbox |
| |
| 5.1.2. Analyzing design hierarchy.. |
| Top module: \aes |
| Used module: \mix |
| Used module: \sbox |
| Removed 0 unused modules. |
| |
| 5.2. Executing PROC pass (convert processes to netlists). |
| |
| 5.2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). |
| Cleaned up 0 empty switches. |
| |
| 5.2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). |
| Marked 74 switch rules as full_case in process $proc$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1385$2317 in module aes. |
| Removed a total of 0 dead cases. |
| |
| 5.2.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). |
| Removed 10 redundant assignments. |
| Promoted 1 assignment to connection. |
| |
| 5.2.4. Executing PROC_INIT pass (extract init attributes). |
| |
| 5.2.5. Executing PROC_ARST pass (detect async resets in processes). |
| |
| 5.2.6. Executing PROC_MUX pass (convert decision trees to multiplexers). |
| Creating decoders for process `\aes.$proc$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1385$2317'. |
| 1/24: $0\REG_1[0:0] |
| 2/24: $0\selKey[1:0] |
| 3/24: $0\selMuxMixARK[1:0] |
| 4/24: $0\selMuxSbox[2:0] |
| 5/24: $0\selMux1W3[2:0] |
| 6/24: $0\selMux1W2[2:0] |
| 7/24: $0\selMux1W1[2:0] |
| 8/24: $0\selMux1W0[2:0] |
| 9/24: $0\REG[31:0] |
| 10/24: $0\state[3:0] |
| 11/24: $0\reg1K3[31:0] |
| 12/24: $0\reg1K2[31:0] |
| 13/24: $0\reg1K1[31:0] |
| 14/24: $0\reg1K0[31:0] |
| 15/24: $0\ronda[3:0] |
| 16/24: $0\rego_8[31:0] |
| 17/24: $0\rego_7[31:0] |
| 18/24: $0\rego_6[31:0] |
| 19/24: $0\rego_5[31:0] |
| 20/24: $0\rego_4[31:0] |
| 21/24: $0\rego_3[31:0] |
| 22/24: $0\rego_2[31:0] |
| 23/24: $0\rego_1[31:0] |
| 24/24: $0\rego_0[31:0] |
| |
| 5.2.7. Executing PROC_DLATCH pass (convert process syncs to latches). |
| |
| 5.2.8. Executing PROC_DFF pass (convert process syncs to FFs). |
| Creating register for signal `\aes.\rego_0' using process `\aes.$proc$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1385$2317'. |
| created $dff cell `$procdff$2633' with positive edge clock. |
| Creating register for signal `\aes.\rego_1' using process `\aes.$proc$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1385$2317'. |
| created $dff cell `$procdff$2634' with positive edge clock. |
| Creating register for signal `\aes.\rego_2' using process `\aes.$proc$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1385$2317'. |
| created $dff cell `$procdff$2635' with positive edge clock. |
| Creating register for signal `\aes.\rego_3' using process `\aes.$proc$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1385$2317'. |
| created $dff cell `$procdff$2636' with positive edge clock. |
| Creating register for signal `\aes.\rego_4' using process `\aes.$proc$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1385$2317'. |
| created $dff cell `$procdff$2637' with positive edge clock. |
| Creating register for signal `\aes.\rego_5' using process `\aes.$proc$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1385$2317'. |
| created $dff cell `$procdff$2638' with positive edge clock. |
| Creating register for signal `\aes.\rego_6' using process `\aes.$proc$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1385$2317'. |
| created $dff cell `$procdff$2639' with positive edge clock. |
| Creating register for signal `\aes.\rego_7' using process `\aes.$proc$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1385$2317'. |
| created $dff cell `$procdff$2640' with positive edge clock. |
| Creating register for signal `\aes.\rego_8' using process `\aes.$proc$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1385$2317'. |
| created $dff cell `$procdff$2641' with positive edge clock. |
| Creating register for signal `\aes.\state' using process `\aes.$proc$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1385$2317'. |
| created $dff cell `$procdff$2642' with positive edge clock. |
| Creating register for signal `\aes.\ack' using process `\aes.$proc$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1385$2317'. |
| created $dff cell `$procdff$2643' with positive edge clock. |
| Creating register for signal `\aes.\REG' using process `\aes.$proc$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1385$2317'. |
| created $dff cell `$procdff$2644' with positive edge clock. |
| Creating register for signal `\aes.\ronda' using process `\aes.$proc$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1385$2317'. |
| created $dff cell `$procdff$2645' with positive edge clock. |
| Creating register for signal `\aes.\selMux1W0' using process `\aes.$proc$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1385$2317'. |
| created $dff cell `$procdff$2646' with positive edge clock. |
| Creating register for signal `\aes.\selMux1W1' using process `\aes.$proc$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1385$2317'. |
| created $dff cell `$procdff$2647' with positive edge clock. |
| Creating register for signal `\aes.\selMux1W2' using process `\aes.$proc$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1385$2317'. |
| created $dff cell `$procdff$2648' with positive edge clock. |
| Creating register for signal `\aes.\selMux1W3' using process `\aes.$proc$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1385$2317'. |
| created $dff cell `$procdff$2649' with positive edge clock. |
| Creating register for signal `\aes.\selMuxSbox' using process `\aes.$proc$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1385$2317'. |
| created $dff cell `$procdff$2650' with positive edge clock. |
| Creating register for signal `\aes.\selMuxMixARK' using process `\aes.$proc$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1385$2317'. |
| created $dff cell `$procdff$2651' with positive edge clock. |
| Creating register for signal `\aes.\selKey' using process `\aes.$proc$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1385$2317'. |
| created $dff cell `$procdff$2652' with positive edge clock. |
| Creating register for signal `\aes.\reg1K0' using process `\aes.$proc$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1385$2317'. |
| created $dff cell `$procdff$2653' with positive edge clock. |
| Creating register for signal `\aes.\reg1K1' using process `\aes.$proc$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1385$2317'. |
| created $dff cell `$procdff$2654' with positive edge clock. |
| Creating register for signal `\aes.\reg1K2' using process `\aes.$proc$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1385$2317'. |
| created $dff cell `$procdff$2655' with positive edge clock. |
| Creating register for signal `\aes.\reg1K3' using process `\aes.$proc$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1385$2317'. |
| created $dff cell `$procdff$2656' with positive edge clock. |
| Creating register for signal `\aes.\REG_1' using process `\aes.$proc$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1385$2317'. |
| created $dff cell `$procdff$2657' with positive edge clock. |
| |
| 5.2.9. Executing PROC_MEMWR pass (convert process memory writes to cells). |
| |
| 5.2.10. Executing PROC_CLEAN pass (remove empty switches from decision trees). |
| Found and cleaned up 102 empty switches in `\aes.$proc$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1385$2317'. |
| Removing empty process `aes.$proc$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1385$2317'. |
| Cleaned up 102 empty switches. |
| |
| 5.2.11. Executing OPT_EXPR pass (perform const folding). |
| Optimizing module aes. |
| <suppressed ~21 debug messages> |
| Optimizing module mix. |
| Optimizing module sbox. |
| |
| 5.3. Executing FLATTEN pass (flatten design). |
| Deleting now unused module mix. |
| Deleting now unused module sbox. |
| <suppressed ~2 debug messages> |
| |
| 5.4. Executing OPT_EXPR pass (perform const folding). |
| Optimizing module aes. |
| |
| 5.5. Executing OPT_CLEAN pass (remove unused cells and wires). |
| Finding unused cells or wires in module \aes.. |
| Removed 10 unused cells and 1394 unused wires. |
| <suppressed ~11 debug messages> |
| |
| 5.6. Executing CHECK pass (checking for obvious problems). |
| Checking module aes... |
| Found and reported 0 problems. |
| |
| 5.7. Executing OPT pass (performing simple optimizations). |
| |
| 5.7.1. Executing OPT_EXPR pass (perform const folding). |
| Optimizing module aes. |
| |
| 5.7.2. Executing OPT_MERGE pass (detect identical cells). |
| Finding identical cells in module `\aes'. |
| <suppressed ~90 debug messages> |
| Removed a total of 30 cells. |
| |
| 5.7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). |
| Running muxtree optimizer on module \aes.. |
| Creating internal representation of mux trees. |
| Evaluating internal representation of mux trees. |
| Analyzing evaluation results. |
| Removed 0 multiplexer ports. |
| <suppressed ~45 debug messages> |
| |
| 5.7.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). |
| Optimizing cells in module \aes. |
| Performed a total of 0 changes. |
| |
| 5.7.5. Executing OPT_MERGE pass (detect identical cells). |
| Finding identical cells in module `\aes'. |
| <suppressed ~33 debug messages> |
| Removed a total of 11 cells. |
| |
| 5.7.6. Executing OPT_DFF pass (perform DFF optimizations). |
| |
| 5.7.7. Executing OPT_CLEAN pass (remove unused cells and wires). |
| Finding unused cells or wires in module \aes.. |
| Removed 0 unused cells and 33 unused wires. |
| <suppressed ~1 debug messages> |
| |
| 5.7.8. Executing OPT_EXPR pass (perform const folding). |
| Optimizing module aes. |
| |
| 5.7.9. Rerunning OPT passes. (Maybe there is more to do..) |
| |
| 5.7.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). |
| Running muxtree optimizer on module \aes.. |
| Creating internal representation of mux trees. |
| Evaluating internal representation of mux trees. |
| Analyzing evaluation results. |
| Removed 0 multiplexer ports. |
| <suppressed ~46 debug messages> |
| |
| 5.7.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). |
| Optimizing cells in module \aes. |
| Performed a total of 0 changes. |
| |
| 5.7.12. Executing OPT_MERGE pass (detect identical cells). |
| Finding identical cells in module `\aes'. |
| Removed a total of 0 cells. |
| |
| 5.7.13. Executing OPT_DFF pass (perform DFF optimizations). |
| |
| 5.7.14. Executing OPT_CLEAN pass (remove unused cells and wires). |
| Finding unused cells or wires in module \aes.. |
| |
| 5.7.15. Executing OPT_EXPR pass (perform const folding). |
| Optimizing module aes. |
| |
| 5.7.16. Finished OPT passes. (There is nothing left to do.) |
| |
| 5.8. Executing FSM pass (extract and optimize FSM). |
| |
| 5.8.1. Executing FSM_DETECT pass (finding FSMs in design). |
| Found FSM state register aes.selMux1W0. |
| Found FSM state register aes.selMux1W1. |
| Found FSM state register aes.selMux1W2. |
| Found FSM state register aes.selMux1W3. |
| Found FSM state register aes.selMuxMixARK. |
| Found FSM state register aes.selMuxSbox. |
| Not marking aes.state as FSM state register: |
| Users of register don't seem to benefit from recoding. |
| |
| 5.8.2. Executing FSM_EXTRACT pass (extracting FSM from design). |
| Extracting FSM `\selMux1W0' from module `\aes'. |
| found $dff cell for state register: $procdff$2646 |
| root of input selection tree: $0\selMux1W0[2:0] |
| found reset state: 3'101 (guessed from mux tree) |
| found ctrl input: \reset |
| found ctrl input: \_T_168 |
| found ctrl input: \_T_169 |
| found ctrl input: \_T_170 |
| found ctrl input: \_T_171 |
| found ctrl input: \_T_172 |
| found ctrl input: \_T_173 |
| found ctrl input: \_T_176 |
| found ctrl input: \_T_178 |
| found ctrl input: \_T_179 |
| found ctrl input: \_T_180 |
| found ctrl input: \_T_181 |
| found state code: 3'000 |
| found ctrl input: $le$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1294$2233_Y |
| found state code: 3'101 |
| found state code: 3'001 |
| found state code: 3'011 |
| found state code: 3'010 |
| found ctrl input: \start |
| found state code: 3'100 |
| found ctrl output: \_T_79 |
| found ctrl output: \_T_80 |
| found ctrl output: \_T_81 |
| found ctrl output: \_T_82 |
| found ctrl output: \_T_88 |
| found ctrl output: \_T_94 |
| ctrl inputs: { $le$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1294$2233_Y \_T_181 \_T_180 \_T_179 \_T_178 \_T_176 \_T_173 \_T_172 \_T_171 \_T_170 \_T_169 \_T_168 \start \reset } |
| ctrl outputs: { $0\selMux1W0[2:0] \_T_94 \_T_88 \_T_82 \_T_80 \_T_81 \_T_79 } |
| transition: 3'000 14'-00000000000-0 -> 3'000 9'000000001 |
| transition: 3'000 14'-10000000000-0 -> 3'000 9'000000001 |
| transition: 3'000 14'--1000000000-0 -> 3'000 9'000000001 |
| transition: 3'000 14'---100000000-0 -> 3'000 9'000000001 |
| transition: 3'000 14'----10000000-0 -> 3'000 9'000000001 |
| transition: 3'000 14'0----1000000-0 -> 3'101 9'101000001 |
| transition: 3'000 14'1----1000000-0 -> 3'001 9'001000001 |
| transition: 3'000 14'------100000-0 -> 3'011 9'011000001 |
| transition: 3'000 14'-------10000-0 -> 3'000 9'000000001 |
| transition: 3'000 14'--------1000-0 -> 3'000 9'000000001 |
| transition: 3'000 14'---------100-0 -> 3'010 9'010000001 |
| transition: 3'000 14'----------10-0 -> 3'001 9'001000001 |
| transition: 3'000 14'-----------100 -> 3'000 9'000000001 |
| transition: 3'000 14'-----------110 -> 3'100 9'100000001 |
| transition: 3'000 14'-------------1 -> 3'101 9'101000001 |
| transition: 3'100 14'-00000000000-0 -> 3'100 9'100010000 |
| transition: 3'100 14'-10000000000-0 -> 3'000 9'000010000 |
| transition: 3'100 14'--1000000000-0 -> 3'000 9'000010000 |
| transition: 3'100 14'---100000000-0 -> 3'000 9'000010000 |
| transition: 3'100 14'----10000000-0 -> 3'000 9'000010000 |
| transition: 3'100 14'0----1000000-0 -> 3'101 9'101010000 |
| transition: 3'100 14'1----1000000-0 -> 3'001 9'001010000 |
| transition: 3'100 14'------100000-0 -> 3'011 9'011010000 |
| transition: 3'100 14'-------10000-0 -> 3'000 9'000010000 |
| transition: 3'100 14'--------1000-0 -> 3'000 9'000010000 |
| transition: 3'100 14'---------100-0 -> 3'010 9'010010000 |
| transition: 3'100 14'----------10-0 -> 3'001 9'001010000 |
| transition: 3'100 14'-----------100 -> 3'000 9'000010000 |
| transition: 3'100 14'-----------110 -> 3'100 9'100010000 |
| transition: 3'100 14'-------------1 -> 3'101 9'101010000 |
| transition: 3'010 14'-00000000000-0 -> 3'010 9'010000010 |
| transition: 3'010 14'-10000000000-0 -> 3'000 9'000000010 |
| transition: 3'010 14'--1000000000-0 -> 3'000 9'000000010 |
| transition: 3'010 14'---100000000-0 -> 3'000 9'000000010 |
| transition: 3'010 14'----10000000-0 -> 3'000 9'000000010 |
| transition: 3'010 14'0----1000000-0 -> 3'101 9'101000010 |
| transition: 3'010 14'1----1000000-0 -> 3'001 9'001000010 |
| transition: 3'010 14'------100000-0 -> 3'011 9'011000010 |
| transition: 3'010 14'-------10000-0 -> 3'000 9'000000010 |
| transition: 3'010 14'--------1000-0 -> 3'000 9'000000010 |
| transition: 3'010 14'---------100-0 -> 3'010 9'010000010 |
| transition: 3'010 14'----------10-0 -> 3'001 9'001000010 |
| transition: 3'010 14'-----------100 -> 3'000 9'000000010 |
| transition: 3'010 14'-----------110 -> 3'100 9'100000010 |
| transition: 3'010 14'-------------1 -> 3'101 9'101000010 |
| transition: 3'001 14'-00000000000-0 -> 3'001 9'001000100 |
| transition: 3'001 14'-10000000000-0 -> 3'000 9'000000100 |
| transition: 3'001 14'--1000000000-0 -> 3'000 9'000000100 |
| transition: 3'001 14'---100000000-0 -> 3'000 9'000000100 |
| transition: 3'001 14'----10000000-0 -> 3'000 9'000000100 |
| transition: 3'001 14'0----1000000-0 -> 3'101 9'101000100 |
| transition: 3'001 14'1----1000000-0 -> 3'001 9'001000100 |
| transition: 3'001 14'------100000-0 -> 3'011 9'011000100 |
| transition: 3'001 14'-------10000-0 -> 3'000 9'000000100 |
| transition: 3'001 14'--------1000-0 -> 3'000 9'000000100 |
| transition: 3'001 14'---------100-0 -> 3'010 9'010000100 |
| transition: 3'001 14'----------10-0 -> 3'001 9'001000100 |
| transition: 3'001 14'-----------100 -> 3'000 9'000000100 |
| transition: 3'001 14'-----------110 -> 3'100 9'100000100 |
| transition: 3'001 14'-------------1 -> 3'101 9'101000100 |
| transition: 3'101 14'-00000000000-0 -> 3'101 9'101100000 |
| transition: 3'101 14'-10000000000-0 -> 3'000 9'000100000 |
| transition: 3'101 14'--1000000000-0 -> 3'000 9'000100000 |
| transition: 3'101 14'---100000000-0 -> 3'000 9'000100000 |
| transition: 3'101 14'----10000000-0 -> 3'000 9'000100000 |
| transition: 3'101 14'0----1000000-0 -> 3'101 9'101100000 |
| transition: 3'101 14'1----1000000-0 -> 3'001 9'001100000 |
| transition: 3'101 14'------100000-0 -> 3'011 9'011100000 |
| transition: 3'101 14'-------10000-0 -> 3'000 9'000100000 |
| transition: 3'101 14'--------1000-0 -> 3'000 9'000100000 |
| transition: 3'101 14'---------100-0 -> 3'010 9'010100000 |
| transition: 3'101 14'----------10-0 -> 3'001 9'001100000 |
| transition: 3'101 14'-----------100 -> 3'000 9'000100000 |
| transition: 3'101 14'-----------110 -> 3'100 9'100100000 |
| transition: 3'101 14'-------------1 -> 3'101 9'101100000 |
| transition: 3'011 14'-00000000000-0 -> 3'011 9'011001000 |
| transition: 3'011 14'-10000000000-0 -> 3'000 9'000001000 |
| transition: 3'011 14'--1000000000-0 -> 3'000 9'000001000 |
| transition: 3'011 14'---100000000-0 -> 3'000 9'000001000 |
| transition: 3'011 14'----10000000-0 -> 3'000 9'000001000 |
| transition: 3'011 14'0----1000000-0 -> 3'101 9'101001000 |
| transition: 3'011 14'1----1000000-0 -> 3'001 9'001001000 |
| transition: 3'011 14'------100000-0 -> 3'011 9'011001000 |
| transition: 3'011 14'-------10000-0 -> 3'000 9'000001000 |
| transition: 3'011 14'--------1000-0 -> 3'000 9'000001000 |
| transition: 3'011 14'---------100-0 -> 3'010 9'010001000 |
| transition: 3'011 14'----------10-0 -> 3'001 9'001001000 |
| transition: 3'011 14'-----------100 -> 3'000 9'000001000 |
| transition: 3'011 14'-----------110 -> 3'100 9'100001000 |
| transition: 3'011 14'-------------1 -> 3'101 9'101001000 |
| Extracting FSM `\selMux1W1' from module `\aes'. |
| found $dff cell for state register: $procdff$2647 |
| root of input selection tree: $0\selMux1W1[2:0] |
| found reset state: 3'101 (guessed from mux tree) |
| found ctrl input: \reset |
| found ctrl input: \_T_168 |
| found ctrl input: \_T_169 |
| found ctrl input: \_T_170 |
| found ctrl input: \_T_171 |
| found ctrl input: \_T_172 |
| found ctrl input: \_T_173 |
| found ctrl input: \_T_176 |
| found ctrl input: \_T_178 |
| found ctrl input: \_T_179 |
| found ctrl input: \_T_180 |
| found ctrl input: \_T_181 |
| found state code: 3'000 |
| found state code: 3'101 |
| found state code: 3'011 |
| found state code: 3'010 |
| found state code: 3'001 |
| found ctrl input: \start |
| found state code: 3'100 |
| found ctrl output: \_T_100 |
| found ctrl output: \_T_101 |
| found ctrl output: \_T_102 |
| found ctrl output: \_T_103 |
| found ctrl output: \_T_115 |
| ctrl inputs: { \_T_181 \_T_180 \_T_179 \_T_178 \_T_176 \_T_173 \_T_172 \_T_171 \_T_170 \_T_169 \_T_168 \start \reset } |
| ctrl outputs: { $0\selMux1W1[2:0] \_T_115 \_T_103 \_T_102 \_T_101 \_T_100 } |
| transition: 3'000 13'00000000000-0 -> 3'000 8'00000001 |
| transition: 3'000 13'10000000000-0 -> 3'000 8'00000001 |
| transition: 3'000 13'-1000000000-0 -> 3'000 8'00000001 |
| transition: 3'000 13'--100000000-0 -> 3'000 8'00000001 |
| transition: 3'000 13'---10000000-0 -> 3'101 8'10100001 |
| transition: 3'000 13'----1000000-0 -> 3'000 8'00000001 |
| transition: 3'000 13'-----100000-0 -> 3'011 8'01100001 |
| transition: 3'000 13'------10000-0 -> 3'000 8'00000001 |
| transition: 3'000 13'-------1000-0 -> 3'010 8'01000001 |
| transition: 3'000 13'--------100-0 -> 3'001 8'00100001 |
| transition: 3'000 13'---------10-0 -> 3'000 8'00000001 |
| transition: 3'000 13'----------100 -> 3'000 8'00000001 |
| transition: 3'000 13'----------110 -> 3'100 8'10000001 |
| transition: 3'000 13'------------1 -> 3'101 8'10100001 |
| transition: 3'100 13'00000000000-0 -> 3'100 8'10000000 |
| transition: 3'100 13'10000000000-0 -> 3'000 8'00000000 |
| transition: 3'100 13'-1000000000-0 -> 3'000 8'00000000 |
| transition: 3'100 13'--100000000-0 -> 3'000 8'00000000 |
| transition: 3'100 13'---10000000-0 -> 3'101 8'10100000 |
| transition: 3'100 13'----1000000-0 -> 3'000 8'00000000 |
| transition: 3'100 13'-----100000-0 -> 3'011 8'01100000 |
| transition: 3'100 13'------10000-0 -> 3'000 8'00000000 |
| transition: 3'100 13'-------1000-0 -> 3'010 8'01000000 |
| transition: 3'100 13'--------100-0 -> 3'001 8'00100000 |
| transition: 3'100 13'---------10-0 -> 3'000 8'00000000 |
| transition: 3'100 13'----------100 -> 3'000 8'00000000 |
| transition: 3'100 13'----------110 -> 3'100 8'10000000 |
| transition: 3'100 13'------------1 -> 3'101 8'10100000 |
| transition: 3'010 13'00000000000-0 -> 3'010 8'01000100 |
| transition: 3'010 13'10000000000-0 -> 3'000 8'00000100 |
| transition: 3'010 13'-1000000000-0 -> 3'000 8'00000100 |
| transition: 3'010 13'--100000000-0 -> 3'000 8'00000100 |
| transition: 3'010 13'---10000000-0 -> 3'101 8'10100100 |
| transition: 3'010 13'----1000000-0 -> 3'000 8'00000100 |
| transition: 3'010 13'-----100000-0 -> 3'011 8'01100100 |
| transition: 3'010 13'------10000-0 -> 3'000 8'00000100 |
| transition: 3'010 13'-------1000-0 -> 3'010 8'01000100 |
| transition: 3'010 13'--------100-0 -> 3'001 8'00100100 |
| transition: 3'010 13'---------10-0 -> 3'000 8'00000100 |
| transition: 3'010 13'----------100 -> 3'000 8'00000100 |
| transition: 3'010 13'----------110 -> 3'100 8'10000100 |
| transition: 3'010 13'------------1 -> 3'101 8'10100100 |
| transition: 3'001 13'00000000000-0 -> 3'001 8'00100010 |
| transition: 3'001 13'10000000000-0 -> 3'000 8'00000010 |
| transition: 3'001 13'-1000000000-0 -> 3'000 8'00000010 |
| transition: 3'001 13'--100000000-0 -> 3'000 8'00000010 |
| transition: 3'001 13'---10000000-0 -> 3'101 8'10100010 |
| transition: 3'001 13'----1000000-0 -> 3'000 8'00000010 |
| transition: 3'001 13'-----100000-0 -> 3'011 8'01100010 |
| transition: 3'001 13'------10000-0 -> 3'000 8'00000010 |
| transition: 3'001 13'-------1000-0 -> 3'010 8'01000010 |
| transition: 3'001 13'--------100-0 -> 3'001 8'00100010 |
| transition: 3'001 13'---------10-0 -> 3'000 8'00000010 |
| transition: 3'001 13'----------100 -> 3'000 8'00000010 |
| transition: 3'001 13'----------110 -> 3'100 8'10000010 |
| transition: 3'001 13'------------1 -> 3'101 8'10100010 |
| transition: 3'101 13'00000000000-0 -> 3'101 8'10110000 |
| transition: 3'101 13'10000000000-0 -> 3'000 8'00010000 |
| transition: 3'101 13'-1000000000-0 -> 3'000 8'00010000 |
| transition: 3'101 13'--100000000-0 -> 3'000 8'00010000 |
| transition: 3'101 13'---10000000-0 -> 3'101 8'10110000 |
| transition: 3'101 13'----1000000-0 -> 3'000 8'00010000 |
| transition: 3'101 13'-----100000-0 -> 3'011 8'01110000 |
| transition: 3'101 13'------10000-0 -> 3'000 8'00010000 |
| transition: 3'101 13'-------1000-0 -> 3'010 8'01010000 |
| transition: 3'101 13'--------100-0 -> 3'001 8'00110000 |
| transition: 3'101 13'---------10-0 -> 3'000 8'00010000 |
| transition: 3'101 13'----------100 -> 3'000 8'00010000 |
| transition: 3'101 13'----------110 -> 3'100 8'10010000 |
| transition: 3'101 13'------------1 -> 3'101 8'10110000 |
| transition: 3'011 13'00000000000-0 -> 3'011 8'01101000 |
| transition: 3'011 13'10000000000-0 -> 3'000 8'00001000 |
| transition: 3'011 13'-1000000000-0 -> 3'000 8'00001000 |
| transition: 3'011 13'--100000000-0 -> 3'000 8'00001000 |
| transition: 3'011 13'---10000000-0 -> 3'101 8'10101000 |
| transition: 3'011 13'----1000000-0 -> 3'000 8'00001000 |
| transition: 3'011 13'-----100000-0 -> 3'011 8'01101000 |
| transition: 3'011 13'------10000-0 -> 3'000 8'00001000 |
| transition: 3'011 13'-------1000-0 -> 3'010 8'01001000 |
| transition: 3'011 13'--------100-0 -> 3'001 8'00101000 |
| transition: 3'011 13'---------10-0 -> 3'000 8'00001000 |
| transition: 3'011 13'----------100 -> 3'000 8'00001000 |
| transition: 3'011 13'----------110 -> 3'100 8'10001000 |
| transition: 3'011 13'------------1 -> 3'101 8'10101000 |
| Extracting FSM `\selMux1W2' from module `\aes'. |
| found $dff cell for state register: $procdff$2648 |
| root of input selection tree: $0\selMux1W2[2:0] |
| found reset state: 3'101 (guessed from mux tree) |
| found ctrl input: \reset |
| found ctrl input: \_T_168 |
| found ctrl input: \_T_169 |
| found ctrl input: \_T_170 |
| found ctrl input: \_T_171 |
| found ctrl input: \_T_172 |
| found ctrl input: \_T_173 |
| found ctrl input: \_T_176 |
| found ctrl input: \_T_178 |
| found ctrl input: \_T_179 |
| found ctrl input: \_T_180 |
| found ctrl input: \_T_181 |
| found state code: 3'000 |
| found state code: 3'101 |
| found state code: 3'011 |
| found state code: 3'010 |
| found state code: 3'001 |
| found ctrl input: \start |
| found state code: 3'100 |
| found ctrl output: \_T_121 |
| found ctrl output: \_T_122 |
| found ctrl output: \_T_123 |
| found ctrl output: \_T_124 |
| found ctrl output: \_T_136 |
| ctrl inputs: { \_T_181 \_T_180 \_T_179 \_T_178 \_T_176 \_T_173 \_T_172 \_T_171 \_T_170 \_T_169 \_T_168 \start \reset } |
| ctrl outputs: { $0\selMux1W2[2:0] \_T_136 \_T_124 \_T_123 \_T_122 \_T_121 } |
| transition: 3'000 13'00000000000-0 -> 3'000 8'00000001 |
| transition: 3'000 13'10000000000-0 -> 3'000 8'00000001 |
| transition: 3'000 13'-1000000000-0 -> 3'000 8'00000001 |
| transition: 3'000 13'--100000000-0 -> 3'101 8'10100001 |
| transition: 3'000 13'---10000000-0 -> 3'000 8'00000001 |
| transition: 3'000 13'----1000000-0 -> 3'000 8'00000001 |
| transition: 3'000 13'-----100000-0 -> 3'011 8'01100001 |
| transition: 3'000 13'------10000-0 -> 3'010 8'01000001 |
| transition: 3'000 13'-------1000-0 -> 3'001 8'00100001 |
| transition: 3'000 13'--------100-0 -> 3'000 8'00000001 |
| transition: 3'000 13'---------10-0 -> 3'000 8'00000001 |
| transition: 3'000 13'----------100 -> 3'000 8'00000001 |
| transition: 3'000 13'----------110 -> 3'100 8'10000001 |
| transition: 3'000 13'------------1 -> 3'101 8'10100001 |
| transition: 3'100 13'00000000000-0 -> 3'100 8'10000000 |
| transition: 3'100 13'10000000000-0 -> 3'000 8'00000000 |
| transition: 3'100 13'-1000000000-0 -> 3'000 8'00000000 |
| transition: 3'100 13'--100000000-0 -> 3'101 8'10100000 |
| transition: 3'100 13'---10000000-0 -> 3'000 8'00000000 |
| transition: 3'100 13'----1000000-0 -> 3'000 8'00000000 |
| transition: 3'100 13'-----100000-0 -> 3'011 8'01100000 |
| transition: 3'100 13'------10000-0 -> 3'010 8'01000000 |
| transition: 3'100 13'-------1000-0 -> 3'001 8'00100000 |
| transition: 3'100 13'--------100-0 -> 3'000 8'00000000 |
| transition: 3'100 13'---------10-0 -> 3'000 8'00000000 |
| transition: 3'100 13'----------100 -> 3'000 8'00000000 |
| transition: 3'100 13'----------110 -> 3'100 8'10000000 |
| transition: 3'100 13'------------1 -> 3'101 8'10100000 |
| transition: 3'010 13'00000000000-0 -> 3'010 8'01000100 |
| transition: 3'010 13'10000000000-0 -> 3'000 8'00000100 |
| transition: 3'010 13'-1000000000-0 -> 3'000 8'00000100 |
| transition: 3'010 13'--100000000-0 -> 3'101 8'10100100 |
| transition: 3'010 13'---10000000-0 -> 3'000 8'00000100 |
| transition: 3'010 13'----1000000-0 -> 3'000 8'00000100 |
| transition: 3'010 13'-----100000-0 -> 3'011 8'01100100 |
| transition: 3'010 13'------10000-0 -> 3'010 8'01000100 |
| transition: 3'010 13'-------1000-0 -> 3'001 8'00100100 |
| transition: 3'010 13'--------100-0 -> 3'000 8'00000100 |
| transition: 3'010 13'---------10-0 -> 3'000 8'00000100 |
| transition: 3'010 13'----------100 -> 3'000 8'00000100 |
| transition: 3'010 13'----------110 -> 3'100 8'10000100 |
| transition: 3'010 13'------------1 -> 3'101 8'10100100 |
| transition: 3'001 13'00000000000-0 -> 3'001 8'00100010 |
| transition: 3'001 13'10000000000-0 -> 3'000 8'00000010 |
| transition: 3'001 13'-1000000000-0 -> 3'000 8'00000010 |
| transition: 3'001 13'--100000000-0 -> 3'101 8'10100010 |
| transition: 3'001 13'---10000000-0 -> 3'000 8'00000010 |
| transition: 3'001 13'----1000000-0 -> 3'000 8'00000010 |
| transition: 3'001 13'-----100000-0 -> 3'011 8'01100010 |
| transition: 3'001 13'------10000-0 -> 3'010 8'01000010 |
| transition: 3'001 13'-------1000-0 -> 3'001 8'00100010 |
| transition: 3'001 13'--------100-0 -> 3'000 8'00000010 |
| transition: 3'001 13'---------10-0 -> 3'000 8'00000010 |
| transition: 3'001 13'----------100 -> 3'000 8'00000010 |
| transition: 3'001 13'----------110 -> 3'100 8'10000010 |
| transition: 3'001 13'------------1 -> 3'101 8'10100010 |
| transition: 3'101 13'00000000000-0 -> 3'101 8'10110000 |
| transition: 3'101 13'10000000000-0 -> 3'000 8'00010000 |
| transition: 3'101 13'-1000000000-0 -> 3'000 8'00010000 |
| transition: 3'101 13'--100000000-0 -> 3'101 8'10110000 |
| transition: 3'101 13'---10000000-0 -> 3'000 8'00010000 |
| transition: 3'101 13'----1000000-0 -> 3'000 8'00010000 |
| transition: 3'101 13'-----100000-0 -> 3'011 8'01110000 |
| transition: 3'101 13'------10000-0 -> 3'010 8'01010000 |
| transition: 3'101 13'-------1000-0 -> 3'001 8'00110000 |
| transition: 3'101 13'--------100-0 -> 3'000 8'00010000 |
| transition: 3'101 13'---------10-0 -> 3'000 8'00010000 |
| transition: 3'101 13'----------100 -> 3'000 8'00010000 |
| transition: 3'101 13'----------110 -> 3'100 8'10010000 |
| transition: 3'101 13'------------1 -> 3'101 8'10110000 |
| transition: 3'011 13'00000000000-0 -> 3'011 8'01101000 |
| transition: 3'011 13'10000000000-0 -> 3'000 8'00001000 |
| transition: 3'011 13'-1000000000-0 -> 3'000 8'00001000 |
| transition: 3'011 13'--100000000-0 -> 3'101 8'10101000 |
| transition: 3'011 13'---10000000-0 -> 3'000 8'00001000 |
| transition: 3'011 13'----1000000-0 -> 3'000 8'00001000 |
| transition: 3'011 13'-----100000-0 -> 3'011 8'01101000 |
| transition: 3'011 13'------10000-0 -> 3'010 8'01001000 |
| transition: 3'011 13'-------1000-0 -> 3'001 8'00101000 |
| transition: 3'011 13'--------100-0 -> 3'000 8'00001000 |
| transition: 3'011 13'---------10-0 -> 3'000 8'00001000 |
| transition: 3'011 13'----------100 -> 3'000 8'00001000 |
| transition: 3'011 13'----------110 -> 3'100 8'10001000 |
| transition: 3'011 13'------------1 -> 3'101 8'10101000 |
| Extracting FSM `\selMux1W3' from module `\aes'. |
| found $dff cell for state register: $procdff$2649 |
| root of input selection tree: $0\selMux1W3[2:0] |
| found reset state: 3'101 (guessed from mux tree) |
| found ctrl input: \reset |
| found ctrl input: \_T_168 |
| found ctrl input: \_T_169 |
| found ctrl input: \_T_170 |
| found ctrl input: \_T_171 |
| found ctrl input: \_T_172 |
| found ctrl input: \_T_173 |
| found ctrl input: \_T_176 |
| found ctrl input: \_T_178 |
| found ctrl input: \_T_179 |
| found ctrl input: \_T_180 |
| found ctrl input: \_T_181 |
| found state code: 3'000 |
| found state code: 3'101 |
| found state code: 3'011 |
| found state code: 3'001 |
| found ctrl input: \start |
| found state code: 3'100 |
| found ctrl output: \_T_142 |
| found ctrl output: \_T_143 |
| found ctrl output: \_T_144 |
| found ctrl output: \_T_145 |
| found ctrl output: \_T_157 |
| ctrl inputs: { \_T_181 \_T_180 \_T_179 \_T_178 \_T_176 \_T_173 \_T_172 \_T_171 \_T_170 \_T_169 \_T_168 \start \reset } |
| ctrl outputs: { $0\selMux1W3[2:0] \_T_157 \_T_145 \_T_144 \_T_143 \_T_142 } |
| transition: 3'000 13'00000000000-0 -> 3'000 8'00000001 |
| transition: 3'000 13'10000000000-0 -> 3'000 8'00000001 |
| transition: 3'000 13'-1000000000-0 -> 3'101 8'10100001 |
| transition: 3'000 13'--100000000-0 -> 3'000 8'00000001 |
| transition: 3'000 13'---10000000-0 -> 3'000 8'00000001 |
| transition: 3'000 13'----1000000-0 -> 3'000 8'00000001 |
| transition: 3'000 13'-----100000-0 -> 3'011 8'01100001 |
| transition: 3'000 13'------10000-0 -> 3'001 8'00100001 |
| transition: 3'000 13'-------1000-0 -> 3'000 8'00000001 |
| transition: 3'000 13'--------100-0 -> 3'000 8'00000001 |
| transition: 3'000 13'---------10-0 -> 3'000 8'00000001 |
| transition: 3'000 13'----------100 -> 3'000 8'00000001 |
| transition: 3'000 13'----------110 -> 3'100 8'10000001 |
| transition: 3'000 13'------------1 -> 3'101 8'10100001 |
| transition: 3'100 13'00000000000-0 -> 3'100 8'10000000 |
| transition: 3'100 13'10000000000-0 -> 3'000 8'00000000 |
| transition: 3'100 13'-1000000000-0 -> 3'101 8'10100000 |
| transition: 3'100 13'--100000000-0 -> 3'000 8'00000000 |
| transition: 3'100 13'---10000000-0 -> 3'000 8'00000000 |
| transition: 3'100 13'----1000000-0 -> 3'000 8'00000000 |
| transition: 3'100 13'-----100000-0 -> 3'011 8'01100000 |
| transition: 3'100 13'------10000-0 -> 3'001 8'00100000 |
| transition: 3'100 13'-------1000-0 -> 3'000 8'00000000 |
| transition: 3'100 13'--------100-0 -> 3'000 8'00000000 |
| transition: 3'100 13'---------10-0 -> 3'000 8'00000000 |
| transition: 3'100 13'----------100 -> 3'000 8'00000000 |
| transition: 3'100 13'----------110 -> 3'100 8'10000000 |
| transition: 3'100 13'------------1 -> 3'101 8'10100000 |
| transition: 3'001 13'00000000000-0 -> 3'001 8'00100010 |
| transition: 3'001 13'10000000000-0 -> 3'000 8'00000010 |
| transition: 3'001 13'-1000000000-0 -> 3'101 8'10100010 |
| transition: 3'001 13'--100000000-0 -> 3'000 8'00000010 |
| transition: 3'001 13'---10000000-0 -> 3'000 8'00000010 |
| transition: 3'001 13'----1000000-0 -> 3'000 8'00000010 |
| transition: 3'001 13'-----100000-0 -> 3'011 8'01100010 |
| transition: 3'001 13'------10000-0 -> 3'001 8'00100010 |
| transition: 3'001 13'-------1000-0 -> 3'000 8'00000010 |
| transition: 3'001 13'--------100-0 -> 3'000 8'00000010 |
| transition: 3'001 13'---------10-0 -> 3'000 8'00000010 |
| transition: 3'001 13'----------100 -> 3'000 8'00000010 |
| transition: 3'001 13'----------110 -> 3'100 8'10000010 |
| transition: 3'001 13'------------1 -> 3'101 8'10100010 |
| transition: 3'101 13'00000000000-0 -> 3'101 8'10110000 |
| transition: 3'101 13'10000000000-0 -> 3'000 8'00010000 |
| transition: 3'101 13'-1000000000-0 -> 3'101 8'10110000 |
| transition: 3'101 13'--100000000-0 -> 3'000 8'00010000 |
| transition: 3'101 13'---10000000-0 -> 3'000 8'00010000 |
| transition: 3'101 13'----1000000-0 -> 3'000 8'00010000 |
| transition: 3'101 13'-----100000-0 -> 3'011 8'01110000 |
| transition: 3'101 13'------10000-0 -> 3'001 8'00110000 |
| transition: 3'101 13'-------1000-0 -> 3'000 8'00010000 |
| transition: 3'101 13'--------100-0 -> 3'000 8'00010000 |
| transition: 3'101 13'---------10-0 -> 3'000 8'00010000 |
| transition: 3'101 13'----------100 -> 3'000 8'00010000 |
| transition: 3'101 13'----------110 -> 3'100 8'10010000 |
| transition: 3'101 13'------------1 -> 3'101 8'10110000 |
| transition: 3'011 13'00000000000-0 -> 3'011 8'01101000 |
| transition: 3'011 13'10000000000-0 -> 3'000 8'00001000 |
| transition: 3'011 13'-1000000000-0 -> 3'101 8'10101000 |
| transition: 3'011 13'--100000000-0 -> 3'000 8'00001000 |
| transition: 3'011 13'---10000000-0 -> 3'000 8'00001000 |
| transition: 3'011 13'----1000000-0 -> 3'000 8'00001000 |
| transition: 3'011 13'-----100000-0 -> 3'011 8'01101000 |
| transition: 3'011 13'------10000-0 -> 3'001 8'00101000 |
| transition: 3'011 13'-------1000-0 -> 3'000 8'00001000 |
| transition: 3'011 13'--------100-0 -> 3'000 8'00001000 |
| transition: 3'011 13'---------10-0 -> 3'000 8'00001000 |
| transition: 3'011 13'----------100 -> 3'000 8'00001000 |
| transition: 3'011 13'----------110 -> 3'100 8'10001000 |
| transition: 3'011 13'------------1 -> 3'101 8'10101000 |
| Extracting FSM `\selMuxMixARK' from module `\aes'. |
| found $dff cell for state register: $procdff$2651 |
| root of input selection tree: $0\selMuxMixARK[1:0] |
| found reset state: 2'00 (guessed from mux tree) |
| found ctrl input: \reset |
| found ctrl input: \_T_168 |
| found ctrl input: \_T_169 |
| found ctrl input: \_T_170 |
| found ctrl input: \_T_171 |
| found ctrl input: \_T_172 |
| found ctrl input: \_T_173 |
| found ctrl input: \_T_176 |
| found ctrl input: \_T_178 |
| found ctrl input: \_T_179 |
| found ctrl input: \_T_180 |
| found ctrl input: \_T_181 |
| found state code: 2'00 |
| found state code: 2'11 |
| found state code: 2'10 |
| found state code: 2'01 |
| found ctrl output: \_T_51 |
| found ctrl output: \_T_52 |
| found ctrl output: \_T_53 |
| found ctrl output: \_T_54 |
| ctrl inputs: { \_T_181 \_T_180 \_T_179 \_T_178 \_T_176 \_T_173 \_T_172 \_T_171 \_T_170 \_T_169 \_T_168 \reset } |
| ctrl outputs: { $0\selMuxMixARK[1:0] \_T_54 \_T_53 \_T_52 \_T_51 } |
| transition: 2'00 12'000000000000 -> 2'00 6'000001 |
| transition: 2'00 12'100000000000 -> 2'00 6'000001 |
| transition: 2'00 12'-10000000000 -> 2'11 6'110001 |
| transition: 2'00 12'--1000000000 -> 2'10 6'100001 |
| transition: 2'00 12'---100000000 -> 2'01 6'010001 |
| transition: 2'00 12'----10000000 -> 2'00 6'000001 |
| transition: 2'00 12'-----1000000 -> 2'11 6'110001 |
| transition: 2'00 12'------100000 -> 2'10 6'100001 |
| transition: 2'00 12'-------10000 -> 2'01 6'010001 |
| transition: 2'00 12'--------1000 -> 2'00 6'000001 |
| transition: 2'00 12'---------100 -> 2'00 6'000001 |
| transition: 2'00 12'----------10 -> 2'00 6'000001 |
| transition: 2'00 12'-----------1 -> 2'00 6'000001 |
| transition: 2'10 12'000000000000 -> 2'10 6'100100 |
| transition: 2'10 12'100000000000 -> 2'00 6'000100 |
| transition: 2'10 12'-10000000000 -> 2'11 6'110100 |
| transition: 2'10 12'--1000000000 -> 2'10 6'100100 |
| transition: 2'10 12'---100000000 -> 2'01 6'010100 |
| transition: 2'10 12'----10000000 -> 2'00 6'000100 |
| transition: 2'10 12'-----1000000 -> 2'11 6'110100 |
| transition: 2'10 12'------100000 -> 2'10 6'100100 |
| transition: 2'10 12'-------10000 -> 2'01 6'010100 |
| transition: 2'10 12'--------1000 -> 2'00 6'000100 |
| transition: 2'10 12'---------100 -> 2'00 6'000100 |
| transition: 2'10 12'----------10 -> 2'00 6'000100 |
| transition: 2'10 12'-----------1 -> 2'00 6'000100 |
| transition: 2'01 12'000000000000 -> 2'01 6'010010 |
| transition: 2'01 12'100000000000 -> 2'00 6'000010 |
| transition: 2'01 12'-10000000000 -> 2'11 6'110010 |
| transition: 2'01 12'--1000000000 -> 2'10 6'100010 |
| transition: 2'01 12'---100000000 -> 2'01 6'010010 |
| transition: 2'01 12'----10000000 -> 2'00 6'000010 |
| transition: 2'01 12'-----1000000 -> 2'11 6'110010 |
| transition: 2'01 12'------100000 -> 2'10 6'100010 |
| transition: 2'01 12'-------10000 -> 2'01 6'010010 |
| transition: 2'01 12'--------1000 -> 2'00 6'000010 |
| transition: 2'01 12'---------100 -> 2'00 6'000010 |
| transition: 2'01 12'----------10 -> 2'00 6'000010 |
| transition: 2'01 12'-----------1 -> 2'00 6'000010 |
| transition: 2'11 12'000000000000 -> 2'11 6'111000 |
| transition: 2'11 12'100000000000 -> 2'00 6'001000 |
| transition: 2'11 12'-10000000000 -> 2'11 6'111000 |
| transition: 2'11 12'--1000000000 -> 2'10 6'101000 |
| transition: 2'11 12'---100000000 -> 2'01 6'011000 |
| transition: 2'11 12'----10000000 -> 2'00 6'001000 |
| transition: 2'11 12'-----1000000 -> 2'11 6'111000 |
| transition: 2'11 12'------100000 -> 2'10 6'101000 |
| transition: 2'11 12'-------10000 -> 2'01 6'011000 |
| transition: 2'11 12'--------1000 -> 2'00 6'001000 |
| transition: 2'11 12'---------100 -> 2'00 6'001000 |
| transition: 2'11 12'----------10 -> 2'00 6'001000 |
| transition: 2'11 12'-----------1 -> 2'00 6'001000 |
| Extracting FSM `\selMuxSbox' from module `\aes'. |
| found $dff cell for state register: $procdff$2650 |
| root of input selection tree: $0\selMuxSbox[2:0] |
| found reset state: 3'100 (guessed from mux tree) |
| found ctrl input: \reset |
| found ctrl input: \_T_168 |
| found ctrl input: \_T_169 |
| found ctrl input: \_T_170 |
| found ctrl input: \_T_171 |
| found ctrl input: \_T_172 |
| found ctrl input: \_T_173 |
| found ctrl input: \_T_176 |
| found ctrl input: \_T_178 |
| found ctrl input: \_T_179 |
| found ctrl input: \_T_180 |
| found ctrl input: \_T_181 |
| found state code: 3'100 |
| found state code: 3'011 |
| found state code: 3'010 |
| found state code: 3'001 |
| found state code: 3'000 |
| found ctrl output: \_T_39 |
| found ctrl output: \_T_40 |
| found ctrl output: \_T_41 |
| found ctrl output: \_T_42 |
| found ctrl output: \_T_43 |
| ctrl inputs: { \_T_181 \_T_180 \_T_179 \_T_178 \_T_176 \_T_173 \_T_172 \_T_171 \_T_170 \_T_169 \_T_168 \reset } |
| ctrl outputs: { $0\selMuxSbox[2:0] \_T_40 \_T_39 \_T_43 \_T_42 \_T_41 } |
| transition: 3'000 12'000000000000 -> 3'000 8'00001000 |
| transition: 3'000 12'100000000000 -> 3'100 8'10001000 |
| transition: 3'000 12'-10000000000 -> 3'011 8'01101000 |
| transition: 3'000 12'--1000000000 -> 3'010 8'01001000 |
| transition: 3'000 12'---100000000 -> 3'001 8'00101000 |
| transition: 3'000 12'----10000000 -> 3'000 8'00001000 |
| transition: 3'000 12'-----1000000 -> 3'100 8'10001000 |
| transition: 3'000 12'------100000 -> 3'011 8'01101000 |
| transition: 3'000 12'-------10000 -> 3'010 8'01001000 |
| transition: 3'000 12'--------1000 -> 3'001 8'00101000 |
| transition: 3'000 12'---------100 -> 3'000 8'00001000 |
| transition: 3'000 12'----------10 -> 3'100 8'10001000 |
| transition: 3'000 12'-----------1 -> 3'100 8'10001000 |
| transition: 3'100 12'000000000000 -> 3'100 8'10000100 |
| transition: 3'100 12'100000000000 -> 3'100 8'10000100 |
| transition: 3'100 12'-10000000000 -> 3'011 8'01100100 |
| transition: 3'100 12'--1000000000 -> 3'010 8'01000100 |
| transition: 3'100 12'---100000000 -> 3'001 8'00100100 |
| transition: 3'100 12'----10000000 -> 3'000 8'00000100 |
| transition: 3'100 12'-----1000000 -> 3'100 8'10000100 |
| transition: 3'100 12'------100000 -> 3'011 8'01100100 |
| transition: 3'100 12'-------10000 -> 3'010 8'01000100 |
| transition: 3'100 12'--------1000 -> 3'001 8'00100100 |
| transition: 3'100 12'---------100 -> 3'000 8'00000100 |
| transition: 3'100 12'----------10 -> 3'100 8'10000100 |
| transition: 3'100 12'-----------1 -> 3'100 8'10000100 |
| transition: 3'010 12'000000000000 -> 3'010 8'01000001 |
| transition: 3'010 12'100000000000 -> 3'100 8'10000001 |
| transition: 3'010 12'-10000000000 -> 3'011 8'01100001 |
| transition: 3'010 12'--1000000000 -> 3'010 8'01000001 |
| transition: 3'010 12'---100000000 -> 3'001 8'00100001 |
| transition: 3'010 12'----10000000 -> 3'000 8'00000001 |
| transition: 3'010 12'-----1000000 -> 3'100 8'10000001 |
| transition: 3'010 12'------100000 -> 3'011 8'01100001 |
| transition: 3'010 12'-------10000 -> 3'010 8'01000001 |
| transition: 3'010 12'--------1000 -> 3'001 8'00100001 |
| transition: 3'010 12'---------100 -> 3'000 8'00000001 |
| transition: 3'010 12'----------10 -> 3'100 8'10000001 |
| transition: 3'010 12'-----------1 -> 3'100 8'10000001 |
| transition: 3'001 12'000000000000 -> 3'001 8'00110000 |
| transition: 3'001 12'100000000000 -> 3'100 8'10010000 |
| transition: 3'001 12'-10000000000 -> 3'011 8'01110000 |
| transition: 3'001 12'--1000000000 -> 3'010 8'01010000 |
| transition: 3'001 12'---100000000 -> 3'001 8'00110000 |
| transition: 3'001 12'----10000000 -> 3'000 8'00010000 |
| transition: 3'001 12'-----1000000 -> 3'100 8'10010000 |
| transition: 3'001 12'------100000 -> 3'011 8'01110000 |
| transition: 3'001 12'-------10000 -> 3'010 8'01010000 |
| transition: 3'001 12'--------1000 -> 3'001 8'00110000 |
| transition: 3'001 12'---------100 -> 3'000 8'00010000 |
| transition: 3'001 12'----------10 -> 3'100 8'10010000 |
| transition: 3'001 12'-----------1 -> 3'100 8'10010000 |
| transition: 3'011 12'000000000000 -> 3'011 8'01100010 |
| transition: 3'011 12'100000000000 -> 3'100 8'10000010 |
| transition: 3'011 12'-10000000000 -> 3'011 8'01100010 |
| transition: 3'011 12'--1000000000 -> 3'010 8'01000010 |
| transition: 3'011 12'---100000000 -> 3'001 8'00100010 |
| transition: 3'011 12'----10000000 -> 3'000 8'00000010 |
| transition: 3'011 12'-----1000000 -> 3'100 8'10000010 |
| transition: 3'011 12'------100000 -> 3'011 8'01100010 |
| transition: 3'011 12'-------10000 -> 3'010 8'01000010 |
| transition: 3'011 12'--------1000 -> 3'001 8'00100010 |
| transition: 3'011 12'---------100 -> 3'000 8'00000010 |
| transition: 3'011 12'----------10 -> 3'100 8'10000010 |
| transition: 3'011 12'-----------1 -> 3'100 8'10000010 |
| |
| 5.8.3. Executing FSM_OPT pass (simple optimizations of FSMs). |
| Optimizing FSM `$fsm$\selMuxSbox$2693' from module `\aes'. |
| Merging pattern 12'000000000000 and 12'100000000000 from group (1 1 8'10000100). |
| Merging pattern 12'100000000000 and 12'000000000000 from group (1 1 8'10000100). |
| Optimizing FSM `$fsm$\selMuxMixARK$2687' from module `\aes'. |
| Merging pattern 12'000000000000 and 12'100000000000 from group (0 0 6'000001). |
| Merging pattern 12'100000000000 and 12'000000000000 from group (0 0 6'000001). |
| Optimizing FSM `$fsm$\selMux1W3$2680' from module `\aes'. |
| Merging pattern 13'00000000000-0 and 13'10000000000-0 from group (0 0 8'00000001). |
| Merging pattern 13'10000000000-0 and 13'00000000000-0 from group (0 0 8'00000001). |
| Optimizing FSM `$fsm$\selMux1W2$2673' from module `\aes'. |
| Merging pattern 13'00000000000-0 and 13'10000000000-0 from group (0 0 8'00000001). |
| Merging pattern 13'10000000000-0 and 13'00000000000-0 from group (0 0 8'00000001). |
| Merging pattern 13'-0000000000-0 and 13'-1000000000-0 from group (0 0 8'00000001). |
| Merging pattern 13'-1000000000-0 and 13'-0000000000-0 from group (0 0 8'00000001). |
| Optimizing FSM `$fsm$\selMux1W1$2666' from module `\aes'. |
| Merging pattern 13'00000000000-0 and 13'10000000000-0 from group (0 0 8'00000001). |
| Merging pattern 13'10000000000-0 and 13'00000000000-0 from group (0 0 8'00000001). |
| Merging pattern 13'-0000000000-0 and 13'-1000000000-0 from group (0 0 8'00000001). |
| Merging pattern 13'-1000000000-0 and 13'-0000000000-0 from group (0 0 8'00000001). |
| Merging pattern 13'--000000000-0 and 13'--100000000-0 from group (0 0 8'00000001). |
| Merging pattern 13'--100000000-0 and 13'--000000000-0 from group (0 0 8'00000001). |
| Optimizing FSM `$fsm$\selMux1W0$2658' from module `\aes'. |
| Merging pattern 14'-00000000000-0 and 14'-10000000000-0 from group (0 0 9'000000001). |
| Merging pattern 14'-10000000000-0 and 14'-00000000000-0 from group (0 0 9'000000001). |
| Merging pattern 14'--0000000000-0 and 14'--1000000000-0 from group (0 0 9'000000001). |
| Merging pattern 14'--1000000000-0 and 14'--0000000000-0 from group (0 0 9'000000001). |
| Merging pattern 14'---000000000-0 and 14'---100000000-0 from group (0 0 9'000000001). |
| Merging pattern 14'---100000000-0 and 14'---000000000-0 from group (0 0 9'000000001). |
| Merging pattern 14'----00000000-0 and 14'----10000000-0 from group (0 0 9'000000001). |
| Merging pattern 14'----10000000-0 and 14'----00000000-0 from group (0 0 9'000000001). |
| |
| 5.8.4. Executing OPT_CLEAN pass (remove unused cells and wires). |
| Finding unused cells or wires in module \aes.. |
| Removed 110 unused cells and 110 unused wires. |
| <suppressed ~160 debug messages> |
| |
| 5.8.5. Executing FSM_OPT pass (simple optimizations of FSMs). |
| Optimizing FSM `$fsm$\selMux1W0$2658' from module `\aes'. |
| Removing unused output signal $0\selMux1W0[2:0] [0]. |
| Removing unused output signal $0\selMux1W0[2:0] [1]. |
| Removing unused output signal $0\selMux1W0[2:0] [2]. |
| Optimizing FSM `$fsm$\selMux1W1$2666' from module `\aes'. |
| Removing unused output signal $0\selMux1W1[2:0] [0]. |
| Removing unused output signal $0\selMux1W1[2:0] [1]. |
| Removing unused output signal $0\selMux1W1[2:0] [2]. |
| Optimizing FSM `$fsm$\selMux1W2$2673' from module `\aes'. |
| Removing unused output signal $0\selMux1W2[2:0] [0]. |
| Removing unused output signal $0\selMux1W2[2:0] [1]. |
| Removing unused output signal $0\selMux1W2[2:0] [2]. |
| Optimizing FSM `$fsm$\selMux1W3$2680' from module `\aes'. |
| Removing unused output signal $0\selMux1W3[2:0] [0]. |
| Removing unused output signal $0\selMux1W3[2:0] [1]. |
| Removing unused output signal $0\selMux1W3[2:0] [2]. |
| Optimizing FSM `$fsm$\selMuxMixARK$2687' from module `\aes'. |
| Removing unused output signal $0\selMuxMixARK[1:0] [0]. |
| Removing unused output signal $0\selMuxMixARK[1:0] [1]. |
| Optimizing FSM `$fsm$\selMuxSbox$2693' from module `\aes'. |
| Removing unused output signal $0\selMuxSbox[2:0] [0]. |
| Removing unused output signal $0\selMuxSbox[2:0] [1]. |
| Removing unused output signal $0\selMuxSbox[2:0] [2]. |
| |
| 5.8.6. Executing FSM_RECODE pass (re-assigning FSM state encoding). |
| Recoding FSM `$fsm$\selMux1W0$2658' from module `\aes' using `auto' encoding: |
| mapping auto encoding to `one-hot` for this FSM. |
| 000 -> ----1- |
| 100 -> ---1-- |
| 010 -> --1--- |
| 001 -> -1---- |
| 101 -> -----1 |
| 011 -> 1----- |
| Recoding FSM `$fsm$\selMux1W1$2666' from module `\aes' using `auto' encoding: |
| mapping auto encoding to `one-hot` for this FSM. |
| 000 -> ----1- |
| 100 -> ---1-- |
| 010 -> --1--- |
| 001 -> -1---- |
| 101 -> -----1 |
| 011 -> 1----- |
| Recoding FSM `$fsm$\selMux1W2$2673' from module `\aes' using `auto' encoding: |
| mapping auto encoding to `one-hot` for this FSM. |
| 000 -> ----1- |
| 100 -> ---1-- |
| 010 -> --1--- |
| 001 -> -1---- |
| 101 -> -----1 |
| 011 -> 1----- |
| Recoding FSM `$fsm$\selMux1W3$2680' from module `\aes' using `auto' encoding: |
| mapping auto encoding to `one-hot` for this FSM. |
| 000 -> ---1- |
| 100 -> --1-- |
| 001 -> -1--- |
| 101 -> ----1 |
| 011 -> 1---- |
| Recoding FSM `$fsm$\selMuxMixARK$2687' from module `\aes' using `auto' encoding: |
| mapping auto encoding to `one-hot` for this FSM. |
| 00 -> ---1 |
| 10 -> --1- |
| 01 -> -1-- |
| 11 -> 1--- |
| Recoding FSM `$fsm$\selMuxSbox$2693' from module `\aes' using `auto' encoding: |
| mapping auto encoding to `one-hot` for this FSM. |
| 000 -> ---1- |
| 100 -> ----1 |
| 010 -> --1-- |
| 001 -> -1--- |
| 011 -> 1---- |
| |
| 5.8.7. Executing FSM_INFO pass (dumping all available information on FSM cells). |
| |
| FSM `$fsm$\selMux1W0$2658' from module `aes': |
| ------------------------------------- |
| |
| Information on FSM $fsm$\selMux1W0$2658 (\selMux1W0): |
| |
| Number of input signals: 14 |
| Number of output signals: 6 |
| Number of state bits: 6 |
| |
| Input signals: |
| 0: \reset |
| 1: \start |
| 2: \_T_168 |
| 3: \_T_169 |
| 4: \_T_170 |
| 5: \_T_171 |
| 6: \_T_172 |
| 7: \_T_173 |
| 8: \_T_176 |
| 9: \_T_178 |
| 10: \_T_179 |
| 11: \_T_180 |
| 12: \_T_181 |
| 13: $le$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1294$2233_Y |
| |
| Output signals: |
| 0: \_T_79 |
| 1: \_T_81 |
| 2: \_T_80 |
| 3: \_T_82 |
| 4: \_T_88 |
| 5: \_T_94 |
| |
| State encoding: |
| 0: 6'----1- |
| 1: 6'---1-- |
| 2: 6'--1--- |
| 3: 6'-1---- |
| 4: 6'-----1 <RESET STATE> |
| 5: 6'1----- |
| |
| Transition Table (state_in, ctrl_in, state_out, ctrl_out): |
| 0: 0 14'-----------100 -> 0 6'000001 |
| 1: 0 14'-----0000000-0 -> 0 6'000001 |
| 2: 0 14'-------10000-0 -> 0 6'000001 |
| 3: 0 14'--------1000-0 -> 0 6'000001 |
| 4: 0 14'-----------110 -> 1 6'000001 |
| 5: 0 14'---------100-0 -> 2 6'000001 |
| 6: 0 14'1----1000000-0 -> 3 6'000001 |
| 7: 0 14'----------10-0 -> 3 6'000001 |
| 8: 0 14'0----1000000-0 -> 4 6'000001 |
| 9: 0 14'-------------1 -> 4 6'000001 |
| 10: 0 14'------100000-0 -> 5 6'000001 |
| 11: 1 14'-----------100 -> 0 6'010000 |
| 12: 1 14'-10000000000-0 -> 0 6'010000 |
| 13: 1 14'--1000000000-0 -> 0 6'010000 |
| 14: 1 14'---100000000-0 -> 0 6'010000 |
| 15: 1 14'----10000000-0 -> 0 6'010000 |
| 16: 1 14'-------10000-0 -> 0 6'010000 |
| 17: 1 14'--------1000-0 -> 0 6'010000 |
| 18: 1 14'-----------110 -> 1 6'010000 |
| 19: 1 14'-00000000000-0 -> 1 6'010000 |
| 20: 1 14'---------100-0 -> 2 6'010000 |
| 21: 1 14'1----1000000-0 -> 3 6'010000 |
| 22: 1 14'----------10-0 -> 3 6'010000 |
| 23: 1 14'0----1000000-0 -> 4 6'010000 |
| 24: 1 14'-------------1 -> 4 6'010000 |
| 25: 1 14'------100000-0 -> 5 6'010000 |
| 26: 2 14'-----------100 -> 0 6'000010 |
| 27: 2 14'-10000000000-0 -> 0 6'000010 |
| 28: 2 14'--1000000000-0 -> 0 6'000010 |
| 29: 2 14'---100000000-0 -> 0 6'000010 |
| 30: 2 14'----10000000-0 -> 0 6'000010 |
| 31: 2 14'-------10000-0 -> 0 6'000010 |
| 32: 2 14'--------1000-0 -> 0 6'000010 |
| 33: 2 14'-----------110 -> 1 6'000010 |
| 34: 2 14'-00000000000-0 -> 2 6'000010 |
| 35: 2 14'---------100-0 -> 2 6'000010 |
| 36: 2 14'1----1000000-0 -> 3 6'000010 |
| 37: 2 14'----------10-0 -> 3 6'000010 |
| 38: 2 14'0----1000000-0 -> 4 6'000010 |
| 39: 2 14'-------------1 -> 4 6'000010 |
| 40: 2 14'------100000-0 -> 5 6'000010 |
| 41: 3 14'-----------100 -> 0 6'000100 |
| 42: 3 14'-10000000000-0 -> 0 6'000100 |
| 43: 3 14'--1000000000-0 -> 0 6'000100 |
| 44: 3 14'---100000000-0 -> 0 6'000100 |
| 45: 3 14'----10000000-0 -> 0 6'000100 |
| 46: 3 14'-------10000-0 -> 0 6'000100 |
| 47: 3 14'--------1000-0 -> 0 6'000100 |
| 48: 3 14'-----------110 -> 1 6'000100 |
| 49: 3 14'---------100-0 -> 2 6'000100 |
| 50: 3 14'-00000000000-0 -> 3 6'000100 |
| 51: 3 14'1----1000000-0 -> 3 6'000100 |
| 52: 3 14'----------10-0 -> 3 6'000100 |
| 53: 3 14'0----1000000-0 -> 4 6'000100 |
| 54: 3 14'-------------1 -> 4 6'000100 |
| 55: 3 14'------100000-0 -> 5 6'000100 |
| 56: 4 14'-----------100 -> 0 6'100000 |
| 57: 4 14'-10000000000-0 -> 0 6'100000 |
| 58: 4 14'--1000000000-0 -> 0 6'100000 |
| 59: 4 14'---100000000-0 -> 0 6'100000 |
| 60: 4 14'----10000000-0 -> 0 6'100000 |
| 61: 4 14'-------10000-0 -> 0 6'100000 |
| 62: 4 14'--------1000-0 -> 0 6'100000 |
| 63: 4 14'-----------110 -> 1 6'100000 |
| 64: 4 14'---------100-0 -> 2 6'100000 |
| 65: 4 14'1----1000000-0 -> 3 6'100000 |
| 66: 4 14'----------10-0 -> 3 6'100000 |
| 67: 4 14'-00000000000-0 -> 4 6'100000 |
| 68: 4 14'0----1000000-0 -> 4 6'100000 |
| 69: 4 14'-------------1 -> 4 6'100000 |
| 70: 4 14'------100000-0 -> 5 6'100000 |
| 71: 5 14'-----------100 -> 0 6'001000 |
| 72: 5 14'-10000000000-0 -> 0 6'001000 |
| 73: 5 14'--1000000000-0 -> 0 6'001000 |
| 74: 5 14'---100000000-0 -> 0 6'001000 |
| 75: 5 14'----10000000-0 -> 0 6'001000 |
| 76: 5 14'-------10000-0 -> 0 6'001000 |
| 77: 5 14'--------1000-0 -> 0 6'001000 |
| 78: 5 14'-----------110 -> 1 6'001000 |
| 79: 5 14'---------100-0 -> 2 6'001000 |
| 80: 5 14'1----1000000-0 -> 3 6'001000 |
| 81: 5 14'----------10-0 -> 3 6'001000 |
| 82: 5 14'0----1000000-0 -> 4 6'001000 |
| 83: 5 14'-------------1 -> 4 6'001000 |
| 84: 5 14'-00000000000-0 -> 5 6'001000 |
| 85: 5 14'------100000-0 -> 5 6'001000 |
| |
| ------------------------------------- |
| |
| FSM `$fsm$\selMux1W1$2666' from module `aes': |
| ------------------------------------- |
| |
| Information on FSM $fsm$\selMux1W1$2666 (\selMux1W1): |
| |
| Number of input signals: 13 |
| Number of output signals: 5 |
| Number of state bits: 6 |
| |
| Input signals: |
| 0: \reset |
| 1: \start |
| 2: \_T_168 |
| 3: \_T_169 |
| 4: \_T_170 |
| 5: \_T_171 |
| 6: \_T_172 |
| 7: \_T_173 |
| 8: \_T_176 |
| 9: \_T_178 |
| 10: \_T_179 |
| 11: \_T_180 |
| 12: \_T_181 |
| |
| Output signals: |
| 0: \_T_100 |
| 1: \_T_101 |
| 2: \_T_102 |
| 3: \_T_103 |
| 4: \_T_115 |
| |
| State encoding: |
| 0: 6'----1- |
| 1: 6'---1-- |
| 2: 6'--1--- |
| 3: 6'-1---- |
| 4: 6'-----1 <RESET STATE> |
| 5: 6'1----- |
| |
| Transition Table (state_in, ctrl_in, state_out, ctrl_out): |
| 0: 0 13'----------100 -> 0 5'00001 |
| 1: 0 13'---00000000-0 -> 0 5'00001 |
| 2: 0 13'----1000000-0 -> 0 5'00001 |
| 3: 0 13'------10000-0 -> 0 5'00001 |
| 4: 0 13'---------10-0 -> 0 5'00001 |
| 5: 0 13'----------110 -> 1 5'00001 |
| 6: 0 13'-------1000-0 -> 2 5'00001 |
| 7: 0 13'--------100-0 -> 3 5'00001 |
| 8: 0 13'---10000000-0 -> 4 5'00001 |
| 9: 0 13'------------1 -> 4 5'00001 |
| 10: 0 13'-----100000-0 -> 5 5'00001 |
| 11: 1 13'----------100 -> 0 5'00000 |
| 12: 1 13'10000000000-0 -> 0 5'00000 |
| 13: 1 13'-1000000000-0 -> 0 5'00000 |
| 14: 1 13'--100000000-0 -> 0 5'00000 |
| 15: 1 13'----1000000-0 -> 0 5'00000 |
| 16: 1 13'------10000-0 -> 0 5'00000 |
| 17: 1 13'---------10-0 -> 0 5'00000 |
| 18: 1 13'----------110 -> 1 5'00000 |
| 19: 1 13'00000000000-0 -> 1 5'00000 |
| 20: 1 13'-------1000-0 -> 2 5'00000 |
| 21: 1 13'--------100-0 -> 3 5'00000 |
| 22: 1 13'---10000000-0 -> 4 5'00000 |
| 23: 1 13'------------1 -> 4 5'00000 |
| 24: 1 13'-----100000-0 -> 5 5'00000 |
| 25: 2 13'----------100 -> 0 5'00100 |
| 26: 2 13'10000000000-0 -> 0 5'00100 |
| 27: 2 13'-1000000000-0 -> 0 5'00100 |
| 28: 2 13'--100000000-0 -> 0 5'00100 |
| 29: 2 13'----1000000-0 -> 0 5'00100 |
| 30: 2 13'------10000-0 -> 0 5'00100 |
| 31: 2 13'---------10-0 -> 0 5'00100 |
| 32: 2 13'----------110 -> 1 5'00100 |
| 33: 2 13'00000000000-0 -> 2 5'00100 |
| 34: 2 13'-------1000-0 -> 2 5'00100 |
| 35: 2 13'--------100-0 -> 3 5'00100 |
| 36: 2 13'---10000000-0 -> 4 5'00100 |
| 37: 2 13'------------1 -> 4 5'00100 |
| 38: 2 13'-----100000-0 -> 5 5'00100 |
| 39: 3 13'----------100 -> 0 5'00010 |
| 40: 3 13'10000000000-0 -> 0 5'00010 |
| 41: 3 13'-1000000000-0 -> 0 5'00010 |
| 42: 3 13'--100000000-0 -> 0 5'00010 |
| 43: 3 13'----1000000-0 -> 0 5'00010 |
| 44: 3 13'------10000-0 -> 0 5'00010 |
| 45: 3 13'---------10-0 -> 0 5'00010 |
| 46: 3 13'----------110 -> 1 5'00010 |
| 47: 3 13'-------1000-0 -> 2 5'00010 |
| 48: 3 13'00000000000-0 -> 3 5'00010 |
| 49: 3 13'--------100-0 -> 3 5'00010 |
| 50: 3 13'---10000000-0 -> 4 5'00010 |
| 51: 3 13'------------1 -> 4 5'00010 |
| 52: 3 13'-----100000-0 -> 5 5'00010 |
| 53: 4 13'----------100 -> 0 5'10000 |
| 54: 4 13'10000000000-0 -> 0 5'10000 |
| 55: 4 13'-1000000000-0 -> 0 5'10000 |
| 56: 4 13'--100000000-0 -> 0 5'10000 |
| 57: 4 13'----1000000-0 -> 0 5'10000 |
| 58: 4 13'------10000-0 -> 0 5'10000 |
| 59: 4 13'---------10-0 -> 0 5'10000 |
| 60: 4 13'----------110 -> 1 5'10000 |
| 61: 4 13'-------1000-0 -> 2 5'10000 |
| 62: 4 13'--------100-0 -> 3 5'10000 |
| 63: 4 13'00000000000-0 -> 4 5'10000 |
| 64: 4 13'---10000000-0 -> 4 5'10000 |
| 65: 4 13'------------1 -> 4 5'10000 |
| 66: 4 13'-----100000-0 -> 5 5'10000 |
| 67: 5 13'----------100 -> 0 5'01000 |
| 68: 5 13'10000000000-0 -> 0 5'01000 |
| 69: 5 13'-1000000000-0 -> 0 5'01000 |
| 70: 5 13'--100000000-0 -> 0 5'01000 |
| 71: 5 13'----1000000-0 -> 0 5'01000 |
| 72: 5 13'------10000-0 -> 0 5'01000 |
| 73: 5 13'---------10-0 -> 0 5'01000 |
| 74: 5 13'----------110 -> 1 5'01000 |
| 75: 5 13'-------1000-0 -> 2 5'01000 |
| 76: 5 13'--------100-0 -> 3 5'01000 |
| 77: 5 13'---10000000-0 -> 4 5'01000 |
| 78: 5 13'------------1 -> 4 5'01000 |
| 79: 5 13'00000000000-0 -> 5 5'01000 |
| 80: 5 13'-----100000-0 -> 5 5'01000 |
| |
| ------------------------------------- |
| |
| FSM `$fsm$\selMux1W2$2673' from module `aes': |
| ------------------------------------- |
| |
| Information on FSM $fsm$\selMux1W2$2673 (\selMux1W2): |
| |
| Number of input signals: 13 |
| Number of output signals: 5 |
| Number of state bits: 6 |
| |
| Input signals: |
| 0: \reset |
| 1: \start |
| 2: \_T_168 |
| 3: \_T_169 |
| 4: \_T_170 |
| 5: \_T_171 |
| 6: \_T_172 |
| 7: \_T_173 |
| 8: \_T_176 |
| 9: \_T_178 |
| 10: \_T_179 |
| 11: \_T_180 |
| 12: \_T_181 |
| |
| Output signals: |
| 0: \_T_121 |
| 1: \_T_122 |
| 2: \_T_123 |
| 3: \_T_124 |
| 4: \_T_136 |
| |
| State encoding: |
| 0: 6'----1- |
| 1: 6'---1-- |
| 2: 6'--1--- |
| 3: 6'-1---- |
| 4: 6'-----1 <RESET STATE> |
| 5: 6'1----- |
| |
| Transition Table (state_in, ctrl_in, state_out, ctrl_out): |
| 0: 0 13'----------100 -> 0 5'00001 |
| 1: 0 13'--000000000-0 -> 0 5'00001 |
| 2: 0 13'---10000000-0 -> 0 5'00001 |
| 3: 0 13'----1000000-0 -> 0 5'00001 |
| 4: 0 13'--------100-0 -> 0 5'00001 |
| 5: 0 13'---------10-0 -> 0 5'00001 |
| 6: 0 13'----------110 -> 1 5'00001 |
| 7: 0 13'------10000-0 -> 2 5'00001 |
| 8: 0 13'-------1000-0 -> 3 5'00001 |
| 9: 0 13'--100000000-0 -> 4 5'00001 |
| 10: 0 13'------------1 -> 4 5'00001 |
| 11: 0 13'-----100000-0 -> 5 5'00001 |
| 12: 1 13'----------100 -> 0 5'00000 |
| 13: 1 13'10000000000-0 -> 0 5'00000 |
| 14: 1 13'-1000000000-0 -> 0 5'00000 |
| 15: 1 13'---10000000-0 -> 0 5'00000 |
| 16: 1 13'----1000000-0 -> 0 5'00000 |
| 17: 1 13'--------100-0 -> 0 5'00000 |
| 18: 1 13'---------10-0 -> 0 5'00000 |
| 19: 1 13'----------110 -> 1 5'00000 |
| 20: 1 13'00000000000-0 -> 1 5'00000 |
| 21: 1 13'------10000-0 -> 2 5'00000 |
| 22: 1 13'-------1000-0 -> 3 5'00000 |
| 23: 1 13'--100000000-0 -> 4 5'00000 |
| 24: 1 13'------------1 -> 4 5'00000 |
| 25: 1 13'-----100000-0 -> 5 5'00000 |
| 26: 2 13'----------100 -> 0 5'00100 |
| 27: 2 13'10000000000-0 -> 0 5'00100 |
| 28: 2 13'-1000000000-0 -> 0 5'00100 |
| 29: 2 13'---10000000-0 -> 0 5'00100 |
| 30: 2 13'----1000000-0 -> 0 5'00100 |
| 31: 2 13'--------100-0 -> 0 5'00100 |
| 32: 2 13'---------10-0 -> 0 5'00100 |
| 33: 2 13'----------110 -> 1 5'00100 |
| 34: 2 13'00000000000-0 -> 2 5'00100 |
| 35: 2 13'------10000-0 -> 2 5'00100 |
| 36: 2 13'-------1000-0 -> 3 5'00100 |
| 37: 2 13'--100000000-0 -> 4 5'00100 |
| 38: 2 13'------------1 -> 4 5'00100 |
| 39: 2 13'-----100000-0 -> 5 5'00100 |
| 40: 3 13'----------100 -> 0 5'00010 |
| 41: 3 13'10000000000-0 -> 0 5'00010 |
| 42: 3 13'-1000000000-0 -> 0 5'00010 |
| 43: 3 13'---10000000-0 -> 0 5'00010 |
| 44: 3 13'----1000000-0 -> 0 5'00010 |
| 45: 3 13'--------100-0 -> 0 5'00010 |
| 46: 3 13'---------10-0 -> 0 5'00010 |
| 47: 3 13'----------110 -> 1 5'00010 |
| 48: 3 13'------10000-0 -> 2 5'00010 |
| 49: 3 13'00000000000-0 -> 3 5'00010 |
| 50: 3 13'-------1000-0 -> 3 5'00010 |
| 51: 3 13'--100000000-0 -> 4 5'00010 |
| 52: 3 13'------------1 -> 4 5'00010 |
| 53: 3 13'-----100000-0 -> 5 5'00010 |
| 54: 4 13'----------100 -> 0 5'10000 |
| 55: 4 13'10000000000-0 -> 0 5'10000 |
| 56: 4 13'-1000000000-0 -> 0 5'10000 |
| 57: 4 13'---10000000-0 -> 0 5'10000 |
| 58: 4 13'----1000000-0 -> 0 5'10000 |
| 59: 4 13'--------100-0 -> 0 5'10000 |
| 60: 4 13'---------10-0 -> 0 5'10000 |
| 61: 4 13'----------110 -> 1 5'10000 |
| 62: 4 13'------10000-0 -> 2 5'10000 |
| 63: 4 13'-------1000-0 -> 3 5'10000 |
| 64: 4 13'00000000000-0 -> 4 5'10000 |
| 65: 4 13'--100000000-0 -> 4 5'10000 |
| 66: 4 13'------------1 -> 4 5'10000 |
| 67: 4 13'-----100000-0 -> 5 5'10000 |
| 68: 5 13'----------100 -> 0 5'01000 |
| 69: 5 13'10000000000-0 -> 0 5'01000 |
| 70: 5 13'-1000000000-0 -> 0 5'01000 |
| 71: 5 13'---10000000-0 -> 0 5'01000 |
| 72: 5 13'----1000000-0 -> 0 5'01000 |
| 73: 5 13'--------100-0 -> 0 5'01000 |
| 74: 5 13'---------10-0 -> 0 5'01000 |
| 75: 5 13'----------110 -> 1 5'01000 |
| 76: 5 13'------10000-0 -> 2 5'01000 |
| 77: 5 13'-------1000-0 -> 3 5'01000 |
| 78: 5 13'--100000000-0 -> 4 5'01000 |
| 79: 5 13'------------1 -> 4 5'01000 |
| 80: 5 13'00000000000-0 -> 5 5'01000 |
| 81: 5 13'-----100000-0 -> 5 5'01000 |
| |
| ------------------------------------- |
| |
| FSM `$fsm$\selMux1W3$2680' from module `aes': |
| ------------------------------------- |
| |
| Information on FSM $fsm$\selMux1W3$2680 (\selMux1W3): |
| |
| Number of input signals: 13 |
| Number of output signals: 5 |
| Number of state bits: 5 |
| |
| Input signals: |
| 0: \reset |
| 1: \start |
| 2: \_T_168 |
| 3: \_T_169 |
| 4: \_T_170 |
| 5: \_T_171 |
| 6: \_T_172 |
| 7: \_T_173 |
| 8: \_T_176 |
| 9: \_T_178 |
| 10: \_T_179 |
| 11: \_T_180 |
| 12: \_T_181 |
| |
| Output signals: |
| 0: \_T_142 |
| 1: \_T_143 |
| 2: \_T_144 |
| 3: \_T_145 |
| 4: \_T_157 |
| |
| State encoding: |
| 0: 5'---1- |
| 1: 5'--1-- |
| 2: 5'-1--- |
| 3: 5'----1 <RESET STATE> |
| 4: 5'1---- |
| |
| Transition Table (state_in, ctrl_in, state_out, ctrl_out): |
| 0: 0 13'----------100 -> 0 5'00001 |
| 1: 0 13'-0000000000-0 -> 0 5'00001 |
| 2: 0 13'--100000000-0 -> 0 5'00001 |
| 3: 0 13'---10000000-0 -> 0 5'00001 |
| 4: 0 13'----1000000-0 -> 0 5'00001 |
| 5: 0 13'-------1000-0 -> 0 5'00001 |
| 6: 0 13'--------100-0 -> 0 5'00001 |
| 7: 0 13'---------10-0 -> 0 5'00001 |
| 8: 0 13'----------110 -> 1 5'00001 |
| 9: 0 13'------10000-0 -> 2 5'00001 |
| 10: 0 13'-1000000000-0 -> 3 5'00001 |
| 11: 0 13'------------1 -> 3 5'00001 |
| 12: 0 13'-----100000-0 -> 4 5'00001 |
| 13: 1 13'----------100 -> 0 5'00000 |
| 14: 1 13'10000000000-0 -> 0 5'00000 |
| 15: 1 13'--100000000-0 -> 0 5'00000 |
| 16: 1 13'---10000000-0 -> 0 5'00000 |
| 17: 1 13'----1000000-0 -> 0 5'00000 |
| 18: 1 13'-------1000-0 -> 0 5'00000 |
| 19: 1 13'--------100-0 -> 0 5'00000 |
| 20: 1 13'---------10-0 -> 0 5'00000 |
| 21: 1 13'----------110 -> 1 5'00000 |
| 22: 1 13'00000000000-0 -> 1 5'00000 |
| 23: 1 13'------10000-0 -> 2 5'00000 |
| 24: 1 13'-1000000000-0 -> 3 5'00000 |
| 25: 1 13'------------1 -> 3 5'00000 |
| 26: 1 13'-----100000-0 -> 4 5'00000 |
| 27: 2 13'----------100 -> 0 5'00010 |
| 28: 2 13'10000000000-0 -> 0 5'00010 |
| 29: 2 13'--100000000-0 -> 0 5'00010 |
| 30: 2 13'---10000000-0 -> 0 5'00010 |
| 31: 2 13'----1000000-0 -> 0 5'00010 |
| 32: 2 13'-------1000-0 -> 0 5'00010 |
| 33: 2 13'--------100-0 -> 0 5'00010 |
| 34: 2 13'---------10-0 -> 0 5'00010 |
| 35: 2 13'----------110 -> 1 5'00010 |
| 36: 2 13'00000000000-0 -> 2 5'00010 |
| 37: 2 13'------10000-0 -> 2 5'00010 |
| 38: 2 13'-1000000000-0 -> 3 5'00010 |
| 39: 2 13'------------1 -> 3 5'00010 |
| 40: 2 13'-----100000-0 -> 4 5'00010 |
| 41: 3 13'----------100 -> 0 5'10000 |
| 42: 3 13'10000000000-0 -> 0 5'10000 |
| 43: 3 13'--100000000-0 -> 0 5'10000 |
| 44: 3 13'---10000000-0 -> 0 5'10000 |
| 45: 3 13'----1000000-0 -> 0 5'10000 |
| 46: 3 13'-------1000-0 -> 0 5'10000 |
| 47: 3 13'--------100-0 -> 0 5'10000 |
| 48: 3 13'---------10-0 -> 0 5'10000 |
| 49: 3 13'----------110 -> 1 5'10000 |
| 50: 3 13'------10000-0 -> 2 5'10000 |
| 51: 3 13'00000000000-0 -> 3 5'10000 |
| 52: 3 13'-1000000000-0 -> 3 5'10000 |
| 53: 3 13'------------1 -> 3 5'10000 |
| 54: 3 13'-----100000-0 -> 4 5'10000 |
| 55: 4 13'----------100 -> 0 5'01000 |
| 56: 4 13'10000000000-0 -> 0 5'01000 |
| 57: 4 13'--100000000-0 -> 0 5'01000 |
| 58: 4 13'---10000000-0 -> 0 5'01000 |
| 59: 4 13'----1000000-0 -> 0 5'01000 |
| 60: 4 13'-------1000-0 -> 0 5'01000 |
| 61: 4 13'--------100-0 -> 0 5'01000 |
| 62: 4 13'---------10-0 -> 0 5'01000 |
| 63: 4 13'----------110 -> 1 5'01000 |
| 64: 4 13'------10000-0 -> 2 5'01000 |
| 65: 4 13'-1000000000-0 -> 3 5'01000 |
| 66: 4 13'------------1 -> 3 5'01000 |
| 67: 4 13'00000000000-0 -> 4 5'01000 |
| 68: 4 13'-----100000-0 -> 4 5'01000 |
| |
| ------------------------------------- |
| |
| FSM `$fsm$\selMuxMixARK$2687' from module `aes': |
| ------------------------------------- |
| |
| Information on FSM $fsm$\selMuxMixARK$2687 (\selMuxMixARK): |
| |
| Number of input signals: 12 |
| Number of output signals: 4 |
| Number of state bits: 4 |
| |
| Input signals: |
| 0: \reset |
| 1: \_T_168 |
| 2: \_T_169 |
| 3: \_T_170 |
| 4: \_T_171 |
| 5: \_T_172 |
| 6: \_T_173 |
| 7: \_T_176 |
| 8: \_T_178 |
| 9: \_T_179 |
| 10: \_T_180 |
| 11: \_T_181 |
| |
| Output signals: |
| 0: \_T_51 |
| 1: \_T_52 |
| 2: \_T_53 |
| 3: \_T_54 |
| |
| State encoding: |
| 0: 4'---1 <RESET STATE> |
| 1: 4'--1- |
| 2: 4'-1-- |
| 3: 4'1--- |
| |
| Transition Table (state_in, ctrl_in, state_out, ctrl_out): |
| 0: 0 12'-00000000000 -> 0 4'0001 |
| 1: 0 12'----10000000 -> 0 4'0001 |
| 2: 0 12'--------1000 -> 0 4'0001 |
| 3: 0 12'---------100 -> 0 4'0001 |
| 4: 0 12'----------10 -> 0 4'0001 |
| 5: 0 12'-----------1 -> 0 4'0001 |
| 6: 0 12'--1000000000 -> 1 4'0001 |
| 7: 0 12'------100000 -> 1 4'0001 |
| 8: 0 12'---100000000 -> 2 4'0001 |
| 9: 0 12'-------10000 -> 2 4'0001 |
| 10: 0 12'-10000000000 -> 3 4'0001 |
| 11: 0 12'-----1000000 -> 3 4'0001 |
| 12: 1 12'100000000000 -> 0 4'0100 |
| 13: 1 12'----10000000 -> 0 4'0100 |
| 14: 1 12'--------1000 -> 0 4'0100 |
| 15: 1 12'---------100 -> 0 4'0100 |
| 16: 1 12'----------10 -> 0 4'0100 |
| 17: 1 12'-----------1 -> 0 4'0100 |
| 18: 1 12'000000000000 -> 1 4'0100 |
| 19: 1 12'--1000000000 -> 1 4'0100 |
| 20: 1 12'------100000 -> 1 4'0100 |
| 21: 1 12'---100000000 -> 2 4'0100 |
| 22: 1 12'-------10000 -> 2 4'0100 |
| 23: 1 12'-10000000000 -> 3 4'0100 |
| 24: 1 12'-----1000000 -> 3 4'0100 |
| 25: 2 12'100000000000 -> 0 4'0010 |
| 26: 2 12'----10000000 -> 0 4'0010 |
| 27: 2 12'--------1000 -> 0 4'0010 |
| 28: 2 12'---------100 -> 0 4'0010 |
| 29: 2 12'----------10 -> 0 4'0010 |
| 30: 2 12'-----------1 -> 0 4'0010 |
| 31: 2 12'--1000000000 -> 1 4'0010 |
| 32: 2 12'------100000 -> 1 4'0010 |
| 33: 2 12'000000000000 -> 2 4'0010 |
| 34: 2 12'---100000000 -> 2 4'0010 |
| 35: 2 12'-------10000 -> 2 4'0010 |
| 36: 2 12'-10000000000 -> 3 4'0010 |
| 37: 2 12'-----1000000 -> 3 4'0010 |
| 38: 3 12'100000000000 -> 0 4'1000 |
| 39: 3 12'----10000000 -> 0 4'1000 |
| 40: 3 12'--------1000 -> 0 4'1000 |
| 41: 3 12'---------100 -> 0 4'1000 |
| 42: 3 12'----------10 -> 0 4'1000 |
| 43: 3 12'-----------1 -> 0 4'1000 |
| 44: 3 12'--1000000000 -> 1 4'1000 |
| 45: 3 12'------100000 -> 1 4'1000 |
| 46: 3 12'---100000000 -> 2 4'1000 |
| 47: 3 12'-------10000 -> 2 4'1000 |
| 48: 3 12'000000000000 -> 3 4'1000 |
| 49: 3 12'-10000000000 -> 3 4'1000 |
| 50: 3 12'-----1000000 -> 3 4'1000 |
| |
| ------------------------------------- |
| |
| FSM `$fsm$\selMuxSbox$2693' from module `aes': |
| ------------------------------------- |
| |
| Information on FSM $fsm$\selMuxSbox$2693 (\selMuxSbox): |
| |
| Number of input signals: 12 |
| Number of output signals: 5 |
| Number of state bits: 5 |
| |
| Input signals: |
| 0: \reset |
| 1: \_T_168 |
| 2: \_T_169 |
| 3: \_T_170 |
| 4: \_T_171 |
| 5: \_T_172 |
| 6: \_T_173 |
| 7: \_T_176 |
| 8: \_T_178 |
| 9: \_T_179 |
| 10: \_T_180 |
| 11: \_T_181 |
| |
| Output signals: |
| 0: \_T_41 |
| 1: \_T_42 |
| 2: \_T_43 |
| 3: \_T_39 |
| 4: \_T_40 |
| |
| State encoding: |
| 0: 5'---1- |
| 1: 5'----1 <RESET STATE> |
| 2: 5'--1-- |
| 3: 5'-1--- |
| 4: 5'1---- |
| |
| Transition Table (state_in, ctrl_in, state_out, ctrl_out): |
| 0: 0 12'000000000000 -> 0 5'01000 |
| 1: 0 12'----10000000 -> 0 5'01000 |
| 2: 0 12'---------100 -> 0 5'01000 |
| 3: 0 12'100000000000 -> 1 5'01000 |
| 4: 0 12'-----1000000 -> 1 5'01000 |
| 5: 0 12'----------10 -> 1 5'01000 |
| 6: 0 12'-----------1 -> 1 5'01000 |
| 7: 0 12'--1000000000 -> 2 5'01000 |
| 8: 0 12'-------10000 -> 2 5'01000 |
| 9: 0 12'---100000000 -> 3 5'01000 |
| 10: 0 12'--------1000 -> 3 5'01000 |
| 11: 0 12'-10000000000 -> 4 5'01000 |
| 12: 0 12'------100000 -> 4 5'01000 |
| 13: 1 12'----10000000 -> 0 5'00100 |
| 14: 1 12'---------100 -> 0 5'00100 |
| 15: 1 12'-00000000000 -> 1 5'00100 |
| 16: 1 12'-----1000000 -> 1 5'00100 |
| 17: 1 12'----------10 -> 1 5'00100 |
| 18: 1 12'-----------1 -> 1 5'00100 |
| 19: 1 12'--1000000000 -> 2 5'00100 |
| 20: 1 12'-------10000 -> 2 5'00100 |
| 21: 1 12'---100000000 -> 3 5'00100 |
| 22: 1 12'--------1000 -> 3 5'00100 |
| 23: 1 12'-10000000000 -> 4 5'00100 |
| 24: 1 12'------100000 -> 4 5'00100 |
| 25: 2 12'----10000000 -> 0 5'00001 |
| 26: 2 12'---------100 -> 0 5'00001 |
| 27: 2 12'100000000000 -> 1 5'00001 |
| 28: 2 12'-----1000000 -> 1 5'00001 |
| 29: 2 12'----------10 -> 1 5'00001 |
| 30: 2 12'-----------1 -> 1 5'00001 |
| 31: 2 12'000000000000 -> 2 5'00001 |
| 32: 2 12'--1000000000 -> 2 5'00001 |
| 33: 2 12'-------10000 -> 2 5'00001 |
| 34: 2 12'---100000000 -> 3 5'00001 |
| 35: 2 12'--------1000 -> 3 5'00001 |
| 36: 2 12'-10000000000 -> 4 5'00001 |
| 37: 2 12'------100000 -> 4 5'00001 |
| 38: 3 12'----10000000 -> 0 5'10000 |
| 39: 3 12'---------100 -> 0 5'10000 |
| 40: 3 12'100000000000 -> 1 5'10000 |
| 41: 3 12'-----1000000 -> 1 5'10000 |
| 42: 3 12'----------10 -> 1 5'10000 |
| 43: 3 12'-----------1 -> 1 5'10000 |
| 44: 3 12'--1000000000 -> 2 5'10000 |
| 45: 3 12'-------10000 -> 2 5'10000 |
| 46: 3 12'000000000000 -> 3 5'10000 |
| 47: 3 12'---100000000 -> 3 5'10000 |
| 48: 3 12'--------1000 -> 3 5'10000 |
| 49: 3 12'-10000000000 -> 4 5'10000 |
| 50: 3 12'------100000 -> 4 5'10000 |
| 51: 4 12'----10000000 -> 0 5'00010 |
| 52: 4 12'---------100 -> 0 5'00010 |
| 53: 4 12'100000000000 -> 1 5'00010 |
| 54: 4 12'-----1000000 -> 1 5'00010 |
| 55: 4 12'----------10 -> 1 5'00010 |
| 56: 4 12'-----------1 -> 1 5'00010 |
| 57: 4 12'--1000000000 -> 2 5'00010 |
| 58: 4 12'-------10000 -> 2 5'00010 |
| 59: 4 12'---100000000 -> 3 5'00010 |
| 60: 4 12'--------1000 -> 3 5'00010 |
| 61: 4 12'000000000000 -> 4 5'00010 |
| 62: 4 12'-10000000000 -> 4 5'00010 |
| 63: 4 12'------100000 -> 4 5'00010 |
| |
| ------------------------------------- |
| |
| 5.8.8. Executing FSM_MAP pass (mapping FSMs to basic logic). |
| Mapping FSM `$fsm$\selMux1W0$2658' from module `\aes'. |
| Mapping FSM `$fsm$\selMux1W1$2666' from module `\aes'. |
| Mapping FSM `$fsm$\selMux1W2$2673' from module `\aes'. |
| Mapping FSM `$fsm$\selMux1W3$2680' from module `\aes'. |
| Mapping FSM `$fsm$\selMuxMixARK$2687' from module `\aes'. |
| Mapping FSM `$fsm$\selMuxSbox$2693' from module `\aes'. |
| |
| 5.9. Executing OPT pass (performing simple optimizations). |
| |
| 5.9.1. Executing OPT_EXPR pass (perform const folding). |
| Optimizing module aes. |
| <suppressed ~39 debug messages> |
| |
| 5.9.2. Executing OPT_MERGE pass (detect identical cells). |
| Finding identical cells in module `\aes'. |
| <suppressed ~249 debug messages> |
| Removed a total of 83 cells. |
| |
| 5.9.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). |
| Running muxtree optimizer on module \aes.. |
| Creating internal representation of mux trees. |
| Evaluating internal representation of mux trees. |
| Analyzing evaluation results. |
| Removed 0 multiplexer ports. |
| <suppressed ~39 debug messages> |
| |
| 5.9.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). |
| Optimizing cells in module \aes. |
| Performed a total of 0 changes. |
| |
| 5.9.5. Executing OPT_MERGE pass (detect identical cells). |
| Finding identical cells in module `\aes'. |
| Removed a total of 0 cells. |
| |
| 5.9.6. Executing OPT_DFF pass (perform DFF optimizations). |
| Adding SRST signal on $procdff$2657 ($dff) from module aes (D = \rego_8 [0], Q = \REG_1, rval = 1'0). |
| Adding SRST signal on $procdff$2656 ($dff) from module aes (D = $procmux$2487_Y, Q = \reg1K3, rval = 0). |
| Adding EN signal on $auto$ff.cc:262:slice$3039 ($sdff) from module aes (D = $procmux$2487_Y, Q = \reg1K3). |
| Adding SRST signal on $procdff$2655 ($dff) from module aes (D = $procmux$2498_Y, Q = \reg1K2, rval = 0). |
| Adding EN signal on $auto$ff.cc:262:slice$3043 ($sdff) from module aes (D = $procmux$2498_Y, Q = \reg1K2). |
| Adding SRST signal on $procdff$2654 ($dff) from module aes (D = $procmux$2509_Y, Q = \reg1K1, rval = 0). |
| Adding EN signal on $auto$ff.cc:262:slice$3047 ($sdff) from module aes (D = $procmux$2509_Y, Q = \reg1K1). |
| Adding SRST signal on $procdff$2653 ($dff) from module aes (D = $procmux$2520_Y, Q = \reg1K0, rval = 0). |
| Adding EN signal on $auto$ff.cc:262:slice$3051 ($sdff) from module aes (D = $procmux$2520_Y, Q = \reg1K0). |
| Adding SRST signal on $procdff$2652 ($dff) from module aes (D = $procmux$2365_Y [0], Q = \selKey [0], rval = 1'0). |
| Adding SRST signal on $procdff$2652 ($dff) from module aes (D = \_GEN_91 [1], Q = \selKey [1], rval = 1'0). |
| Adding EN signal on $auto$ff.cc:262:slice$3056 ($sdff) from module aes (D = 1'0, Q = \selKey [1]). |
| Setting constant 0-bit at position 0 on $auto$ff.cc:262:slice$3059 ($sdffe) from module aes. |
| Adding EN signal on $auto$ff.cc:262:slice$3055 ($sdff) from module aes (D = $procmux$2365_Y [0], Q = \selKey [0]). |
| Adding SRST signal on $procdff$2645 ($dff) from module aes (D = $procmux$2527_Y, Q = \ronda, rval = 4'0000). |
| Adding EN signal on $auto$ff.cc:262:slice$3063 ($sdff) from module aes (D = \_GEN_129, Q = \ronda). |
| Adding SRST signal on $procdff$2644 ($dff) from module aes (D = \_GEN_12 [31:1], Q = \REG [31:1], rval = 31'0000000000000000000000000000000). |
| Adding SRST signal on $procdff$2644 ($dff) from module aes (D = \_GEN_40 [0], Q = \REG [0], rval = 1'0). |
| Adding SRST signal on $procdff$2642 ($dff) from module aes (D = $procmux$2476_Y, Q = \state, rval = 4'0000). |
| Adding EN signal on $auto$ff.cc:262:slice$3091 ($sdff) from module aes (D = $procmux$2476_Y, Q = \state). |
| Adding SRST signal on $procdff$2641 ($dff) from module aes (D = $procmux$2537_Y, Q = \rego_8, rval = 0). |
| Adding EN signal on $auto$ff.cc:262:slice$3095 ($sdff) from module aes (D = \_T_36, Q = \rego_8). |
| Adding SRST signal on $procdff$2640 ($dff) from module aes (D = $procmux$2547_Y, Q = \rego_7, rval = 0). |
| Adding EN signal on $auto$ff.cc:262:slice$3101 ($sdff) from module aes (D = \_T_36, Q = \rego_7). |
| Adding SRST signal on $procdff$2639 ($dff) from module aes (D = $procmux$2554_Y, Q = \rego_6, rval = 0). |
| Adding EN signal on $auto$ff.cc:262:slice$3105 ($sdff) from module aes (D = \_T_36, Q = \rego_6). |
| Adding SRST signal on $procdff$2638 ($dff) from module aes (D = $procmux$2561_Y, Q = \rego_5, rval = 0). |
| Adding EN signal on $auto$ff.cc:262:slice$3109 ($sdff) from module aes (D = \_T_36, Q = \rego_5). |
| Adding SRST signal on $procdff$2637 ($dff) from module aes (D = $procmux$2568_Y, Q = \rego_4, rval = 0). |
| Adding EN signal on $auto$ff.cc:262:slice$3113 ($sdff) from module aes (D = \_T_36, Q = \rego_4). |
| Adding SRST signal on $procdff$2636 ($dff) from module aes (D = $procmux$2583_Y, Q = \rego_3, rval = 0). |
| Adding EN signal on $auto$ff.cc:262:slice$3117 ($sdff) from module aes (D = $procmux$2583_Y, Q = \rego_3). |
| Adding SRST signal on $procdff$2635 ($dff) from module aes (D = $procmux$2598_Y, Q = \rego_2, rval = 0). |
| Adding EN signal on $auto$ff.cc:262:slice$3127 ($sdff) from module aes (D = $procmux$2598_Y [31:24], Q = \rego_2 [31:24]). |
| Adding EN signal on $auto$ff.cc:262:slice$3127 ($sdff) from module aes (D = $procmux$2598_Y [23:0], Q = \rego_2 [23:0]). |
| Adding SRST signal on $procdff$2634 ($dff) from module aes (D = $procmux$2613_Y, Q = \rego_1, rval = 0). |
| Adding EN signal on $auto$ff.cc:262:slice$3148 ($sdff) from module aes (D = $procmux$2613_Y [31:24], Q = \rego_1 [31:24]). |
| Adding EN signal on $auto$ff.cc:262:slice$3148 ($sdff) from module aes (D = $procmux$2613_Y [23:0], Q = \rego_1 [23:0]). |
| Adding SRST signal on $procdff$2633 ($dff) from module aes (D = $procmux$2628_Y, Q = \rego_0, rval = 0). |
| Adding EN signal on $auto$ff.cc:262:slice$3169 ($sdff) from module aes (D = $procmux$2628_Y [31:24], Q = \rego_0 [31:24]). |
| Adding EN signal on $auto$ff.cc:262:slice$3169 ($sdff) from module aes (D = $procmux$2628_Y [23:0], Q = \rego_0 [23:0]). |
| |
| 5.9.7. Executing OPT_CLEAN pass (remove unused cells and wires). |
| Finding unused cells or wires in module \aes.. |
| Removed 38 unused cells and 145 unused wires. |
| <suppressed ~41 debug messages> |
| |
| 5.9.8. Executing OPT_EXPR pass (perform const folding). |
| Optimizing module aes. |
| <suppressed ~11 debug messages> |
| |
| 5.9.9. Rerunning OPT passes. (Maybe there is more to do..) |
| |
| 5.9.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). |
| Running muxtree optimizer on module \aes.. |
| Creating internal representation of mux trees. |
| Evaluating internal representation of mux trees. |
| Analyzing evaluation results. |
| Removed 0 multiplexer ports. |
| <suppressed ~33 debug messages> |
| |
| 5.9.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). |
| Optimizing cells in module \aes. |
| Performed a total of 0 changes. |
| |
| 5.9.12. Executing OPT_MERGE pass (detect identical cells). |
| Finding identical cells in module `\aes'. |
| <suppressed ~54 debug messages> |
| Removed a total of 18 cells. |
| |
| 5.9.13. Executing OPT_DFF pass (perform DFF optimizations). |
| |
| 5.9.14. Executing OPT_CLEAN pass (remove unused cells and wires). |
| Finding unused cells or wires in module \aes.. |
| Removed 0 unused cells and 17 unused wires. |
| <suppressed ~1 debug messages> |
| |
| 5.9.15. Executing OPT_EXPR pass (perform const folding). |
| Optimizing module aes. |
| |
| 5.9.16. Rerunning OPT passes. (Maybe there is more to do..) |
| |
| 5.9.17. Executing OPT_MUXTREE pass (detect dead branches in mux trees). |
| Running muxtree optimizer on module \aes.. |
| Creating internal representation of mux trees. |
| Evaluating internal representation of mux trees. |
| Analyzing evaluation results. |
| Removed 0 multiplexer ports. |
| <suppressed ~34 debug messages> |
| |
| 5.9.18. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). |
| Optimizing cells in module \aes. |
| Performed a total of 0 changes. |
| |
| 5.9.19. Executing OPT_MERGE pass (detect identical cells). |
| Finding identical cells in module `\aes'. |
| Removed a total of 0 cells. |
| |
| 5.9.20. Executing OPT_DFF pass (perform DFF optimizations). |
| |
| 5.9.21. Executing OPT_CLEAN pass (remove unused cells and wires). |
| Finding unused cells or wires in module \aes.. |
| |
| 5.9.22. Executing OPT_EXPR pass (perform const folding). |
| Optimizing module aes. |
| |
| 5.9.23. Finished OPT passes. (There is nothing left to do.) |
| |
| 5.10. Executing WREDUCE pass (reducing word size of cells). |
| Removed top 2 bits (of 32) from port B of cell aes.$sub$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1149$2079 ($sub). |
| Removed top 26 bits (of 32) from port Y of cell aes.$sub$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1149$2079 ($sub). |
| Removed top 26 bits (of 32) from port A of cell aes.$sub$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1149$2079 ($sub). |
| Removed top 29 bits (of 30) from port B of cell aes.$sub$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1149$2079 ($sub). |
| Removed top 2 bits (of 32) from port B of cell aes.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1151$2083 ($eq). |
| Removed top 3 bits (of 4) from port A of cell aes.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1155$2085 ($eq). |
| Removed top 2 bits (of 4) from port A of cell aes.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1156$2087 ($eq). |
| Removed top 2 bits (of 4) from port A of cell aes.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1157$2089 ($eq). |
| Removed top 1 bits (of 4) from port A of cell aes.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1158$2091 ($eq). |
| Removed top 1 bits (of 4) from port A of cell aes.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1159$2093 ($eq). |
| Removed top 1 bits (of 4) from port A of cell aes.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1160$2095 ($eq). |
| Removed top 1 bits (of 4) from port A of cell aes.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1161$2097 ($eq). |
| Removed top 31 bits (of 32) from port B of cell aes.$and$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1164$2102 ($and). |
| Removed top 31 bits (of 32) from mux cell aes.$ternary$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1175$2122 ($mux). |
| Removed top 3 bits (of 4) from port A of cell aes.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1206$2139 ($eq). |
| Removed top 6 bits (of 8) from mux cell aes.$ternary$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1206$2140 ($mux). |
| Removed top 2 bits (of 4) from port A of cell aes.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1207$2141 ($eq). |
| Removed top 5 bits (of 8) from mux cell aes.$ternary$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1207$2142 ($mux). |
| Removed top 2 bits (of 4) from port A of cell aes.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1208$2143 ($eq). |
| Removed top 4 bits (of 8) from mux cell aes.$ternary$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1208$2144 ($mux). |
| Removed top 1 bits (of 4) from port A of cell aes.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1209$2145 ($eq). |
| Removed top 3 bits (of 8) from mux cell aes.$ternary$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1209$2146 ($mux). |
| Removed top 1 bits (of 4) from port A of cell aes.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1210$2147 ($eq). |
| Removed top 2 bits (of 8) from mux cell aes.$ternary$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1210$2148 ($mux). |
| Removed top 1 bits (of 4) from port A of cell aes.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1211$2149 ($eq). |
| Removed top 1 bits (of 8) from mux cell aes.$ternary$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1211$2150 ($mux). |
| Removed top 1 bits (of 4) from port A of cell aes.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1212$2151 ($eq). |
| Removed top 8 bits (of 32) from mux cell aes.$ternary$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1249$2194 ($mux). |
| Removed top 8 bits (of 32) from mux cell aes.$ternary$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1260$2203 ($mux). |
| Removed top 8 bits (of 32) from mux cell aes.$ternary$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1271$2212 ($mux). |
| Removed top 3 bits (of 4) from port A of cell aes.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1287$2226 ($eq). |
| Removed top 2 bits (of 4) from port A of cell aes.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1288$2227 ($eq). |
| Removed top 2 bits (of 4) from port A of cell aes.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1289$2228 ($eq). |
| Removed top 1 bits (of 4) from port A of cell aes.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1290$2229 ($eq). |
| Removed top 1 bits (of 4) from port A of cell aes.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1291$2230 ($eq). |
| Removed top 3 bits (of 4) from port B of cell aes.$add$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1292$2231 ($add). |
| Removed top 1 bits (of 4) from port A of cell aes.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1293$2232 ($eq). |
| Removed top 1 bits (of 4) from mux cell aes.$ternary$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1294$2234 ($mux). |
| Removed cell aes.$ternary$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1295$2236 ($mux). |
| Removed top 1 bits (of 4) from port A of cell aes.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1297$2239 ($eq). |
| Removed cell aes.$ternary$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1301$2243 ($mux). |
| Removed cell aes.$ternary$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1309$2251 ($mux). |
| Removed cell aes.$ternary$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1311$2253 ($mux). |
| Removed cell aes.$ternary$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1318$2260 ($mux). |
| Removed cell aes.$ternary$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1320$2262 ($mux). |
| Removed cell aes.$ternary$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1327$2269 ($mux). |
| Removed cell aes.$ternary$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1329$2271 ($mux). |
| Removed cell aes.$ternary$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1336$2278 ($mux). |
| Removed cell aes.$ternary$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1338$2280 ($mux). |
| Removed cell aes.$ternary$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1345$2287 ($mux). |
| Removed top 1 bits (of 2) from mux cell aes.$ternary$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1354$2296 ($mux). |
| Removed top 1 bits (of 2) from mux cell aes.$ternary$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1363$2305 ($mux). |
| Removed top 1 bits (of 2) from mux cell aes.$ternary$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1372$2314 ($mux). |
| Removed top 1 bits (of 2) from mux cell aes.$procmux$2359 ($mux). |
| Removed top 1 bits (of 2) from mux cell aes.$procmux$2362 ($mux). |
| Removed top 1 bits (of 2) from mux cell aes.$procmux$2365 ($mux). |
| Removed top 1 bits (of 9) from port B of cell aes.$auto$fsm_map.cc:77:implement_pattern_cache$2751 ($eq). |
| Removed top 3 bits (of 4) from mux cell aes.$procmux$2474 ($mux). |
| Removed cell aes.$procmux$2485 ($mux). |
| Removed cell aes.$procmux$2496 ($mux). |
| Removed cell aes.$procmux$2507 ($mux). |
| Removed cell aes.$procmux$2518 ($mux). |
| Removed cell aes.$procmux$2573 ($mux). |
| Removed cell aes.$procmux$2575 ($mux). |
| Removed cell aes.$procmux$2581 ($mux). |
| Removed cell aes.$procmux$2588 ($mux). |
| Removed cell aes.$procmux$2590 ($mux). |
| Removed cell aes.$procmux$2596 ($mux). |
| Removed cell aes.$procmux$2603 ($mux). |
| Removed cell aes.$procmux$2605 ($mux). |
| Removed cell aes.$procmux$2611 ($mux). |
| Removed cell aes.$procmux$2618 ($mux). |
| Removed cell aes.$procmux$2620 ($mux). |
| Removed cell aes.$procmux$2626 ($mux). |
| Removed top 1 bits (of 3) from port B of cell aes.$auto$opt_dff.cc:198:make_patterns_logic$3174 ($ne). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:896$1783 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:895$1781 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:894$1779 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:893$1777 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:892$1775 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:891$1773 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:890$1771 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:889$1769 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:888$1767 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:887$1765 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:886$1763 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:885$1761 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:884$1759 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:883$1757 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:882$1755 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:881$1753 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:880$1751 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:879$1749 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:878$1747 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:877$1745 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:876$1743 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:875$1741 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:874$1739 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:873$1737 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:872$1735 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:871$1733 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:870$1731 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:869$1729 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:868$1727 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:867$1725 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:866$1723 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:865$1721 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:864$1719 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:863$1717 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:862$1715 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:861$1713 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:860$1711 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:859$1709 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:858$1707 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:857$1705 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:856$1703 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:855$1701 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:854$1699 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:853$1697 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:852$1695 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:851$1693 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:850$1691 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:849$1689 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:848$1687 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:847$1685 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:846$1683 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:845$1681 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:844$1679 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:843$1677 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:842$1675 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:841$1673 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:840$1671 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:839$1669 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:838$1667 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:837$1665 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:836$1663 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:835$1661 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:834$1659 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:833$1657 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:832$1655 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:831$1653 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:830$1651 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:829$1649 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:828$1647 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:827$1645 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:826$1643 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:825$1641 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:824$1639 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:823$1637 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:822$1635 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:821$1633 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:820$1631 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:819$1629 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:818$1627 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:817$1625 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:816$1623 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:815$1621 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:814$1619 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:813$1617 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:812$1615 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:811$1613 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:810$1611 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:809$1609 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:808$1607 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:807$1605 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:806$1603 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:805$1601 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:804$1599 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:803$1597 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:802$1595 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:801$1593 ($eq). |
| Removed top 3 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:800$1591 ($eq). |
| Removed top 3 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:799$1589 ($eq). |
| Removed top 3 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:798$1587 ($eq). |
| Removed top 3 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:797$1585 ($eq). |
| Removed top 3 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:796$1583 ($eq). |
| Removed top 3 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:795$1581 ($eq). |
| Removed top 3 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:794$1579 ($eq). |
| Removed top 3 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:793$1577 ($eq). |
| Removed top 3 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:792$1575 ($eq). |
| Removed top 3 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:791$1573 ($eq). |
| Removed top 3 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:790$1571 ($eq). |
| Removed top 3 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:789$1569 ($eq). |
| Removed top 3 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:788$1567 ($eq). |
| Removed top 3 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:787$1565 ($eq). |
| Removed top 3 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:786$1563 ($eq). |
| Removed top 3 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:785$1561 ($eq). |
| Removed top 4 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:784$1559 ($eq). |
| Removed top 4 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:783$1557 ($eq). |
| Removed top 4 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:782$1555 ($eq). |
| Removed top 4 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:781$1553 ($eq). |
| Removed top 4 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:780$1551 ($eq). |
| Removed top 4 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:779$1549 ($eq). |
| Removed top 4 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:778$1547 ($eq). |
| Removed top 4 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:777$1545 ($eq). |
| Removed top 5 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:776$1543 ($eq). |
| Removed top 5 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:775$1541 ($eq). |
| Removed top 5 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:774$1539 ($eq). |
| Removed top 5 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:773$1537 ($eq). |
| Removed top 6 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:772$1535 ($eq). |
| Removed top 6 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:771$1533 ($eq). |
| Removed top 3 bits (of 8) from mux cell aes.$flatten\moduloSbox.$ternary$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:770$1532 ($mux). |
| Removed top 7 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:770$1531 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:641$1273 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:640$1271 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:639$1269 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:638$1267 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:637$1265 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:636$1263 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:635$1261 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:634$1259 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:633$1257 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:632$1255 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:631$1253 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:630$1251 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:629$1249 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:628$1247 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:627$1245 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:626$1243 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:625$1241 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:624$1239 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:623$1237 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:622$1235 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:621$1233 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:620$1231 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:619$1229 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:618$1227 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:617$1225 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:616$1223 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:615$1221 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:614$1219 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:613$1217 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:612$1215 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:611$1213 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:610$1211 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:609$1209 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:608$1207 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:607$1205 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:606$1203 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:605$1201 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:604$1199 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:603$1197 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:602$1195 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:601$1193 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:600$1191 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:599$1189 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:598$1187 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:597$1185 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:596$1183 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:595$1181 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:594$1179 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:593$1177 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:592$1175 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:591$1173 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:590$1171 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:589$1169 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:588$1167 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:587$1165 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:586$1163 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:585$1161 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:584$1159 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:583$1157 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:582$1155 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:581$1153 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:580$1151 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:579$1149 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:578$1147 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:577$1145 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:576$1143 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:575$1141 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:574$1139 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:573$1137 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:572$1135 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:571$1133 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:570$1131 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:569$1129 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:568$1127 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:567$1125 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:566$1123 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:565$1121 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:564$1119 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:563$1117 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:562$1115 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:561$1113 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:560$1111 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:559$1109 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:558$1107 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:557$1105 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:556$1103 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:555$1101 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:554$1099 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:553$1097 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:552$1095 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:551$1093 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:550$1091 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:549$1089 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:548$1087 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:547$1085 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:546$1083 ($eq). |
| Removed top 3 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:545$1081 ($eq). |
| Removed top 3 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:544$1079 ($eq). |
| Removed top 3 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:543$1077 ($eq). |
| Removed top 3 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:542$1075 ($eq). |
| Removed top 3 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:541$1073 ($eq). |
| Removed top 3 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:540$1071 ($eq). |
| Removed top 3 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:539$1069 ($eq). |
| Removed top 3 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:538$1067 ($eq). |
| Removed top 3 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:537$1065 ($eq). |
| Removed top 3 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:536$1063 ($eq). |
| Removed top 3 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:535$1061 ($eq). |
| Removed top 3 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:534$1059 ($eq). |
| Removed top 3 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:533$1057 ($eq). |
| Removed top 3 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:532$1055 ($eq). |
| Removed top 3 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:531$1053 ($eq). |
| Removed top 3 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:530$1051 ($eq). |
| Removed top 4 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:529$1049 ($eq). |
| Removed top 4 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:528$1047 ($eq). |
| Removed top 4 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:527$1045 ($eq). |
| Removed top 4 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:526$1043 ($eq). |
| Removed top 4 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:525$1041 ($eq). |
| Removed top 4 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:524$1039 ($eq). |
| Removed top 4 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:523$1037 ($eq). |
| Removed top 4 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:522$1035 ($eq). |
| Removed top 5 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:521$1033 ($eq). |
| Removed top 5 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:520$1031 ($eq). |
| Removed top 5 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:519$1029 ($eq). |
| Removed top 5 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:518$1027 ($eq). |
| Removed top 6 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:517$1025 ($eq). |
| Removed top 6 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:516$1023 ($eq). |
| Removed top 3 bits (of 8) from mux cell aes.$flatten\moduloSbox.$ternary$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:515$1022 ($mux). |
| Removed top 7 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:515$1021 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:386$763 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:385$761 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:384$759 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:383$757 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:382$755 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:381$753 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:380$751 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:379$749 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:378$747 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:377$745 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:376$743 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:375$741 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:374$739 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:373$737 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:372$735 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:371$733 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:370$731 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:369$729 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:368$727 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:367$725 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:366$723 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:365$721 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:364$719 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:363$717 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:362$715 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:361$713 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:360$711 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:359$709 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:358$707 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:357$705 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:356$703 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:355$701 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:354$699 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:353$697 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:352$695 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:351$693 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:350$691 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:349$689 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:348$687 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:347$685 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:346$683 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:345$681 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:344$679 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:343$677 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:342$675 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:341$673 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:340$671 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:339$669 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:338$667 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:337$665 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:336$663 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:335$661 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:334$659 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:333$657 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:332$655 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:331$653 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:330$651 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:329$649 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:328$647 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:327$645 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:326$643 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:325$641 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:324$639 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:323$637 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:322$635 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:321$633 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:320$631 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:319$629 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:318$627 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:317$625 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:316$623 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:315$621 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:314$619 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:313$617 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:312$615 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:311$613 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:310$611 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:309$609 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:308$607 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:307$605 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:306$603 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:305$601 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:304$599 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:303$597 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:302$595 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:301$593 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:300$591 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:299$589 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:298$587 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:297$585 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:296$583 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:295$581 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:294$579 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:293$577 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:292$575 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:291$573 ($eq). |
| Removed top 3 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:290$571 ($eq). |
| Removed top 3 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:289$569 ($eq). |
| Removed top 3 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:288$567 ($eq). |
| Removed top 3 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:287$565 ($eq). |
| Removed top 3 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:286$563 ($eq). |
| Removed top 3 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:285$561 ($eq). |
| Removed top 3 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:284$559 ($eq). |
| Removed top 3 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:283$557 ($eq). |
| Removed top 3 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:282$555 ($eq). |
| Removed top 3 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:281$553 ($eq). |
| Removed top 3 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:280$551 ($eq). |
| Removed top 3 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:279$549 ($eq). |
| Removed top 3 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:278$547 ($eq). |
| Removed top 3 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:277$545 ($eq). |
| Removed top 3 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:276$543 ($eq). |
| Removed top 3 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:275$541 ($eq). |
| Removed top 4 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:274$539 ($eq). |
| Removed top 4 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:273$537 ($eq). |
| Removed top 4 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:272$535 ($eq). |
| Removed top 4 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:271$533 ($eq). |
| Removed top 4 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:270$531 ($eq). |
| Removed top 4 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:269$529 ($eq). |
| Removed top 4 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:268$527 ($eq). |
| Removed top 4 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:267$525 ($eq). |
| Removed top 5 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:266$523 ($eq). |
| Removed top 5 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:265$521 ($eq). |
| Removed top 5 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:264$519 ($eq). |
| Removed top 5 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:263$517 ($eq). |
| Removed top 6 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:262$515 ($eq). |
| Removed top 6 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:261$513 ($eq). |
| Removed top 3 bits (of 8) from mux cell aes.$flatten\moduloSbox.$ternary$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:260$512 ($mux). |
| Removed top 7 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:260$511 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:131$253 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:130$251 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:129$249 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:128$247 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:127$245 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:126$243 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:125$241 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:124$239 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:123$237 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:122$235 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:121$233 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:120$231 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:119$229 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:118$227 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:117$225 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:116$223 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:115$221 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:114$219 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:113$217 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:112$215 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:111$213 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:110$211 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:109$209 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:108$207 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:107$205 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:106$203 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:105$201 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:104$199 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:103$197 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:102$195 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:101$193 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:100$191 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:99$189 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:98$187 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:97$185 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:96$183 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:95$181 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:94$179 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:93$177 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:92$175 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:91$173 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:90$171 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:89$169 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:88$167 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:87$165 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:86$163 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:85$161 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:84$159 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:83$157 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:82$155 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:81$153 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:80$151 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:79$149 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:78$147 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:77$145 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:76$143 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:75$141 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:74$139 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:73$137 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:72$135 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:71$133 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:70$131 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:69$129 ($eq). |
| Removed top 1 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:68$127 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:67$125 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:66$123 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:65$121 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:64$119 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:63$117 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:62$115 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:61$113 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:60$111 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:59$109 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:58$107 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:57$105 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:56$103 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:55$101 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:54$99 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:53$97 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:52$95 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:51$93 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:50$91 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:49$89 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:48$87 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:47$85 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:46$83 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:45$81 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:44$79 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:43$77 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:42$75 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:41$73 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:40$71 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:39$69 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:38$67 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:37$65 ($eq). |
| Removed top 2 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:36$63 ($eq). |
| Removed top 3 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:35$61 ($eq). |
| Removed top 3 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:34$59 ($eq). |
| Removed top 3 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:33$57 ($eq). |
| Removed top 3 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:32$55 ($eq). |
| Removed top 3 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:31$53 ($eq). |
| Removed top 3 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:30$51 ($eq). |
| Removed top 3 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:29$49 ($eq). |
| Removed top 3 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:28$47 ($eq). |
| Removed top 3 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:27$45 ($eq). |
| Removed top 3 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:26$43 ($eq). |
| Removed top 3 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:25$41 ($eq). |
| Removed top 3 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:24$39 ($eq). |
| Removed top 3 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:23$37 ($eq). |
| Removed top 3 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:22$35 ($eq). |
| Removed top 3 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:21$33 ($eq). |
| Removed top 3 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:20$31 ($eq). |
| Removed top 4 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:19$29 ($eq). |
| Removed top 4 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:18$27 ($eq). |
| Removed top 4 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:17$25 ($eq). |
| Removed top 4 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:16$23 ($eq). |
| Removed top 4 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:15$21 ($eq). |
| Removed top 4 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:14$19 ($eq). |
| Removed top 4 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:13$17 ($eq). |
| Removed top 4 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:12$15 ($eq). |
| Removed top 5 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:11$13 ($eq). |
| Removed top 5 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:10$11 ($eq). |
| Removed top 5 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:9$9 ($eq). |
| Removed top 5 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:8$7 ($eq). |
| Removed top 6 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:7$5 ($eq). |
| Removed top 6 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:6$3 ($eq). |
| Removed top 3 bits (of 8) from mux cell aes.$flatten\moduloSbox.$ternary$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:5$2 ($mux). |
| Removed top 7 bits (of 8) from port A of cell aes.$flatten\moduloSbox.$eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:5$1 ($eq). |
| Removed top 3 bits (of 8) from port B of cell aes.$flatten\moduloMix.$xor$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1057$2061 ($xor). |
| Removed top 3 bits (of 8) from port B of cell aes.$flatten\moduloMix.$xor$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1047$2052 ($xor). |
| Removed top 3 bits (of 8) from port B of cell aes.$flatten\moduloMix.$xor$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1037$2043 ($xor). |
| Removed top 3 bits (of 8) from port B of cell aes.$flatten\moduloMix.$xor$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1034$2041 ($xor). |
| Removed top 1 bits (of 2) from wire aes.$procmux$2359_Y. |
| Removed top 1 bits (of 2) from wire aes.$procmux$2365_Y. |
| Removed top 3 bits (of 4) from wire aes.$procmux$2474_Y. |
| Removed top 1 bits (of 2) from wire aes._GEN_136. |
| Removed top 1 bits (of 2) from wire aes._GEN_145. |
| Removed top 1 bits (of 2) from wire aes._GEN_154. |
| Removed top 31 bits (of 32) from wire aes._GEN_40. |
| Removed top 6 bits (of 8) from wire aes._GEN_50. |
| Removed top 31 bits (of 320) from wire aes._T_1. |
| Removed top 26 bits (of 32) from wire aes._T_20. |
| Removed top 127 bits (of 416) from wire aes._WIRE_2. |
| |
| 5.11. Executing PEEPOPT pass (run peephole optimizers). |
| |
| 5.12. Executing OPT_CLEAN pass (remove unused cells and wires). |
| Finding unused cells or wires in module \aes.. |
| Removed 0 unused cells and 27 unused wires. |
| <suppressed ~1 debug messages> |
| |
| 5.13. Executing ALUMACC pass (create $alu and $macc cells). |
| Extracting $alu and $macc cells in module aes: |
| creating $macc model for $add$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1292$2231 ($add). |
| creating $macc model for $sub$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1149$2079 ($sub). |
| creating $alu model for $macc $sub$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1149$2079. |
| creating $alu model for $macc $add$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1292$2231. |
| creating $alu model for $le$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1294$2233 ($le): new $alu |
| creating $alu model for $eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1213$2153 ($eq): merged with $le$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1294$2233. |
| creating $alu cell for $le$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1294$2233, $eq$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1213$2153: $auto$alumacc.cc:485:replace_alu$3202 |
| creating $alu cell for $add$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1292$2231: $auto$alumacc.cc:485:replace_alu$3211 |
| creating $alu cell for $sub$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1149$2079: $auto$alumacc.cc:485:replace_alu$3214 |
| created 3 $alu and 0 $macc cells. |
| |
| 5.14. Executing SHARE pass (SAT-based resource sharing). |
| |
| 5.15. Executing OPT pass (performing simple optimizations). |
| |
| 5.15.1. Executing OPT_EXPR pass (perform const folding). |
| Optimizing module aes. |
| <suppressed ~1 debug messages> |
| |
| 5.15.2. Executing OPT_MERGE pass (detect identical cells). |
| Finding identical cells in module `\aes'. |
| Removed a total of 0 cells. |
| |
| 5.15.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). |
| Running muxtree optimizer on module \aes.. |
| Creating internal representation of mux trees. |
| Evaluating internal representation of mux trees. |
| Analyzing evaluation results. |
| Removed 0 multiplexer ports. |
| <suppressed ~36 debug messages> |
| |
| 5.15.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). |
| Optimizing cells in module \aes. |
| Performed a total of 0 changes. |
| |
| 5.15.5. Executing OPT_MERGE pass (detect identical cells). |
| Finding identical cells in module `\aes'. |
| Removed a total of 0 cells. |
| |
| 5.15.6. Executing OPT_DFF pass (perform DFF optimizations). |
| |
| 5.15.7. Executing OPT_CLEAN pass (remove unused cells and wires). |
| Finding unused cells or wires in module \aes.. |
| Removed 1 unused cells and 5 unused wires. |
| <suppressed ~3 debug messages> |
| |
| 5.15.8. Executing OPT_EXPR pass (perform const folding). |
| Optimizing module aes. |
| |
| 5.15.9. Rerunning OPT passes. (Maybe there is more to do..) |
| |
| 5.15.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). |
| Running muxtree optimizer on module \aes.. |
| Creating internal representation of mux trees. |
| Evaluating internal representation of mux trees. |
| Analyzing evaluation results. |
| Removed 0 multiplexer ports. |
| <suppressed ~36 debug messages> |
| |
| 5.15.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). |
| Optimizing cells in module \aes. |
| Performed a total of 0 changes. |
| |
| 5.15.12. Executing OPT_MERGE pass (detect identical cells). |
| Finding identical cells in module `\aes'. |
| Removed a total of 0 cells. |
| |
| 5.15.13. Executing OPT_DFF pass (perform DFF optimizations). |
| |
| 5.15.14. Executing OPT_CLEAN pass (remove unused cells and wires). |
| Finding unused cells or wires in module \aes.. |
| |
| 5.15.15. Executing OPT_EXPR pass (perform const folding). |
| Optimizing module aes. |
| |
| 5.15.16. Finished OPT passes. (There is nothing left to do.) |
| |
| 5.16. Executing MEMORY pass. |
| |
| 5.16.1. Executing OPT_MEM pass (optimize memories). |
| Performed a total of 0 transformations. |
| |
| 5.16.2. Executing OPT_MEM_PRIORITY pass (removing unnecessary memory write priority relations). |
| Performed a total of 0 transformations. |
| |
| 5.16.3. Executing OPT_MEM_FEEDBACK pass (finding memory read-to-write feedback paths). |
| |
| 5.16.4. Executing MEMORY_DFF pass (merging $dff cells to $memrd). |
| |
| 5.16.5. Executing OPT_CLEAN pass (remove unused cells and wires). |
| Finding unused cells or wires in module \aes.. |
| |
| 5.16.6. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells). |
| |
| 5.16.7. Executing OPT_MEM_WIDEN pass (optimize memories where all ports are wide). |
| Performed a total of 0 transformations. |
| |
| 5.16.8. Executing OPT_CLEAN pass (remove unused cells and wires). |
| Finding unused cells or wires in module \aes.. |
| |
| 5.16.9. Executing MEMORY_COLLECT pass (generating $mem cells). |
| |
| 5.17. Executing OPT_CLEAN pass (remove unused cells and wires). |
| Finding unused cells or wires in module \aes.. |
| |
| 5.18. Executing OPT pass (performing simple optimizations). |
| |
| 5.18.1. Executing OPT_EXPR pass (perform const folding). |
| Optimizing module aes. |
| <suppressed ~93 debug messages> |
| |
| 5.18.2. Executing OPT_MERGE pass (detect identical cells). |
| Finding identical cells in module `\aes'. |
| <suppressed ~12 debug messages> |
| Removed a total of 4 cells. |
| |
| 5.18.3. Executing OPT_DFF pass (perform DFF optimizations). |
| |
| 5.18.4. Executing OPT_CLEAN pass (remove unused cells and wires). |
| Finding unused cells or wires in module \aes.. |
| Removed 0 unused cells and 52 unused wires. |
| <suppressed ~1 debug messages> |
| |
| 5.18.5. Finished fast OPT passes. |
| |
| 5.19. Executing MEMORY_MAP pass (converting memories to logic and flip-flops). |
| |
| 5.20. Executing OPT pass (performing simple optimizations). |
| |
| 5.20.1. Executing OPT_EXPR pass (perform const folding). |
| Optimizing module aes. |
| |
| 5.20.2. Executing OPT_MERGE pass (detect identical cells). |
| Finding identical cells in module `\aes'. |
| Removed a total of 0 cells. |
| |
| 5.20.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). |
| Running muxtree optimizer on module \aes.. |
| Creating internal representation of mux trees. |
| Evaluating internal representation of mux trees. |
| Analyzing evaluation results. |
| Removed 0 multiplexer ports. |
| <suppressed ~36 debug messages> |
| |
| 5.20.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). |
| Optimizing cells in module \aes. |
| Consolidated identical input bits for $mux cell $flatten\moduloMix.$ternary$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1035$2042: |
| Old ports: A={ \moduloMix.io_msg [30:24] 1'0 }, B={ \moduloMix.io_msg [30:28] \moduloMix._T_3 [4:3] \moduloMix.io_msg [25] \moduloMix._T_3 [1] 1'1 }, Y=\moduloMix._T_6 |
| New ports: A={ \moduloMix.io_msg [27:26] \moduloMix.io_msg [24] 1'0 }, B={ \moduloMix._T_3 [4:3] \moduloMix._T_3 [1] 1'1 }, Y={ \moduloMix._T_6 [4:3] \moduloMix._T_6 [1:0] } |
| New connections: { \moduloMix._T_6 [7:5] \moduloMix._T_6 [2] } = { \moduloMix.io_msg [30:28] \moduloMix.io_msg [25] } |
| Consolidated identical input bits for $mux cell $flatten\moduloMix.$ternary$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1044$2050: |
| Old ports: A={ \moduloMix.io_msg [22:16] 1'0 }, B={ \moduloMix.io_msg [22:20] \moduloMix._T_10 [4:3] \moduloMix.io_msg [17] \moduloMix._T_10 [1] 1'1 }, Y=\moduloMix._T_30 |
| New ports: A={ \moduloMix.io_msg [19:18] \moduloMix.io_msg [16] 1'0 }, B={ \moduloMix._T_10 [4:3] \moduloMix._T_10 [1] 1'1 }, Y={ \moduloMix._T_30 [4:3] \moduloMix._T_30 [1:0] } |
| New connections: { \moduloMix._T_30 [7:5] \moduloMix._T_30 [2] } = { \moduloMix.io_msg [22:20] \moduloMix.io_msg [17] } |
| Consolidated identical input bits for $mux cell $flatten\moduloMix.$ternary$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1054$2059: |
| Old ports: A={ \moduloMix.io_msg [14:8] 1'0 }, B={ \moduloMix.io_msg [14:12] \moduloMix._T_35 [4:3] \moduloMix.io_msg [9] \moduloMix._T_35 [1] 1'1 }, Y=\moduloMix._T_55 |
| New ports: A={ \moduloMix.io_msg [11:10] \moduloMix.io_msg [8] 1'0 }, B={ \moduloMix._T_35 [4:3] \moduloMix._T_35 [1] 1'1 }, Y={ \moduloMix._T_55 [4:3] \moduloMix._T_55 [1:0] } |
| New connections: { \moduloMix._T_55 [7:5] \moduloMix._T_55 [2] } = { \moduloMix.io_msg [14:12] \moduloMix.io_msg [9] } |
| Consolidated identical input bits for $mux cell $flatten\moduloMix.$ternary$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1067$2071: |
| Old ports: A={ \moduloMix.io_msg [6:0] 1'0 }, B={ \moduloMix.io_msg [6:4] \moduloMix._T_60 [4:3] \moduloMix.io_msg [1] \moduloMix._T_60 [1] 1'1 }, Y=\moduloMix._T_90 |
| New ports: A={ \moduloMix.io_msg [3:2] \moduloMix.io_msg [0] 1'0 }, B={ \moduloMix._T_60 [4:3] \moduloMix._T_60 [1] 1'1 }, Y={ \moduloMix._T_90 [4:3] \moduloMix._T_90 [1:0] } |
| New connections: { \moduloMix._T_90 [7:5] \moduloMix._T_90 [2] } = { \moduloMix.io_msg [6:4] \moduloMix.io_msg [1] } |
| Consolidated identical input bits for $mux cell $flatten\moduloSbox.$ternary$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:260$512: |
| Old ports: A=5'00011, B=5'11100, Y=\moduloSbox._GEN_257 [4:0] |
| New ports: A=2'01, B=2'10, Y={ \moduloSbox._GEN_257 [2] \moduloSbox._GEN_257 [0] } |
| New connections: { \moduloSbox._GEN_257 [4:3] \moduloSbox._GEN_257 [1] } = { \moduloSbox._GEN_257 [2] \moduloSbox._GEN_257 [2] \moduloSbox._GEN_257 [0] } |
| Consolidated identical input bits for $mux cell $flatten\moduloSbox.$ternary$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:261$514: |
| Old ports: A={ 3'011 \moduloSbox._GEN_257 [4:0] }, B=8'01110111, Y=\moduloSbox._GEN_258 |
| New ports: A=\moduloSbox._GEN_257 [4:0], B=5'10111, Y=\moduloSbox._GEN_258 [4:0] |
| New connections: \moduloSbox._GEN_258 [7:5] = 3'011 |
| Consolidated identical input bits for $mux cell $flatten\moduloSbox.$ternary$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:5$2: |
| Old ports: A=5'00011, B=5'11100, Y=\moduloSbox._GEN_1 [4:0] |
| New ports: A=2'01, B=2'10, Y={ \moduloSbox._GEN_1 [2] \moduloSbox._GEN_1 [0] } |
| New connections: { \moduloSbox._GEN_1 [4:3] \moduloSbox._GEN_1 [1] } = { \moduloSbox._GEN_1 [2] \moduloSbox._GEN_1 [2] \moduloSbox._GEN_1 [0] } |
| Consolidated identical input bits for $mux cell $flatten\moduloSbox.$ternary$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:515$1022: |
| Old ports: A=5'00011, B=5'11100, Y=\moduloSbox._GEN_513 [4:0] |
| New ports: A=2'01, B=2'10, Y={ \moduloSbox._GEN_513 [2] \moduloSbox._GEN_513 [0] } |
| New connections: { \moduloSbox._GEN_513 [4:3] \moduloSbox._GEN_513 [1] } = { \moduloSbox._GEN_513 [2] \moduloSbox._GEN_513 [2] \moduloSbox._GEN_513 [0] } |
| Consolidated identical input bits for $mux cell $flatten\moduloSbox.$ternary$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:516$1024: |
| Old ports: A={ 3'011 \moduloSbox._GEN_513 [4:0] }, B=8'01110111, Y=\moduloSbox._GEN_514 |
| New ports: A=\moduloSbox._GEN_513 [4:0], B=5'10111, Y=\moduloSbox._GEN_514 [4:0] |
| New connections: \moduloSbox._GEN_514 [7:5] = 3'011 |
| Consolidated identical input bits for $mux cell $flatten\moduloSbox.$ternary$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:6$4: |
| Old ports: A={ 3'011 \moduloSbox._GEN_1 [4:0] }, B=8'01110111, Y=\moduloSbox._GEN_2 |
| New ports: A=\moduloSbox._GEN_1 [4:0], B=5'10111, Y=\moduloSbox._GEN_2 [4:0] |
| New connections: \moduloSbox._GEN_2 [7:5] = 3'011 |
| Consolidated identical input bits for $mux cell $flatten\moduloSbox.$ternary$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:770$1532: |
| Old ports: A=5'00011, B=5'11100, Y=\moduloSbox._GEN_769 [4:0] |
| New ports: A=2'01, B=2'10, Y={ \moduloSbox._GEN_769 [2] \moduloSbox._GEN_769 [0] } |
| New connections: { \moduloSbox._GEN_769 [4:3] \moduloSbox._GEN_769 [1] } = { \moduloSbox._GEN_769 [2] \moduloSbox._GEN_769 [2] \moduloSbox._GEN_769 [0] } |
| Consolidated identical input bits for $mux cell $flatten\moduloSbox.$ternary$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:771$1534: |
| Old ports: A={ 3'011 \moduloSbox._GEN_769 [4:0] }, B=8'01110111, Y=\moduloSbox._GEN_770 |
| New ports: A=\moduloSbox._GEN_769 [4:0], B=5'10111, Y=\moduloSbox._GEN_770 [4:0] |
| New connections: \moduloSbox._GEN_770 [7:5] = 3'011 |
| Consolidated identical input bits for $mux cell $ternary$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1145$2075: |
| Old ports: A=8'00000000, B=8'11111111, Y=\mask_0 |
| New ports: A=1'0, B=1'1, Y=\mask_0 [0] |
| New connections: \mask_0 [7:1] = { \mask_0 [0] \mask_0 [0] \mask_0 [0] \mask_0 [0] \mask_0 [0] \mask_0 [0] \mask_0 [0] } |
| Consolidated identical input bits for $mux cell $ternary$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1146$2076: |
| Old ports: A=8'00000000, B=8'11111111, Y=\mask_1 |
| New ports: A=1'0, B=1'1, Y=\mask_1 [0] |
| New connections: \mask_1 [7:1] = { \mask_1 [0] \mask_1 [0] \mask_1 [0] \mask_1 [0] \mask_1 [0] \mask_1 [0] \mask_1 [0] } |
| Consolidated identical input bits for $mux cell $ternary$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1147$2077: |
| Old ports: A=8'00000000, B=8'11111111, Y=\mask_2 |
| New ports: A=1'0, B=1'1, Y=\mask_2 [0] |
| New connections: \mask_2 [7:1] = { \mask_2 [0] \mask_2 [0] \mask_2 [0] \mask_2 [0] \mask_2 [0] \mask_2 [0] \mask_2 [0] } |
| Consolidated identical input bits for $mux cell $ternary$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1148$2078: |
| Old ports: A=8'00000000, B=8'11111111, Y=\mask_3 |
| New ports: A=1'0, B=1'1, Y=\mask_3 [0] |
| New connections: \mask_3 [7:1] = { \mask_3 [0] \mask_3 [0] \mask_3 [0] \mask_3 [0] \mask_3 [0] \mask_3 [0] \mask_3 [0] } |
| Consolidated identical input bits for $mux cell $ternary$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1294$2234: |
| Old ports: A=3'111, B=3'010, Y=\_GEN_79 [2:0] |
| New ports: A=1'1, B=1'0, Y=\_GEN_79 [0] |
| New connections: \_GEN_79 [2:1] = { \_GEN_79 [0] 1'1 } |
| Consolidated identical input bits for $mux cell $ternary$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1310$2252: |
| Old ports: A=4'0000, B=4'1010, Y=\_GEN_92 |
| New ports: A=1'0, B=1'1, Y=\_GEN_92 [1] |
| New connections: { \_GEN_92 [3:2] \_GEN_92 [0] } = { \_GEN_92 [1] 2'00 } |
| Optimizing cells in module \aes. |
| Consolidated identical input bits for $mux cell $flatten\moduloSbox.$ternary$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:261$514: |
| Old ports: A=\moduloSbox._GEN_257 [4:0], B=5'10111, Y=\moduloSbox._GEN_258 [4:0] |
| New ports: A={ \moduloSbox._GEN_257 [2] \moduloSbox._GEN_257 [2] \moduloSbox._GEN_257 [0] }, B=3'011, Y={ \moduloSbox._GEN_258 [3:2] \moduloSbox._GEN_258 [0] } |
| New connections: { \moduloSbox._GEN_258 [4] \moduloSbox._GEN_258 [1] } = { \moduloSbox._GEN_258 [2] \moduloSbox._GEN_258 [0] } |
| Consolidated identical input bits for $mux cell $flatten\moduloSbox.$ternary$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:262$516: |
| Old ports: A=\moduloSbox._GEN_258, B=8'01111011, Y=\moduloSbox._GEN_259 |
| New ports: A=\moduloSbox._GEN_258 [4:0], B=5'11011, Y=\moduloSbox._GEN_259 [4:0] |
| New connections: \moduloSbox._GEN_259 [7:5] = 3'011 |
| Consolidated identical input bits for $mux cell $flatten\moduloSbox.$ternary$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:516$1024: |
| Old ports: A=\moduloSbox._GEN_513 [4:0], B=5'10111, Y=\moduloSbox._GEN_514 [4:0] |
| New ports: A={ \moduloSbox._GEN_513 [2] \moduloSbox._GEN_513 [2] \moduloSbox._GEN_513 [0] }, B=3'011, Y={ \moduloSbox._GEN_514 [3:2] \moduloSbox._GEN_514 [0] } |
| New connections: { \moduloSbox._GEN_514 [4] \moduloSbox._GEN_514 [1] } = { \moduloSbox._GEN_514 [2] \moduloSbox._GEN_514 [0] } |
| Consolidated identical input bits for $mux cell $flatten\moduloSbox.$ternary$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:517$1026: |
| Old ports: A=\moduloSbox._GEN_514, B=8'01111011, Y=\moduloSbox._GEN_515 |
| New ports: A=\moduloSbox._GEN_514 [4:0], B=5'11011, Y=\moduloSbox._GEN_515 [4:0] |
| New connections: \moduloSbox._GEN_515 [7:5] = 3'011 |
| Consolidated identical input bits for $mux cell $flatten\moduloSbox.$ternary$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:6$4: |
| Old ports: A=\moduloSbox._GEN_1 [4:0], B=5'10111, Y=\moduloSbox._GEN_2 [4:0] |
| New ports: A={ \moduloSbox._GEN_1 [2] \moduloSbox._GEN_1 [2] \moduloSbox._GEN_1 [0] }, B=3'011, Y={ \moduloSbox._GEN_2 [3:2] \moduloSbox._GEN_2 [0] } |
| New connections: { \moduloSbox._GEN_2 [4] \moduloSbox._GEN_2 [1] } = { \moduloSbox._GEN_2 [2] \moduloSbox._GEN_2 [0] } |
| Consolidated identical input bits for $mux cell $flatten\moduloSbox.$ternary$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:7$6: |
| Old ports: A=\moduloSbox._GEN_2, B=8'01111011, Y=\moduloSbox._GEN_3 |
| New ports: A=\moduloSbox._GEN_2 [4:0], B=5'11011, Y=\moduloSbox._GEN_3 [4:0] |
| New connections: \moduloSbox._GEN_3 [7:5] = 3'011 |
| Consolidated identical input bits for $mux cell $flatten\moduloSbox.$ternary$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:771$1534: |
| Old ports: A=\moduloSbox._GEN_769 [4:0], B=5'10111, Y=\moduloSbox._GEN_770 [4:0] |
| New ports: A={ \moduloSbox._GEN_769 [2] \moduloSbox._GEN_769 [2] \moduloSbox._GEN_769 [0] }, B=3'011, Y={ \moduloSbox._GEN_770 [3:2] \moduloSbox._GEN_770 [0] } |
| New connections: { \moduloSbox._GEN_770 [4] \moduloSbox._GEN_770 [1] } = { \moduloSbox._GEN_770 [2] \moduloSbox._GEN_770 [0] } |
| Consolidated identical input bits for $mux cell $flatten\moduloSbox.$ternary$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:772$1536: |
| Old ports: A=\moduloSbox._GEN_770, B=8'01111011, Y=\moduloSbox._GEN_771 |
| New ports: A=\moduloSbox._GEN_770 [4:0], B=5'11011, Y=\moduloSbox._GEN_771 [4:0] |
| New connections: \moduloSbox._GEN_771 [7:5] = 3'011 |
| Consolidated identical input bits for $mux cell $ternary$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1319$2261: |
| Old ports: A=\_GEN_92, B=4'1001, Y=\_GEN_101 |
| New ports: A={ \_GEN_92 [1] \_GEN_92 [1] 1'0 }, B=3'101, Y={ \_GEN_101 [3] \_GEN_101 [1:0] } |
| New connections: \_GEN_101 [2] = 1'0 |
| Optimizing cells in module \aes. |
| Consolidated identical input bits for $mux cell $flatten\moduloSbox.$ternary$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:262$516: |
| Old ports: A=\moduloSbox._GEN_258 [4:0], B=5'11011, Y=\moduloSbox._GEN_259 [4:0] |
| New ports: A={ \moduloSbox._GEN_258 [2] \moduloSbox._GEN_258 [3:2] \moduloSbox._GEN_258 [0] }, B=4'1101, Y={ \moduloSbox._GEN_259 [4:2] \moduloSbox._GEN_259 [0] } |
| New connections: \moduloSbox._GEN_259 [1] = \moduloSbox._GEN_259 [0] |
| Consolidated identical input bits for $mux cell $flatten\moduloSbox.$ternary$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:263$518: |
| Old ports: A=\moduloSbox._GEN_259, B=8'11110010, Y=\moduloSbox._GEN_260 |
| New ports: A={ 1'0 \moduloSbox._GEN_259 [4:0] }, B=6'110010, Y={ \moduloSbox._GEN_260 [7] \moduloSbox._GEN_260 [4:0] } |
| New connections: \moduloSbox._GEN_260 [6:5] = 2'11 |
| Consolidated identical input bits for $mux cell $flatten\moduloSbox.$ternary$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:517$1026: |
| Old ports: A=\moduloSbox._GEN_514 [4:0], B=5'11011, Y=\moduloSbox._GEN_515 [4:0] |
| New ports: A={ \moduloSbox._GEN_514 [2] \moduloSbox._GEN_514 [3:2] \moduloSbox._GEN_514 [0] }, B=4'1101, Y={ \moduloSbox._GEN_515 [4:2] \moduloSbox._GEN_515 [0] } |
| New connections: \moduloSbox._GEN_515 [1] = \moduloSbox._GEN_515 [0] |
| Consolidated identical input bits for $mux cell $flatten\moduloSbox.$ternary$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:518$1028: |
| Old ports: A=\moduloSbox._GEN_515, B=8'11110010, Y=\moduloSbox._GEN_516 |
| New ports: A={ 1'0 \moduloSbox._GEN_515 [4:0] }, B=6'110010, Y={ \moduloSbox._GEN_516 [7] \moduloSbox._GEN_516 [4:0] } |
| New connections: \moduloSbox._GEN_516 [6:5] = 2'11 |
| Consolidated identical input bits for $mux cell $flatten\moduloSbox.$ternary$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:7$6: |
| Old ports: A=\moduloSbox._GEN_2 [4:0], B=5'11011, Y=\moduloSbox._GEN_3 [4:0] |
| New ports: A={ \moduloSbox._GEN_2 [2] \moduloSbox._GEN_2 [3:2] \moduloSbox._GEN_2 [0] }, B=4'1101, Y={ \moduloSbox._GEN_3 [4:2] \moduloSbox._GEN_3 [0] } |
| New connections: \moduloSbox._GEN_3 [1] = \moduloSbox._GEN_3 [0] |
| Consolidated identical input bits for $mux cell $flatten\moduloSbox.$ternary$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:772$1536: |
| Old ports: A=\moduloSbox._GEN_770 [4:0], B=5'11011, Y=\moduloSbox._GEN_771 [4:0] |
| New ports: A={ \moduloSbox._GEN_770 [2] \moduloSbox._GEN_770 [3:2] \moduloSbox._GEN_770 [0] }, B=4'1101, Y={ \moduloSbox._GEN_771 [4:2] \moduloSbox._GEN_771 [0] } |
| New connections: \moduloSbox._GEN_771 [1] = \moduloSbox._GEN_771 [0] |
| Consolidated identical input bits for $mux cell $flatten\moduloSbox.$ternary$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:773$1538: |
| Old ports: A=\moduloSbox._GEN_771, B=8'11110010, Y=\moduloSbox._GEN_772 |
| New ports: A={ 1'0 \moduloSbox._GEN_771 [4:0] }, B=6'110010, Y={ \moduloSbox._GEN_772 [7] \moduloSbox._GEN_772 [4:0] } |
| New connections: \moduloSbox._GEN_772 [6:5] = 2'11 |
| Consolidated identical input bits for $mux cell $flatten\moduloSbox.$ternary$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:8$8: |
| Old ports: A=\moduloSbox._GEN_3, B=8'11110010, Y=\moduloSbox._GEN_4 |
| New ports: A={ 1'0 \moduloSbox._GEN_3 [4:0] }, B=6'110010, Y={ \moduloSbox._GEN_4 [7] \moduloSbox._GEN_4 [4:0] } |
| New connections: \moduloSbox._GEN_4 [6:5] = 2'11 |
| Consolidated identical input bits for $mux cell $ternary$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:1328$2270: |
| Old ports: A=\_GEN_101, B=4'1000, Y=\_GEN_110 |
| New ports: A={ \_GEN_101 [3] \_GEN_101 [1:0] }, B=3'100, Y={ \_GEN_110 [3] \_GEN_110 [1:0] } |
| New connections: \_GEN_110 [2] = 1'0 |
| Optimizing cells in module \aes. |
| Consolidated identical input bits for $mux cell $flatten\moduloSbox.$ternary$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:264$520: |
| Old ports: A=\moduloSbox._GEN_260, B=8'01101011, Y=\moduloSbox._GEN_261 |
| New ports: A={ \moduloSbox._GEN_260 [7] \moduloSbox._GEN_260 [4:0] }, B=6'001011, Y={ \moduloSbox._GEN_261 [7] \moduloSbox._GEN_261 [4:0] } |
| New connections: \moduloSbox._GEN_261 [6:5] = 2'11 |
| Consolidated identical input bits for $mux cell $flatten\moduloSbox.$ternary$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:519$1030: |
| Old ports: A=\moduloSbox._GEN_516, B=8'01101011, Y=\moduloSbox._GEN_517 |
| New ports: A={ \moduloSbox._GEN_516 [7] \moduloSbox._GEN_516 [4:0] }, B=6'001011, Y={ \moduloSbox._GEN_517 [7] \moduloSbox._GEN_517 [4:0] } |
| New connections: \moduloSbox._GEN_517 [6:5] = 2'11 |
| Consolidated identical input bits for $mux cell $flatten\moduloSbox.$ternary$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:774$1540: |
| Old ports: A=\moduloSbox._GEN_772, B=8'01101011, Y=\moduloSbox._GEN_773 |
| New ports: A={ \moduloSbox._GEN_772 [7] \moduloSbox._GEN_772 [4:0] }, B=6'001011, Y={ \moduloSbox._GEN_773 [7] \moduloSbox._GEN_773 [4:0] } |
| New connections: \moduloSbox._GEN_773 [6:5] = 2'11 |
| Consolidated identical input bits for $mux cell $flatten\moduloSbox.$ternary$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:9$10: |
| Old ports: A=\moduloSbox._GEN_4, B=8'01101011, Y=\moduloSbox._GEN_5 |
| New ports: A={ \moduloSbox._GEN_4 [7] \moduloSbox._GEN_4 [4:0] }, B=6'001011, Y={ \moduloSbox._GEN_5 [7] \moduloSbox._GEN_5 [4:0] } |
| New connections: \moduloSbox._GEN_5 [6:5] = 2'11 |
| Optimizing cells in module \aes. |
| Consolidated identical input bits for $mux cell $flatten\moduloSbox.$ternary$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:10$12: |
| Old ports: A=\moduloSbox._GEN_5, B=8'01101111, Y=\moduloSbox._GEN_6 |
| New ports: A={ \moduloSbox._GEN_5 [7] \moduloSbox._GEN_5 [4:0] }, B=6'001111, Y={ \moduloSbox._GEN_6 [7] \moduloSbox._GEN_6 [4:0] } |
| New connections: \moduloSbox._GEN_6 [6:5] = 2'11 |
| Consolidated identical input bits for $mux cell $flatten\moduloSbox.$ternary$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:265$522: |
| Old ports: A=\moduloSbox._GEN_261, B=8'01101111, Y=\moduloSbox._GEN_262 |
| New ports: A={ \moduloSbox._GEN_261 [7] \moduloSbox._GEN_261 [4:0] }, B=6'001111, Y={ \moduloSbox._GEN_262 [7] \moduloSbox._GEN_262 [4:0] } |
| New connections: \moduloSbox._GEN_262 [6:5] = 2'11 |
| Consolidated identical input bits for $mux cell $flatten\moduloSbox.$ternary$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:520$1032: |
| Old ports: A=\moduloSbox._GEN_517, B=8'01101111, Y=\moduloSbox._GEN_518 |
| New ports: A={ \moduloSbox._GEN_517 [7] \moduloSbox._GEN_517 [4:0] }, B=6'001111, Y={ \moduloSbox._GEN_518 [7] \moduloSbox._GEN_518 [4:0] } |
| New connections: \moduloSbox._GEN_518 [6:5] = 2'11 |
| Consolidated identical input bits for $mux cell $flatten\moduloSbox.$ternary$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:775$1542: |
| Old ports: A=\moduloSbox._GEN_773, B=8'01101111, Y=\moduloSbox._GEN_774 |
| New ports: A={ \moduloSbox._GEN_773 [7] \moduloSbox._GEN_773 [4:0] }, B=6'001111, Y={ \moduloSbox._GEN_774 [7] \moduloSbox._GEN_774 [4:0] } |
| New connections: \moduloSbox._GEN_774 [6:5] = 2'11 |
| Optimizing cells in module \aes. |
| Consolidated identical input bits for $mux cell $flatten\moduloSbox.$ternary$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:11$14: |
| Old ports: A=\moduloSbox._GEN_6, B=8'11000101, Y=\moduloSbox._GEN_7 |
| New ports: A={ \moduloSbox._GEN_6 [7] 1'1 \moduloSbox._GEN_6 [4:0] }, B=7'1000101, Y={ \moduloSbox._GEN_7 [7] \moduloSbox._GEN_7 [5:0] } |
| New connections: \moduloSbox._GEN_7 [6] = 1'1 |
| Consolidated identical input bits for $mux cell $flatten\moduloSbox.$ternary$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:266$524: |
| Old ports: A=\moduloSbox._GEN_262, B=8'11000101, Y=\moduloSbox._GEN_263 |
| New ports: A={ \moduloSbox._GEN_262 [7] 1'1 \moduloSbox._GEN_262 [4:0] }, B=7'1000101, Y={ \moduloSbox._GEN_263 [7] \moduloSbox._GEN_263 [5:0] } |
| New connections: \moduloSbox._GEN_263 [6] = 1'1 |
| Consolidated identical input bits for $mux cell $flatten\moduloSbox.$ternary$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:521$1034: |
| Old ports: A=\moduloSbox._GEN_518, B=8'11000101, Y=\moduloSbox._GEN_519 |
| New ports: A={ \moduloSbox._GEN_518 [7] 1'1 \moduloSbox._GEN_518 [4:0] }, B=7'1000101, Y={ \moduloSbox._GEN_519 [7] \moduloSbox._GEN_519 [5:0] } |
| New connections: \moduloSbox._GEN_519 [6] = 1'1 |
| Consolidated identical input bits for $mux cell $flatten\moduloSbox.$ternary$/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/../../verilog/rtl/aes/generated/aes.v:776$1544: |
| Old ports: A=\moduloSbox._GEN_774, B=8'11000101, Y=\moduloSbox._GEN_775 |
| New ports: A={ \moduloSbox._GEN_774 [7] 1'1 \moduloSbox._GEN_774 [4:0] }, B=7'1000101, Y={ \moduloSbox._GEN_775 [7] \moduloSbox._GEN_775 [5:0] } |
| New connections: \moduloSbox._GEN_775 [6] = 1'1 |
| Optimizing cells in module \aes. |
| Performed a total of 48 changes. |
| |
| 5.20.5. Executing OPT_MERGE pass (detect identical cells). |
| Finding identical cells in module `\aes'. |
| Removed a total of 0 cells. |
| |
| 5.20.6. Executing OPT_SHARE pass. |
| |
| 5.20.7. Executing OPT_DFF pass (perform DFF optimizations). |
| |
| 5.20.8. Executing OPT_CLEAN pass (remove unused cells and wires). |
| Finding unused cells or wires in module \aes.. |
| |
| 5.20.9. Executing OPT_EXPR pass (perform const folding). |
| Optimizing module aes. |
| <suppressed ~6 debug messages> |
| |
| 5.20.10. Rerunning OPT passes. (Maybe there is more to do..) |
| |
| 5.20.11. Executing OPT_MUXTREE pass (detect dead branches in mux trees). |
| Running muxtree optimizer on module \aes.. |
| Creating internal representation of mux trees. |
| Evaluating internal representation of mux trees. |
| Analyzing evaluation results. |
| Removed 0 multiplexer ports. |
| <suppressed ~32 debug messages> |
| |
| 5.20.12. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). |
| Optimizing cells in module \aes. |
| Performed a total of 0 changes. |
| |
| 5.20.13. Executing OPT_MERGE pass (detect identical cells). |
| Finding identical cells in module `\aes'. |
| Removed a total of 0 cells. |
| |
| 5.20.14. Executing OPT_SHARE pass. |
| |
| 5.20.15. Executing OPT_DFF pass (perform DFF optimizations). |
| |
| 5.20.16. Executing OPT_CLEAN pass (remove unused cells and wires). |
| Finding unused cells or wires in module \aes.. |
| |
| 5.20.17. Executing OPT_EXPR pass (perform const folding). |
| Optimizing module aes. |
| |
| 5.20.18. Finished OPT passes. (There is nothing left to do.) |
| |
| 5.21. Executing TECHMAP pass (map to technology primitives). |
| |
| 5.21.1. Executing Verilog-2005 frontend: /build/bin/../share/yosys/techmap.v |
| Parsing Verilog input from `/build/bin/../share/yosys/techmap.v' to AST representation. |
| Generating RTLIL representation for module `\_90_simplemap_bool_ops'. |
| Generating RTLIL representation for module `\_90_simplemap_reduce_ops'. |
| Generating RTLIL representation for module `\_90_simplemap_logic_ops'. |
| Generating RTLIL representation for module `\_90_simplemap_compare_ops'. |
| Generating RTLIL representation for module `\_90_simplemap_various'. |
| Generating RTLIL representation for module `\_90_simplemap_registers'. |
| Generating RTLIL representation for module `\_90_shift_ops_shr_shl_sshl_sshr'. |
| Generating RTLIL representation for module `\_90_shift_shiftx'. |
| Generating RTLIL representation for module `\_90_fa'. |
| Generating RTLIL representation for module `\_90_lcu'. |
| Generating RTLIL representation for module `\_90_alu'. |
| Generating RTLIL representation for module `\_90_macc'. |
| Generating RTLIL representation for module `\_90_alumacc'. |
| Generating RTLIL representation for module `\$__div_mod_u'. |
| Generating RTLIL representation for module `\$__div_mod_trunc'. |
| Generating RTLIL representation for module `\_90_div'. |
| Generating RTLIL representation for module `\_90_mod'. |
| Generating RTLIL representation for module `\$__div_mod_floor'. |
| Generating RTLIL representation for module `\_90_divfloor'. |
| Generating RTLIL representation for module `\_90_modfloor'. |
| Generating RTLIL representation for module `\_90_pow'. |
| Generating RTLIL representation for module `\_90_pmux'. |
| Generating RTLIL representation for module `\_90_lut'. |
| Successfully finished Verilog frontend. |
| |
| 5.21.2. Continuing TECHMAP pass. |
| Using extmapper simplemap for cells of type $reduce_or. |
| Using extmapper simplemap for cells of type $and. |
| Using extmapper simplemap for cells of type $reduce_and. |
| Using extmapper simplemap for cells of type $not. |
| Using extmapper simplemap for cells of type $reduce_bool. |
| Using extmapper simplemap for cells of type $dff. |
| Using extmapper simplemap for cells of type $ne. |
| Using extmapper simplemap for cells of type $logic_not. |
| Using extmapper simplemap for cells of type $eq. |
| Using extmapper simplemap for cells of type $mux. |
| Using template $paramod$32a7b7b86c07519b7537abc18e96f0331f97914d\_90_alu for cells of type $alu. |
| Using extmapper simplemap for cells of type $xor. |
| Using extmapper simplemap for cells of type $sdffe. |
| Using extmapper simplemap for cells of type $sdff. |
| Using extmapper simplemap for cells of type $or. |
| Using template $paramod$fc972a7a46956c1788f3cb5257b53c8f1df2d0cc\_90_alu for cells of type $alu. |
| Using extmapper simplemap for cells of type $pos. |
| Using template $paramod\_90_lcu\WIDTH=32'00000000000000000000000000000001 for cells of type $lcu. |
| Using template $paramod\_90_lcu\WIDTH=32'00000000000000000000000000000100 for cells of type $lcu. |
| No more expansions possible. |
| <suppressed ~2729 debug messages> |
| |
| 5.22. Executing OPT pass (performing simple optimizations). |
| |
| 5.22.1. Executing OPT_EXPR pass (perform const folding). |
| Optimizing module aes. |
| <suppressed ~17011 debug messages> |
| |
| 5.22.2. Executing OPT_MERGE pass (detect identical cells). |
| Finding identical cells in module `\aes'. |
| <suppressed ~30807 debug messages> |
| Removed a total of 10269 cells. |
| |
| 5.22.3. Executing OPT_DFF pass (perform DFF optimizations). |
| |
| 5.22.4. Executing OPT_CLEAN pass (remove unused cells and wires). |
| Finding unused cells or wires in module \aes.. |
| Removed 1031 unused cells and 9420 unused wires. |
| <suppressed ~1033 debug messages> |
| |
| 5.22.5. Finished fast OPT passes. |
| |
| 5.23. Executing ABC pass (technology mapping using ABC). |
| |
| 5.23.1. Extracting gate netlist of module `\aes' to `<abc-temp-dir>/input.blif'.. |
| Extracted 12004 gates and 12513 wires to a netlist network with 507 inputs and 378 outputs. |
| |
| 5.23.1.1. Executing ABC. |
| Running ABC command: <yosys-exe-dir>/yosys-abc -s -f <abc-temp-dir>/abc.script 2>&1 |
| ABC: ABC command line: "source <abc-temp-dir>/abc.script". |
| ABC: |
| ABC: + read_blif <abc-temp-dir>/input.blif |
| ABC: + read_library <abc-temp-dir>/stdcells.genlib |
| ABC: Entered genlib library with 13 gates from file "<abc-temp-dir>/stdcells.genlib". |
| ABC: + strash |
| ABC: + dretime |
| ABC: + map |
| ABC: + write_blif <abc-temp-dir>/output.blif |
| |
| 5.23.1.2. Re-integrating ABC results. |
| ABC RESULTS: AND cells: 112 |
| ABC RESULTS: ANDNOT cells: 4542 |
| ABC RESULTS: MUX cells: 1340 |
| ABC RESULTS: NAND cells: 59 |
| ABC RESULTS: NOR cells: 1008 |
| ABC RESULTS: NOT cells: 1104 |
| ABC RESULTS: OR cells: 4271 |
| ABC RESULTS: ORNOT cells: 94 |
| ABC RESULTS: XNOR cells: 52 |
| ABC RESULTS: XOR cells: 426 |
| ABC RESULTS: internal signals: 11628 |
| ABC RESULTS: input signals: 507 |
| ABC RESULTS: output signals: 378 |
| Removing temp directory. |
| |
| 5.24. Executing OPT pass (performing simple optimizations). |
| |
| 5.24.1. Executing OPT_EXPR pass (perform const folding). |
| Optimizing module aes. |
| <suppressed ~208 debug messages> |
| |
| 5.24.2. Executing OPT_MERGE pass (detect identical cells). |
| Finding identical cells in module `\aes'. |
| <suppressed ~15 debug messages> |
| Removed a total of 5 cells. |
| |
| 5.24.3. Executing OPT_DFF pass (perform DFF optimizations). |
| |
| 5.24.4. Executing OPT_CLEAN pass (remove unused cells and wires). |
| Finding unused cells or wires in module \aes.. |
| Removed 7 unused cells and 3194 unused wires. |
| <suppressed ~1099 debug messages> |
| |
| 5.24.5. Finished fast OPT passes. |
| |
| 5.25. Executing HIERARCHY pass (managing design hierarchy). |
| |
| 5.25.1. Analyzing design hierarchy.. |
| Top module: \aes |
| |
| 5.25.2. Analyzing design hierarchy.. |
| Top module: \aes |
| Removed 0 unused modules. |
| |
| 5.26. Printing statistics. |
| |
| === aes === |
| |
| Number of wires: 13119 |
| Number of wire bits: 15855 |
| Number of public wires: 192 |
| Number of public wire bits: 2928 |
| Number of memories: 0 |
| Number of memory bits: 0 |
| Number of processes: 0 |
| Number of cells: 13484 |
| $_ANDNOT_ 4542 |
| $_AND_ 112 |
| $_DFF_P_ 30 |
| $_MUX_ 1340 |
| $_NAND_ 59 |
| $_NOR_ 1008 |
| $_NOT_ 1097 |
| $_ORNOT_ 94 |
| $_OR_ 4266 |
| $_SDFFE_PN0P_ 36 |
| $_SDFFE_PP0P_ 389 |
| $_SDFF_PP0_ 33 |
| $_XNOR_ 52 |
| $_XOR_ 426 |
| |
| 5.27. Executing CHECK pass (checking for obvious problems). |
| Checking module aes... |
| Found and reported 0 problems. |
| |
| 6. Generating Graphviz representation of design. |
| Writing dot description to `/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/tmp/synthesis/post_techmap.dot'. |
| Dumping module aes to page 1. |
| |
| 7. Executing SHARE pass (SAT-based resource sharing). |
| |
| 8. Executing OPT pass (performing simple optimizations). |
| |
| 8.1. Executing OPT_EXPR pass (perform const folding). |
| Optimizing module aes. |
| |
| 8.2. Executing OPT_MERGE pass (detect identical cells). |
| Finding identical cells in module `\aes'. |
| Removed a total of 0 cells. |
| |
| 8.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). |
| Running muxtree optimizer on module \aes.. |
| Creating internal representation of mux trees. |
| No muxes found in this module. |
| Removed 0 multiplexer ports. |
| |
| 8.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). |
| Optimizing cells in module \aes. |
| Performed a total of 0 changes. |
| |
| 8.5. Executing OPT_MERGE pass (detect identical cells). |
| Finding identical cells in module `\aes'. |
| Removed a total of 0 cells. |
| |
| 8.6. Executing OPT_DFF pass (perform DFF optimizations). |
| |
| 8.7. Executing OPT_CLEAN pass (remove unused cells and wires). |
| Finding unused cells or wires in module \aes.. |
| |
| 8.8. Executing OPT_EXPR pass (perform const folding). |
| Optimizing module aes. |
| |
| 8.9. Finished OPT passes. (There is nothing left to do.) |
| |
| 9. Executing OPT_CLEAN pass (remove unused cells and wires). |
| Finding unused cells or wires in module \aes.. |
| Removed 0 unused cells and 138 unused wires. |
| <suppressed ~138 debug messages> |
| |
| 10. Printing statistics. |
| |
| === aes === |
| |
| Number of wires: 12981 |
| Number of wire bits: 13591 |
| Number of public wires: 54 |
| Number of public wire bits: 664 |
| Number of memories: 0 |
| Number of memory bits: 0 |
| Number of processes: 0 |
| Number of cells: 13484 |
| $_ANDNOT_ 4542 |
| $_AND_ 112 |
| $_DFF_P_ 30 |
| $_MUX_ 1340 |
| $_NAND_ 59 |
| $_NOR_ 1008 |
| $_NOT_ 1097 |
| $_ORNOT_ 94 |
| $_OR_ 4266 |
| $_SDFFE_PN0P_ 36 |
| $_SDFFE_PP0P_ 389 |
| $_SDFF_PP0_ 33 |
| $_XNOR_ 52 |
| $_XOR_ 426 |
| |
| mapping tbuf |
| |
| 11. Executing TECHMAP pass (map to technology primitives). |
| |
| 11.1. Executing Verilog-2005 frontend: /home/askartos/sandbox/caravel_tutorial/pdks/sky130A/libs.tech/openlane/sky130_fd_sc_hd/tribuff_map.v |
| Parsing Verilog input from `/home/askartos/sandbox/caravel_tutorial/pdks/sky130A/libs.tech/openlane/sky130_fd_sc_hd/tribuff_map.v' to AST representation. |
| Generating RTLIL representation for module `\$_TBUF_'. |
| Successfully finished Verilog frontend. |
| |
| 11.2. Continuing TECHMAP pass. |
| No more expansions possible. |
| <suppressed ~3 debug messages> |
| |
| 12. Executing SIMPLEMAP pass (map simple cells to gate primitives). |
| |
| 13. Executing TECHMAP pass (map to technology primitives). |
| |
| 13.1. Executing Verilog-2005 frontend: /home/askartos/sandbox/caravel_tutorial/pdks/sky130A/libs.tech/openlane/sky130_fd_sc_hd/latch_map.v |
| Parsing Verilog input from `/home/askartos/sandbox/caravel_tutorial/pdks/sky130A/libs.tech/openlane/sky130_fd_sc_hd/latch_map.v' to AST representation. |
| Generating RTLIL representation for module `\$_DLATCH_P_'. |
| Generating RTLIL representation for module `\$_DLATCH_N_'. |
| Successfully finished Verilog frontend. |
| |
| 13.2. Continuing TECHMAP pass. |
| No more expansions possible. |
| <suppressed ~4 debug messages> |
| |
| 14. Executing SIMPLEMAP pass (map simple cells to gate primitives). |
| |
| 15. Executing DFFLIBMAP pass (mapping DFF cells to sequential cells from liberty file). |
| cell sky130_fd_sc_hd__dfxtp_2 (noninv, pins=3, area=21.27) is a direct match for cell type $_DFF_P_. |
| cell sky130_fd_sc_hd__dfrtp_2 (noninv, pins=4, area=26.28) is a direct match for cell type $_DFF_PN0_. |
| cell sky130_fd_sc_hd__dfstp_2 (noninv, pins=4, area=26.28) is a direct match for cell type $_DFF_PN1_. |
| cell sky130_fd_sc_hd__dfbbn_2 (noninv, pins=6, area=35.03) is a direct match for cell type $_DFFSR_NNN_. |
| final dff cell mappings: |
| unmapped dff cell: $_DFF_N_ |
| \sky130_fd_sc_hd__dfxtp_2 _DFF_P_ (.CLK( C), .D( D), .Q( Q)); |
| unmapped dff cell: $_DFF_NN0_ |
| unmapped dff cell: $_DFF_NN1_ |
| unmapped dff cell: $_DFF_NP0_ |
| unmapped dff cell: $_DFF_NP1_ |
| \sky130_fd_sc_hd__dfrtp_2 _DFF_PN0_ (.CLK( C), .D( D), .Q( Q), .RESET_B( R)); |
| \sky130_fd_sc_hd__dfstp_2 _DFF_PN1_ (.CLK( C), .D( D), .Q( Q), .SET_B( R)); |
| unmapped dff cell: $_DFF_PP0_ |
| unmapped dff cell: $_DFF_PP1_ |
| \sky130_fd_sc_hd__dfbbn_2 _DFFSR_NNN_ (.CLK_N( C), .D( D), .Q( Q), .Q_N(~Q), .RESET_B( R), .SET_B( S)); |
| unmapped dff cell: $_DFFSR_NNP_ |
| unmapped dff cell: $_DFFSR_NPN_ |
| unmapped dff cell: $_DFFSR_NPP_ |
| unmapped dff cell: $_DFFSR_PNN_ |
| unmapped dff cell: $_DFFSR_PNP_ |
| unmapped dff cell: $_DFFSR_PPN_ |
| unmapped dff cell: $_DFFSR_PPP_ |
| |
| 15.1. Executing DFFLEGALIZE pass (convert FFs to types supported by the target). |
| Mapping DFF cells in module `\aes': |
| mapped 488 $_DFF_P_ cells to \sky130_fd_sc_hd__dfxtp_2 cells. |
| |
| 16. Printing statistics. |
| |
| === aes === |
| |
| Number of wires: 13864 |
| Number of wire bits: 14474 |
| Number of public wires: 54 |
| Number of public wire bits: 664 |
| Number of memories: 0 |
| Number of memory bits: 0 |
| Number of processes: 0 |
| Number of cells: 14367 |
| $_ANDNOT_ 4542 |
| $_AND_ 112 |
| $_MUX_ 2223 |
| $_NAND_ 59 |
| $_NOR_ 1008 |
| $_NOT_ 1097 |
| $_ORNOT_ 94 |
| $_OR_ 4266 |
| $_XNOR_ 52 |
| $_XOR_ 426 |
| sky130_fd_sc_hd__dfxtp_2 488 |
| |
| [INFO]: ABC: WireLoad : S_4 |
| |
| 17. Executing ABC pass (technology mapping using ABC). |
| |
| 17.1. Extracting gate netlist of module `\aes' to `/tmp/yosys-abc-AgCZuA/input.blif'.. |
| Extracted 13879 gates and 14387 wires to a netlist network with 507 inputs and 488 outputs. |
| |
| 17.1.1. Executing ABC. |
| Running ABC command: /build/bin/yosys-abc -s -f /tmp/yosys-abc-AgCZuA/abc.script 2>&1 |
| ABC: ABC command line: "source /tmp/yosys-abc-AgCZuA/abc.script". |
| ABC: |
| ABC: + read_blif /tmp/yosys-abc-AgCZuA/input.blif |
| ABC: + read_lib -w /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/tmp/synthesis/trimmed.lib |
| ABC: Parsing finished successfully. Parsing time = 0.09 sec |
| ABC: Scl_LibertyReadGenlib() skipped sequential cell "sky130_fd_sc_hd__dfbbn_2". |
| ABC: Scl_LibertyReadGenlib() skipped sequential cell "sky130_fd_sc_hd__dfrbp_2". |
| ABC: Scl_LibertyReadGenlib() skipped sequential cell "sky130_fd_sc_hd__dfrtp_2". |
| ABC: Scl_LibertyReadGenlib() skipped sequential cell "sky130_fd_sc_hd__dfrtp_4". |
| ABC: Scl_LibertyReadGenlib() skipped sequential cell "sky130_fd_sc_hd__dfsbp_2". |
| ABC: Scl_LibertyReadGenlib() skipped sequential cell "sky130_fd_sc_hd__dfstp_2". |
| ABC: Scl_LibertyReadGenlib() skipped sequential cell "sky130_fd_sc_hd__dfstp_4". |
| ABC: Scl_LibertyReadGenlib() skipped sequential cell "sky130_fd_sc_hd__dfxbp_2". |
| ABC: Scl_LibertyReadGenlib() skipped sequential cell "sky130_fd_sc_hd__dfxtp_2". |
| ABC: Scl_LibertyReadGenlib() skipped sequential cell "sky130_fd_sc_hd__dfxtp_4". |
| ABC: Scl_LibertyReadGenlib() skipped sequential cell "sky130_fd_sc_hd__dlxtn_1". |
| ABC: Scl_LibertyReadGenlib() skipped sequential cell "sky130_fd_sc_hd__dlxtn_2". |
| ABC: Scl_LibertyReadGenlib() skipped sequential cell "sky130_fd_sc_hd__dlxtn_4". |
| ABC: Scl_LibertyReadGenlib() skipped sequential cell "sky130_fd_sc_hd__dlxtp_1". |
| ABC: Scl_LibertyReadGenlib() skipped three-state cell "sky130_fd_sc_hd__ebufn_2". |
| ABC: Scl_LibertyReadGenlib() skipped three-state cell "sky130_fd_sc_hd__ebufn_4". |
| ABC: Scl_LibertyReadGenlib() skipped three-state cell "sky130_fd_sc_hd__ebufn_8". |
| ABC: Library "sky130A_merged" from "/home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/tmp/synthesis/trimmed.lib" has 175 cells (17 skipped: 14 seq; 3 tri-state; 0 no func; 0 dont_use). Time = 0.13 sec |
| ABC: Memory = 7.77 MB. Time = 0.13 sec |
| ABC: Warning: Detected 2 multi-output gates (for example, "sky130_fd_sc_hd__fa_1"). |
| ABC: + read_constr -v /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/tmp/synthesis/synthesis.sdc |
| ABC: Setting driving cell to be "sky130_fd_sc_hd__inv_2". |
| ABC: Setting output load to be 33.442001. |
| ABC: + read_constr /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/tmp/synthesis/synthesis.sdc |
| ABC: + fx |
| ABC: + mfs |
| ABC: + strash |
| ABC: + refactor |
| ABC: + balance |
| ABC: + rewrite |
| ABC: + refactor |
| ABC: + balance |
| ABC: + rewrite |
| ABC: + rewrite -z |
| ABC: + balance |
| ABC: + refactor -z |
| ABC: + rewrite -z |
| ABC: + balance |
| ABC: + retime -D -D 15000 -M 5 |
| ABC: + scleanup |
| ABC: Error: The network is combinational. |
| ABC: + fraig_store |
| ABC: + balance |
| ABC: + fraig_store |
| ABC: + balance |
| ABC: + rewrite |
| ABC: + refactor |
| ABC: + balance |
| ABC: + rewrite |
| ABC: + rewrite -z |
| ABC: + balance |
| ABC: + refactor -z |
| ABC: + rewrite -z |
| ABC: + balance |
| ABC: + fraig_store |
| ABC: + balance |
| ABC: + rewrite |
| ABC: + refactor |
| ABC: + balance |
| ABC: + rewrite |
| ABC: + rewrite -z |
| ABC: + balance |
| ABC: + refactor -z |
| ABC: + rewrite -z |
| ABC: + balance |
| ABC: + fraig_store |
| ABC: + balance |
| ABC: + rewrite |
| ABC: + refactor |
| ABC: + balance |
| ABC: + rewrite |
| ABC: + rewrite -z |
| ABC: + balance |
| ABC: + refactor -z |
| ABC: + rewrite -z |
| ABC: + balance |
| ABC: + fraig_store |
| ABC: + fraig_restore |
| ABC: + amap -m -Q 0.1 -F 20 -A 20 -C 5000 |
| ABC: + retime -D -D 15000 |
| ABC: + &get -n |
| ABC: + &st |
| ABC: + &dch |
| ABC: + &nf |
| ABC: + &put |
| ABC: + buffer -N 5 -S 750.0 |
| ABC: + upsize -D 15000 |
| ABC: Current delay (12642.97 ps) does not exceed the target delay (15000.00 ps). Upsizing is not performed. |
| ABC: + dnsize -D 15000 |
| ABC: + stime -p |
| ABC: WireLoad = "none" Gates = 7023 ( 27.8 %) Cap = 9.0 ff ( 6.7 %) Area = 53358.68 ( 72.2 %) Delay = 12920.89 ps ( 7.3 %) |
| ABC: Path 0 -- 469 : 0 5 pi A = 0.00 Df = 68.1 -36.8 ps S = 101.3 ps Cin = 0.0 ff Cout = 20.9 ff Cmax = 0.0 ff G = 0 |
| ABC: Path 1 -- 1170 : 1 5 sky130_fd_sc_hd__buf_1 A = 3.75 Df = 308.4 -116.6 ps S = 252.8 ps Cin = 2.1 ff Cout = 20.9 ff Cmax = 130.0 ff G = 958 |
| ABC: Path 2 -- 1171 : 1 5 sky130_fd_sc_hd__buf_1 A = 3.75 Df = 533.4 -169.3 ps S = 195.5 ps Cin = 2.1 ff Cout = 15.9 ff Cmax = 130.0 ff G = 729 |
| ABC: Path 3 -- 1234 : 1 5 sky130_fd_sc_hd__buf_1 A = 3.75 Df = 789.6 -244.5 ps S = 252.3 ps Cin = 2.1 ff Cout = 20.9 ff Cmax = 130.0 ff G = 958 |
| ABC: Path 4 -- 1270 : 1 5 sky130_fd_sc_hd__buf_1 A = 3.75 Df =1073.4 -326.2 ps S = 280.1 ps Cin = 2.1 ff Cout = 23.3 ff Cmax = 130.0 ff G = 1073 |
| ABC: Path 5 -- 2606 : 3 1 sky130_fd_sc_hd__nand3b_2 A = 11.26 Df =1170.6 -324.8 ps S = 64.8 ps Cin = 3.5 ff Cout = 2.5 ff Cmax = 263.7 ff G = 67 |
| ABC: Path 6 -- 2608 : 5 2 sky130_fd_sc_hd__a221o_2 A = 11.26 Df =1508.3 -353.3 ps S = 57.5 ps Cin = 2.3 ff Cout = 4.3 ff Cmax = 299.4 ff G = 176 |
| ABC: Path 7 -- 2665 : 4 4 sky130_fd_sc_hd__o2bb2a_2 A = 11.26 Df =1805.7 -409.2 ps S = 114.1 ps Cin = 1.8 ff Cout = 18.3 ff Cmax = 294.8 ff G = 1006 |
| ABC: Path 8 -- 2714 : 2 3 sky130_fd_sc_hd__nor2_2 A = 6.26 Df =1875.5 -226.6 ps S = 150.2 ps Cin = 4.4 ff Cout = 11.4 ff Cmax = 141.9 ff G = 247 |
| ABC: Path 9 -- 3009 : 2 5 sky130_fd_sc_hd__nand2_2 A = 6.26 Df =1988.6 -172.2 ps S = 113.2 ps Cin = 4.4 ff Cout = 18.6 ff Cmax = 295.7 ff G = 399 |
| ABC: Path 10 -- 3010 : 3 2 sky130_fd_sc_hd__a21oi_2 A = 8.76 Df =2122.4 -69.6 ps S = 86.1 ps Cin = 4.6 ff Cout = 3.2 ff Cmax = 128.2 ff G = 65 |
| ABC: Path 11 -- 3246 : 4 1 sky130_fd_sc_hd__or4b_2 A = 10.01 Df =2692.0 -223.2 ps S = 90.9 ps Cin = 1.5 ff Cout = 1.6 ff Cmax = 265.5 ff G = 101 |
| ABC: Path 12 -- 3248 : 4 1 sky130_fd_sc_hd__or4b_2 A = 10.01 Df =3291.1 -690.7 ps S = 91.9 ps Cin = 1.5 ff Cout = 1.7 ff Cmax = 265.5 ff G = 110 |
| ABC: Path 13 -- 3250 : 3 1 sky130_fd_sc_hd__or3_2 A = 7.51 Df =3732.6 -986.7 ps S = 68.6 ps Cin = 1.5 ff Cout = 1.5 ff Cmax = 310.4 ff G = 90 |
| ABC: Path 14 -- 3251 : 3 1 sky130_fd_sc_hd__or3_2 A = 7.51 Df =4128.9-1300.4 ps S = 69.2 ps Cin = 1.5 ff Cout = 1.6 ff Cmax = 310.4 ff G = 98 |
| ABC: Path 15 -- 3255 : 4 1 sky130_fd_sc_hd__or4bb_2 A = 12.51 Df =4743.5-1823.8 ps S = 86.7 ps Cin = 1.5 ff Cout = 1.8 ff Cmax = 312.2 ff G = 115 |
| ABC: Path 16 -- 3261 : 4 1 sky130_fd_sc_hd__or4_2 A = 8.76 Df =5373.0-2363.5 ps S = 89.8 ps Cin = 1.5 ff Cout = 1.4 ff Cmax = 310.4 ff G = 89 |
| ABC: Path 17 -- 3262 : 4 1 sky130_fd_sc_hd__or4_2 A = 8.76 Df =5899.3-2806.0 ps S = 89.9 ps Cin = 1.5 ff Cout = 1.4 ff Cmax = 310.4 ff G = 89 |
| ABC: Path 18 -- 3263 : 4 1 sky130_fd_sc_hd__or4_2 A = 8.76 Df =6425.7-3248.5 ps S = 89.9 ps Cin = 1.5 ff Cout = 1.4 ff Cmax = 310.4 ff G = 89 |
| ABC: Path 19 -- 3264 : 4 1 sky130_fd_sc_hd__or4_2 A = 8.76 Df =6952.1-3691.0 ps S = 89.9 ps Cin = 1.5 ff Cout = 1.4 ff Cmax = 310.4 ff G = 89 |
| ABC: Path 20 -- 3265 : 4 1 sky130_fd_sc_hd__or4_2 A = 8.76 Df =7478.8-4133.7 ps S = 90.1 ps Cin = 1.5 ff Cout = 1.5 ff Cmax = 310.4 ff G = 91 |
| ABC: Path 21 -- 3266 : 3 1 sky130_fd_sc_hd__or3_2 A = 7.51 Df =7887.3-4455.5 ps S = 72.4 ps Cin = 1.5 ff Cout = 2.4 ff Cmax = 310.4 ff G = 147 |
| ABC: Path 22 -- 3267 : 5 1 sky130_fd_sc_hd__a311o_2 A = 11.26 Df =8185.5-4674.3 ps S = 47.7 ps Cin = 2.3 ff Cout = 1.6 ff Cmax = 298.5 ff G = 65 |
| ABC: Path 23 -- 3269 : 4 1 sky130_fd_sc_hd__or4_2 A = 8.76 Df =8780.1-5172.7 ps S = 96.0 ps Cin = 1.5 ff Cout = 2.6 ff Cmax = 310.4 ff G = 163 |
| ABC: Path 24 -- 3270 : 5 1 sky130_fd_sc_hd__a2111o_2 A = 12.51 Df =9174.4-5489.8 ps S = 54.1 ps Cin = 2.4 ff Cout = 1.4 ff Cmax = 324.1 ff G = 55 |
| ABC: Path 25 -- 3271 : 4 1 sky130_fd_sc_hd__or4_2 A = 8.76 Df =9690.5-5920.7 ps S = 90.0 ps Cin = 1.5 ff Cout = 1.4 ff Cmax = 310.4 ff G = 89 |
| ABC: Path 26 -- 3272 : 4 1 sky130_fd_sc_hd__or4_2 A = 8.76 Df =10218.7-6364.3 ps S = 90.9 ps Cin = 1.5 ff Cout = 1.6 ff Cmax = 310.4 ff G = 100 |
| ABC: Path 27 -- 3274 : 4 1 sky130_fd_sc_hd__or4_2 A = 8.76 Df =10844.0-6888.8 ps S = 107.4 ps Cin = 1.5 ff Cout = 4.5 ff Cmax = 310.4 ff G = 292 |
| ABC: Path 28 -- 3275 : 3 1 sky130_fd_sc_hd__o21ai_2 A = 8.76 Df =10914.4-6919.8 ps S = 81.1 ps Cin = 4.5 ff Cout = 2.4 ff Cmax = 139.2 ff G = 50 |
| ABC: Path 29 -- 3279 : 5 1 sky130_fd_sc_hd__a311o_2 A = 11.26 Df =11064.9-6774.1 ps S = 48.4 ps Cin = 2.3 ff Cout = 1.7 ff Cmax = 298.5 ff G = 70 |
| ABC: Path 30 -- 3280 : 2 2 sky130_fd_sc_hd__and2b_2 A = 8.76 Df =11224.0-6721.1 ps S = 70.8 ps Cin = 1.6 ff Cout = 10.6 ff Cmax = 310.4 ff G = 654 |
| ABC: Path 31 -- 3281 : 1 5 sky130_fd_sc_hd__buf_1 A = 3.75 Df =11504.1-6813.7 ps S = 322.5 ps Cin = 2.1 ff Cout = 26.9 ff Cmax = 130.0 ff G = 1233 |
| ABC: Path 32 -- 3282 : 2 4 sky130_fd_sc_hd__xnor2_2 A = 16.27 Df =11746.9-6848.8 ps S = 183.8 ps Cin = 8.5 ff Cout = 9.9 ff Cmax = 121.8 ff G = 110 |
| ABC: Path 33 -- 3283 : 5 1 sky130_fd_sc_hd__a32o_2 A = 11.26 Df =11979.8-6940.8 ps S = 41.0 ps Cin = 2.3 ff Cout = 1.8 ff Cmax = 264.6 ff G = 72 |
| ABC: Path 34 -- 3284 : 3 1 sky130_fd_sc_hd__mux2_2 A = 11.26 Df =12229.6-7060.8 ps S = 46.1 ps Cin = 2.3 ff Cout = 2.5 ff Cmax = 297.6 ff G = 102 |
| ABC: Path 35 -- 3286 : 4 1 sky130_fd_sc_hd__o211a_2 A = 10.01 Df =12457.3-7137.0 ps S = 41.1 ps Cin = 2.4 ff Cout = 2.5 ff Cmax = 268.3 ff G = 99 |
| ABC: Path 36 -- 3291 : 5 1 sky130_fd_sc_hd__o311a_2 A = 11.26 Df =12920.9-7316.9 ps S = 187.9 ps Cin = 2.4 ff Cout = 33.4 ff Cmax = 293.9 ff G = 1423 |
| ABC: Start-point = pi468 (\_T_43). End-point = po41 ($auto$rtlil.cc:2515:MuxGate$61743). |
| ABC: + print_stats -m |
| ABC: netlist : i/o = 507/ 488 lat = 0 nd = 7023 edge = 17895 area =53360.79 delay =39.00 lev = 39 |
| ABC: + write_blif /tmp/yosys-abc-AgCZuA/output.blif |
| |
| 17.1.2. Re-integrating ABC results. |
| ABC RESULTS: sky130_fd_sc_hd__a2111o_2 cells: 18 |
| ABC RESULTS: sky130_fd_sc_hd__a2111oi_2 cells: 2 |
| ABC RESULTS: sky130_fd_sc_hd__a211o_2 cells: 103 |
| ABC RESULTS: sky130_fd_sc_hd__a211oi_2 cells: 27 |
| ABC RESULTS: sky130_fd_sc_hd__a21bo_2 cells: 6 |
| ABC RESULTS: sky130_fd_sc_hd__a21boi_2 cells: 1 |
| ABC RESULTS: sky130_fd_sc_hd__a21o_2 cells: 239 |
| ABC RESULTS: sky130_fd_sc_hd__a21oi_2 cells: 302 |
| ABC RESULTS: sky130_fd_sc_hd__a221o_2 cells: 156 |
| ABC RESULTS: sky130_fd_sc_hd__a22o_2 cells: 197 |
| ABC RESULTS: sky130_fd_sc_hd__a22oi_2 cells: 1 |
| ABC RESULTS: sky130_fd_sc_hd__a2bb2o_2 cells: 22 |
| ABC RESULTS: sky130_fd_sc_hd__a311o_2 cells: 63 |
| ABC RESULTS: sky130_fd_sc_hd__a31o_2 cells: 126 |
| ABC RESULTS: sky130_fd_sc_hd__a31oi_2 cells: 7 |
| ABC RESULTS: sky130_fd_sc_hd__a32o_2 cells: 87 |
| ABC RESULTS: sky130_fd_sc_hd__a41o_2 cells: 26 |
| ABC RESULTS: sky130_fd_sc_hd__and2_2 cells: 55 |
| ABC RESULTS: sky130_fd_sc_hd__and2b_2 cells: 6 |
| ABC RESULTS: sky130_fd_sc_hd__and3_2 cells: 90 |
| ABC RESULTS: sky130_fd_sc_hd__and3b_2 cells: 23 |
| ABC RESULTS: sky130_fd_sc_hd__and4_2 cells: 17 |
| ABC RESULTS: sky130_fd_sc_hd__and4b_2 cells: 13 |
| ABC RESULTS: sky130_fd_sc_hd__and4bb_2 cells: 20 |
| ABC RESULTS: sky130_fd_sc_hd__buf_1 cells: 1805 |
| ABC RESULTS: sky130_fd_sc_hd__inv_2 cells: 145 |
| ABC RESULTS: sky130_fd_sc_hd__mux2_2 cells: 149 |
| ABC RESULTS: sky130_fd_sc_hd__nand2_2 cells: 569 |
| ABC RESULTS: sky130_fd_sc_hd__nand3_2 cells: 5 |
| ABC RESULTS: sky130_fd_sc_hd__nand3b_2 cells: 33 |
| ABC RESULTS: sky130_fd_sc_hd__nand4_2 cells: 2 |
| ABC RESULTS: sky130_fd_sc_hd__nor2_2 cells: 644 |
| ABC RESULTS: sky130_fd_sc_hd__nor3_2 cells: 14 |
| ABC RESULTS: sky130_fd_sc_hd__nor4_2 cells: 2 |
| ABC RESULTS: sky130_fd_sc_hd__o2111a_2 cells: 31 |
| ABC RESULTS: sky130_fd_sc_hd__o2111ai_2 cells: 1 |
| ABC RESULTS: sky130_fd_sc_hd__o211a_2 cells: 331 |
| ABC RESULTS: sky130_fd_sc_hd__o211ai_2 cells: 8 |
| ABC RESULTS: sky130_fd_sc_hd__o21a_2 cells: 147 |
| ABC RESULTS: sky130_fd_sc_hd__o21ai_2 cells: 115 |
| ABC RESULTS: sky130_fd_sc_hd__o21ba_2 cells: 8 |
| ABC RESULTS: sky130_fd_sc_hd__o21bai_2 cells: 9 |
| ABC RESULTS: sky130_fd_sc_hd__o221a_2 cells: 148 |
| ABC RESULTS: sky130_fd_sc_hd__o221ai_2 cells: 4 |
| ABC RESULTS: sky130_fd_sc_hd__o22a_2 cells: 89 |
| ABC RESULTS: sky130_fd_sc_hd__o22ai_2 cells: 11 |
| ABC RESULTS: sky130_fd_sc_hd__o2bb2a_2 cells: 37 |
| ABC RESULTS: sky130_fd_sc_hd__o311a_2 cells: 48 |
| ABC RESULTS: sky130_fd_sc_hd__o31a_2 cells: 19 |
| ABC RESULTS: sky130_fd_sc_hd__o31ai_2 cells: 2 |
| ABC RESULTS: sky130_fd_sc_hd__o32a_2 cells: 60 |
| ABC RESULTS: sky130_fd_sc_hd__o41a_2 cells: 4 |
| ABC RESULTS: sky130_fd_sc_hd__or2_2 cells: 321 |
| ABC RESULTS: sky130_fd_sc_hd__or2b_2 cells: 13 |
| ABC RESULTS: sky130_fd_sc_hd__or3_2 cells: 90 |
| ABC RESULTS: sky130_fd_sc_hd__or3b_2 cells: 36 |
| ABC RESULTS: sky130_fd_sc_hd__or4_2 cells: 174 |
| ABC RESULTS: sky130_fd_sc_hd__or4b_2 cells: 78 |
| ABC RESULTS: sky130_fd_sc_hd__or4bb_2 cells: 8 |
| ABC RESULTS: sky130_fd_sc_hd__xnor2_2 cells: 207 |
| ABC RESULTS: sky130_fd_sc_hd__xor2_2 cells: 49 |
| ABC RESULTS: internal signals: 13392 |
| ABC RESULTS: input signals: 507 |
| ABC RESULTS: output signals: 488 |
| Removing temp directory. |
| |
| 18. Executing SETUNDEF pass (replace undef values with defined constants). |
| |
| 19. Executing HILOMAP pass (mapping to constant drivers). |
| |
| 20. Executing SPLITNETS pass (splitting up multi-bit signals). |
| |
| 21. Executing OPT_CLEAN pass (remove unused cells and wires). |
| Finding unused cells or wires in module \aes.. |
| Removed 0 unused cells and 14420 unused wires. |
| <suppressed ~103 debug messages> |
| |
| 22. Executing INSBUF pass (insert buffer cells for connected wires). |
| |
| 23. Executing CHECK pass (checking for obvious problems). |
| Checking module aes... |
| Warning: Wire aes.\io_wbs_dat_o [31] is used but has no driver. |
| Warning: Wire aes.\io_wbs_dat_o [30] is used but has no driver. |
| Warning: Wire aes.\io_wbs_dat_o [29] is used but has no driver. |
| Warning: Wire aes.\io_wbs_dat_o [28] is used but has no driver. |
| Warning: Wire aes.\io_wbs_dat_o [27] is used but has no driver. |
| Warning: Wire aes.\io_wbs_dat_o [26] is used but has no driver. |
| Warning: Wire aes.\io_wbs_dat_o [25] is used but has no driver. |
| Warning: Wire aes.\io_wbs_dat_o [24] is used but has no driver. |
| Warning: Wire aes.\io_wbs_dat_o [23] is used but has no driver. |
| Warning: Wire aes.\io_wbs_dat_o [22] is used but has no driver. |
| Warning: Wire aes.\io_wbs_dat_o [21] is used but has no driver. |
| Warning: Wire aes.\io_wbs_dat_o [20] is used but has no driver. |
| Warning: Wire aes.\io_wbs_dat_o [19] is used but has no driver. |
| Warning: Wire aes.\io_wbs_dat_o [18] is used but has no driver. |
| Warning: Wire aes.\io_wbs_dat_o [17] is used but has no driver. |
| Warning: Wire aes.\io_wbs_dat_o [16] is used but has no driver. |
| Warning: Wire aes.\io_wbs_dat_o [15] is used but has no driver. |
| Warning: Wire aes.\io_wbs_dat_o [14] is used but has no driver. |
| Warning: Wire aes.\io_wbs_dat_o [13] is used but has no driver. |
| Warning: Wire aes.\io_wbs_dat_o [12] is used but has no driver. |
| Warning: Wire aes.\io_wbs_dat_o [11] is used but has no driver. |
| Warning: Wire aes.\io_wbs_dat_o [10] is used but has no driver. |
| Warning: Wire aes.\io_wbs_dat_o [9] is used but has no driver. |
| Warning: Wire aes.\io_wbs_dat_o [8] is used but has no driver. |
| Warning: Wire aes.\io_wbs_dat_o [7] is used but has no driver. |
| Warning: Wire aes.\io_wbs_dat_o [6] is used but has no driver. |
| Warning: Wire aes.\io_wbs_dat_o [5] is used but has no driver. |
| Warning: Wire aes.\io_wbs_dat_o [4] is used but has no driver. |
| Warning: Wire aes.\io_wbs_dat_o [3] is used but has no driver. |
| Warning: Wire aes.\io_wbs_dat_o [2] is used but has no driver. |
| Warning: Wire aes.\io_wbs_dat_o [1] is used but has no driver. |
| Warning: Wire aes.\io_wbs_dat_o [0] is used but has no driver. |
| Warning: Wire aes.\io_wbs_ack_o is used but has no driver. |
| Found and reported 33 problems. |
| |
| 24. Printing statistics. |
| |
| === aes === |
| |
| Number of wires: 7488 |
| Number of wire bits: 7584 |
| Number of public wires: 466 |
| Number of public wire bits: 562 |
| Number of memories: 0 |
| Number of memory bits: 0 |
| Number of processes: 0 |
| Number of cells: 7511 |
| sky130_fd_sc_hd__a2111o_2 18 |
| sky130_fd_sc_hd__a2111oi_2 2 |
| sky130_fd_sc_hd__a211o_2 103 |
| sky130_fd_sc_hd__a211oi_2 27 |
| sky130_fd_sc_hd__a21bo_2 6 |
| sky130_fd_sc_hd__a21boi_2 1 |
| sky130_fd_sc_hd__a21o_2 239 |
| sky130_fd_sc_hd__a21oi_2 302 |
| sky130_fd_sc_hd__a221o_2 156 |
| sky130_fd_sc_hd__a22o_2 197 |
| sky130_fd_sc_hd__a22oi_2 1 |
| sky130_fd_sc_hd__a2bb2o_2 22 |
| sky130_fd_sc_hd__a311o_2 63 |
| sky130_fd_sc_hd__a31o_2 126 |
| sky130_fd_sc_hd__a31oi_2 7 |
| sky130_fd_sc_hd__a32o_2 87 |
| sky130_fd_sc_hd__a41o_2 26 |
| sky130_fd_sc_hd__and2_2 55 |
| sky130_fd_sc_hd__and2b_2 6 |
| sky130_fd_sc_hd__and3_2 90 |
| sky130_fd_sc_hd__and3b_2 23 |
| sky130_fd_sc_hd__and4_2 17 |
| sky130_fd_sc_hd__and4b_2 13 |
| sky130_fd_sc_hd__and4bb_2 20 |
| sky130_fd_sc_hd__buf_1 1805 |
| sky130_fd_sc_hd__dfxtp_2 488 |
| sky130_fd_sc_hd__inv_2 145 |
| sky130_fd_sc_hd__mux2_2 149 |
| sky130_fd_sc_hd__nand2_2 569 |
| sky130_fd_sc_hd__nand3_2 5 |
| sky130_fd_sc_hd__nand3b_2 33 |
| sky130_fd_sc_hd__nand4_2 2 |
| sky130_fd_sc_hd__nor2_2 644 |
| sky130_fd_sc_hd__nor3_2 14 |
| sky130_fd_sc_hd__nor4_2 2 |
| sky130_fd_sc_hd__o2111a_2 31 |
| sky130_fd_sc_hd__o2111ai_2 1 |
| sky130_fd_sc_hd__o211a_2 331 |
| sky130_fd_sc_hd__o211ai_2 8 |
| sky130_fd_sc_hd__o21a_2 147 |
| sky130_fd_sc_hd__o21ai_2 115 |
| sky130_fd_sc_hd__o21ba_2 8 |
| sky130_fd_sc_hd__o21bai_2 9 |
| sky130_fd_sc_hd__o221a_2 148 |
| sky130_fd_sc_hd__o221ai_2 4 |
| sky130_fd_sc_hd__o22a_2 89 |
| sky130_fd_sc_hd__o22ai_2 11 |
| sky130_fd_sc_hd__o2bb2a_2 37 |
| sky130_fd_sc_hd__o311a_2 48 |
| sky130_fd_sc_hd__o31a_2 19 |
| sky130_fd_sc_hd__o31ai_2 2 |
| sky130_fd_sc_hd__o32a_2 60 |
| sky130_fd_sc_hd__o41a_2 4 |
| sky130_fd_sc_hd__or2_2 321 |
| sky130_fd_sc_hd__or2b_2 13 |
| sky130_fd_sc_hd__or3_2 90 |
| sky130_fd_sc_hd__or3b_2 36 |
| sky130_fd_sc_hd__or4_2 174 |
| sky130_fd_sc_hd__or4b_2 78 |
| sky130_fd_sc_hd__or4bb_2 8 |
| sky130_fd_sc_hd__xnor2_2 207 |
| sky130_fd_sc_hd__xor2_2 49 |
| |
| Chip area for module '\aes': 63738.630400 |
| |
| 25. Executing Verilog backend. |
| Dumping module `\aes'. |
| |
| Warnings: 33 unique messages, 33 total |
| End of script. Logfile hash: 21211af123, CPU: user 15.86s system 0.10s, MEM: 121.94 MB peak |
| Yosys 0.12+45 (git sha1 UNKNOWN, gcc 8.3.1 -fPIC -Os) |
| Time spent: 65% 2x abc (29 sec), 11% 24x opt_expr (4 sec), ... |
| [INFO]: Changing netlist from 0 to /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/results/synthesis/aes.v |
| [INFO]: Incremented step index to 1. |
| [INFO]: Running Static Timing Analysis... |
| OpenROAD 8d53e9b018dec98fa63e907ddeb6c5406f035361 |
| This program is licensed under the BSD-3 license. See the LICENSE file for details. |
| Components of this program may be licensed under more restrictive licenses which must be honored. |
| [INFO ODB-0222] Reading LEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/tmp/merged_unpadded.lef |
| [INFO ODB-0223] Created 13 technology layers |
| [INFO ODB-0224] Created 25 technology vias |
| [INFO ODB-0225] Created 441 library cells |
| [INFO ODB-0226] Finished LEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/tmp/merged_unpadded.lef |
| [WARNING ORD-1011] LEF master sky130_fd_sc_hd__buf_1 has no liberty cell. |
| [WARNING ORD-1011] LEF master sky130_fd_sc_hd__or2b_2 has no liberty cell. |
| [WARNING ORD-1011] LEF master sky130_fd_sc_hd__or2_2 has no liberty cell. |
| [WARNING ORD-1011] LEF master sky130_fd_sc_hd__nor2_2 has no liberty cell. |
| [WARNING ORD-1011] LEF master sky130_fd_sc_hd__nand2_2 has no liberty cell. |
| [WARNING ORD-1011] LEF master sky130_fd_sc_hd__o21a_2 has no liberty cell. |
| [WARNING ORD-1011] LEF master sky130_fd_sc_hd__and4_2 has no liberty cell. |
| [WARNING ORD-1011] LEF master sky130_fd_sc_hd__nor3_2 has no liberty cell. |
| [WARNING ORD-1011] LEF master sky130_fd_sc_hd__or3b_2 has no liberty cell. |
| [WARNING ORD-1011] LEF master sky130_fd_sc_hd__nand3_2 has no liberty cell. |
| [WARNING ORD-1011] LEF master sky130_fd_sc_hd__o21bai_2 has no liberty cell. |
| [WARNING ORD-1011] LEF master sky130_fd_sc_hd__a31o_2 has no liberty cell. |
| [WARNING ORD-1011] LEF master sky130_fd_sc_hd__and4bb_2 has no liberty cell. |
| [WARNING ORD-1011] LEF master sky130_fd_sc_hd__or3_2 has no liberty cell. |
| [WARNING ORD-1011] LEF master sky130_fd_sc_hd__and3_2 has no liberty cell. |
| [WARNING ORD-1011] LEF master sky130_fd_sc_hd__inv_2 has no liberty cell. |
| [WARNING ORD-1011] LEF master sky130_fd_sc_hd__and2b_2 has no liberty cell. |
| [WARNING ORD-1011] LEF master sky130_fd_sc_hd__or4_2 has no liberty cell. |
| [WARNING ORD-1011] LEF master sky130_fd_sc_hd__o21ai_2 has no liberty cell. |
| [WARNING ORD-1011] LEF master sky130_fd_sc_hd__o31a_2 has no liberty cell. |
| [WARNING ORD-1011] LEF master sky130_fd_sc_hd__and2_2 has no liberty cell. |
| [WARNING ORD-1011] LEF master sky130_fd_sc_hd__a211o_2 has no liberty cell. |
| [WARNING ORD-1011] LEF master sky130_fd_sc_hd__nor4_2 has no liberty cell. |
| [WARNING ORD-1011] LEF master sky130_fd_sc_hd__a22o_2 has no liberty cell. |
| [WARNING ORD-1011] LEF master sky130_fd_sc_hd__a221o_2 has no liberty cell. |
| [WARNING ORD-1011] LEF master sky130_fd_sc_hd__a21o_2 has no liberty cell. |
| [WARNING ORD-1011] LEF master sky130_fd_sc_hd__a2111o_2 has no liberty cell. |
| [WARNING ORD-1011] LEF master sky130_fd_sc_hd__a21oi_2 has no liberty cell. |
| [WARNING ORD-1011] LEF master sky130_fd_sc_hd__nand3b_2 has no liberty cell. |
| [WARNING ORD-1011] LEF master sky130_fd_sc_hd__o2bb2a_2 has no liberty cell. |
| [WARNING ORD-1011] LEF master sky130_fd_sc_hd__a2bb2o_2 has no liberty cell. |
| [WARNING ORD-1011] LEF master sky130_fd_sc_hd__o22a_2 has no liberty cell. |
| [WARNING ORD-1011] LEF master sky130_fd_sc_hd__o22ai_2 has no liberty cell. |
| [WARNING ORD-1011] LEF master sky130_fd_sc_hd__or4b_2 has no liberty cell. |
| [WARNING ORD-1011] LEF master sky130_fd_sc_hd__o221a_2 has no liberty cell. |
| [WARNING ORD-1011] LEF master sky130_fd_sc_hd__a31oi_2 has no liberty cell. |
| [WARNING ORD-1011] LEF master sky130_fd_sc_hd__o32a_2 has no liberty cell. |
| [WARNING ORD-1011] LEF master sky130_fd_sc_hd__o311a_2 has no liberty cell. |
| [WARNING ORD-1011] LEF master sky130_fd_sc_hd__mux2_2 has no liberty cell. |
| [WARNING ORD-1011] LEF master sky130_fd_sc_hd__xnor2_2 has no liberty cell. |
| [WARNING ORD-1011] LEF master sky130_fd_sc_hd__a32o_2 has no liberty cell. |
| [WARNING ORD-1011] LEF master sky130_fd_sc_hd__and3b_2 has no liberty cell. |
| [WARNING ORD-1011] LEF master sky130_fd_sc_hd__o211a_2 has no liberty cell. |
| [WARNING ORD-1011] LEF master sky130_fd_sc_hd__a311o_2 has no liberty cell. |
| [WARNING ORD-1011] LEF master sky130_fd_sc_hd__a41o_2 has no liberty cell. |
| [WARNING ORD-1011] LEF master sky130_fd_sc_hd__o221ai_2 has no liberty cell. |
| [WARNING ORD-1011] LEF master sky130_fd_sc_hd__xor2_2 has no liberty cell. |
| [WARNING ORD-1011] LEF master sky130_fd_sc_hd__o2111a_2 has no liberty cell. |
| [WARNING ORD-1011] LEF master sky130_fd_sc_hd__o41a_2 has no liberty cell. |
| [WARNING ORD-1011] LEF master sky130_fd_sc_hd__and4b_2 has no liberty cell. |
| [WARNING ORD-1011] LEF master sky130_fd_sc_hd__a211oi_2 has no liberty cell. |
| [WARNING ORD-1011] LEF master sky130_fd_sc_hd__o31ai_2 has no liberty cell. |
| [WARNING ORD-1011] LEF master sky130_fd_sc_hd__o21ba_2 has no liberty cell. |
| [WARNING ORD-1011] LEF master sky130_fd_sc_hd__o211ai_2 has no liberty cell. |
| [WARNING ORD-1011] LEF master sky130_fd_sc_hd__or4bb_2 has no liberty cell. |
| [WARNING ORD-1011] LEF master sky130_fd_sc_hd__nand4_2 has no liberty cell. |
| [WARNING ORD-1011] LEF master sky130_fd_sc_hd__a2111oi_2 has no liberty cell. |
| [WARNING ORD-1011] LEF master sky130_fd_sc_hd__a21bo_2 has no liberty cell. |
| [WARNING ORD-1011] LEF master sky130_fd_sc_hd__o2111ai_2 has no liberty cell. |
| [WARNING ORD-1011] LEF master sky130_fd_sc_hd__a21boi_2 has no liberty cell. |
| [WARNING ORD-1011] LEF master sky130_fd_sc_hd__a22oi_2 has no liberty cell. |
| [WARNING ORD-1011] LEF master sky130_fd_sc_hd__dfxtp_2 has no liberty cell. |
| if {[info exists ::env(CLOCK_PORT)] && $::env(CLOCK_PORT) != ""} { |
| create_clock [get_ports $::env(CLOCK_PORT)] -name $::env(CLOCK_PORT) -period $::env(CLOCK_PERIOD) |
| } else { |
| create_clock -name __VIRTUAL_CLK__ -period $::env(CLOCK_PERIOD) |
| set ::env(CLOCK_PORT) __VIRTUAL_CLK__ |
| } |
| set input_delay_value [expr $::env(CLOCK_PERIOD) * $::env(IO_PCT)] |
| set output_delay_value [expr $::env(CLOCK_PERIOD) * $::env(IO_PCT)] |
| puts "\[INFO\]: Setting output delay to: $output_delay_value" |
| [INFO]: Setting output delay to: 3.0 |
| puts "\[INFO\]: Setting input delay to: $input_delay_value" |
| [INFO]: Setting input delay to: 3.0 |
| set_max_fanout $::env(SYNTH_MAX_FANOUT) [current_design] |
| set clk_indx [lsearch [all_inputs] [get_port $::env(CLOCK_PORT)]] |
| #set rst_indx [lsearch [all_inputs] [get_port resetn]] |
| set all_inputs_wo_clk [lreplace [all_inputs] $clk_indx $clk_indx] |
| #set all_inputs_wo_clk_rst [lreplace $all_inputs_wo_clk $rst_indx $rst_indx] |
| set all_inputs_wo_clk_rst $all_inputs_wo_clk |
| # correct resetn |
| set_input_delay $input_delay_value -clock [get_clocks $::env(CLOCK_PORT)] $all_inputs_wo_clk_rst |
| #set_input_delay 0.0 -clock [get_clocks $::env(CLOCK_PORT)] {resetn} |
| set_output_delay $output_delay_value -clock [get_clocks $::env(CLOCK_PORT)] [all_outputs] |
| # TODO set this as parameter |
| set_driving_cell -lib_cell $::env(SYNTH_DRIVING_CELL) -pin $::env(SYNTH_DRIVING_CELL_PIN) [all_inputs] |
| set cap_load [expr $::env(SYNTH_CAP_LOAD) / 1000.0] |
| puts "\[INFO\]: Setting load to: $cap_load" |
| [INFO]: Setting load to: 0.033442 |
| set_load $cap_load [all_outputs] |
| puts "\[INFO\]: Setting clock uncertainity to: $::env(SYNTH_CLOCK_UNCERTAINITY)" |
| [INFO]: Setting clock uncertainity to: 0.25 |
| set_clock_uncertainty $::env(SYNTH_CLOCK_UNCERTAINITY) [get_clocks $::env(CLOCK_PORT)] |
| puts "\[INFO\]: Setting clock transition to: $::env(SYNTH_CLOCK_TRANSITION)" |
| [INFO]: Setting clock transition to: 0.15 |
| set_clock_transition $::env(SYNTH_CLOCK_TRANSITION) [get_clocks $::env(CLOCK_PORT)] |
| puts "\[INFO\]: Setting timing derate to: [expr {$::env(SYNTH_TIMING_DERATE) * 10}] %" |
| [INFO]: Setting timing derate to: 0.5 % |
| set_timing_derate -early [expr {1-$::env(SYNTH_TIMING_DERATE)}] |
| set_timing_derate -late [expr {1+$::env(SYNTH_TIMING_DERATE)}] |
| min_report |
| |
| =========================================================================== |
| report_checks -path_delay min (Hold) |
| ============================================================================ |
| Startpoint: _14059_ (rising edge-triggered flip-flop clocked by clock) |
| Endpoint: _14059_ (rising edge-triggered flip-flop clocked by clock) |
| Path Group: clock |
| Path Type: min |
| |
| Fanout Cap Slew Delay Time Description |
| ----------------------------------------------------------------------------- |
| 0.15 0.00 0.00 clock clock (rise edge) |
| 0.00 0.00 clock network delay (ideal) |
| 0.15 0.00 0.00 ^ _14059_/CLK (sky130_fd_sc_hd__dfxtp_2) |
| 0.04 0.33 0.33 ^ _14059_/Q (sky130_fd_sc_hd__dfxtp_2) |
| 2 0.00 _T_54 (net) |
| 0.04 0.00 0.33 ^ _07210_/A1 (sky130_fd_sc_hd__a211o_2) |
| 0.02 0.10 0.43 ^ _07210_/X (sky130_fd_sc_hd__a211o_2) |
| 1 0.00 _00023_ (net) |
| 0.02 0.00 0.43 ^ _14059_/D (sky130_fd_sc_hd__dfxtp_2) |
| 0.43 data arrival time |
| |
| 0.15 0.00 0.00 clock clock (rise edge) |
| 0.00 0.00 clock network delay (ideal) |
| 0.25 0.25 clock uncertainty |
| 0.00 0.25 clock reconvergence pessimism |
| 0.25 ^ _14059_/CLK (sky130_fd_sc_hd__dfxtp_2) |
| -0.01 0.24 library hold time |
| 0.24 data required time |
| ----------------------------------------------------------------------------- |
| 0.24 data required time |
| -0.43 data arrival time |
| ----------------------------------------------------------------------------- |
| 0.20 slack (MET) |
| |
| |
| Startpoint: _14302_ (rising edge-triggered flip-flop clocked by clock) |
| Endpoint: _14527_ (rising edge-triggered flip-flop clocked by clock) |
| Path Group: clock |
| Path Type: min |
| |
| Fanout Cap Slew Delay Time Description |
| ----------------------------------------------------------------------------- |
| 0.15 0.00 0.00 clock clock (rise edge) |
| 0.00 0.00 clock network delay (ideal) |
| 0.15 0.00 0.00 ^ _14302_/CLK (sky130_fd_sc_hd__dfxtp_2) |
| 0.04 0.34 0.34 v _14302_/Q (sky130_fd_sc_hd__dfxtp_2) |
| 3 0.01 _T_1[256] (net) |
| 0.04 0.01 0.34 v _07075_/A (sky130_fd_sc_hd__inv_2) |
| 0.05 0.06 0.40 ^ _07075_/Y (sky130_fd_sc_hd__inv_2) |
| 2 0.01 _00534_ (net) |
| 0.05 0.01 0.40 ^ _14044_/A (sky130_fd_sc_hd__nor2_2) |
| 0.02 0.03 0.44 v _14044_/Y (sky130_fd_sc_hd__nor2_2) |
| 1 0.00 _00486_ (net) |
| 0.02 0.00 0.44 v _14527_/D (sky130_fd_sc_hd__dfxtp_2) |
| 0.44 data arrival time |
| |
| 0.15 0.00 0.00 clock clock (rise edge) |
| 0.00 0.00 clock network delay (ideal) |
| 0.25 0.25 clock uncertainty |
| 0.00 0.25 clock reconvergence pessimism |
| 0.25 ^ _14527_/CLK (sky130_fd_sc_hd__dfxtp_2) |
| -0.01 0.24 library hold time |
| 0.24 data required time |
| ----------------------------------------------------------------------------- |
| 0.24 data required time |
| -0.44 data arrival time |
| ----------------------------------------------------------------------------- |
| 0.20 slack (MET) |
| |
| |
| Startpoint: _14084_ (rising edge-triggered flip-flop clocked by clock) |
| Endpoint: _14084_ (rising edge-triggered flip-flop clocked by clock) |
| Path Group: clock |
| Path Type: min |
| |
| Fanout Cap Slew Delay Time Description |
| ----------------------------------------------------------------------------- |
| 0.15 0.00 0.00 clock clock (rise edge) |
| 0.00 0.00 clock network delay (ideal) |
| 0.15 0.00 0.00 ^ _14084_/CLK (sky130_fd_sc_hd__dfxtp_2) |
| 0.06 0.34 0.34 ^ _14084_/Q (sky130_fd_sc_hd__dfxtp_2) |
| 3 0.01 _T_157 (net) |
| 0.06 0.00 0.35 ^ _07149_/A1 (sky130_fd_sc_hd__a211o_2) |
| 0.02 0.10 0.45 ^ _07149_/X (sky130_fd_sc_hd__a211o_2) |
| 1 0.00 _00016_ (net) |
| 0.02 0.00 0.46 ^ _14084_/D (sky130_fd_sc_hd__dfxtp_2) |
| 0.46 data arrival time |
| |
| 0.15 0.00 0.00 clock clock (rise edge) |
| 0.00 0.00 clock network delay (ideal) |
| 0.25 0.25 clock uncertainty |
| 0.00 0.25 clock reconvergence pessimism |
| 0.25 ^ _14084_/CLK (sky130_fd_sc_hd__dfxtp_2) |
| -0.01 0.24 library hold time |
| 0.24 data required time |
| ----------------------------------------------------------------------------- |
| 0.24 data required time |
| -0.46 data arrival time |
| ----------------------------------------------------------------------------- |
| 0.22 slack (MET) |
| |
| |
| Startpoint: _14112_ (rising edge-triggered flip-flop clocked by clock) |
| Endpoint: _14112_ (rising edge-triggered flip-flop clocked by clock) |
| Path Group: clock |
| Path Type: min |
| |
| Fanout Cap Slew Delay Time Description |
| ----------------------------------------------------------------------------- |
| 0.15 0.00 0.00 clock clock (rise edge) |
| 0.00 0.00 clock network delay (ideal) |
| 0.15 0.00 0.00 ^ _14112_/CLK (sky130_fd_sc_hd__dfxtp_2) |
| 0.06 0.34 0.34 ^ _14112_/Q (sky130_fd_sc_hd__dfxtp_2) |
| 3 0.01 _T_136 (net) |
| 0.06 0.00 0.35 ^ _07134_/A1 (sky130_fd_sc_hd__a211o_2) |
| 0.03 0.10 0.45 ^ _07134_/X (sky130_fd_sc_hd__a211o_2) |
| 1 0.00 _00011_ (net) |
| 0.03 0.00 0.46 ^ _14112_/D (sky130_fd_sc_hd__dfxtp_2) |
| 0.46 data arrival time |
| |
| 0.15 0.00 0.00 clock clock (rise edge) |
| 0.00 0.00 clock network delay (ideal) |
| 0.25 0.25 clock uncertainty |
| 0.00 0.25 clock reconvergence pessimism |
| 0.25 ^ _14112_/CLK (sky130_fd_sc_hd__dfxtp_2) |
| -0.01 0.24 library hold time |
| 0.24 data required time |
| ----------------------------------------------------------------------------- |
| 0.24 data required time |
| -0.46 data arrival time |
| ----------------------------------------------------------------------------- |
| 0.22 slack (MET) |
| |
| |
| Startpoint: _14045_ (rising edge-triggered flip-flop clocked by clock) |
| Endpoint: _14045_ (rising edge-triggered flip-flop clocked by clock) |
| Path Group: clock |
| Path Type: min |
| |
| Fanout Cap Slew Delay Time Description |
| ----------------------------------------------------------------------------- |
| 0.15 0.00 0.00 clock clock (rise edge) |
| 0.00 0.00 clock network delay (ideal) |
| 0.15 0.00 0.00 ^ _14045_/CLK (sky130_fd_sc_hd__dfxtp_2) |
| 0.06 0.35 0.35 ^ _14045_/Q (sky130_fd_sc_hd__dfxtp_2) |
| 3 0.01 _T_115 (net) |
| 0.06 0.00 0.35 ^ _07180_/A1 (sky130_fd_sc_hd__a211o_2) |
| 0.02 0.11 0.45 ^ _07180_/X (sky130_fd_sc_hd__a211o_2) |
| 1 0.00 _00006_ (net) |
| 0.02 0.00 0.46 ^ _14045_/D (sky130_fd_sc_hd__dfxtp_2) |
| 0.46 data arrival time |
| |
| 0.15 0.00 0.00 clock clock (rise edge) |
| 0.00 0.00 clock network delay (ideal) |
| 0.25 0.25 clock uncertainty |
| 0.00 0.25 clock reconvergence pessimism |
| 0.25 ^ _14045_/CLK (sky130_fd_sc_hd__dfxtp_2) |
| -0.01 0.24 library hold time |
| 0.24 data required time |
| ----------------------------------------------------------------------------- |
| 0.24 data required time |
| -0.46 data arrival time |
| ----------------------------------------------------------------------------- |
| 0.22 slack (MET) |
| |
| |
| min_report_end |
| max_report |
| |
| =========================================================================== |
| report_checks -path_delay max (Setup) |
| ============================================================================ |
| Startpoint: _14528_ (rising edge-triggered flip-flop clocked by clock) |
| Endpoint: _14063_ (rising edge-triggered flip-flop clocked by clock) |
| Path Group: clock |
| Path Type: max |
| |
| Fanout Cap Slew Delay Time Description |
| ----------------------------------------------------------------------------- |
| 0.15 0.00 0.00 clock clock (rise edge) |
| 0.00 0.00 clock network delay (ideal) |
| 0.15 0.00 0.00 ^ _14528_/CLK (sky130_fd_sc_hd__dfxtp_2) |
| 0.12 0.43 0.43 ^ _14528_/Q (sky130_fd_sc_hd__dfxtp_2) |
| 5 0.02 _T_43 (net) |
| 0.12 0.00 0.43 ^ _07196_/A (sky130_fd_sc_hd__buf_1) |
| 0.26 0.26 0.69 ^ _07196_/X (sky130_fd_sc_hd__buf_1) |
| 5 0.02 _00630_ (net) |
| 0.26 0.00 0.70 ^ _07197_/A (sky130_fd_sc_hd__buf_1) |
| 0.21 0.24 0.94 ^ _07197_/X (sky130_fd_sc_hd__buf_1) |
| 5 0.02 _00631_ (net) |
| 0.21 0.00 0.94 ^ _07260_/A (sky130_fd_sc_hd__buf_1) |
| 0.26 0.28 1.22 ^ _07260_/X (sky130_fd_sc_hd__buf_1) |
| 5 0.02 _00691_ (net) |
| 0.26 0.00 1.22 ^ _07296_/A (sky130_fd_sc_hd__buf_1) |
| 0.29 0.31 1.53 ^ _07296_/X (sky130_fd_sc_hd__buf_1) |
| 5 0.02 _00727_ (net) |
| 0.29 0.01 1.54 ^ _07297_/B (sky130_fd_sc_hd__nand3b_2) |
| 0.07 0.11 1.64 v _07297_/Y (sky130_fd_sc_hd__nand3b_2) |
| 1 0.00 _00728_ (net) |
| 0.07 0.00 1.65 v _07302_/B1 (sky130_fd_sc_hd__a221o_2) |
| 0.06 0.36 2.01 v _07302_/X (sky130_fd_sc_hd__a221o_2) |
| 2 0.00 _00733_ (net) |
| 0.06 0.00 2.01 v _07367_/A2_N (sky130_fd_sc_hd__o2bb2a_2) |
| 0.07 0.27 2.28 ^ _07367_/X (sky130_fd_sc_hd__o2bb2a_2) |
| 5 0.01 _00798_ (net) |
| 0.07 0.00 2.29 ^ _07413_/A (sky130_fd_sc_hd__or3b_2) |
| 0.09 0.17 2.45 ^ _07413_/X (sky130_fd_sc_hd__or3b_2) |
| 4 0.01 _00844_ (net) |
| 0.09 0.00 2.45 ^ _07415_/A (sky130_fd_sc_hd__buf_1) |
| 0.20 0.21 2.67 ^ _07415_/X (sky130_fd_sc_hd__buf_1) |
| 5 0.02 _00846_ (net) |
| 0.20 0.01 2.67 ^ _08099_/B (sky130_fd_sc_hd__nor2_2) |
| 0.04 0.05 2.72 v _08099_/Y (sky130_fd_sc_hd__nor2_2) |
| 2 0.00 _01527_ (net) |
| 0.04 0.00 2.73 v _08100_/C (sky130_fd_sc_hd__or4b_2) |
| 0.09 0.62 3.34 v _08100_/X (sky130_fd_sc_hd__or4b_2) |
| 1 0.00 _01528_ (net) |
| 0.09 0.00 3.35 v _08104_/B (sky130_fd_sc_hd__or4b_2) |
| 0.09 0.66 4.01 v _08104_/X (sky130_fd_sc_hd__or4b_2) |
| 1 0.00 _01532_ (net) |
| 0.09 0.00 4.01 v _08106_/C (sky130_fd_sc_hd__or4_2) |
| 0.09 0.63 4.64 v _08106_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.00 _01534_ (net) |
| 0.09 0.00 4.65 v _08109_/B (sky130_fd_sc_hd__or4b_2) |
| 0.09 0.66 5.30 v _08109_/X (sky130_fd_sc_hd__or4b_2) |
| 1 0.00 _01537_ (net) |
| 0.09 0.00 5.31 v _08112_/B (sky130_fd_sc_hd__or4b_2) |
| 0.09 0.66 5.97 v _08112_/X (sky130_fd_sc_hd__or4b_2) |
| 1 0.00 _01540_ (net) |
| 0.09 0.00 5.97 v _08116_/C (sky130_fd_sc_hd__or4_2) |
| 0.09 0.63 6.60 v _08116_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.00 _01544_ (net) |
| 0.09 0.00 6.60 v _08117_/D (sky130_fd_sc_hd__or4_2) |
| 0.09 0.56 7.16 v _08117_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.00 _01545_ (net) |
| 0.09 0.00 7.16 v _08118_/D (sky130_fd_sc_hd__or4_2) |
| 0.09 0.56 7.72 v _08118_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.00 _01546_ (net) |
| 0.09 0.00 7.72 v _08119_/D (sky130_fd_sc_hd__or4_2) |
| 0.09 0.56 8.28 v _08119_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.00 _01547_ (net) |
| 0.09 0.00 8.28 v _08120_/D (sky130_fd_sc_hd__or4_2) |
| 0.11 0.59 8.87 v _08120_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.00 _01548_ (net) |
| 0.11 0.01 8.88 v _08121_/B (sky130_fd_sc_hd__nor2_2) |
| 0.07 0.10 8.97 ^ _08121_/Y (sky130_fd_sc_hd__nor2_2) |
| 1 0.00 _01549_ (net) |
| 0.07 0.00 8.98 ^ _08122_/C1 (sky130_fd_sc_hd__o311a_2) |
| 0.04 0.16 9.14 ^ _08122_/X (sky130_fd_sc_hd__o311a_2) |
| 1 0.00 _01550_ (net) |
| 0.04 0.00 9.14 ^ _08123_/D_N (sky130_fd_sc_hd__or4b_2) |
| 0.10 0.60 9.74 v _08123_/X (sky130_fd_sc_hd__or4b_2) |
| 1 0.00 _01551_ (net) |
| 0.10 0.00 9.74 v _08124_/B1 (sky130_fd_sc_hd__a211o_2) |
| 0.04 0.32 10.07 v _08124_/X (sky130_fd_sc_hd__a211o_2) |
| 1 0.00 _01552_ (net) |
| 0.04 0.00 10.07 v _08125_/D (sky130_fd_sc_hd__or4_2) |
| 0.09 0.54 10.61 v _08125_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.00 _01553_ (net) |
| 0.09 0.00 10.62 v _08126_/D (sky130_fd_sc_hd__or4_2) |
| 0.09 0.56 11.18 v _08126_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.00 _01554_ (net) |
| 0.09 0.00 11.18 v _08128_/C (sky130_fd_sc_hd__or4_2) |
| 0.10 0.64 11.82 v _08128_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.00 _01556_ (net) |
| 0.10 0.00 11.82 v _08129_/B2 (sky130_fd_sc_hd__o22a_2) |
| 0.04 0.20 12.02 v _08129_/X (sky130_fd_sc_hd__o22a_2) |
| 1 0.00 _01557_ (net) |
| 0.04 0.00 12.03 v _08133_/A2 (sky130_fd_sc_hd__o31a_2) |
| 0.06 0.34 12.36 v _08133_/X (sky130_fd_sc_hd__o31a_2) |
| 1 0.00 _01561_ (net) |
| 0.06 0.01 12.37 v _08143_/A (sky130_fd_sc_hd__nor2_2) |
| 0.15 0.17 12.54 ^ _08143_/Y (sky130_fd_sc_hd__nor2_2) |
| 2 0.01 _01571_ (net) |
| 0.15 0.01 12.55 ^ _08148_/A (sky130_fd_sc_hd__xnor2_2) |
| 0.07 0.11 12.66 v _08148_/Y (sky130_fd_sc_hd__xnor2_2) |
| 4 0.01 _01576_ (net) |
| 0.07 0.00 12.67 v _08149_/B2 (sky130_fd_sc_hd__a32o_2) |
| 0.04 0.25 12.91 v _08149_/X (sky130_fd_sc_hd__a32o_2) |
| 1 0.00 _01577_ (net) |
| 0.04 0.00 12.92 v _08152_/A2 (sky130_fd_sc_hd__a221o_2) |
| 0.05 0.39 13.30 v _08152_/X (sky130_fd_sc_hd__a221o_2) |
| 1 0.00 _01580_ (net) |
| 0.05 0.00 13.31 v _08186_/A1 (sky130_fd_sc_hd__a21o_2) |
| 0.03 0.19 13.50 v _08186_/X (sky130_fd_sc_hd__a21o_2) |
| 1 0.00 _01614_ (net) |
| 0.03 0.00 13.50 v _08193_/A2 (sky130_fd_sc_hd__a311o_2) |
| 0.05 0.36 13.86 v _08193_/X (sky130_fd_sc_hd__a311o_2) |
| 1 0.00 _01621_ (net) |
| 0.05 0.00 13.87 v _08194_/B1 (sky130_fd_sc_hd__o211a_2) |
| 0.04 0.13 14.00 v _08194_/X (sky130_fd_sc_hd__o211a_2) |
| 1 0.00 _00032_ (net) |
| 0.04 0.00 14.01 v _14063_/D (sky130_fd_sc_hd__dfxtp_2) |
| 14.01 data arrival time |
| |
| 0.15 15.00 15.00 clock clock (rise edge) |
| 0.00 15.00 clock network delay (ideal) |
| -0.25 14.75 clock uncertainty |
| 0.00 14.75 clock reconvergence pessimism |
| 14.75 ^ _14063_/CLK (sky130_fd_sc_hd__dfxtp_2) |
| -0.09 14.66 library setup time |
| 14.66 data required time |
| ----------------------------------------------------------------------------- |
| 14.66 data required time |
| -14.01 data arrival time |
| ----------------------------------------------------------------------------- |
| 0.66 slack (MET) |
| |
| |
| Startpoint: _14528_ (rising edge-triggered flip-flop clocked by clock) |
| Endpoint: _14091_ (rising edge-triggered flip-flop clocked by clock) |
| Path Group: clock |
| Path Type: max |
| |
| Fanout Cap Slew Delay Time Description |
| ----------------------------------------------------------------------------- |
| 0.15 0.00 0.00 clock clock (rise edge) |
| 0.00 0.00 clock network delay (ideal) |
| 0.15 0.00 0.00 ^ _14528_/CLK (sky130_fd_sc_hd__dfxtp_2) |
| 0.12 0.43 0.43 ^ _14528_/Q (sky130_fd_sc_hd__dfxtp_2) |
| 5 0.02 _T_43 (net) |
| 0.12 0.00 0.43 ^ _07196_/A (sky130_fd_sc_hd__buf_1) |
| 0.26 0.26 0.69 ^ _07196_/X (sky130_fd_sc_hd__buf_1) |
| 5 0.02 _00630_ (net) |
| 0.26 0.00 0.70 ^ _07197_/A (sky130_fd_sc_hd__buf_1) |
| 0.21 0.24 0.94 ^ _07197_/X (sky130_fd_sc_hd__buf_1) |
| 5 0.02 _00631_ (net) |
| 0.21 0.00 0.94 ^ _07260_/A (sky130_fd_sc_hd__buf_1) |
| 0.26 0.28 1.22 ^ _07260_/X (sky130_fd_sc_hd__buf_1) |
| 5 0.02 _00691_ (net) |
| 0.26 0.00 1.22 ^ _07296_/A (sky130_fd_sc_hd__buf_1) |
| 0.29 0.31 1.53 ^ _07296_/X (sky130_fd_sc_hd__buf_1) |
| 5 0.02 _00727_ (net) |
| 0.29 0.01 1.54 ^ _07297_/B (sky130_fd_sc_hd__nand3b_2) |
| 0.07 0.11 1.64 v _07297_/Y (sky130_fd_sc_hd__nand3b_2) |
| 1 0.00 _00728_ (net) |
| 0.07 0.00 1.65 v _07302_/B1 (sky130_fd_sc_hd__a221o_2) |
| 0.06 0.36 2.01 v _07302_/X (sky130_fd_sc_hd__a221o_2) |
| 2 0.00 _00733_ (net) |
| 0.06 0.00 2.01 v _07367_/A2_N (sky130_fd_sc_hd__o2bb2a_2) |
| 0.07 0.27 2.28 ^ _07367_/X (sky130_fd_sc_hd__o2bb2a_2) |
| 5 0.01 _00798_ (net) |
| 0.07 0.00 2.29 ^ _07413_/A (sky130_fd_sc_hd__or3b_2) |
| 0.09 0.17 2.45 ^ _07413_/X (sky130_fd_sc_hd__or3b_2) |
| 4 0.01 _00844_ (net) |
| 0.09 0.00 2.45 ^ _07415_/A (sky130_fd_sc_hd__buf_1) |
| 0.20 0.21 2.67 ^ _07415_/X (sky130_fd_sc_hd__buf_1) |
| 5 0.02 _00846_ (net) |
| 0.20 0.01 2.67 ^ _08099_/B (sky130_fd_sc_hd__nor2_2) |
| 0.04 0.05 2.72 v _08099_/Y (sky130_fd_sc_hd__nor2_2) |
| 2 0.00 _01527_ (net) |
| 0.04 0.00 2.73 v _08100_/C (sky130_fd_sc_hd__or4b_2) |
| 0.09 0.62 3.34 v _08100_/X (sky130_fd_sc_hd__or4b_2) |
| 1 0.00 _01528_ (net) |
| 0.09 0.00 3.35 v _08104_/B (sky130_fd_sc_hd__or4b_2) |
| 0.09 0.66 4.01 v _08104_/X (sky130_fd_sc_hd__or4b_2) |
| 1 0.00 _01532_ (net) |
| 0.09 0.00 4.01 v _08106_/C (sky130_fd_sc_hd__or4_2) |
| 0.09 0.63 4.64 v _08106_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.00 _01534_ (net) |
| 0.09 0.00 4.65 v _08109_/B (sky130_fd_sc_hd__or4b_2) |
| 0.09 0.66 5.30 v _08109_/X (sky130_fd_sc_hd__or4b_2) |
| 1 0.00 _01537_ (net) |
| 0.09 0.00 5.31 v _08112_/B (sky130_fd_sc_hd__or4b_2) |
| 0.09 0.66 5.97 v _08112_/X (sky130_fd_sc_hd__or4b_2) |
| 1 0.00 _01540_ (net) |
| 0.09 0.00 5.97 v _08116_/C (sky130_fd_sc_hd__or4_2) |
| 0.09 0.63 6.60 v _08116_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.00 _01544_ (net) |
| 0.09 0.00 6.60 v _08117_/D (sky130_fd_sc_hd__or4_2) |
| 0.09 0.56 7.16 v _08117_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.00 _01545_ (net) |
| 0.09 0.00 7.16 v _08118_/D (sky130_fd_sc_hd__or4_2) |
| 0.09 0.56 7.72 v _08118_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.00 _01546_ (net) |
| 0.09 0.00 7.72 v _08119_/D (sky130_fd_sc_hd__or4_2) |
| 0.09 0.56 8.28 v _08119_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.00 _01547_ (net) |
| 0.09 0.00 8.28 v _08120_/D (sky130_fd_sc_hd__or4_2) |
| 0.11 0.59 8.87 v _08120_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.00 _01548_ (net) |
| 0.11 0.01 8.88 v _08121_/B (sky130_fd_sc_hd__nor2_2) |
| 0.07 0.10 8.97 ^ _08121_/Y (sky130_fd_sc_hd__nor2_2) |
| 1 0.00 _01549_ (net) |
| 0.07 0.00 8.98 ^ _08122_/C1 (sky130_fd_sc_hd__o311a_2) |
| 0.04 0.16 9.14 ^ _08122_/X (sky130_fd_sc_hd__o311a_2) |
| 1 0.00 _01550_ (net) |
| 0.04 0.00 9.14 ^ _08123_/D_N (sky130_fd_sc_hd__or4b_2) |
| 0.10 0.60 9.74 v _08123_/X (sky130_fd_sc_hd__or4b_2) |
| 1 0.00 _01551_ (net) |
| 0.10 0.00 9.74 v _08124_/B1 (sky130_fd_sc_hd__a211o_2) |
| 0.04 0.32 10.07 v _08124_/X (sky130_fd_sc_hd__a211o_2) |
| 1 0.00 _01552_ (net) |
| 0.04 0.00 10.07 v _08125_/D (sky130_fd_sc_hd__or4_2) |
| 0.09 0.54 10.61 v _08125_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.00 _01553_ (net) |
| 0.09 0.00 10.62 v _08126_/D (sky130_fd_sc_hd__or4_2) |
| 0.09 0.56 11.18 v _08126_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.00 _01554_ (net) |
| 0.09 0.00 11.18 v _08128_/C (sky130_fd_sc_hd__or4_2) |
| 0.10 0.64 11.82 v _08128_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.00 _01556_ (net) |
| 0.10 0.00 11.82 v _08129_/B2 (sky130_fd_sc_hd__o22a_2) |
| 0.04 0.20 12.02 v _08129_/X (sky130_fd_sc_hd__o22a_2) |
| 1 0.00 _01557_ (net) |
| 0.04 0.00 12.03 v _08133_/A2 (sky130_fd_sc_hd__o31a_2) |
| 0.06 0.34 12.36 v _08133_/X (sky130_fd_sc_hd__o31a_2) |
| 1 0.00 _01561_ (net) |
| 0.06 0.01 12.37 v _08143_/A (sky130_fd_sc_hd__nor2_2) |
| 0.15 0.17 12.54 ^ _08143_/Y (sky130_fd_sc_hd__nor2_2) |
| 2 0.01 _01571_ (net) |
| 0.15 0.01 12.55 ^ _08148_/A (sky130_fd_sc_hd__xnor2_2) |
| 0.07 0.11 12.66 v _08148_/Y (sky130_fd_sc_hd__xnor2_2) |
| 4 0.01 _01576_ (net) |
| 0.07 0.00 12.67 v _10643_/B1 (sky130_fd_sc_hd__a32o_2) |
| 0.04 0.23 12.90 v _10643_/X (sky130_fd_sc_hd__a32o_2) |
| 1 0.00 _04047_ (net) |
| 0.04 0.00 12.90 v _10645_/A2 (sky130_fd_sc_hd__a221o_2) |
| 0.05 0.39 13.29 v _10645_/X (sky130_fd_sc_hd__a221o_2) |
| 1 0.00 _04049_ (net) |
| 0.05 0.00 13.29 v _10647_/A1 (sky130_fd_sc_hd__a21o_2) |
| 0.03 0.19 13.48 v _10647_/X (sky130_fd_sc_hd__a21o_2) |
| 1 0.00 _04051_ (net) |
| 0.03 0.00 13.49 v _10649_/A2 (sky130_fd_sc_hd__a311o_2) |
| 0.05 0.36 13.85 v _10649_/X (sky130_fd_sc_hd__a311o_2) |
| 1 0.00 _04053_ (net) |
| 0.05 0.00 13.85 v _10651_/B1 (sky130_fd_sc_hd__o211a_2) |
| 0.04 0.13 13.99 v _10651_/X (sky130_fd_sc_hd__o211a_2) |
| 1 0.00 _00056_ (net) |
| 0.04 0.00 13.99 v _14091_/D (sky130_fd_sc_hd__dfxtp_2) |
| 13.99 data arrival time |
| |
| 0.15 15.00 15.00 clock clock (rise edge) |
| 0.00 15.00 clock network delay (ideal) |
| -0.25 14.75 clock uncertainty |
| 0.00 14.75 clock reconvergence pessimism |
| 14.75 ^ _14091_/CLK (sky130_fd_sc_hd__dfxtp_2) |
| -0.09 14.66 library setup time |
| 14.66 data required time |
| ----------------------------------------------------------------------------- |
| 14.66 data required time |
| -13.99 data arrival time |
| ----------------------------------------------------------------------------- |
| 0.67 slack (MET) |
| |
| |
| Startpoint: _14528_ (rising edge-triggered flip-flop clocked by clock) |
| Endpoint: _14071_ (rising edge-triggered flip-flop clocked by clock) |
| Path Group: clock |
| Path Type: max |
| |
| Fanout Cap Slew Delay Time Description |
| ----------------------------------------------------------------------------- |
| 0.15 0.00 0.00 clock clock (rise edge) |
| 0.00 0.00 clock network delay (ideal) |
| 0.15 0.00 0.00 ^ _14528_/CLK (sky130_fd_sc_hd__dfxtp_2) |
| 0.12 0.43 0.43 ^ _14528_/Q (sky130_fd_sc_hd__dfxtp_2) |
| 5 0.02 _T_43 (net) |
| 0.12 0.00 0.43 ^ _07196_/A (sky130_fd_sc_hd__buf_1) |
| 0.26 0.26 0.69 ^ _07196_/X (sky130_fd_sc_hd__buf_1) |
| 5 0.02 _00630_ (net) |
| 0.26 0.00 0.70 ^ _07197_/A (sky130_fd_sc_hd__buf_1) |
| 0.21 0.24 0.94 ^ _07197_/X (sky130_fd_sc_hd__buf_1) |
| 5 0.02 _00631_ (net) |
| 0.21 0.00 0.94 ^ _07260_/A (sky130_fd_sc_hd__buf_1) |
| 0.26 0.28 1.22 ^ _07260_/X (sky130_fd_sc_hd__buf_1) |
| 5 0.02 _00691_ (net) |
| 0.26 0.00 1.22 ^ _07296_/A (sky130_fd_sc_hd__buf_1) |
| 0.29 0.31 1.53 ^ _07296_/X (sky130_fd_sc_hd__buf_1) |
| 5 0.02 _00727_ (net) |
| 0.29 0.01 1.54 ^ _08632_/B (sky130_fd_sc_hd__nand3b_2) |
| 0.07 0.11 1.64 v _08632_/Y (sky130_fd_sc_hd__nand3b_2) |
| 1 0.00 _02055_ (net) |
| 0.07 0.00 1.65 v _08634_/B1 (sky130_fd_sc_hd__a221o_2) |
| 0.06 0.36 2.01 v _08634_/X (sky130_fd_sc_hd__a221o_2) |
| 2 0.00 _02057_ (net) |
| 0.06 0.00 2.01 v _08691_/A2_N (sky130_fd_sc_hd__o2bb2a_2) |
| 0.12 0.32 2.32 ^ _08691_/X (sky130_fd_sc_hd__o2bb2a_2) |
| 4 0.02 _02114_ (net) |
| 0.12 0.01 2.33 ^ _08740_/A (sky130_fd_sc_hd__nor2_2) |
| 0.05 0.07 2.41 v _08740_/Y (sky130_fd_sc_hd__nor2_2) |
| 3 0.01 _02163_ (net) |
| 0.05 0.01 2.41 v _09035_/B (sky130_fd_sc_hd__nand2_2) |
| 0.12 0.12 2.53 ^ _09035_/Y (sky130_fd_sc_hd__nand2_2) |
| 5 0.02 _02457_ (net) |
| 0.12 0.01 2.54 ^ _09036_/A2 (sky130_fd_sc_hd__a21oi_2) |
| 0.04 0.07 2.61 v _09036_/Y (sky130_fd_sc_hd__a21oi_2) |
| 2 0.00 _02458_ (net) |
| 0.04 0.00 2.61 v _09272_/A (sky130_fd_sc_hd__or4b_2) |
| 0.09 0.68 3.29 v _09272_/X (sky130_fd_sc_hd__or4b_2) |
| 1 0.00 _02692_ (net) |
| 0.09 0.00 3.29 v _09274_/C (sky130_fd_sc_hd__or4b_2) |
| 0.09 0.63 3.92 v _09274_/X (sky130_fd_sc_hd__or4b_2) |
| 1 0.00 _02694_ (net) |
| 0.09 0.00 3.92 v _09276_/B (sky130_fd_sc_hd__or3_2) |
| 0.07 0.47 4.39 v _09276_/X (sky130_fd_sc_hd__or3_2) |
| 1 0.00 _02696_ (net) |
| 0.07 0.00 4.40 v _09277_/C (sky130_fd_sc_hd__or3_2) |
| 0.07 0.42 4.82 v _09277_/X (sky130_fd_sc_hd__or3_2) |
| 1 0.00 _02697_ (net) |
| 0.07 0.00 4.82 v _09281_/A (sky130_fd_sc_hd__or4bb_2) |
| 0.09 0.65 5.47 v _09281_/X (sky130_fd_sc_hd__or4bb_2) |
| 1 0.00 _02701_ (net) |
| 0.09 0.00 5.47 v _09287_/B (sky130_fd_sc_hd__or4_2) |
| 0.09 0.67 6.14 v _09287_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.00 _02707_ (net) |
| 0.09 0.00 6.14 v _09288_/D (sky130_fd_sc_hd__or4_2) |
| 0.09 0.56 6.70 v _09288_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.00 _02708_ (net) |
| 0.09 0.00 6.70 v _09289_/D (sky130_fd_sc_hd__or4_2) |
| 0.09 0.56 7.26 v _09289_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.00 _02709_ (net) |
| 0.09 0.00 7.26 v _09290_/D (sky130_fd_sc_hd__or4_2) |
| 0.09 0.56 7.82 v _09290_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.00 _02710_ (net) |
| 0.09 0.00 7.82 v _09291_/D (sky130_fd_sc_hd__or4_2) |
| 0.09 0.56 8.38 v _09291_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.00 _02711_ (net) |
| 0.09 0.00 8.38 v _09292_/C (sky130_fd_sc_hd__or3_2) |
| 0.07 0.43 8.81 v _09292_/X (sky130_fd_sc_hd__or3_2) |
| 1 0.00 _02712_ (net) |
| 0.07 0.00 8.82 v _09293_/C1 (sky130_fd_sc_hd__a311o_2) |
| 0.05 0.32 9.13 v _09293_/X (sky130_fd_sc_hd__a311o_2) |
| 1 0.00 _02713_ (net) |
| 0.05 0.00 9.14 v _09295_/C (sky130_fd_sc_hd__or4_2) |
| 0.10 0.63 9.76 v _09295_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.00 _02715_ (net) |
| 0.10 0.00 9.77 v _09296_/C1 (sky130_fd_sc_hd__a2111o_2) |
| 0.06 0.42 10.19 v _09296_/X (sky130_fd_sc_hd__a2111o_2) |
| 1 0.00 _02716_ (net) |
| 0.06 0.00 10.19 v _09297_/D (sky130_fd_sc_hd__or4_2) |
| 0.09 0.55 10.74 v _09297_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.00 _02717_ (net) |
| 0.09 0.00 10.74 v _09298_/D (sky130_fd_sc_hd__or4_2) |
| 0.09 0.56 11.30 v _09298_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.00 _02718_ (net) |
| 0.09 0.00 11.30 v _09300_/C (sky130_fd_sc_hd__or4_2) |
| 0.11 0.66 11.96 v _09300_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.00 _02720_ (net) |
| 0.11 0.01 11.97 v _09301_/B1 (sky130_fd_sc_hd__o21ai_2) |
| 0.08 0.08 12.04 ^ _09301_/Y (sky130_fd_sc_hd__o21ai_2) |
| 1 0.00 _02721_ (net) |
| 0.08 0.00 12.05 ^ _09305_/A1 (sky130_fd_sc_hd__a311o_2) |
| 0.04 0.16 12.21 ^ _09305_/X (sky130_fd_sc_hd__a311o_2) |
| 1 0.00 _02725_ (net) |
| 0.04 0.00 12.21 ^ _09306_/B (sky130_fd_sc_hd__and2b_2) |
| 0.07 0.16 12.38 ^ _09306_/X (sky130_fd_sc_hd__and2b_2) |
| 2 0.01 _02726_ (net) |
| 0.07 0.00 12.38 ^ _09307_/A (sky130_fd_sc_hd__buf_1) |
| 0.33 0.30 12.68 ^ _09307_/X (sky130_fd_sc_hd__buf_1) |
| 5 0.03 _02727_ (net) |
| 0.33 0.01 12.69 ^ _09308_/B (sky130_fd_sc_hd__xnor2_2) |
| 0.08 0.13 12.82 v _09308_/Y (sky130_fd_sc_hd__xnor2_2) |
| 4 0.01 _02728_ (net) |
| 0.08 0.00 12.82 v _09309_/B2 (sky130_fd_sc_hd__a32o_2) |
| 0.04 0.25 13.07 v _09309_/X (sky130_fd_sc_hd__a32o_2) |
| 1 0.00 _02729_ (net) |
| 0.04 0.00 13.07 v _09310_/A1 (sky130_fd_sc_hd__mux2_2) |
| 0.05 0.26 13.34 v _09310_/X (sky130_fd_sc_hd__mux2_2) |
| 1 0.00 _02730_ (net) |
| 0.05 0.00 13.34 v _09312_/A2 (sky130_fd_sc_hd__o211a_2) |
| 0.04 0.24 13.58 v _09312_/X (sky130_fd_sc_hd__o211a_2) |
| 1 0.00 _02732_ (net) |
| 0.04 0.00 13.59 v _09317_/A2 (sky130_fd_sc_hd__o311a_2) |
| 0.05 0.37 13.96 v _09317_/X (sky130_fd_sc_hd__o311a_2) |
| 1 0.00 _00040_ (net) |
| 0.05 0.00 13.96 v _14071_/D (sky130_fd_sc_hd__dfxtp_2) |
| 13.96 data arrival time |
| |
| 0.15 15.00 15.00 clock clock (rise edge) |
| 0.00 15.00 clock network delay (ideal) |
| -0.25 14.75 clock uncertainty |
| 0.00 14.75 clock reconvergence pessimism |
| 14.75 ^ _14071_/CLK (sky130_fd_sc_hd__dfxtp_2) |
| -0.09 14.66 library setup time |
| 14.66 data required time |
| ----------------------------------------------------------------------------- |
| 14.66 data required time |
| -13.96 data arrival time |
| ----------------------------------------------------------------------------- |
| 0.69 slack (MET) |
| |
| |
| Startpoint: _14528_ (rising edge-triggered flip-flop clocked by clock) |
| Endpoint: _14443_ (rising edge-triggered flip-flop clocked by clock) |
| Path Group: clock |
| Path Type: max |
| |
| Fanout Cap Slew Delay Time Description |
| ----------------------------------------------------------------------------- |
| 0.15 0.00 0.00 clock clock (rise edge) |
| 0.00 0.00 clock network delay (ideal) |
| 0.15 0.00 0.00 ^ _14528_/CLK (sky130_fd_sc_hd__dfxtp_2) |
| 0.12 0.43 0.43 ^ _14528_/Q (sky130_fd_sc_hd__dfxtp_2) |
| 5 0.02 _T_43 (net) |
| 0.12 0.00 0.43 ^ _07196_/A (sky130_fd_sc_hd__buf_1) |
| 0.26 0.26 0.69 ^ _07196_/X (sky130_fd_sc_hd__buf_1) |
| 5 0.02 _00630_ (net) |
| 0.26 0.00 0.70 ^ _07197_/A (sky130_fd_sc_hd__buf_1) |
| 0.21 0.24 0.94 ^ _07197_/X (sky130_fd_sc_hd__buf_1) |
| 5 0.02 _00631_ (net) |
| 0.21 0.00 0.94 ^ _07260_/A (sky130_fd_sc_hd__buf_1) |
| 0.26 0.28 1.22 ^ _07260_/X (sky130_fd_sc_hd__buf_1) |
| 5 0.02 _00691_ (net) |
| 0.26 0.00 1.22 ^ _07296_/A (sky130_fd_sc_hd__buf_1) |
| 0.29 0.31 1.53 ^ _07296_/X (sky130_fd_sc_hd__buf_1) |
| 5 0.02 _00727_ (net) |
| 0.29 0.01 1.54 ^ _07297_/B (sky130_fd_sc_hd__nand3b_2) |
| 0.07 0.11 1.64 v _07297_/Y (sky130_fd_sc_hd__nand3b_2) |
| 1 0.00 _00728_ (net) |
| 0.07 0.00 1.65 v _07302_/B1 (sky130_fd_sc_hd__a221o_2) |
| 0.06 0.36 2.01 v _07302_/X (sky130_fd_sc_hd__a221o_2) |
| 2 0.00 _00733_ (net) |
| 0.06 0.00 2.01 v _07367_/A2_N (sky130_fd_sc_hd__o2bb2a_2) |
| 0.07 0.27 2.28 ^ _07367_/X (sky130_fd_sc_hd__o2bb2a_2) |
| 5 0.01 _00798_ (net) |
| 0.07 0.00 2.29 ^ _07413_/A (sky130_fd_sc_hd__or3b_2) |
| 0.09 0.17 2.45 ^ _07413_/X (sky130_fd_sc_hd__or3b_2) |
| 4 0.01 _00844_ (net) |
| 0.09 0.00 2.45 ^ _07415_/A (sky130_fd_sc_hd__buf_1) |
| 0.20 0.21 2.67 ^ _07415_/X (sky130_fd_sc_hd__buf_1) |
| 5 0.02 _00846_ (net) |
| 0.20 0.01 2.67 ^ _08099_/B (sky130_fd_sc_hd__nor2_2) |
| 0.04 0.05 2.72 v _08099_/Y (sky130_fd_sc_hd__nor2_2) |
| 2 0.00 _01527_ (net) |
| 0.04 0.00 2.73 v _08100_/C (sky130_fd_sc_hd__or4b_2) |
| 0.09 0.62 3.34 v _08100_/X (sky130_fd_sc_hd__or4b_2) |
| 1 0.00 _01528_ (net) |
| 0.09 0.00 3.35 v _08104_/B (sky130_fd_sc_hd__or4b_2) |
| 0.09 0.66 4.01 v _08104_/X (sky130_fd_sc_hd__or4b_2) |
| 1 0.00 _01532_ (net) |
| 0.09 0.00 4.01 v _08106_/C (sky130_fd_sc_hd__or4_2) |
| 0.09 0.63 4.64 v _08106_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.00 _01534_ (net) |
| 0.09 0.00 4.65 v _08109_/B (sky130_fd_sc_hd__or4b_2) |
| 0.09 0.66 5.30 v _08109_/X (sky130_fd_sc_hd__or4b_2) |
| 1 0.00 _01537_ (net) |
| 0.09 0.00 5.31 v _08112_/B (sky130_fd_sc_hd__or4b_2) |
| 0.09 0.66 5.97 v _08112_/X (sky130_fd_sc_hd__or4b_2) |
| 1 0.00 _01540_ (net) |
| 0.09 0.00 5.97 v _08116_/C (sky130_fd_sc_hd__or4_2) |
| 0.09 0.63 6.60 v _08116_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.00 _01544_ (net) |
| 0.09 0.00 6.60 v _08117_/D (sky130_fd_sc_hd__or4_2) |
| 0.09 0.56 7.16 v _08117_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.00 _01545_ (net) |
| 0.09 0.00 7.16 v _08118_/D (sky130_fd_sc_hd__or4_2) |
| 0.09 0.56 7.72 v _08118_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.00 _01546_ (net) |
| 0.09 0.00 7.72 v _08119_/D (sky130_fd_sc_hd__or4_2) |
| 0.09 0.56 8.28 v _08119_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.00 _01547_ (net) |
| 0.09 0.00 8.28 v _08120_/D (sky130_fd_sc_hd__or4_2) |
| 0.11 0.59 8.87 v _08120_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.00 _01548_ (net) |
| 0.11 0.01 8.88 v _08121_/B (sky130_fd_sc_hd__nor2_2) |
| 0.07 0.10 8.97 ^ _08121_/Y (sky130_fd_sc_hd__nor2_2) |
| 1 0.00 _01549_ (net) |
| 0.07 0.00 8.98 ^ _08122_/C1 (sky130_fd_sc_hd__o311a_2) |
| 0.04 0.16 9.14 ^ _08122_/X (sky130_fd_sc_hd__o311a_2) |
| 1 0.00 _01550_ (net) |
| 0.04 0.00 9.14 ^ _08123_/D_N (sky130_fd_sc_hd__or4b_2) |
| 0.10 0.60 9.74 v _08123_/X (sky130_fd_sc_hd__or4b_2) |
| 1 0.00 _01551_ (net) |
| 0.10 0.00 9.74 v _08124_/B1 (sky130_fd_sc_hd__a211o_2) |
| 0.04 0.32 10.07 v _08124_/X (sky130_fd_sc_hd__a211o_2) |
| 1 0.00 _01552_ (net) |
| 0.04 0.00 10.07 v _08125_/D (sky130_fd_sc_hd__or4_2) |
| 0.09 0.54 10.61 v _08125_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.00 _01553_ (net) |
| 0.09 0.00 10.62 v _08126_/D (sky130_fd_sc_hd__or4_2) |
| 0.09 0.56 11.18 v _08126_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.00 _01554_ (net) |
| 0.09 0.00 11.18 v _08128_/C (sky130_fd_sc_hd__or4_2) |
| 0.10 0.64 11.82 v _08128_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.00 _01556_ (net) |
| 0.10 0.00 11.82 v _08129_/B2 (sky130_fd_sc_hd__o22a_2) |
| 0.04 0.20 12.02 v _08129_/X (sky130_fd_sc_hd__o22a_2) |
| 1 0.00 _01557_ (net) |
| 0.04 0.00 12.03 v _08133_/A2 (sky130_fd_sc_hd__o31a_2) |
| 0.06 0.34 12.36 v _08133_/X (sky130_fd_sc_hd__o31a_2) |
| 1 0.00 _01561_ (net) |
| 0.06 0.01 12.37 v _08143_/A (sky130_fd_sc_hd__nor2_2) |
| 0.15 0.17 12.54 ^ _08143_/Y (sky130_fd_sc_hd__nor2_2) |
| 2 0.01 _01571_ (net) |
| 0.15 0.00 12.54 ^ _08187_/A (sky130_fd_sc_hd__buf_1) |
| 0.33 0.32 12.86 ^ _08187_/X (sky130_fd_sc_hd__buf_1) |
| 5 0.03 _01615_ (net) |
| 0.33 0.01 12.87 ^ _12830_/B (sky130_fd_sc_hd__xnor2_2) |
| 0.09 0.13 13.00 v _12830_/Y (sky130_fd_sc_hd__xnor2_2) |
| 2 0.01 _05984_ (net) |
| 0.09 0.01 13.01 v _13015_/B (sky130_fd_sc_hd__xnor2_2) |
| 0.08 0.18 13.19 v _13015_/Y (sky130_fd_sc_hd__xnor2_2) |
| 3 0.01 _06137_ (net) |
| 0.08 0.01 13.19 v _13177_/A2 (sky130_fd_sc_hd__o21ai_2) |
| 0.11 0.14 13.33 ^ _13177_/Y (sky130_fd_sc_hd__o21ai_2) |
| 1 0.01 _06267_ (net) |
| 0.11 0.01 13.34 ^ _13178_/B1 (sky130_fd_sc_hd__a21oi_2) |
| 0.07 0.06 13.40 v _13178_/Y (sky130_fd_sc_hd__a21oi_2) |
| 3 0.01 _06268_ (net) |
| 0.07 0.00 13.40 v _13339_/B (sky130_fd_sc_hd__or2_2) |
| 0.05 0.29 13.69 v _13339_/X (sky130_fd_sc_hd__or2_2) |
| 1 0.00 _06397_ (net) |
| 0.05 0.00 13.69 v _13340_/A3 (sky130_fd_sc_hd__a32o_2) |
| 0.04 0.27 13.96 v _13340_/X (sky130_fd_sc_hd__a32o_2) |
| 1 0.00 _00402_ (net) |
| 0.04 0.00 13.96 v _14443_/D (sky130_fd_sc_hd__dfxtp_2) |
| 13.96 data arrival time |
| |
| 0.15 15.00 15.00 clock clock (rise edge) |
| 0.00 15.00 clock network delay (ideal) |
| -0.25 14.75 clock uncertainty |
| 0.00 14.75 clock reconvergence pessimism |
| 14.75 ^ _14443_/CLK (sky130_fd_sc_hd__dfxtp_2) |
| -0.09 14.66 library setup time |
| 14.66 data required time |
| ----------------------------------------------------------------------------- |
| 14.66 data required time |
| -13.96 data arrival time |
| ----------------------------------------------------------------------------- |
| 0.70 slack (MET) |
| |
| |
| Startpoint: _14528_ (rising edge-triggered flip-flop clocked by clock) |
| Endpoint: _14153_ (rising edge-triggered flip-flop clocked by clock) |
| Path Group: clock |
| Path Type: max |
| |
| Fanout Cap Slew Delay Time Description |
| ----------------------------------------------------------------------------- |
| 0.15 0.00 0.00 clock clock (rise edge) |
| 0.00 0.00 clock network delay (ideal) |
| 0.15 0.00 0.00 ^ _14528_/CLK (sky130_fd_sc_hd__dfxtp_2) |
| 0.12 0.43 0.43 ^ _14528_/Q (sky130_fd_sc_hd__dfxtp_2) |
| 5 0.02 _T_43 (net) |
| 0.12 0.00 0.43 ^ _07196_/A (sky130_fd_sc_hd__buf_1) |
| 0.26 0.26 0.69 ^ _07196_/X (sky130_fd_sc_hd__buf_1) |
| 5 0.02 _00630_ (net) |
| 0.26 0.00 0.70 ^ _07197_/A (sky130_fd_sc_hd__buf_1) |
| 0.21 0.24 0.94 ^ _07197_/X (sky130_fd_sc_hd__buf_1) |
| 5 0.02 _00631_ (net) |
| 0.21 0.00 0.94 ^ _07260_/A (sky130_fd_sc_hd__buf_1) |
| 0.26 0.28 1.22 ^ _07260_/X (sky130_fd_sc_hd__buf_1) |
| 5 0.02 _00691_ (net) |
| 0.26 0.00 1.22 ^ _07296_/A (sky130_fd_sc_hd__buf_1) |
| 0.29 0.31 1.53 ^ _07296_/X (sky130_fd_sc_hd__buf_1) |
| 5 0.02 _00727_ (net) |
| 0.29 0.01 1.54 ^ _08632_/B (sky130_fd_sc_hd__nand3b_2) |
| 0.07 0.11 1.64 v _08632_/Y (sky130_fd_sc_hd__nand3b_2) |
| 1 0.00 _02055_ (net) |
| 0.07 0.00 1.65 v _08634_/B1 (sky130_fd_sc_hd__a221o_2) |
| 0.06 0.36 2.01 v _08634_/X (sky130_fd_sc_hd__a221o_2) |
| 2 0.00 _02057_ (net) |
| 0.06 0.00 2.01 v _08691_/A2_N (sky130_fd_sc_hd__o2bb2a_2) |
| 0.12 0.32 2.32 ^ _08691_/X (sky130_fd_sc_hd__o2bb2a_2) |
| 4 0.02 _02114_ (net) |
| 0.12 0.01 2.33 ^ _08740_/A (sky130_fd_sc_hd__nor2_2) |
| 0.05 0.07 2.41 v _08740_/Y (sky130_fd_sc_hd__nor2_2) |
| 3 0.01 _02163_ (net) |
| 0.05 0.01 2.41 v _09035_/B (sky130_fd_sc_hd__nand2_2) |
| 0.12 0.12 2.53 ^ _09035_/Y (sky130_fd_sc_hd__nand2_2) |
| 5 0.02 _02457_ (net) |
| 0.12 0.01 2.54 ^ _09036_/A2 (sky130_fd_sc_hd__a21oi_2) |
| 0.04 0.07 2.61 v _09036_/Y (sky130_fd_sc_hd__a21oi_2) |
| 2 0.00 _02458_ (net) |
| 0.04 0.00 2.61 v _09272_/A (sky130_fd_sc_hd__or4b_2) |
| 0.09 0.68 3.29 v _09272_/X (sky130_fd_sc_hd__or4b_2) |
| 1 0.00 _02692_ (net) |
| 0.09 0.00 3.29 v _09274_/C (sky130_fd_sc_hd__or4b_2) |
| 0.09 0.63 3.92 v _09274_/X (sky130_fd_sc_hd__or4b_2) |
| 1 0.00 _02694_ (net) |
| 0.09 0.00 3.92 v _09276_/B (sky130_fd_sc_hd__or3_2) |
| 0.07 0.47 4.39 v _09276_/X (sky130_fd_sc_hd__or3_2) |
| 1 0.00 _02696_ (net) |
| 0.07 0.00 4.40 v _09277_/C (sky130_fd_sc_hd__or3_2) |
| 0.07 0.42 4.82 v _09277_/X (sky130_fd_sc_hd__or3_2) |
| 1 0.00 _02697_ (net) |
| 0.07 0.00 4.82 v _09281_/A (sky130_fd_sc_hd__or4bb_2) |
| 0.09 0.65 5.47 v _09281_/X (sky130_fd_sc_hd__or4bb_2) |
| 1 0.00 _02701_ (net) |
| 0.09 0.00 5.47 v _09287_/B (sky130_fd_sc_hd__or4_2) |
| 0.09 0.67 6.14 v _09287_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.00 _02707_ (net) |
| 0.09 0.00 6.14 v _09288_/D (sky130_fd_sc_hd__or4_2) |
| 0.09 0.56 6.70 v _09288_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.00 _02708_ (net) |
| 0.09 0.00 6.70 v _09289_/D (sky130_fd_sc_hd__or4_2) |
| 0.09 0.56 7.26 v _09289_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.00 _02709_ (net) |
| 0.09 0.00 7.26 v _09290_/D (sky130_fd_sc_hd__or4_2) |
| 0.09 0.56 7.82 v _09290_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.00 _02710_ (net) |
| 0.09 0.00 7.82 v _09291_/D (sky130_fd_sc_hd__or4_2) |
| 0.09 0.56 8.38 v _09291_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.00 _02711_ (net) |
| 0.09 0.00 8.38 v _09292_/C (sky130_fd_sc_hd__or3_2) |
| 0.07 0.43 8.81 v _09292_/X (sky130_fd_sc_hd__or3_2) |
| 1 0.00 _02712_ (net) |
| 0.07 0.00 8.82 v _09293_/C1 (sky130_fd_sc_hd__a311o_2) |
| 0.05 0.32 9.13 v _09293_/X (sky130_fd_sc_hd__a311o_2) |
| 1 0.00 _02713_ (net) |
| 0.05 0.00 9.14 v _09295_/C (sky130_fd_sc_hd__or4_2) |
| 0.10 0.63 9.76 v _09295_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.00 _02715_ (net) |
| 0.10 0.00 9.77 v _09296_/C1 (sky130_fd_sc_hd__a2111o_2) |
| 0.06 0.42 10.19 v _09296_/X (sky130_fd_sc_hd__a2111o_2) |
| 1 0.00 _02716_ (net) |
| 0.06 0.00 10.19 v _09297_/D (sky130_fd_sc_hd__or4_2) |
| 0.09 0.55 10.74 v _09297_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.00 _02717_ (net) |
| 0.09 0.00 10.74 v _09298_/D (sky130_fd_sc_hd__or4_2) |
| 0.09 0.56 11.30 v _09298_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.00 _02718_ (net) |
| 0.09 0.00 11.30 v _09300_/C (sky130_fd_sc_hd__or4_2) |
| 0.11 0.66 11.96 v _09300_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.00 _02720_ (net) |
| 0.11 0.01 11.97 v _09301_/B1 (sky130_fd_sc_hd__o21ai_2) |
| 0.08 0.08 12.04 ^ _09301_/Y (sky130_fd_sc_hd__o21ai_2) |
| 1 0.00 _02721_ (net) |
| 0.08 0.00 12.05 ^ _09305_/A1 (sky130_fd_sc_hd__a311o_2) |
| 0.04 0.16 12.21 ^ _09305_/X (sky130_fd_sc_hd__a311o_2) |
| 1 0.00 _02725_ (net) |
| 0.04 0.00 12.21 ^ _09306_/B (sky130_fd_sc_hd__and2b_2) |
| 0.07 0.16 12.38 ^ _09306_/X (sky130_fd_sc_hd__and2b_2) |
| 2 0.01 _02726_ (net) |
| 0.07 0.00 12.38 ^ _09307_/A (sky130_fd_sc_hd__buf_1) |
| 0.33 0.30 12.68 ^ _09307_/X (sky130_fd_sc_hd__buf_1) |
| 5 0.03 _02727_ (net) |
| 0.33 0.01 12.69 ^ _09308_/B (sky130_fd_sc_hd__xnor2_2) |
| 0.08 0.13 12.82 v _09308_/Y (sky130_fd_sc_hd__xnor2_2) |
| 4 0.01 _02728_ (net) |
| 0.08 0.00 12.82 v _12100_/B1 (sky130_fd_sc_hd__a32o_2) |
| 0.04 0.24 13.06 v _12100_/X (sky130_fd_sc_hd__a32o_2) |
| 1 0.00 _05447_ (net) |
| 0.04 0.00 13.06 v _12102_/A2 (sky130_fd_sc_hd__a221o_2) |
| 0.05 0.39 13.45 v _12102_/X (sky130_fd_sc_hd__a221o_2) |
| 1 0.00 _05449_ (net) |
| 0.05 0.00 13.46 v _12105_/A2 (sky130_fd_sc_hd__a31o_2) |
| 0.04 0.24 13.69 v _12105_/X (sky130_fd_sc_hd__a31o_2) |
| 1 0.00 _05452_ (net) |
| 0.04 0.00 13.70 v _12107_/B1 (sky130_fd_sc_hd__o221a_2) |
| 0.04 0.24 13.94 v _12107_/X (sky130_fd_sc_hd__o221a_2) |
| 1 0.00 _00113_ (net) |
| 0.04 0.00 13.94 v _14153_/D (sky130_fd_sc_hd__dfxtp_2) |
| 13.94 data arrival time |
| |
| 0.15 15.00 15.00 clock clock (rise edge) |
| 0.00 15.00 clock network delay (ideal) |
| -0.25 14.75 clock uncertainty |
| 0.00 14.75 clock reconvergence pessimism |
| 14.75 ^ _14153_/CLK (sky130_fd_sc_hd__dfxtp_2) |
| -0.09 14.66 library setup time |
| 14.66 data required time |
| ----------------------------------------------------------------------------- |
| 14.66 data required time |
| -13.94 data arrival time |
| ----------------------------------------------------------------------------- |
| 0.72 slack (MET) |
| |
| |
| max_report_end |
| check_report |
| |
| =========================================================================== |
| report_checks -unconstrained |
| ============================================================================ |
| Startpoint: _14528_ (rising edge-triggered flip-flop clocked by clock) |
| Endpoint: _14063_ (rising edge-triggered flip-flop clocked by clock) |
| Path Group: clock |
| Path Type: max |
| |
| Fanout Cap Slew Delay Time Description |
| ----------------------------------------------------------------------------- |
| 0.15 0.00 0.00 clock clock (rise edge) |
| 0.00 0.00 clock network delay (ideal) |
| 0.15 0.00 0.00 ^ _14528_/CLK (sky130_fd_sc_hd__dfxtp_2) |
| 0.12 0.43 0.43 ^ _14528_/Q (sky130_fd_sc_hd__dfxtp_2) |
| 5 0.02 _T_43 (net) |
| 0.12 0.00 0.43 ^ _07196_/A (sky130_fd_sc_hd__buf_1) |
| 0.26 0.26 0.69 ^ _07196_/X (sky130_fd_sc_hd__buf_1) |
| 5 0.02 _00630_ (net) |
| 0.26 0.00 0.70 ^ _07197_/A (sky130_fd_sc_hd__buf_1) |
| 0.21 0.24 0.94 ^ _07197_/X (sky130_fd_sc_hd__buf_1) |
| 5 0.02 _00631_ (net) |
| 0.21 0.00 0.94 ^ _07260_/A (sky130_fd_sc_hd__buf_1) |
| 0.26 0.28 1.22 ^ _07260_/X (sky130_fd_sc_hd__buf_1) |
| 5 0.02 _00691_ (net) |
| 0.26 0.00 1.22 ^ _07296_/A (sky130_fd_sc_hd__buf_1) |
| 0.29 0.31 1.53 ^ _07296_/X (sky130_fd_sc_hd__buf_1) |
| 5 0.02 _00727_ (net) |
| 0.29 0.01 1.54 ^ _07297_/B (sky130_fd_sc_hd__nand3b_2) |
| 0.07 0.11 1.64 v _07297_/Y (sky130_fd_sc_hd__nand3b_2) |
| 1 0.00 _00728_ (net) |
| 0.07 0.00 1.65 v _07302_/B1 (sky130_fd_sc_hd__a221o_2) |
| 0.06 0.36 2.01 v _07302_/X (sky130_fd_sc_hd__a221o_2) |
| 2 0.00 _00733_ (net) |
| 0.06 0.00 2.01 v _07367_/A2_N (sky130_fd_sc_hd__o2bb2a_2) |
| 0.07 0.27 2.28 ^ _07367_/X (sky130_fd_sc_hd__o2bb2a_2) |
| 5 0.01 _00798_ (net) |
| 0.07 0.00 2.29 ^ _07413_/A (sky130_fd_sc_hd__or3b_2) |
| 0.09 0.17 2.45 ^ _07413_/X (sky130_fd_sc_hd__or3b_2) |
| 4 0.01 _00844_ (net) |
| 0.09 0.00 2.45 ^ _07415_/A (sky130_fd_sc_hd__buf_1) |
| 0.20 0.21 2.67 ^ _07415_/X (sky130_fd_sc_hd__buf_1) |
| 5 0.02 _00846_ (net) |
| 0.20 0.01 2.67 ^ _08099_/B (sky130_fd_sc_hd__nor2_2) |
| 0.04 0.05 2.72 v _08099_/Y (sky130_fd_sc_hd__nor2_2) |
| 2 0.00 _01527_ (net) |
| 0.04 0.00 2.73 v _08100_/C (sky130_fd_sc_hd__or4b_2) |
| 0.09 0.62 3.34 v _08100_/X (sky130_fd_sc_hd__or4b_2) |
| 1 0.00 _01528_ (net) |
| 0.09 0.00 3.35 v _08104_/B (sky130_fd_sc_hd__or4b_2) |
| 0.09 0.66 4.01 v _08104_/X (sky130_fd_sc_hd__or4b_2) |
| 1 0.00 _01532_ (net) |
| 0.09 0.00 4.01 v _08106_/C (sky130_fd_sc_hd__or4_2) |
| 0.09 0.63 4.64 v _08106_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.00 _01534_ (net) |
| 0.09 0.00 4.65 v _08109_/B (sky130_fd_sc_hd__or4b_2) |
| 0.09 0.66 5.30 v _08109_/X (sky130_fd_sc_hd__or4b_2) |
| 1 0.00 _01537_ (net) |
| 0.09 0.00 5.31 v _08112_/B (sky130_fd_sc_hd__or4b_2) |
| 0.09 0.66 5.97 v _08112_/X (sky130_fd_sc_hd__or4b_2) |
| 1 0.00 _01540_ (net) |
| 0.09 0.00 5.97 v _08116_/C (sky130_fd_sc_hd__or4_2) |
| 0.09 0.63 6.60 v _08116_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.00 _01544_ (net) |
| 0.09 0.00 6.60 v _08117_/D (sky130_fd_sc_hd__or4_2) |
| 0.09 0.56 7.16 v _08117_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.00 _01545_ (net) |
| 0.09 0.00 7.16 v _08118_/D (sky130_fd_sc_hd__or4_2) |
| 0.09 0.56 7.72 v _08118_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.00 _01546_ (net) |
| 0.09 0.00 7.72 v _08119_/D (sky130_fd_sc_hd__or4_2) |
| 0.09 0.56 8.28 v _08119_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.00 _01547_ (net) |
| 0.09 0.00 8.28 v _08120_/D (sky130_fd_sc_hd__or4_2) |
| 0.11 0.59 8.87 v _08120_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.00 _01548_ (net) |
| 0.11 0.01 8.88 v _08121_/B (sky130_fd_sc_hd__nor2_2) |
| 0.07 0.10 8.97 ^ _08121_/Y (sky130_fd_sc_hd__nor2_2) |
| 1 0.00 _01549_ (net) |
| 0.07 0.00 8.98 ^ _08122_/C1 (sky130_fd_sc_hd__o311a_2) |
| 0.04 0.16 9.14 ^ _08122_/X (sky130_fd_sc_hd__o311a_2) |
| 1 0.00 _01550_ (net) |
| 0.04 0.00 9.14 ^ _08123_/D_N (sky130_fd_sc_hd__or4b_2) |
| 0.10 0.60 9.74 v _08123_/X (sky130_fd_sc_hd__or4b_2) |
| 1 0.00 _01551_ (net) |
| 0.10 0.00 9.74 v _08124_/B1 (sky130_fd_sc_hd__a211o_2) |
| 0.04 0.32 10.07 v _08124_/X (sky130_fd_sc_hd__a211o_2) |
| 1 0.00 _01552_ (net) |
| 0.04 0.00 10.07 v _08125_/D (sky130_fd_sc_hd__or4_2) |
| 0.09 0.54 10.61 v _08125_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.00 _01553_ (net) |
| 0.09 0.00 10.62 v _08126_/D (sky130_fd_sc_hd__or4_2) |
| 0.09 0.56 11.18 v _08126_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.00 _01554_ (net) |
| 0.09 0.00 11.18 v _08128_/C (sky130_fd_sc_hd__or4_2) |
| 0.10 0.64 11.82 v _08128_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.00 _01556_ (net) |
| 0.10 0.00 11.82 v _08129_/B2 (sky130_fd_sc_hd__o22a_2) |
| 0.04 0.20 12.02 v _08129_/X (sky130_fd_sc_hd__o22a_2) |
| 1 0.00 _01557_ (net) |
| 0.04 0.00 12.03 v _08133_/A2 (sky130_fd_sc_hd__o31a_2) |
| 0.06 0.34 12.36 v _08133_/X (sky130_fd_sc_hd__o31a_2) |
| 1 0.00 _01561_ (net) |
| 0.06 0.01 12.37 v _08143_/A (sky130_fd_sc_hd__nor2_2) |
| 0.15 0.17 12.54 ^ _08143_/Y (sky130_fd_sc_hd__nor2_2) |
| 2 0.01 _01571_ (net) |
| 0.15 0.01 12.55 ^ _08148_/A (sky130_fd_sc_hd__xnor2_2) |
| 0.07 0.11 12.66 v _08148_/Y (sky130_fd_sc_hd__xnor2_2) |
| 4 0.01 _01576_ (net) |
| 0.07 0.00 12.67 v _08149_/B2 (sky130_fd_sc_hd__a32o_2) |
| 0.04 0.25 12.91 v _08149_/X (sky130_fd_sc_hd__a32o_2) |
| 1 0.00 _01577_ (net) |
| 0.04 0.00 12.92 v _08152_/A2 (sky130_fd_sc_hd__a221o_2) |
| 0.05 0.39 13.30 v _08152_/X (sky130_fd_sc_hd__a221o_2) |
| 1 0.00 _01580_ (net) |
| 0.05 0.00 13.31 v _08186_/A1 (sky130_fd_sc_hd__a21o_2) |
| 0.03 0.19 13.50 v _08186_/X (sky130_fd_sc_hd__a21o_2) |
| 1 0.00 _01614_ (net) |
| 0.03 0.00 13.50 v _08193_/A2 (sky130_fd_sc_hd__a311o_2) |
| 0.05 0.36 13.86 v _08193_/X (sky130_fd_sc_hd__a311o_2) |
| 1 0.00 _01621_ (net) |
| 0.05 0.00 13.87 v _08194_/B1 (sky130_fd_sc_hd__o211a_2) |
| 0.04 0.13 14.00 v _08194_/X (sky130_fd_sc_hd__o211a_2) |
| 1 0.00 _00032_ (net) |
| 0.04 0.00 14.01 v _14063_/D (sky130_fd_sc_hd__dfxtp_2) |
| 14.01 data arrival time |
| |
| 0.15 15.00 15.00 clock clock (rise edge) |
| 0.00 15.00 clock network delay (ideal) |
| -0.25 14.75 clock uncertainty |
| 0.00 14.75 clock reconvergence pessimism |
| 14.75 ^ _14063_/CLK (sky130_fd_sc_hd__dfxtp_2) |
| -0.09 14.66 library setup time |
| 14.66 data required time |
| ----------------------------------------------------------------------------- |
| 14.66 data required time |
| -14.01 data arrival time |
| ----------------------------------------------------------------------------- |
| 0.66 slack (MET) |
| |
| |
| |
| =========================================================================== |
| report_checks --slack_max -0.01 |
| ============================================================================ |
| No paths found. |
| check_report_end |
| check_slew |
| |
| =========================================================================== |
| report_check_types -max_slew -max_cap -max_fanout -violators |
| ============================================================================ |
| |
| =========================================================================== |
| max slew violation count 0 |
| max fanout violation count 0 |
| max cap violation count 0 |
| ============================================================================ |
| check_slew_end |
| tns_report |
| |
| =========================================================================== |
| report_tns |
| ============================================================================ |
| tns 0.00 |
| tns_report_end |
| wns_report |
| |
| =========================================================================== |
| report_wns |
| ============================================================================ |
| wns 0.00 |
| wns_report_end |
| worst_slack |
| |
| =========================================================================== |
| report_worst_slack -max (Setup) |
| ============================================================================ |
| worst slack 0.66 |
| |
| =========================================================================== |
| report_worst_slack -min (Hold) |
| ============================================================================ |
| worst slack 0.20 |
| worst_slack_end |
| clock_skew |
| |
| =========================================================================== |
| report_clock_skew |
| ============================================================================ |
| Clock clock |
| Latency CRPR Skew |
| _14045_/CLK ^ |
| 3.13 |
| _14045_/CLK ^ |
| 2.83 0.00 0.30 |
| |
| clock_skew_end |
| power_report |
| |
| =========================================================================== |
| report_power |
| ============================================================================ |
| Group Internal Switching Leakage Total |
| Power Power Power Power |
| ---------------------------------------------------------------- |
| Sequential 1.41e-03 7.09e-05 4.12e-09 1.48e-03 35.2% |
| Combinational 1.48e-03 1.25e-03 1.87e-08 2.73e-03 64.8% |
| Macro 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0% |
| Pad 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0% |
| ---------------------------------------------------------------- |
| Total 2.89e-03 1.32e-03 2.28e-08 4.21e-03 100.0% |
| 68.6% 31.4% 0.0% |
| power_report_end |
| area_report |
| |
| =========================================================================== |
| report_design_area |
| ============================================================================ |
| Design area 63739 u^2 100% utilization. |
| area_report_end |
| [INFO]: Synthesis was successful |
| [INFO]: Running Floorplanning... |
| [INFO]: Running Initial Floorplanning... |
| [INFO]: Incremented step index to 2. |
| OpenROAD 8d53e9b018dec98fa63e907ddeb6c5406f035361 |
| This program is licensed under the BSD-3 license. See the LICENSE file for details. |
| Components of this program may be licensed under more restrictive licenses which must be honored. |
| [INFO ODB-0222] Reading LEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/tmp/merged_unpadded.lef |
| [INFO ODB-0223] Created 13 technology layers |
| [INFO ODB-0224] Created 25 technology vias |
| [INFO ODB-0225] Created 441 library cells |
| [INFO ODB-0226] Finished LEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/tmp/merged_unpadded.lef |
| if {[info exists ::env(CLOCK_PORT)] && $::env(CLOCK_PORT) != ""} { |
| create_clock [get_ports $::env(CLOCK_PORT)] -name $::env(CLOCK_PORT) -period $::env(CLOCK_PERIOD) |
| } else { |
| create_clock -name __VIRTUAL_CLK__ -period $::env(CLOCK_PERIOD) |
| set ::env(CLOCK_PORT) __VIRTUAL_CLK__ |
| } |
| set input_delay_value [expr $::env(CLOCK_PERIOD) * $::env(IO_PCT)] |
| set output_delay_value [expr $::env(CLOCK_PERIOD) * $::env(IO_PCT)] |
| puts "\[INFO\]: Setting output delay to: $output_delay_value" |
| [INFO]: Setting output delay to: 3.0 |
| puts "\[INFO\]: Setting input delay to: $input_delay_value" |
| [INFO]: Setting input delay to: 3.0 |
| set_max_fanout $::env(SYNTH_MAX_FANOUT) [current_design] |
| set clk_indx [lsearch [all_inputs] [get_port $::env(CLOCK_PORT)]] |
| #set rst_indx [lsearch [all_inputs] [get_port resetn]] |
| set all_inputs_wo_clk [lreplace [all_inputs] $clk_indx $clk_indx] |
| #set all_inputs_wo_clk_rst [lreplace $all_inputs_wo_clk $rst_indx $rst_indx] |
| set all_inputs_wo_clk_rst $all_inputs_wo_clk |
| # correct resetn |
| set_input_delay $input_delay_value -clock [get_clocks $::env(CLOCK_PORT)] $all_inputs_wo_clk_rst |
| #set_input_delay 0.0 -clock [get_clocks $::env(CLOCK_PORT)] {resetn} |
| set_output_delay $output_delay_value -clock [get_clocks $::env(CLOCK_PORT)] [all_outputs] |
| # TODO set this as parameter |
| set_driving_cell -lib_cell $::env(SYNTH_DRIVING_CELL) -pin $::env(SYNTH_DRIVING_CELL_PIN) [all_inputs] |
| set cap_load [expr $::env(SYNTH_CAP_LOAD) / 1000.0] |
| puts "\[INFO\]: Setting load to: $cap_load" |
| [INFO]: Setting load to: 0.033442 |
| set_load $cap_load [all_outputs] |
| puts "\[INFO\]: Setting clock uncertainity to: $::env(SYNTH_CLOCK_UNCERTAINITY)" |
| [INFO]: Setting clock uncertainity to: 0.25 |
| set_clock_uncertainty $::env(SYNTH_CLOCK_UNCERTAINITY) [get_clocks $::env(CLOCK_PORT)] |
| puts "\[INFO\]: Setting clock transition to: $::env(SYNTH_CLOCK_TRANSITION)" |
| [INFO]: Setting clock transition to: 0.15 |
| set_clock_transition $::env(SYNTH_CLOCK_TRANSITION) [get_clocks $::env(CLOCK_PORT)] |
| puts "\[INFO\]: Setting timing derate to: [expr {$::env(SYNTH_TIMING_DERATE) * 10}] %" |
| [INFO]: Setting timing derate to: 0.5 % |
| set_timing_derate -early [expr {1-$::env(SYNTH_TIMING_DERATE)}] |
| set_timing_derate -late [expr {1+$::env(SYNTH_TIMING_DERATE)}] |
| [WARNING IFP-0028] Core area lower left (5.520, 10.880) snapped to (5.520, 10.880). |
| [INFO IFP-0001] Added 286 rows of 1715 sites. |
| [INFO]: Core area width: 788.96 |
| [INFO]: Core area height: 778.24 |
| [INFO]: Final Vertical PDN Offset: 16.32 |
| [INFO]: Final Horizontal PDN Offset: 16.65 |
| [INFO]: Final Vertical PDN Pitch: 153.6 |
| [INFO]: Final Horizontal PDN Pitch: 153.18 |
| [INFO]: Changing layout from 0 to /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/tmp/floorplan/3-initial_fp.def |
| [INFO]: Setting Core Dimensions... |
| [INFO]: Incremented step index to 3. |
| [INFO]: Running IO Placement... |
| OpenROAD 8d53e9b018dec98fa63e907ddeb6c5406f035361 |
| This program is licensed under the BSD-3 license. See the LICENSE file for details. |
| Components of this program may be licensed under more restrictive licenses which must be honored. |
| [INFO ODB-0222] Reading LEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/tmp/merged.lef |
| [INFO ODB-0223] Created 13 technology layers |
| [INFO ODB-0224] Created 25 technology vias |
| [INFO ODB-0225] Created 441 library cells |
| [INFO ODB-0226] Finished LEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/tmp/merged.lef |
| [INFO ODB-0127] Reading DEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/tmp/floorplan/3-initial_fp.def |
| [INFO ODB-0128] Design: aes |
| [INFO ODB-0130] Created 106 pins. |
| [INFO ODB-0131] Created 7511 components and 56426 component-terminals. |
| [INFO ODB-0133] Created 7584 nets and 26382 connections. |
| [INFO ODB-0134] Finished DEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/tmp/floorplan/3-initial_fp.def |
| Found 0 macro blocks. |
| Using 1u default distance from corners. |
| [INFO PPL-0007] Random pin placement. |
| [INFO]: Changing layout from /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/tmp/floorplan/3-initial_fp.def to /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/tmp/floorplan/4-io.def |
| [INFO]: Incremented step index to 4. |
| [INFO]: Running Tap/Decap Insertion... |
| OpenROAD 8d53e9b018dec98fa63e907ddeb6c5406f035361 |
| This program is licensed under the BSD-3 license. See the LICENSE file for details. |
| Components of this program may be licensed under more restrictive licenses which must be honored. |
| [INFO ODB-0222] Reading LEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/tmp/merged_unpadded.lef |
| [INFO ODB-0223] Created 13 technology layers |
| [INFO ODB-0224] Created 25 technology vias |
| [INFO ODB-0225] Created 441 library cells |
| [INFO ODB-0226] Finished LEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/tmp/merged_unpadded.lef |
| [INFO ODB-0127] Reading DEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/tmp/floorplan/4-io.def |
| [INFO ODB-0128] Design: aes |
| [INFO ODB-0130] Created 106 pins. |
| [INFO ODB-0131] Created 7511 components and 56426 component-terminals. |
| [INFO ODB-0133] Created 7584 nets and 26382 connections. |
| [INFO ODB-0134] Finished DEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/tmp/floorplan/4-io.def |
| [WARNING TAP-0014] endcap_cpp option is deprecated. |
| [INFO TAP-0001] Found 0 macro blocks. |
| [INFO TAP-0002] Original rows: 286 |
| [INFO TAP-0003] Created 0 rows for a total of 286 rows. |
| [INFO TAP-0004] Inserted 572 endcaps. |
| [INFO TAP-0005] Inserted 8784 tapcells. |
| [INFO]: Changing layout from /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/tmp/floorplan/4-io.def to /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/results/floorplan/aes.def |
| [INFO]: Power planning the following nets |
| [INFO]: Power: vccd1 |
| [INFO]: Ground: vssd1 |
| [INFO]: Incremented step index to 5. |
| [INFO]: Generating PDN... |
| OpenROAD 8d53e9b018dec98fa63e907ddeb6c5406f035361 |
| This program is licensed under the BSD-3 license. See the LICENSE file for details. |
| Components of this program may be licensed under more restrictive licenses which must be honored. |
| [INFO ODB-0222] Reading LEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/tmp/merged_unpadded.lef |
| [INFO ODB-0223] Created 13 technology layers |
| [INFO ODB-0224] Created 25 technology vias |
| [INFO ODB-0225] Created 441 library cells |
| [INFO ODB-0226] Finished LEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/tmp/merged_unpadded.lef |
| [INFO ODB-0127] Reading DEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/results/floorplan/aes.def |
| [INFO ODB-0128] Design: aes |
| [INFO ODB-0130] Created 106 pins. |
| [INFO ODB-0131] Created 16867 components and 76282 component-terminals. |
| [INFO ODB-0133] Created 7584 nets and 26382 connections. |
| [INFO ODB-0134] Finished DEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/results/floorplan/aes.def |
| [INFO PDN-0016] Power Delivery Network Generator: Generating PDN |
| config: /openlane/scripts/openroad/pdn_cfg.tcl |
| [INFO PDN-0008] Design name is aes. |
| [INFO PDN-0009] Reading technology data. |
| [INFO PDN-0011] ****** INFO ****** |
| Type: stdcell, stdcell_grid |
| Stdcell Rails |
| Layer: met1 - width: 0.480 pitch: 5.440 |
| Straps |
| Layer: met4 - width: 1.600 pitch: 153.600 offset: 16.320 |
| Connect: {met1 met4} |
| Type: macro, CORE_macro_grid_1 |
| Macro orientation: R0 R180 MX MY R90 R270 MXR90 MYR90 |
| Straps |
| Connect: {met4_PIN_ver met5} |
| [INFO PDN-0012] **** END INFO **** |
| [INFO PDN-0013] Inserting stdcell grid - stdcell_grid. |
| [INFO PDN-0015] Writing to database. |
| [WARNING PSM-0016] Voltage pad location (VSRC) file not specified, defaulting pad location to checkerboard pattern on core area. |
| [WARNING PSM-0017] X direction bump pitch is not specified, defaulting to 140um. |
| [WARNING PSM-0018] Y direction bump pitch is not specified, defaulting to 140um. |
| [WARNING PSM-0019] Voltage on net vccd1 is not explicitly set. |
| [WARNING PSM-0022] Using voltage 1.800V for VDD network. |
| [WARNING PSM-0065] VSRC location not specified, using default checkerboard pattern with one VDD every size bumps in x-direction and one in two bumps in the y-direction |
| [WARNING PSM-0030] VSRC location at (5.520um, 10.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (21.840um, 10.800um). |
| [WARNING PSM-0030] VSRC location at (285.520um, 150.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (329.040um, 151.200um). |
| [WARNING PSM-0030] VSRC location at (565.520um, 290.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (636.240um, 291.600um). |
| [WARNING PSM-0030] VSRC location at (5.520um, 430.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (21.840um, 432.000um). |
| [WARNING PSM-0030] VSRC location at (285.520um, 570.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (329.040um, 572.400um). |
| [INFO PSM-0031] Number of PDN nodes on net vccd1 = 27045. |
| [INFO PSM-0064] Number of voltage sources = 5. |
| [INFO PSM-0040] All PDN stripes on net vccd1 are connected. |
| [WARNING PSM-0016] Voltage pad location (VSRC) file not specified, defaulting pad location to checkerboard pattern on core area. |
| [WARNING PSM-0017] X direction bump pitch is not specified, defaulting to 140um. |
| [WARNING PSM-0018] Y direction bump pitch is not specified, defaulting to 140um. |
| [WARNING PSM-0019] Voltage on net vssd1 is not explicitly set. |
| [WARNING PSM-0021] Using voltage 0.000V for ground network. |
| [WARNING PSM-0065] VSRC location not specified, using default checkerboard pattern with one VDD every size bumps in x-direction and one in two bumps in the y-direction |
| [WARNING PSM-0030] VSRC location at (5.520um, 10.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (98.640um, 10.800um). |
| [WARNING PSM-0030] VSRC location at (285.520um, 150.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (252.240um, 151.200um). |
| [WARNING PSM-0030] VSRC location at (565.520um, 290.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (559.440um, 291.600um). |
| [WARNING PSM-0030] VSRC location at (5.520um, 430.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (98.640um, 432.000um). |
| [WARNING PSM-0030] VSRC location at (285.520um, 570.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (252.240um, 572.400um). |
| [INFO PSM-0031] Number of PDN nodes on net vssd1 = 26218. |
| [INFO PSM-0064] Number of voltage sources = 5. |
| [INFO PSM-0040] All PDN stripes on net vssd1 are connected. |
| [INFO]: Setting RC values... |
| [INFO PSM-0002] Output voltage file is specified as: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/tmp/floorplan/6-pdn.pga.rpt. |
| [WARNING PSM-0016] Voltage pad location (VSRC) file not specified, defaulting pad location to checkerboard pattern on core area. |
| [WARNING PSM-0017] X direction bump pitch is not specified, defaulting to 140um. |
| [WARNING PSM-0018] Y direction bump pitch is not specified, defaulting to 140um. |
| [WARNING PSM-0019] Voltage on net vccd1 is not explicitly set. |
| [WARNING PSM-0022] Using voltage 1.800V for VDD network. |
| [WARNING PSM-0065] VSRC location not specified, using default checkerboard pattern with one VDD every size bumps in x-direction and one in two bumps in the y-direction |
| [WARNING PSM-0030] VSRC location at (5.520um, 10.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (21.840um, 10.800um). |
| [WARNING PSM-0030] VSRC location at (285.520um, 150.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (329.040um, 151.200um). |
| [WARNING PSM-0030] VSRC location at (565.520um, 290.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (636.240um, 291.600um). |
| [WARNING PSM-0030] VSRC location at (5.520um, 430.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (21.840um, 432.000um). |
| [WARNING PSM-0030] VSRC location at (285.520um, 570.880um) and size 10.000um, is not located on a power stripe. Moving to closest stripe at (329.040um, 572.400um). |
| [INFO PSM-0031] Number of PDN nodes on net vccd1 = 27045. |
| [WARNING PSM-0024] Instance _07022_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07023_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07024_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07025_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07026_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07027_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07028_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07029_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07030_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07031_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07032_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07033_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07034_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07035_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07036_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07037_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07038_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07039_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07040_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07041_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07042_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07043_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07044_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07045_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07046_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07047_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07048_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07049_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07050_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07051_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07052_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07053_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07054_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07055_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07056_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07057_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07058_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07059_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07060_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07061_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07062_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07063_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07064_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07065_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07066_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07067_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07068_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07069_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07070_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07071_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07072_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07073_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07074_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07075_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07076_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07077_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07078_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07079_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07080_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07081_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07082_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07083_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07084_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07085_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07086_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07087_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07088_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07089_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07090_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07091_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07092_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07093_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07094_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07095_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07096_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07097_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07098_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07099_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07100_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07101_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07102_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07103_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07104_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07105_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07106_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07107_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07108_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07109_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07110_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07111_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07112_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07113_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07114_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07115_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07116_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07117_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07118_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07119_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07120_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07121_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07122_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07123_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07124_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07125_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07126_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07127_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07128_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07129_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07130_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07131_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07132_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07133_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07134_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07135_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07136_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07137_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07138_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07139_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07140_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07141_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07142_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07143_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07144_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07145_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07146_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07147_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07148_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07149_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07150_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07151_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07152_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07153_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07154_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07155_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07156_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07157_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07158_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07159_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07160_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07161_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07162_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07163_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07164_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07165_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07166_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07167_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07168_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07169_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07170_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07171_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07172_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07173_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07174_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07175_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07176_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07177_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07178_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07179_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07180_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07181_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07182_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07183_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07184_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07185_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07186_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07187_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07188_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07189_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07190_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07191_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07192_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07193_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07194_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07195_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07196_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07197_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07198_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07199_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07200_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07201_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07202_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07203_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07204_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07205_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07206_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07207_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07208_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07209_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07210_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07211_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07212_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07213_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07214_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07215_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07216_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07217_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07218_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07219_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07220_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07221_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07222_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07223_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07224_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07225_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07226_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07227_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07228_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07229_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07230_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07231_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07232_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07233_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07234_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07235_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07236_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07237_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07238_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07239_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07240_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07241_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07242_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07243_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07244_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07245_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07246_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07247_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07248_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07249_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07250_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07251_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07252_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07253_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07254_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07255_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07256_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07257_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07258_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07259_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07260_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07261_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07262_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07263_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07264_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07265_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07266_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07267_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07268_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07269_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07270_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07271_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07272_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07273_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07274_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07275_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07276_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07277_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07278_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07279_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07280_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07281_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07282_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07283_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07284_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07285_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07286_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07287_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07288_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07289_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07290_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07291_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07292_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07293_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07294_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07295_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07296_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07297_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07298_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07299_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07300_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07301_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07302_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07303_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07304_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07305_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07306_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07307_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07308_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07309_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07310_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07311_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07312_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07313_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07314_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07315_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07316_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07317_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07318_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07319_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07320_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07321_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07322_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07323_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07324_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07325_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07326_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07327_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07328_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07329_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07330_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07331_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07332_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07333_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07334_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07335_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07336_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07337_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07338_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07339_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07340_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07341_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07342_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07343_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07344_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07345_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07346_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07347_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07348_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07349_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07350_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07351_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07352_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07353_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07354_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07355_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07356_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07357_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07358_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07359_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07360_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07361_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07362_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07363_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07364_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07365_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07366_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07367_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07368_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07369_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07370_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07371_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07372_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07373_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07374_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07375_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07376_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07377_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07378_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07379_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07380_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07381_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07382_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07383_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07384_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07385_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07386_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07387_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07388_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07389_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07390_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07391_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07392_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07393_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07394_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07395_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07396_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07397_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07398_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07399_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07400_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07401_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07402_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07403_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07404_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07405_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07406_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07407_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07408_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07409_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07410_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07411_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07412_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07413_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07414_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07415_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07416_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07417_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07418_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07419_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07420_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07421_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07422_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07423_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07424_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07425_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07426_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07427_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07428_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07429_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07430_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07431_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07432_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07433_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07434_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07435_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07436_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07437_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07438_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07439_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07440_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07441_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07442_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07443_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07444_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07445_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07446_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07447_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07448_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07449_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07450_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07451_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07452_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07453_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07454_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07455_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07456_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07457_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07458_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07459_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07460_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07461_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07462_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07463_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07464_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07465_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07466_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07467_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07468_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07469_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07470_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07471_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07472_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07473_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07474_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07475_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07476_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07477_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07478_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07479_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07480_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07481_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07482_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07483_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07484_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07485_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07486_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07487_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07488_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07489_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07490_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07491_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07492_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07493_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07494_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07495_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07496_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07497_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07498_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07499_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07500_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07501_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07502_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07503_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07504_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07505_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07506_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07507_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07508_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07509_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07510_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07511_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07512_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07513_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07514_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07515_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07516_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07517_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07518_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07519_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07520_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07521_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07522_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07523_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07524_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07525_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07526_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07527_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07528_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07529_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07530_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07531_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07532_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07533_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07534_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07535_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07536_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07537_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07538_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07539_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07540_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07541_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07542_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07543_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07544_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07545_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07546_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07547_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07548_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07549_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07550_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07551_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07552_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07553_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07554_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07555_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07556_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07557_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07558_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07559_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07560_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07561_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07562_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07563_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07564_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07565_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07566_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07567_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07568_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07569_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07570_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07571_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07572_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07573_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07574_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07575_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07576_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07577_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07578_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07579_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07580_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07581_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07582_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07583_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07584_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07585_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07586_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07587_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07588_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07589_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07590_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07591_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07592_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07593_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07594_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07595_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07596_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07597_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07598_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07599_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07600_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07601_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07602_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07603_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07604_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07605_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07606_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07607_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07608_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07609_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07610_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07611_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07612_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07613_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07614_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07615_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07616_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07617_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07618_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07619_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07620_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07621_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07622_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07623_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07624_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07625_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07626_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07627_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07628_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07629_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07630_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07631_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07632_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07633_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07634_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07635_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07636_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07637_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07638_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07639_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07640_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07641_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07642_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07643_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07644_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07645_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07646_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07647_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07648_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07649_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07650_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07651_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07652_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07653_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07654_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07655_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07656_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07657_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07658_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07659_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07660_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07661_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07662_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07663_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07664_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07665_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07666_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07667_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07668_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07669_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07670_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07671_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07672_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07673_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07674_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07675_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07676_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07677_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07678_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07679_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07680_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07681_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07682_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07683_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07684_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07685_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07686_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07687_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07688_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07689_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07690_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07691_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07692_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07693_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07694_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07695_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07696_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07697_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07698_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07699_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07700_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07701_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07702_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07703_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07704_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07705_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07706_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07707_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07708_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07709_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07710_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07711_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07712_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07713_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07714_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07715_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07716_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07717_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07718_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07719_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07720_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07721_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07722_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07723_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07724_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07725_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07726_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07727_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07728_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07729_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07730_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07731_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07732_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07733_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07734_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07735_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07736_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07737_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07738_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07739_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07740_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07741_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07742_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07743_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07744_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07745_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07746_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07747_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07748_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07749_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07750_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07751_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07752_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07753_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07754_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07755_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07756_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07757_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07758_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07759_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07760_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07761_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07762_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07763_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07764_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07765_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07766_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07767_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07768_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07769_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07770_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07771_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07772_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07773_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07774_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07775_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07776_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07777_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07778_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07779_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07780_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07781_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07782_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07783_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07784_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07785_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07786_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07787_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07788_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07789_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07790_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07791_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07792_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07793_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07794_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07795_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07796_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07797_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07798_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07799_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07800_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07801_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07802_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07803_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07804_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07805_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07806_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07807_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07808_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07809_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07810_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07811_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07812_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07813_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07814_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07815_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07816_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07817_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07818_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07819_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07820_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07821_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07822_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07823_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07824_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07825_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07826_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07827_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07828_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07829_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07830_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07831_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07832_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07833_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07834_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07835_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07836_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07837_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07838_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07839_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07840_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07841_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07842_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07843_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07844_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07845_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07846_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07847_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07848_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07849_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07850_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07851_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07852_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07853_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07854_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07855_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07856_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07857_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07858_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07859_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07860_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07861_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07862_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07863_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07864_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07865_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07866_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07867_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07868_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07869_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07870_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07871_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07872_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07873_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07874_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07875_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07876_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07877_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07878_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07879_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07880_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07881_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07882_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07883_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07884_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07885_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07886_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07887_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07888_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07889_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07890_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07891_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07892_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07893_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07894_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07895_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07896_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07897_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07898_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07899_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07900_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07901_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07902_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07903_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07904_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07905_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07906_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07907_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07908_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07909_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07910_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07911_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07912_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07913_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07914_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07915_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07916_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07917_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07918_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07919_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07920_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07921_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07922_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07923_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07924_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07925_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07926_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07927_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07928_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07929_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07930_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07931_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07932_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07933_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07934_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07935_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07936_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07937_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07938_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07939_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07940_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07941_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07942_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07943_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07944_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07945_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07946_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07947_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07948_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07949_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07950_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07951_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07952_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07953_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07954_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07955_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07956_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07957_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07958_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07959_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07960_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07961_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07962_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07963_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07964_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07965_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07966_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07967_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07968_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07969_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07970_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07971_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07972_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07973_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07974_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07975_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07976_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07977_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07978_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07979_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07980_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07981_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07982_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07983_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07984_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07985_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07986_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07987_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07988_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07989_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07990_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07991_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07992_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07993_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07994_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07995_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07996_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07997_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07998_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _07999_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _08000_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _08001_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _08002_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _08003_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _08004_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _08005_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _08006_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _08007_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _08008_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _08009_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _08010_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _08011_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _08012_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _08013_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _08014_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _08015_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _08016_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _08017_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _08018_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _08019_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _08020_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] Instance _08021_, current node at (5400, 13600) at layer 2 have been moved from (0, 0). |
| [WARNING PSM-0024] message limit reached, this message will no longer print |
| [INFO PSM-0064] Number of voltage sources = 5. |
| [INFO PSM-0040] All PDN stripes on net vccd1 are connected. |
| ########## IR report ################# |
| Worstcase voltage: 1.80e+00 V |
| Average IR drop : 5.64e-10 V |
| Worstcase IR drop: 1.37e-07 V |
| ###################################### |
| [INFO]: PDN generation was successful. |
| [INFO]: Changing layout from /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/results/floorplan/aes.def to /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/tmp/floorplan/6-pdn.def |
| [INFO]: Running Placement... |
| [INFO]: Incremented step index to 6. |
| [INFO]: Running Global Placement... |
| OpenROAD 8d53e9b018dec98fa63e907ddeb6c5406f035361 |
| This program is licensed under the BSD-3 license. See the LICENSE file for details. |
| Components of this program may be licensed under more restrictive licenses which must be honored. |
| [INFO ODB-0222] Reading LEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/tmp/merged_unpadded.lef |
| [INFO ODB-0223] Created 13 technology layers |
| [INFO ODB-0224] Created 25 technology vias |
| [INFO ODB-0225] Created 441 library cells |
| [INFO ODB-0226] Finished LEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/tmp/merged_unpadded.lef |
| [INFO ODB-0127] Reading DEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/tmp/floorplan/6-pdn.def |
| [INFO ODB-0128] Design: aes |
| [INFO ODB-0130] Created 108 pins. |
| [INFO ODB-0131] Created 16867 components and 76282 component-terminals. |
| [INFO ODB-0132] Created 2 special nets and 49900 connections. |
| [INFO ODB-0133] Created 7584 nets and 26382 connections. |
| [INFO ODB-0134] Finished DEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/tmp/floorplan/6-pdn.def |
| [INFO GPL-0002] DBU: 1000 |
| [INFO GPL-0003] SiteSize: 460 2720 |
| [INFO GPL-0004] CoreAreaLxLy: 5520 10880 |
| [INFO GPL-0005] CoreAreaUxUy: 794420 788800 |
| [INFO GPL-0006] NumInstances: 16867 |
| [INFO GPL-0007] NumPlaceInstances: 7511 |
| [INFO GPL-0008] NumFixedInstances: 9356 |
| [INFO GPL-0009] NumDummyInstances: 0 |
| [INFO GPL-0010] NumNets: 7584 |
| [INFO GPL-0011] NumPins: 26488 |
| [INFO GPL-0012] DieAreaLxLy: 0 0 |
| [INFO GPL-0013] DieAreaUxUy: 800000 800000 |
| [INFO GPL-0014] CoreAreaLxLy: 5520 10880 |
| [INFO GPL-0015] CoreAreaUxUy: 794420 788800 |
| [INFO GPL-0016] CoreArea: 613701088000 |
| [INFO GPL-0017] NonPlaceInstsArea: 13137600000 |
| [INFO GPL-0018] PlaceInstsArea: 63738630400 |
| [INFO GPL-0019] Util(%): 10.61 |
| [INFO GPL-0020] StdInstsArea: 63738630400 |
| [INFO GPL-0021] MacroInstsArea: 0 |
| [InitialPlace] Iter: 1 CG Error: 0.00008774 HPWL: 121422180 |
| [InitialPlace] Iter: 2 CG Error: 0.00001026 HPWL: 110104509 |
| [InitialPlace] Iter: 3 CG Error: 0.00001296 HPWL: 110018670 |
| [InitialPlace] Iter: 4 CG Error: 0.00001850 HPWL: 110356180 |
| [InitialPlace] Iter: 5 CG Error: 0.00000492 HPWL: 110210837 |
| [INFO GPL-0031] FillerInit: NumGCells: 22001 |
| [INFO GPL-0032] FillerInit: NumGNets: 7584 |
| [INFO GPL-0033] FillerInit: NumGPins: 26488 |
| [INFO GPL-0023] TargetDensity: 0.30 |
| [INFO GPL-0024] AveragePlaceInstArea: 8486037 |
| [INFO GPL-0025] IdealBinArea: 28286788 |
| [INFO GPL-0026] IdealBinCnt: 21695 |
| [INFO GPL-0027] TotalBinArea: 613701088000 |
| [INFO GPL-0028] BinCnt: 128 128 |
| [INFO GPL-0029] BinSize: 6164 6078 |
| [INFO GPL-0030] NumBins: 16384 |
| [NesterovSolve] Iter: 1 overflow: 0.981432 HPWL: 68118692 |
| [NesterovSolve] Iter: 10 overflow: 0.952428 HPWL: 93417884 |
| [NesterovSolve] Iter: 20 overflow: 0.951756 HPWL: 93759553 |
| [NesterovSolve] Iter: 30 overflow: 0.952333 HPWL: 93510064 |
| [NesterovSolve] Iter: 40 overflow: 0.952313 HPWL: 93436172 |
| [NesterovSolve] Iter: 50 overflow: 0.952204 HPWL: 93492763 |
| [NesterovSolve] Iter: 60 overflow: 0.952258 HPWL: 93542917 |
| [NesterovSolve] Iter: 70 overflow: 0.952228 HPWL: 93560932 |
| [NesterovSolve] Iter: 80 overflow: 0.95214 HPWL: 93611909 |
| [NesterovSolve] Iter: 90 overflow: 0.95203 HPWL: 93690332 |
| [NesterovSolve] Iter: 100 overflow: 0.95189 HPWL: 93848105 |
| [NesterovSolve] Iter: 110 overflow: 0.951767 HPWL: 94172287 |
| [NesterovSolve] Iter: 120 overflow: 0.951591 HPWL: 94783959 |
| [NesterovSolve] Iter: 130 overflow: 0.951495 HPWL: 95920049 |
| [NesterovSolve] Iter: 140 overflow: 0.951123 HPWL: 97906748 |
| [NesterovSolve] Iter: 150 overflow: 0.949417 HPWL: 101010601 |
| [NesterovSolve] Iter: 160 overflow: 0.946763 HPWL: 105414700 |
| [NesterovSolve] Iter: 170 overflow: 0.943511 HPWL: 111197270 |
| [NesterovSolve] Iter: 180 overflow: 0.939356 HPWL: 118435475 |
| [NesterovSolve] Iter: 190 overflow: 0.933697 HPWL: 127309899 |
| [NesterovSolve] Iter: 200 overflow: 0.926999 HPWL: 137902253 |
| [NesterovSolve] Iter: 210 overflow: 0.917706 HPWL: 150193532 |
| [NesterovSolve] Iter: 220 overflow: 0.904361 HPWL: 163874103 |
| [NesterovSolve] Iter: 230 overflow: 0.884606 HPWL: 181940484 |
| [NesterovSolve] Iter: 240 overflow: 0.86195 HPWL: 200931076 |
| [NesterovSolve] Iter: 250 overflow: 0.838336 HPWL: 217041397 |
| [NesterovSolve] Iter: 260 overflow: 0.807703 HPWL: 235978413 |
| [NesterovSolve] Iter: 270 overflow: 0.775594 HPWL: 252457133 |
| [NesterovSolve] Iter: 280 overflow: 0.737387 HPWL: 270986175 |
| [NesterovSolve] Iter: 290 overflow: 0.693757 HPWL: 288976655 |
| [NesterovSolve] Iter: 300 overflow: 0.64749 HPWL: 305662506 |
| [NesterovSolve] Iter: 310 overflow: 0.596816 HPWL: 322196225 |
| [NesterovSolve] Iter: 320 overflow: 0.545988 HPWL: 339908195 |
| [NesterovSolve] Iter: 330 overflow: 0.49227 HPWL: 356133301 |
| [NesterovSolve] Iter: 340 overflow: 0.437489 HPWL: 370523285 |
| [NesterovSolve] Iter: 350 overflow: 0.388674 HPWL: 383465203 |
| [NesterovSolve] Iter: 360 overflow: 0.335749 HPWL: 395761424 |
| [NesterovSolve] Iter: 370 overflow: 0.295331 HPWL: 403369701 |
| [NesterovSolve] Iter: 380 overflow: 0.256806 HPWL: 410925923 |
| [NesterovSolve] Iter: 390 overflow: 0.225316 HPWL: 417057011 |
| [NesterovSolve] Iter: 400 overflow: 0.193709 HPWL: 421796420 |
| [NesterovSolve] Iter: 410 overflow: 0.168821 HPWL: 425283256 |
| [NesterovSolve] Iter: 420 overflow: 0.144543 HPWL: 427808862 |
| [NesterovSolve] Iter: 430 overflow: 0.126717 HPWL: 429677466 |
| [NesterovSolve] Iter: 440 overflow: 0.11089 HPWL: 429210508 |
| [NesterovSolve] Finished with Overflow: 0.098946 |
| [WARNING STA-0053] /home/askartos/sandbox/caravel_tutorial/pdks/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__tt_025C_1v80.lib line 1, library sky130_fd_sc_hd__tt_025C_1v80 already exists. |
| ############################################################################### |
| # Created by write_sdc |
| # Sat Jun 4 17:11:52 2022 |
| ############################################################################### |
| current_design aes |
| ############################################################################### |
| # Timing Constraints |
| ############################################################################### |
| create_clock -name clock -period 15.0000 [get_ports {clock}] |
| set_clock_transition 0.1500 [get_clocks {clock}] |
| set_clock_uncertainty 0.2500 clock |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[0]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[10]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[11]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[12]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[13]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[14]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[15]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[16]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[17]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[18]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[19]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[1]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[20]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[21]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[22]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[23]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[24]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[25]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[26]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[27]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[28]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[29]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[2]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[30]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[31]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[3]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[4]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[5]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[6]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[7]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[8]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[9]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_cyc_i}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[0]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[10]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[11]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[12]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[13]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[14]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[15]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[16]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[17]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[18]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[19]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[1]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[20]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[21]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[22]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[23]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[24]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[25]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[26]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[27]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[28]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[29]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[2]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[30]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[31]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[3]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[4]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[5]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[6]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[7]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[8]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[9]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_sel_i[0]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_sel_i[1]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_sel_i[2]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_sel_i[3]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_stb_i}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_we_i}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {reset}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_ack_o}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[0]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[10]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[11]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[12]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[13]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[14]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[15]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[16]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[17]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[18]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[19]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[1]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[20]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[21]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[22]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[23]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[24]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[25]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[26]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[27]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[28]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[29]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[2]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[30]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[31]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[3]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[4]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[5]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[6]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[7]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[8]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[9]}] |
| ############################################################################### |
| # Environment |
| ############################################################################### |
| set_load -pin_load 0.0334 [get_ports {io_wbs_ack_o}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[31]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[30]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[29]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[28]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[27]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[26]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[25]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[24]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[23]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[22]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[21]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[20]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[19]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[18]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[17]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[16]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[15]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[14]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[13]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[12]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[11]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[10]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[9]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[8]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[7]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[6]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[5]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[4]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[3]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[2]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[1]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {clock}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_cyc_i}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_stb_i}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_we_i}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {reset}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[31]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[30]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[29]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[28]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[27]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[26]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[25]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[24]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[23]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[22]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[21]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[20]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[19]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[18]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[17]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[16]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[15]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[14]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[13]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[12]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[11]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[10]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[9]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[8]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[7]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[6]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[5]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[4]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[3]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[2]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[31]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[30]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[29]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[28]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[27]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[26]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[25]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[24]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[23]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[22]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[21]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[20]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[19]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[18]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[17]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[16]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[15]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[14]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[13]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[12]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[11]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[10]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[9]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[8]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[7]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[6]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[5]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[4]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[3]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[2]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_sel_i[3]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_sel_i[2]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_sel_i[1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_sel_i[0]}] |
| set_timing_derate -early 0.9500 |
| set_timing_derate -late 1.0500 |
| ############################################################################### |
| # Design Rules |
| ############################################################################### |
| set_max_fanout 5.0000 [current_design] |
| [INFO]: Setting RC values... |
| min_report |
| |
| =========================================================================== |
| report_checks -path_delay min (Hold) |
| ============================================================================ |
| Startpoint: _14059_ (rising edge-triggered flip-flop clocked by clock) |
| Endpoint: _14059_ (rising edge-triggered flip-flop clocked by clock) |
| Path Group: clock |
| Path Type: min |
| |
| Fanout Cap Slew Delay Time Description |
| ----------------------------------------------------------------------------- |
| 0.15 0.00 0.00 clock clock (rise edge) |
| 0.00 0.00 clock network delay (ideal) |
| 0.15 0.00 0.00 ^ _14059_/CLK (sky130_fd_sc_hd__dfxtp_2) |
| 0.08 0.37 0.37 ^ _14059_/Q (sky130_fd_sc_hd__dfxtp_2) |
| 2 0.02 _T_54 (net) |
| 0.08 0.00 0.37 ^ _07210_/A1 (sky130_fd_sc_hd__a211o_2) |
| 0.03 0.12 0.48 ^ _07210_/X (sky130_fd_sc_hd__a211o_2) |
| 1 0.00 _00023_ (net) |
| 0.03 0.00 0.48 ^ _14059_/D (sky130_fd_sc_hd__dfxtp_2) |
| 0.48 data arrival time |
| |
| 0.15 0.00 0.00 clock clock (rise edge) |
| 0.00 0.00 clock network delay (ideal) |
| 0.25 0.25 clock uncertainty |
| 0.00 0.25 clock reconvergence pessimism |
| 0.25 ^ _14059_/CLK (sky130_fd_sc_hd__dfxtp_2) |
| -0.01 0.24 library hold time |
| 0.24 data required time |
| ----------------------------------------------------------------------------- |
| 0.24 data required time |
| -0.48 data arrival time |
| ----------------------------------------------------------------------------- |
| 0.25 slack (MET) |
| |
| |
| Startpoint: _14196_ (rising edge-triggered flip-flop clocked by clock) |
| Endpoint: _14196_ (rising edge-triggered flip-flop clocked by clock) |
| Path Group: clock |
| Path Type: min |
| |
| Fanout Cap Slew Delay Time Description |
| ----------------------------------------------------------------------------- |
| 0.15 0.00 0.00 clock clock (rise edge) |
| 0.00 0.00 clock network delay (ideal) |
| 0.15 0.00 0.00 ^ _14196_/CLK (sky130_fd_sc_hd__dfxtp_2) |
| 0.04 0.35 0.35 v _14196_/Q (sky130_fd_sc_hd__dfxtp_2) |
| 3 0.01 _T_1[150] (net) |
| 0.04 0.00 0.35 v _12362_/A (sky130_fd_sc_hd__nor2_2) |
| 0.08 0.10 0.45 ^ _12362_/Y (sky130_fd_sc_hd__nor2_2) |
| 1 0.00 _05666_ (net) |
| 0.08 0.00 0.45 ^ _12363_/B1 (sky130_fd_sc_hd__a211oi_2) |
| 0.03 0.04 0.49 v _12363_/Y (sky130_fd_sc_hd__a211oi_2) |
| 1 0.00 _00156_ (net) |
| 0.03 0.00 0.49 v _14196_/D (sky130_fd_sc_hd__dfxtp_2) |
| 0.49 data arrival time |
| |
| 0.15 0.00 0.00 clock clock (rise edge) |
| 0.00 0.00 clock network delay (ideal) |
| 0.25 0.25 clock uncertainty |
| 0.00 0.25 clock reconvergence pessimism |
| 0.25 ^ _14196_/CLK (sky130_fd_sc_hd__dfxtp_2) |
| -0.02 0.23 library hold time |
| 0.23 data required time |
| ----------------------------------------------------------------------------- |
| 0.23 data required time |
| -0.49 data arrival time |
| ----------------------------------------------------------------------------- |
| 0.26 slack (MET) |
| |
| |
| Startpoint: _14308_ (rising edge-triggered flip-flop clocked by clock) |
| Endpoint: _14308_ (rising edge-triggered flip-flop clocked by clock) |
| Path Group: clock |
| Path Type: min |
| |
| Fanout Cap Slew Delay Time Description |
| ----------------------------------------------------------------------------- |
| 0.15 0.00 0.00 clock clock (rise edge) |
| 0.00 0.00 clock network delay (ideal) |
| 0.15 0.00 0.00 ^ _14308_/CLK (sky130_fd_sc_hd__dfxtp_2) |
| 0.05 0.34 0.34 ^ _14308_/Q (sky130_fd_sc_hd__dfxtp_2) |
| 2 0.01 _T_1[262] (net) |
| 0.05 0.00 0.34 ^ _12697_/A1 (sky130_fd_sc_hd__o211a_2) |
| 0.04 0.15 0.49 ^ _12697_/X (sky130_fd_sc_hd__o211a_2) |
| 1 0.00 _00268_ (net) |
| 0.04 0.00 0.49 ^ _14308_/D (sky130_fd_sc_hd__dfxtp_2) |
| 0.49 data arrival time |
| |
| 0.15 0.00 0.00 clock clock (rise edge) |
| 0.00 0.00 clock network delay (ideal) |
| 0.25 0.25 clock uncertainty |
| 0.00 0.25 clock reconvergence pessimism |
| 0.25 ^ _14308_/CLK (sky130_fd_sc_hd__dfxtp_2) |
| -0.02 0.23 library hold time |
| 0.23 data required time |
| ----------------------------------------------------------------------------- |
| 0.23 data required time |
| -0.49 data arrival time |
| ----------------------------------------------------------------------------- |
| 0.26 slack (MET) |
| |
| |
| Startpoint: _14311_ (rising edge-triggered flip-flop clocked by clock) |
| Endpoint: _14311_ (rising edge-triggered flip-flop clocked by clock) |
| Path Group: clock |
| Path Type: min |
| |
| Fanout Cap Slew Delay Time Description |
| ----------------------------------------------------------------------------- |
| 0.15 0.00 0.00 clock clock (rise edge) |
| 0.00 0.00 clock network delay (ideal) |
| 0.15 0.00 0.00 ^ _14311_/CLK (sky130_fd_sc_hd__dfxtp_2) |
| 0.05 0.34 0.34 ^ _14311_/Q (sky130_fd_sc_hd__dfxtp_2) |
| 2 0.01 _T_1[265] (net) |
| 0.05 0.00 0.34 ^ _12705_/A1 (sky130_fd_sc_hd__o211a_2) |
| 0.04 0.15 0.50 ^ _12705_/X (sky130_fd_sc_hd__o211a_2) |
| 1 0.00 _00271_ (net) |
| 0.04 0.00 0.50 ^ _14311_/D (sky130_fd_sc_hd__dfxtp_2) |
| 0.50 data arrival time |
| |
| 0.15 0.00 0.00 clock clock (rise edge) |
| 0.00 0.00 clock network delay (ideal) |
| 0.25 0.25 clock uncertainty |
| 0.00 0.25 clock reconvergence pessimism |
| 0.25 ^ _14311_/CLK (sky130_fd_sc_hd__dfxtp_2) |
| -0.02 0.23 library hold time |
| 0.23 data required time |
| ----------------------------------------------------------------------------- |
| 0.23 data required time |
| -0.50 data arrival time |
| ----------------------------------------------------------------------------- |
| 0.26 slack (MET) |
| |
| |
| Startpoint: _14332_ (rising edge-triggered flip-flop clocked by clock) |
| Endpoint: _14332_ (rising edge-triggered flip-flop clocked by clock) |
| Path Group: clock |
| Path Type: min |
| |
| Fanout Cap Slew Delay Time Description |
| ----------------------------------------------------------------------------- |
| 0.15 0.00 0.00 clock clock (rise edge) |
| 0.00 0.00 clock network delay (ideal) |
| 0.15 0.00 0.00 ^ _14332_/CLK (sky130_fd_sc_hd__dfxtp_2) |
| 0.05 0.34 0.34 ^ _14332_/Q (sky130_fd_sc_hd__dfxtp_2) |
| 2 0.01 _T_1[286] (net) |
| 0.05 0.00 0.34 ^ _12771_/A1 (sky130_fd_sc_hd__o211a_2) |
| 0.04 0.15 0.50 ^ _12771_/X (sky130_fd_sc_hd__o211a_2) |
| 1 0.00 _00292_ (net) |
| 0.04 0.00 0.50 ^ _14332_/D (sky130_fd_sc_hd__dfxtp_2) |
| 0.50 data arrival time |
| |
| 0.15 0.00 0.00 clock clock (rise edge) |
| 0.00 0.00 clock network delay (ideal) |
| 0.25 0.25 clock uncertainty |
| 0.00 0.25 clock reconvergence pessimism |
| 0.25 ^ _14332_/CLK (sky130_fd_sc_hd__dfxtp_2) |
| -0.02 0.23 library hold time |
| 0.23 data required time |
| ----------------------------------------------------------------------------- |
| 0.23 data required time |
| -0.50 data arrival time |
| ----------------------------------------------------------------------------- |
| 0.26 slack (MET) |
| |
| |
| min_report_end |
| max_report |
| |
| =========================================================================== |
| report_checks -path_delay max (Setup) |
| ============================================================================ |
| Startpoint: _14528_ (rising edge-triggered flip-flop clocked by clock) |
| Endpoint: _14071_ (rising edge-triggered flip-flop clocked by clock) |
| Path Group: clock |
| Path Type: max |
| |
| Fanout Cap Slew Delay Time Description |
| ----------------------------------------------------------------------------- |
| 0.15 0.00 0.00 clock clock (rise edge) |
| 0.00 0.00 clock network delay (ideal) |
| 0.15 0.00 0.00 ^ _14528_/CLK (sky130_fd_sc_hd__dfxtp_2) |
| 0.14 0.45 0.45 ^ _14528_/Q (sky130_fd_sc_hd__dfxtp_2) |
| 5 0.03 _T_43 (net) |
| 0.14 0.00 0.45 ^ _07196_/A (sky130_fd_sc_hd__buf_1) |
| 0.29 0.29 0.74 ^ _07196_/X (sky130_fd_sc_hd__buf_1) |
| 5 0.02 _00630_ (net) |
| 0.29 0.00 0.74 ^ _07197_/A (sky130_fd_sc_hd__buf_1) |
| 0.66 0.58 1.32 ^ _07197_/X (sky130_fd_sc_hd__buf_1) |
| 5 0.06 _00631_ (net) |
| 0.66 0.01 1.33 ^ _07260_/A (sky130_fd_sc_hd__buf_1) |
| 0.34 0.38 1.71 ^ _07260_/X (sky130_fd_sc_hd__buf_1) |
| 5 0.03 _00691_ (net) |
| 0.34 0.00 1.71 ^ _07296_/A (sky130_fd_sc_hd__buf_1) |
| 0.50 0.47 2.17 ^ _07296_/X (sky130_fd_sc_hd__buf_1) |
| 5 0.04 _00727_ (net) |
| 0.50 0.00 2.17 ^ _08632_/B (sky130_fd_sc_hd__nand3b_2) |
| 0.10 0.14 2.31 v _08632_/Y (sky130_fd_sc_hd__nand3b_2) |
| 1 0.00 _02055_ (net) |
| 0.10 0.00 2.31 v _08634_/B1 (sky130_fd_sc_hd__a221o_2) |
| 0.11 0.44 2.76 v _08634_/X (sky130_fd_sc_hd__a221o_2) |
| 2 0.02 _02057_ (net) |
| 0.11 0.00 2.76 v _08691_/A2_N (sky130_fd_sc_hd__o2bb2a_2) |
| 0.15 0.36 3.12 ^ _08691_/X (sky130_fd_sc_hd__o2bb2a_2) |
| 4 0.03 _02114_ (net) |
| 0.15 0.00 3.12 ^ _08740_/A (sky130_fd_sc_hd__nor2_2) |
| 0.07 0.09 3.21 v _08740_/Y (sky130_fd_sc_hd__nor2_2) |
| 3 0.01 _02163_ (net) |
| 0.07 0.00 3.21 v _09035_/B (sky130_fd_sc_hd__nand2_2) |
| 0.18 0.18 3.40 ^ _09035_/Y (sky130_fd_sc_hd__nand2_2) |
| 5 0.03 _02457_ (net) |
| 0.18 0.00 3.40 ^ _09036_/A2 (sky130_fd_sc_hd__a21oi_2) |
| 0.05 0.09 3.49 v _09036_/Y (sky130_fd_sc_hd__a21oi_2) |
| 2 0.01 _02458_ (net) |
| 0.05 0.00 3.49 v _09272_/A (sky130_fd_sc_hd__or4b_2) |
| 0.11 0.72 4.21 v _09272_/X (sky130_fd_sc_hd__or4b_2) |
| 1 0.01 _02692_ (net) |
| 0.11 0.00 4.21 v _09274_/C (sky130_fd_sc_hd__or4b_2) |
| 0.10 0.66 4.87 v _09274_/X (sky130_fd_sc_hd__or4b_2) |
| 1 0.00 _02694_ (net) |
| 0.10 0.00 4.87 v _09276_/B (sky130_fd_sc_hd__or3_2) |
| 0.07 0.48 5.35 v _09276_/X (sky130_fd_sc_hd__or3_2) |
| 1 0.00 _02696_ (net) |
| 0.07 0.00 5.35 v _09277_/C (sky130_fd_sc_hd__or3_2) |
| 0.08 0.45 5.80 v _09277_/X (sky130_fd_sc_hd__or3_2) |
| 1 0.00 _02697_ (net) |
| 0.08 0.00 5.80 v _09281_/A (sky130_fd_sc_hd__or4bb_2) |
| 0.10 0.68 6.47 v _09281_/X (sky130_fd_sc_hd__or4bb_2) |
| 1 0.00 _02701_ (net) |
| 0.10 0.00 6.47 v _09287_/B (sky130_fd_sc_hd__or4_2) |
| 0.11 0.70 7.17 v _09287_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.00 _02707_ (net) |
| 0.11 0.00 7.17 v _09288_/D (sky130_fd_sc_hd__or4_2) |
| 0.11 0.59 7.76 v _09288_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.00 _02708_ (net) |
| 0.11 0.00 7.76 v _09289_/D (sky130_fd_sc_hd__or4_2) |
| 0.10 0.58 8.34 v _09289_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.00 _02709_ (net) |
| 0.10 0.00 8.34 v _09290_/D (sky130_fd_sc_hd__or4_2) |
| 0.10 0.58 8.92 v _09290_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.00 _02710_ (net) |
| 0.10 0.00 8.92 v _09291_/D (sky130_fd_sc_hd__or4_2) |
| 0.11 0.59 9.51 v _09291_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.00 _02711_ (net) |
| 0.11 0.00 9.51 v _09292_/C (sky130_fd_sc_hd__or3_2) |
| 0.08 0.45 9.95 v _09292_/X (sky130_fd_sc_hd__or3_2) |
| 1 0.00 _02712_ (net) |
| 0.08 0.00 9.95 v _09293_/C1 (sky130_fd_sc_hd__a311o_2) |
| 0.06 0.34 10.29 v _09293_/X (sky130_fd_sc_hd__a311o_2) |
| 1 0.00 _02713_ (net) |
| 0.06 0.00 10.29 v _09295_/C (sky130_fd_sc_hd__or4_2) |
| 0.10 0.64 10.93 v _09295_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.00 _02715_ (net) |
| 0.10 0.00 10.93 v _09296_/C1 (sky130_fd_sc_hd__a2111o_2) |
| 0.07 0.45 11.38 v _09296_/X (sky130_fd_sc_hd__a2111o_2) |
| 1 0.01 _02716_ (net) |
| 0.07 0.00 11.38 v _09297_/D (sky130_fd_sc_hd__or4_2) |
| 0.11 0.58 11.96 v _09297_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.00 _02717_ (net) |
| 0.11 0.00 11.96 v _09298_/D (sky130_fd_sc_hd__or4_2) |
| 0.12 0.61 12.57 v _09298_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.01 _02718_ (net) |
| 0.12 0.00 12.57 v _09300_/C (sky130_fd_sc_hd__or4_2) |
| 0.12 0.69 13.26 v _09300_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.01 _02720_ (net) |
| 0.12 0.00 13.27 v _09301_/B1 (sky130_fd_sc_hd__o21ai_2) |
| 0.10 0.09 13.35 ^ _09301_/Y (sky130_fd_sc_hd__o21ai_2) |
| 1 0.00 _02721_ (net) |
| 0.10 0.00 13.35 ^ _09305_/A1 (sky130_fd_sc_hd__a311o_2) |
| 0.06 0.20 13.55 ^ _09305_/X (sky130_fd_sc_hd__a311o_2) |
| 1 0.01 _02725_ (net) |
| 0.06 0.00 13.55 ^ _09306_/B (sky130_fd_sc_hd__and2b_2) |
| 0.28 0.33 13.88 ^ _09306_/X (sky130_fd_sc_hd__and2b_2) |
| 2 0.06 _02726_ (net) |
| 0.28 0.00 13.89 ^ _09307_/A (sky130_fd_sc_hd__buf_1) |
| 0.75 0.64 14.53 ^ _09307_/X (sky130_fd_sc_hd__buf_1) |
| 5 0.06 _02727_ (net) |
| 0.75 0.00 14.54 ^ _09308_/B (sky130_fd_sc_hd__xnor2_2) |
| 0.24 0.31 14.85 v _09308_/Y (sky130_fd_sc_hd__xnor2_2) |
| 4 0.04 _02728_ (net) |
| 0.24 0.00 14.85 v _09309_/B2 (sky130_fd_sc_hd__a32o_2) |
| 0.06 0.36 15.20 v _09309_/X (sky130_fd_sc_hd__a32o_2) |
| 1 0.01 _02729_ (net) |
| 0.06 0.00 15.21 v _09310_/A1 (sky130_fd_sc_hd__mux2_2) |
| 0.05 0.28 15.48 v _09310_/X (sky130_fd_sc_hd__mux2_2) |
| 1 0.00 _02730_ (net) |
| 0.05 0.00 15.48 v _09312_/A2 (sky130_fd_sc_hd__o211a_2) |
| 0.04 0.25 15.73 v _09312_/X (sky130_fd_sc_hd__o211a_2) |
| 1 0.00 _02732_ (net) |
| 0.04 0.00 15.73 v _09317_/A2 (sky130_fd_sc_hd__o311a_2) |
| 0.05 0.38 16.11 v _09317_/X (sky130_fd_sc_hd__o311a_2) |
| 1 0.00 _00040_ (net) |
| 0.05 0.00 16.11 v _14071_/D (sky130_fd_sc_hd__dfxtp_2) |
| 16.11 data arrival time |
| |
| 0.15 15.00 15.00 clock clock (rise edge) |
| 0.00 15.00 clock network delay (ideal) |
| -0.25 14.75 clock uncertainty |
| 0.00 14.75 clock reconvergence pessimism |
| 14.75 ^ _14071_/CLK (sky130_fd_sc_hd__dfxtp_2) |
| -0.09 14.66 library setup time |
| 14.66 data required time |
| ----------------------------------------------------------------------------- |
| 14.66 data required time |
| -16.11 data arrival time |
| ----------------------------------------------------------------------------- |
| -1.45 slack (VIOLATED) |
| |
| |
| Startpoint: _14528_ (rising edge-triggered flip-flop clocked by clock) |
| Endpoint: _14153_ (rising edge-triggered flip-flop clocked by clock) |
| Path Group: clock |
| Path Type: max |
| |
| Fanout Cap Slew Delay Time Description |
| ----------------------------------------------------------------------------- |
| 0.15 0.00 0.00 clock clock (rise edge) |
| 0.00 0.00 clock network delay (ideal) |
| 0.15 0.00 0.00 ^ _14528_/CLK (sky130_fd_sc_hd__dfxtp_2) |
| 0.14 0.45 0.45 ^ _14528_/Q (sky130_fd_sc_hd__dfxtp_2) |
| 5 0.03 _T_43 (net) |
| 0.14 0.00 0.45 ^ _07196_/A (sky130_fd_sc_hd__buf_1) |
| 0.29 0.29 0.74 ^ _07196_/X (sky130_fd_sc_hd__buf_1) |
| 5 0.02 _00630_ (net) |
| 0.29 0.00 0.74 ^ _07197_/A (sky130_fd_sc_hd__buf_1) |
| 0.66 0.58 1.32 ^ _07197_/X (sky130_fd_sc_hd__buf_1) |
| 5 0.06 _00631_ (net) |
| 0.66 0.01 1.33 ^ _07260_/A (sky130_fd_sc_hd__buf_1) |
| 0.34 0.38 1.71 ^ _07260_/X (sky130_fd_sc_hd__buf_1) |
| 5 0.03 _00691_ (net) |
| 0.34 0.00 1.71 ^ _07296_/A (sky130_fd_sc_hd__buf_1) |
| 0.50 0.47 2.17 ^ _07296_/X (sky130_fd_sc_hd__buf_1) |
| 5 0.04 _00727_ (net) |
| 0.50 0.00 2.17 ^ _08632_/B (sky130_fd_sc_hd__nand3b_2) |
| 0.10 0.14 2.31 v _08632_/Y (sky130_fd_sc_hd__nand3b_2) |
| 1 0.00 _02055_ (net) |
| 0.10 0.00 2.31 v _08634_/B1 (sky130_fd_sc_hd__a221o_2) |
| 0.11 0.44 2.76 v _08634_/X (sky130_fd_sc_hd__a221o_2) |
| 2 0.02 _02057_ (net) |
| 0.11 0.00 2.76 v _08691_/A2_N (sky130_fd_sc_hd__o2bb2a_2) |
| 0.15 0.36 3.12 ^ _08691_/X (sky130_fd_sc_hd__o2bb2a_2) |
| 4 0.03 _02114_ (net) |
| 0.15 0.00 3.12 ^ _08740_/A (sky130_fd_sc_hd__nor2_2) |
| 0.07 0.09 3.21 v _08740_/Y (sky130_fd_sc_hd__nor2_2) |
| 3 0.01 _02163_ (net) |
| 0.07 0.00 3.21 v _09035_/B (sky130_fd_sc_hd__nand2_2) |
| 0.18 0.18 3.40 ^ _09035_/Y (sky130_fd_sc_hd__nand2_2) |
| 5 0.03 _02457_ (net) |
| 0.18 0.00 3.40 ^ _09036_/A2 (sky130_fd_sc_hd__a21oi_2) |
| 0.05 0.09 3.49 v _09036_/Y (sky130_fd_sc_hd__a21oi_2) |
| 2 0.01 _02458_ (net) |
| 0.05 0.00 3.49 v _09272_/A (sky130_fd_sc_hd__or4b_2) |
| 0.11 0.72 4.21 v _09272_/X (sky130_fd_sc_hd__or4b_2) |
| 1 0.01 _02692_ (net) |
| 0.11 0.00 4.21 v _09274_/C (sky130_fd_sc_hd__or4b_2) |
| 0.10 0.66 4.87 v _09274_/X (sky130_fd_sc_hd__or4b_2) |
| 1 0.00 _02694_ (net) |
| 0.10 0.00 4.87 v _09276_/B (sky130_fd_sc_hd__or3_2) |
| 0.07 0.48 5.35 v _09276_/X (sky130_fd_sc_hd__or3_2) |
| 1 0.00 _02696_ (net) |
| 0.07 0.00 5.35 v _09277_/C (sky130_fd_sc_hd__or3_2) |
| 0.08 0.45 5.80 v _09277_/X (sky130_fd_sc_hd__or3_2) |
| 1 0.00 _02697_ (net) |
| 0.08 0.00 5.80 v _09281_/A (sky130_fd_sc_hd__or4bb_2) |
| 0.10 0.68 6.47 v _09281_/X (sky130_fd_sc_hd__or4bb_2) |
| 1 0.00 _02701_ (net) |
| 0.10 0.00 6.47 v _09287_/B (sky130_fd_sc_hd__or4_2) |
| 0.11 0.70 7.17 v _09287_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.00 _02707_ (net) |
| 0.11 0.00 7.17 v _09288_/D (sky130_fd_sc_hd__or4_2) |
| 0.11 0.59 7.76 v _09288_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.00 _02708_ (net) |
| 0.11 0.00 7.76 v _09289_/D (sky130_fd_sc_hd__or4_2) |
| 0.10 0.58 8.34 v _09289_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.00 _02709_ (net) |
| 0.10 0.00 8.34 v _09290_/D (sky130_fd_sc_hd__or4_2) |
| 0.10 0.58 8.92 v _09290_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.00 _02710_ (net) |
| 0.10 0.00 8.92 v _09291_/D (sky130_fd_sc_hd__or4_2) |
| 0.11 0.59 9.51 v _09291_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.00 _02711_ (net) |
| 0.11 0.00 9.51 v _09292_/C (sky130_fd_sc_hd__or3_2) |
| 0.08 0.45 9.95 v _09292_/X (sky130_fd_sc_hd__or3_2) |
| 1 0.00 _02712_ (net) |
| 0.08 0.00 9.95 v _09293_/C1 (sky130_fd_sc_hd__a311o_2) |
| 0.06 0.34 10.29 v _09293_/X (sky130_fd_sc_hd__a311o_2) |
| 1 0.00 _02713_ (net) |
| 0.06 0.00 10.29 v _09295_/C (sky130_fd_sc_hd__or4_2) |
| 0.10 0.64 10.93 v _09295_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.00 _02715_ (net) |
| 0.10 0.00 10.93 v _09296_/C1 (sky130_fd_sc_hd__a2111o_2) |
| 0.07 0.45 11.38 v _09296_/X (sky130_fd_sc_hd__a2111o_2) |
| 1 0.01 _02716_ (net) |
| 0.07 0.00 11.38 v _09297_/D (sky130_fd_sc_hd__or4_2) |
| 0.11 0.58 11.96 v _09297_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.00 _02717_ (net) |
| 0.11 0.00 11.96 v _09298_/D (sky130_fd_sc_hd__or4_2) |
| 0.12 0.61 12.57 v _09298_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.01 _02718_ (net) |
| 0.12 0.00 12.57 v _09300_/C (sky130_fd_sc_hd__or4_2) |
| 0.12 0.69 13.26 v _09300_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.01 _02720_ (net) |
| 0.12 0.00 13.27 v _09301_/B1 (sky130_fd_sc_hd__o21ai_2) |
| 0.10 0.09 13.35 ^ _09301_/Y (sky130_fd_sc_hd__o21ai_2) |
| 1 0.00 _02721_ (net) |
| 0.10 0.00 13.35 ^ _09305_/A1 (sky130_fd_sc_hd__a311o_2) |
| 0.06 0.20 13.55 ^ _09305_/X (sky130_fd_sc_hd__a311o_2) |
| 1 0.01 _02725_ (net) |
| 0.06 0.00 13.55 ^ _09306_/B (sky130_fd_sc_hd__and2b_2) |
| 0.28 0.33 13.88 ^ _09306_/X (sky130_fd_sc_hd__and2b_2) |
| 2 0.06 _02726_ (net) |
| 0.28 0.00 13.89 ^ _09307_/A (sky130_fd_sc_hd__buf_1) |
| 0.75 0.64 14.53 ^ _09307_/X (sky130_fd_sc_hd__buf_1) |
| 5 0.06 _02727_ (net) |
| 0.75 0.00 14.54 ^ _09308_/B (sky130_fd_sc_hd__xnor2_2) |
| 0.24 0.31 14.85 v _09308_/Y (sky130_fd_sc_hd__xnor2_2) |
| 4 0.04 _02728_ (net) |
| 0.24 0.00 14.85 v _12100_/B1 (sky130_fd_sc_hd__a32o_2) |
| 0.04 0.31 15.16 v _12100_/X (sky130_fd_sc_hd__a32o_2) |
| 1 0.00 _05447_ (net) |
| 0.04 0.00 15.16 v _12102_/A2 (sky130_fd_sc_hd__a221o_2) |
| 0.06 0.40 15.57 v _12102_/X (sky130_fd_sc_hd__a221o_2) |
| 1 0.00 _05449_ (net) |
| 0.06 0.00 15.57 v _12105_/A2 (sky130_fd_sc_hd__a31o_2) |
| 0.04 0.25 15.81 v _12105_/X (sky130_fd_sc_hd__a31o_2) |
| 1 0.00 _05452_ (net) |
| 0.04 0.00 15.81 v _12107_/B1 (sky130_fd_sc_hd__o221a_2) |
| 0.07 0.29 16.10 v _12107_/X (sky130_fd_sc_hd__o221a_2) |
| 1 0.01 _00113_ (net) |
| 0.07 0.00 16.10 v _14153_/D (sky130_fd_sc_hd__dfxtp_2) |
| 16.10 data arrival time |
| |
| 0.15 15.00 15.00 clock clock (rise edge) |
| 0.00 15.00 clock network delay (ideal) |
| -0.25 14.75 clock uncertainty |
| 0.00 14.75 clock reconvergence pessimism |
| 14.75 ^ _14153_/CLK (sky130_fd_sc_hd__dfxtp_2) |
| -0.10 14.65 library setup time |
| 14.65 data required time |
| ----------------------------------------------------------------------------- |
| 14.65 data required time |
| -16.10 data arrival time |
| ----------------------------------------------------------------------------- |
| -1.45 slack (VIOLATED) |
| |
| |
| Startpoint: _14531_ (rising edge-triggered flip-flop clocked by clock) |
| Endpoint: _14443_ (rising edge-triggered flip-flop clocked by clock) |
| Path Group: clock |
| Path Type: max |
| |
| Fanout Cap Slew Delay Time Description |
| ----------------------------------------------------------------------------- |
| 0.15 0.00 0.00 clock clock (rise edge) |
| 0.00 0.00 clock network delay (ideal) |
| 0.15 0.00 0.00 ^ _14531_/CLK (sky130_fd_sc_hd__dfxtp_2) |
| 0.09 0.41 0.41 ^ _14531_/Q (sky130_fd_sc_hd__dfxtp_2) |
| 5 0.02 _T_40 (net) |
| 0.09 0.00 0.41 ^ _07233_/A (sky130_fd_sc_hd__buf_1) |
| 0.57 0.48 0.89 ^ _07233_/X (sky130_fd_sc_hd__buf_1) |
| 5 0.05 _00664_ (net) |
| 0.57 0.00 0.90 ^ _07234_/A (sky130_fd_sc_hd__buf_1) |
| 0.73 0.65 1.55 ^ _07234_/X (sky130_fd_sc_hd__buf_1) |
| 5 0.06 _00665_ (net) |
| 0.73 0.01 1.56 ^ _07303_/A (sky130_fd_sc_hd__buf_1) |
| 0.32 0.36 1.92 ^ _07303_/X (sky130_fd_sc_hd__buf_1) |
| 5 0.03 _00734_ (net) |
| 0.32 0.00 1.92 ^ _07304_/A (sky130_fd_sc_hd__buf_1) |
| 0.93 0.77 2.70 ^ _07304_/X (sky130_fd_sc_hd__buf_1) |
| 5 0.08 _00735_ (net) |
| 0.93 0.00 2.70 ^ _07305_/A2 (sky130_fd_sc_hd__a21oi_2) |
| 0.13 0.15 2.84 v _07305_/Y (sky130_fd_sc_hd__a21oi_2) |
| 2 0.01 _00736_ (net) |
| 0.13 0.00 2.84 v _07367_/A1_N (sky130_fd_sc_hd__o2bb2a_2) |
| 0.09 0.33 3.18 ^ _07367_/X (sky130_fd_sc_hd__o2bb2a_2) |
| 5 0.01 _00798_ (net) |
| 0.09 0.00 3.18 ^ _07413_/A (sky130_fd_sc_hd__or3b_2) |
| 0.13 0.20 3.38 ^ _07413_/X (sky130_fd_sc_hd__or3b_2) |
| 4 0.02 _00844_ (net) |
| 0.13 0.00 3.38 ^ _07415_/A (sky130_fd_sc_hd__buf_1) |
| 0.22 0.24 3.62 ^ _07415_/X (sky130_fd_sc_hd__buf_1) |
| 5 0.02 _00846_ (net) |
| 0.22 0.00 3.62 ^ _08099_/B (sky130_fd_sc_hd__nor2_2) |
| 0.06 0.08 3.70 v _08099_/Y (sky130_fd_sc_hd__nor2_2) |
| 2 0.01 _01527_ (net) |
| 0.06 0.00 3.70 v _08100_/C (sky130_fd_sc_hd__or4b_2) |
| 0.10 0.64 4.34 v _08100_/X (sky130_fd_sc_hd__or4b_2) |
| 1 0.00 _01528_ (net) |
| 0.10 0.00 4.34 v _08104_/B (sky130_fd_sc_hd__or4b_2) |
| 0.10 0.68 5.02 v _08104_/X (sky130_fd_sc_hd__or4b_2) |
| 1 0.00 _01532_ (net) |
| 0.10 0.00 5.02 v _08106_/C (sky130_fd_sc_hd__or4_2) |
| 0.10 0.64 5.66 v _08106_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.00 _01534_ (net) |
| 0.10 0.00 5.66 v _08109_/B (sky130_fd_sc_hd__or4b_2) |
| 0.12 0.70 6.37 v _08109_/X (sky130_fd_sc_hd__or4b_2) |
| 1 0.01 _01537_ (net) |
| 0.12 0.00 6.37 v _08112_/B (sky130_fd_sc_hd__or4b_2) |
| 0.12 0.72 7.09 v _08112_/X (sky130_fd_sc_hd__or4b_2) |
| 1 0.01 _01540_ (net) |
| 0.12 0.00 7.09 v _08116_/C (sky130_fd_sc_hd__or4_2) |
| 0.10 0.66 7.75 v _08116_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.00 _01544_ (net) |
| 0.10 0.00 7.75 v _08117_/D (sky130_fd_sc_hd__or4_2) |
| 0.09 0.56 8.31 v _08117_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.00 _01545_ (net) |
| 0.09 0.00 8.31 v _08118_/D (sky130_fd_sc_hd__or4_2) |
| 0.11 0.60 8.91 v _08118_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.01 _01546_ (net) |
| 0.11 0.00 8.91 v _08119_/D (sky130_fd_sc_hd__or4_2) |
| 0.11 0.60 9.51 v _08119_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.01 _01547_ (net) |
| 0.11 0.00 9.51 v _08120_/D (sky130_fd_sc_hd__or4_2) |
| 0.11 0.59 10.11 v _08120_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.00 _01548_ (net) |
| 0.11 0.00 10.11 v _08121_/B (sky130_fd_sc_hd__nor2_2) |
| 0.11 0.14 10.24 ^ _08121_/Y (sky130_fd_sc_hd__nor2_2) |
| 1 0.01 _01549_ (net) |
| 0.11 0.00 10.24 ^ _08122_/C1 (sky130_fd_sc_hd__o311a_2) |
| 0.05 0.19 10.43 ^ _08122_/X (sky130_fd_sc_hd__o311a_2) |
| 1 0.00 _01550_ (net) |
| 0.05 0.00 10.43 ^ _08123_/D_N (sky130_fd_sc_hd__or4b_2) |
| 0.11 0.62 11.05 v _08123_/X (sky130_fd_sc_hd__or4b_2) |
| 1 0.00 _01551_ (net) |
| 0.11 0.00 11.05 v _08124_/B1 (sky130_fd_sc_hd__a211o_2) |
| 0.07 0.38 11.43 v _08124_/X (sky130_fd_sc_hd__a211o_2) |
| 1 0.01 _01552_ (net) |
| 0.07 0.00 11.43 v _08125_/D (sky130_fd_sc_hd__or4_2) |
| 0.10 0.57 12.00 v _08125_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.00 _01553_ (net) |
| 0.10 0.00 12.00 v _08126_/D (sky130_fd_sc_hd__or4_2) |
| 0.09 0.56 12.56 v _08126_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.00 _01554_ (net) |
| 0.09 0.00 12.56 v _08128_/C (sky130_fd_sc_hd__or4_2) |
| 0.13 0.70 13.25 v _08128_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.01 _01556_ (net) |
| 0.13 0.00 13.25 v _08129_/B2 (sky130_fd_sc_hd__o22a_2) |
| 0.05 0.23 13.49 v _08129_/X (sky130_fd_sc_hd__o22a_2) |
| 1 0.01 _01557_ (net) |
| 0.05 0.00 13.49 v _08133_/A2 (sky130_fd_sc_hd__o31a_2) |
| 0.07 0.37 13.86 v _08133_/X (sky130_fd_sc_hd__o31a_2) |
| 1 0.01 _01561_ (net) |
| 0.07 0.00 13.86 v _08143_/A (sky130_fd_sc_hd__nor2_2) |
| 0.24 0.25 14.11 ^ _08143_/Y (sky130_fd_sc_hd__nor2_2) |
| 2 0.02 _01571_ (net) |
| 0.24 0.00 14.11 ^ _08187_/A (sky130_fd_sc_hd__buf_1) |
| 0.74 0.64 14.74 ^ _08187_/X (sky130_fd_sc_hd__buf_1) |
| 5 0.06 _01615_ (net) |
| 0.74 0.00 14.75 ^ _12830_/B (sky130_fd_sc_hd__xnor2_2) |
| 0.14 0.17 14.92 v _12830_/Y (sky130_fd_sc_hd__xnor2_2) |
| 2 0.01 _05984_ (net) |
| 0.14 0.00 14.92 v _13015_/B (sky130_fd_sc_hd__xnor2_2) |
| 0.30 0.32 15.23 ^ _13015_/Y (sky130_fd_sc_hd__xnor2_2) |
| 3 0.02 _06137_ (net) |
| 0.30 0.00 15.23 ^ _13178_/A2 (sky130_fd_sc_hd__a21oi_2) |
| 0.09 0.14 15.37 v _13178_/Y (sky130_fd_sc_hd__a21oi_2) |
| 3 0.01 _06268_ (net) |
| 0.09 0.00 15.37 v _13339_/B (sky130_fd_sc_hd__or2_2) |
| 0.05 0.29 15.66 v _13339_/X (sky130_fd_sc_hd__or2_2) |
| 1 0.00 _06397_ (net) |
| 0.05 0.00 15.66 v _13340_/A3 (sky130_fd_sc_hd__a32o_2) |
| 0.04 0.27 15.94 v _13340_/X (sky130_fd_sc_hd__a32o_2) |
| 1 0.00 _00402_ (net) |
| 0.04 0.00 15.94 v _14443_/D (sky130_fd_sc_hd__dfxtp_2) |
| 15.94 data arrival time |
| |
| 0.15 15.00 15.00 clock clock (rise edge) |
| 0.00 15.00 clock network delay (ideal) |
| -0.25 14.75 clock uncertainty |
| 0.00 14.75 clock reconvergence pessimism |
| 14.75 ^ _14443_/CLK (sky130_fd_sc_hd__dfxtp_2) |
| -0.09 14.66 library setup time |
| 14.66 data required time |
| ----------------------------------------------------------------------------- |
| 14.66 data required time |
| -15.94 data arrival time |
| ----------------------------------------------------------------------------- |
| -1.27 slack (VIOLATED) |
| |
| |
| Startpoint: _14528_ (rising edge-triggered flip-flop clocked by clock) |
| Endpoint: _14459_ (rising edge-triggered flip-flop clocked by clock) |
| Path Group: clock |
| Path Type: max |
| |
| Fanout Cap Slew Delay Time Description |
| ----------------------------------------------------------------------------- |
| 0.15 0.00 0.00 clock clock (rise edge) |
| 0.00 0.00 clock network delay (ideal) |
| 0.15 0.00 0.00 ^ _14528_/CLK (sky130_fd_sc_hd__dfxtp_2) |
| 0.14 0.45 0.45 ^ _14528_/Q (sky130_fd_sc_hd__dfxtp_2) |
| 5 0.03 _T_43 (net) |
| 0.14 0.00 0.45 ^ _07196_/A (sky130_fd_sc_hd__buf_1) |
| 0.29 0.29 0.74 ^ _07196_/X (sky130_fd_sc_hd__buf_1) |
| 5 0.02 _00630_ (net) |
| 0.29 0.00 0.74 ^ _07197_/A (sky130_fd_sc_hd__buf_1) |
| 0.66 0.58 1.32 ^ _07197_/X (sky130_fd_sc_hd__buf_1) |
| 5 0.06 _00631_ (net) |
| 0.66 0.01 1.33 ^ _07260_/A (sky130_fd_sc_hd__buf_1) |
| 0.34 0.38 1.71 ^ _07260_/X (sky130_fd_sc_hd__buf_1) |
| 5 0.03 _00691_ (net) |
| 0.34 0.00 1.71 ^ _07296_/A (sky130_fd_sc_hd__buf_1) |
| 0.50 0.47 2.17 ^ _07296_/X (sky130_fd_sc_hd__buf_1) |
| 5 0.04 _00727_ (net) |
| 0.50 0.00 2.18 ^ _09615_/B (sky130_fd_sc_hd__nand3b_2) |
| 0.16 0.22 2.39 v _09615_/Y (sky130_fd_sc_hd__nand3b_2) |
| 1 0.02 _03030_ (net) |
| 0.16 0.00 2.39 v _09617_/B1 (sky130_fd_sc_hd__a221o_2) |
| 0.12 0.48 2.87 v _09617_/X (sky130_fd_sc_hd__a221o_2) |
| 2 0.03 _03032_ (net) |
| 0.12 0.00 2.88 v _09618_/A2_N (sky130_fd_sc_hd__o2bb2a_2) |
| 0.14 0.37 3.24 ^ _09618_/X (sky130_fd_sc_hd__o2bb2a_2) |
| 2 0.02 _03033_ (net) |
| 0.14 0.00 3.24 ^ _09619_/A (sky130_fd_sc_hd__buf_1) |
| 0.36 0.34 3.58 ^ _09619_/X (sky130_fd_sc_hd__buf_1) |
| 5 0.03 _03034_ (net) |
| 0.36 0.00 3.58 ^ _09681_/A (sky130_fd_sc_hd__buf_1) |
| 0.39 0.39 3.98 ^ _09681_/X (sky130_fd_sc_hd__buf_1) |
| 5 0.03 _03096_ (net) |
| 0.39 0.00 3.98 ^ _09682_/B (sky130_fd_sc_hd__nor2_2) |
| 0.11 0.10 4.08 v _09682_/Y (sky130_fd_sc_hd__nor2_2) |
| 3 0.01 _03097_ (net) |
| 0.11 0.00 4.08 v _09683_/A (sky130_fd_sc_hd__buf_1) |
| 0.23 0.29 4.37 v _09683_/X (sky130_fd_sc_hd__buf_1) |
| 5 0.04 _03098_ (net) |
| 0.23 0.00 4.37 v _09684_/B (sky130_fd_sc_hd__nand2_2) |
| 0.19 0.26 4.63 ^ _09684_/Y (sky130_fd_sc_hd__nand2_2) |
| 5 0.03 _03099_ (net) |
| 0.19 0.00 4.63 ^ _10240_/A1 (sky130_fd_sc_hd__o2111a_2) |
| 0.04 0.24 4.86 ^ _10240_/X (sky130_fd_sc_hd__o2111a_2) |
| 1 0.00 _03652_ (net) |
| 0.04 0.00 4.86 ^ _10242_/C1 (sky130_fd_sc_hd__o2111a_2) |
| 0.06 0.20 5.07 ^ _10242_/X (sky130_fd_sc_hd__o2111a_2) |
| 1 0.01 _03654_ (net) |
| 0.06 0.00 5.07 ^ _10243_/D_N (sky130_fd_sc_hd__or4bb_2) |
| 0.10 0.55 5.62 v _10243_/X (sky130_fd_sc_hd__or4bb_2) |
| 1 0.00 _03655_ (net) |
| 0.10 0.00 5.62 v _10245_/C (sky130_fd_sc_hd__or4b_2) |
| 0.11 0.66 6.28 v _10245_/X (sky130_fd_sc_hd__or4b_2) |
| 1 0.00 _03657_ (net) |
| 0.11 0.00 6.28 v _10248_/B (sky130_fd_sc_hd__or4b_2) |
| 0.11 0.69 6.97 v _10248_/X (sky130_fd_sc_hd__or4b_2) |
| 1 0.00 _03660_ (net) |
| 0.11 0.00 6.97 v _10252_/C (sky130_fd_sc_hd__or4_2) |
| 0.10 0.66 7.63 v _10252_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.00 _03664_ (net) |
| 0.10 0.00 7.63 v _10253_/D (sky130_fd_sc_hd__or4_2) |
| 0.11 0.60 8.23 v _10253_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.01 _03665_ (net) |
| 0.11 0.00 8.23 v _10254_/D (sky130_fd_sc_hd__or4_2) |
| 0.10 0.58 8.81 v _10254_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.00 _03666_ (net) |
| 0.10 0.00 8.81 v _10256_/A (sky130_fd_sc_hd__or4bb_2) |
| 0.10 0.69 9.50 v _10256_/X (sky130_fd_sc_hd__or4bb_2) |
| 1 0.01 _03668_ (net) |
| 0.10 0.00 9.50 v _10257_/D (sky130_fd_sc_hd__or4_2) |
| 0.11 0.59 10.09 v _10257_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.00 _03669_ (net) |
| 0.11 0.00 10.09 v _10258_/B (sky130_fd_sc_hd__nor2_2) |
| 0.11 0.14 10.23 ^ _10258_/Y (sky130_fd_sc_hd__nor2_2) |
| 1 0.01 _03670_ (net) |
| 0.11 0.00 10.23 ^ _10259_/C1 (sky130_fd_sc_hd__o311a_2) |
| 0.04 0.18 10.41 ^ _10259_/X (sky130_fd_sc_hd__o311a_2) |
| 1 0.00 _03671_ (net) |
| 0.04 0.00 10.41 ^ _10260_/D_N (sky130_fd_sc_hd__or4b_2) |
| 0.11 0.61 11.02 v _10260_/X (sky130_fd_sc_hd__or4b_2) |
| 1 0.00 _03672_ (net) |
| 0.11 0.00 11.02 v _10261_/B1 (sky130_fd_sc_hd__a211o_2) |
| 0.07 0.37 11.39 v _10261_/X (sky130_fd_sc_hd__a211o_2) |
| 1 0.01 _03673_ (net) |
| 0.07 0.00 11.39 v _10262_/D (sky130_fd_sc_hd__or4_2) |
| 0.10 0.56 11.95 v _10262_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.00 _03674_ (net) |
| 0.10 0.00 11.95 v _10263_/D (sky130_fd_sc_hd__or4_2) |
| 0.11 0.59 12.54 v _10263_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.01 _03675_ (net) |
| 0.11 0.00 12.54 v _10265_/C (sky130_fd_sc_hd__or4_2) |
| 0.12 0.69 13.24 v _10265_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.01 _03677_ (net) |
| 0.12 0.00 13.24 v _10266_/B2 (sky130_fd_sc_hd__o22a_2) |
| 0.04 0.22 13.46 v _10266_/X (sky130_fd_sc_hd__o22a_2) |
| 1 0.00 _03678_ (net) |
| 0.04 0.00 13.46 v _10270_/A1 (sky130_fd_sc_hd__o31a_2) |
| 0.07 0.39 13.85 v _10270_/X (sky130_fd_sc_hd__o31a_2) |
| 1 0.01 _03682_ (net) |
| 0.07 0.00 13.85 v _10281_/A (sky130_fd_sc_hd__nor2_2) |
| 0.21 0.23 14.08 ^ _10281_/Y (sky130_fd_sc_hd__nor2_2) |
| 2 0.02 _03693_ (net) |
| 0.21 0.00 14.08 ^ _10294_/A (sky130_fd_sc_hd__buf_1) |
| 0.76 0.64 14.72 ^ _10294_/X (sky130_fd_sc_hd__buf_1) |
| 5 0.07 _03706_ (net) |
| 0.76 0.01 14.73 ^ _12909_/B (sky130_fd_sc_hd__xnor2_2) |
| 0.25 0.22 14.95 ^ _12909_/Y (sky130_fd_sc_hd__xnor2_2) |
| 2 0.01 _06047_ (net) |
| 0.25 0.00 14.95 ^ _13095_/B (sky130_fd_sc_hd__xor2_2) |
| 0.24 0.28 15.22 ^ _13095_/X (sky130_fd_sc_hd__xor2_2) |
| 3 0.02 _06201_ (net) |
| 0.24 0.00 15.23 ^ _13266_/A2 (sky130_fd_sc_hd__a21oi_2) |
| 0.11 0.12 15.34 v _13266_/Y (sky130_fd_sc_hd__a21oi_2) |
| 3 0.01 _06340_ (net) |
| 0.11 0.00 15.34 v _13391_/B (sky130_fd_sc_hd__or2_2) |
| 0.05 0.30 15.64 v _13391_/X (sky130_fd_sc_hd__or2_2) |
| 1 0.00 _06433_ (net) |
| 0.05 0.00 15.64 v _13393_/A3 (sky130_fd_sc_hd__a32o_2) |
| 0.04 0.27 15.92 v _13393_/X (sky130_fd_sc_hd__a32o_2) |
| 1 0.00 _00418_ (net) |
| 0.04 0.00 15.92 v _14459_/D (sky130_fd_sc_hd__dfxtp_2) |
| 15.92 data arrival time |
| |
| 0.15 15.00 15.00 clock clock (rise edge) |
| 0.00 15.00 clock network delay (ideal) |
| -0.25 14.75 clock uncertainty |
| 0.00 14.75 clock reconvergence pessimism |
| 14.75 ^ _14459_/CLK (sky130_fd_sc_hd__dfxtp_2) |
| -0.09 14.66 library setup time |
| 14.66 data required time |
| ----------------------------------------------------------------------------- |
| 14.66 data required time |
| -15.92 data arrival time |
| ----------------------------------------------------------------------------- |
| -1.25 slack (VIOLATED) |
| |
| |
| Startpoint: _14528_ (rising edge-triggered flip-flop clocked by clock) |
| Endpoint: _14099_ (rising edge-triggered flip-flop clocked by clock) |
| Path Group: clock |
| Path Type: max |
| |
| Fanout Cap Slew Delay Time Description |
| ----------------------------------------------------------------------------- |
| 0.15 0.00 0.00 clock clock (rise edge) |
| 0.00 0.00 clock network delay (ideal) |
| 0.15 0.00 0.00 ^ _14528_/CLK (sky130_fd_sc_hd__dfxtp_2) |
| 0.14 0.45 0.45 ^ _14528_/Q (sky130_fd_sc_hd__dfxtp_2) |
| 5 0.03 _T_43 (net) |
| 0.14 0.00 0.45 ^ _07196_/A (sky130_fd_sc_hd__buf_1) |
| 0.29 0.29 0.74 ^ _07196_/X (sky130_fd_sc_hd__buf_1) |
| 5 0.02 _00630_ (net) |
| 0.29 0.00 0.74 ^ _07197_/A (sky130_fd_sc_hd__buf_1) |
| 0.66 0.58 1.32 ^ _07197_/X (sky130_fd_sc_hd__buf_1) |
| 5 0.06 _00631_ (net) |
| 0.66 0.01 1.33 ^ _07260_/A (sky130_fd_sc_hd__buf_1) |
| 0.34 0.38 1.71 ^ _07260_/X (sky130_fd_sc_hd__buf_1) |
| 5 0.03 _00691_ (net) |
| 0.34 0.00 1.71 ^ _07296_/A (sky130_fd_sc_hd__buf_1) |
| 0.50 0.47 2.17 ^ _07296_/X (sky130_fd_sc_hd__buf_1) |
| 5 0.04 _00727_ (net) |
| 0.50 0.00 2.17 ^ _08632_/B (sky130_fd_sc_hd__nand3b_2) |
| 0.10 0.14 2.31 v _08632_/Y (sky130_fd_sc_hd__nand3b_2) |
| 1 0.00 _02055_ (net) |
| 0.10 0.00 2.31 v _08634_/B1 (sky130_fd_sc_hd__a221o_2) |
| 0.11 0.44 2.76 v _08634_/X (sky130_fd_sc_hd__a221o_2) |
| 2 0.02 _02057_ (net) |
| 0.11 0.00 2.76 v _08691_/A2_N (sky130_fd_sc_hd__o2bb2a_2) |
| 0.15 0.36 3.12 ^ _08691_/X (sky130_fd_sc_hd__o2bb2a_2) |
| 4 0.03 _02114_ (net) |
| 0.15 0.00 3.12 ^ _08740_/A (sky130_fd_sc_hd__nor2_2) |
| 0.07 0.09 3.21 v _08740_/Y (sky130_fd_sc_hd__nor2_2) |
| 3 0.01 _02163_ (net) |
| 0.07 0.00 3.21 v _09035_/B (sky130_fd_sc_hd__nand2_2) |
| 0.18 0.18 3.40 ^ _09035_/Y (sky130_fd_sc_hd__nand2_2) |
| 5 0.03 _02457_ (net) |
| 0.18 0.00 3.40 ^ _09036_/A2 (sky130_fd_sc_hd__a21oi_2) |
| 0.05 0.09 3.49 v _09036_/Y (sky130_fd_sc_hd__a21oi_2) |
| 2 0.01 _02458_ (net) |
| 0.05 0.00 3.49 v _09272_/A (sky130_fd_sc_hd__or4b_2) |
| 0.11 0.72 4.21 v _09272_/X (sky130_fd_sc_hd__or4b_2) |
| 1 0.01 _02692_ (net) |
| 0.11 0.00 4.21 v _09274_/C (sky130_fd_sc_hd__or4b_2) |
| 0.10 0.66 4.87 v _09274_/X (sky130_fd_sc_hd__or4b_2) |
| 1 0.00 _02694_ (net) |
| 0.10 0.00 4.87 v _09276_/B (sky130_fd_sc_hd__or3_2) |
| 0.07 0.48 5.35 v _09276_/X (sky130_fd_sc_hd__or3_2) |
| 1 0.00 _02696_ (net) |
| 0.07 0.00 5.35 v _09277_/C (sky130_fd_sc_hd__or3_2) |
| 0.08 0.45 5.80 v _09277_/X (sky130_fd_sc_hd__or3_2) |
| 1 0.00 _02697_ (net) |
| 0.08 0.00 5.80 v _09281_/A (sky130_fd_sc_hd__or4bb_2) |
| 0.10 0.68 6.47 v _09281_/X (sky130_fd_sc_hd__or4bb_2) |
| 1 0.00 _02701_ (net) |
| 0.10 0.00 6.47 v _09287_/B (sky130_fd_sc_hd__or4_2) |
| 0.11 0.70 7.17 v _09287_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.00 _02707_ (net) |
| 0.11 0.00 7.17 v _09288_/D (sky130_fd_sc_hd__or4_2) |
| 0.11 0.59 7.76 v _09288_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.00 _02708_ (net) |
| 0.11 0.00 7.76 v _09289_/D (sky130_fd_sc_hd__or4_2) |
| 0.10 0.58 8.34 v _09289_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.00 _02709_ (net) |
| 0.10 0.00 8.34 v _09290_/D (sky130_fd_sc_hd__or4_2) |
| 0.10 0.58 8.92 v _09290_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.00 _02710_ (net) |
| 0.10 0.00 8.92 v _09291_/D (sky130_fd_sc_hd__or4_2) |
| 0.11 0.59 9.51 v _09291_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.00 _02711_ (net) |
| 0.11 0.00 9.51 v _09292_/C (sky130_fd_sc_hd__or3_2) |
| 0.08 0.45 9.95 v _09292_/X (sky130_fd_sc_hd__or3_2) |
| 1 0.00 _02712_ (net) |
| 0.08 0.00 9.95 v _09293_/C1 (sky130_fd_sc_hd__a311o_2) |
| 0.06 0.34 10.29 v _09293_/X (sky130_fd_sc_hd__a311o_2) |
| 1 0.00 _02713_ (net) |
| 0.06 0.00 10.29 v _09295_/C (sky130_fd_sc_hd__or4_2) |
| 0.10 0.64 10.93 v _09295_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.00 _02715_ (net) |
| 0.10 0.00 10.93 v _09296_/C1 (sky130_fd_sc_hd__a2111o_2) |
| 0.07 0.45 11.38 v _09296_/X (sky130_fd_sc_hd__a2111o_2) |
| 1 0.01 _02716_ (net) |
| 0.07 0.00 11.38 v _09297_/D (sky130_fd_sc_hd__or4_2) |
| 0.11 0.58 11.96 v _09297_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.00 _02717_ (net) |
| 0.11 0.00 11.96 v _09298_/D (sky130_fd_sc_hd__or4_2) |
| 0.12 0.61 12.57 v _09298_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.01 _02718_ (net) |
| 0.12 0.00 12.57 v _09300_/C (sky130_fd_sc_hd__or4_2) |
| 0.12 0.69 13.26 v _09300_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.01 _02720_ (net) |
| 0.12 0.00 13.27 v _09301_/B1 (sky130_fd_sc_hd__o21ai_2) |
| 0.10 0.09 13.35 ^ _09301_/Y (sky130_fd_sc_hd__o21ai_2) |
| 1 0.00 _02721_ (net) |
| 0.10 0.00 13.35 ^ _09305_/A1 (sky130_fd_sc_hd__a311o_2) |
| 0.06 0.20 13.55 ^ _09305_/X (sky130_fd_sc_hd__a311o_2) |
| 1 0.01 _02725_ (net) |
| 0.06 0.00 13.55 ^ _09306_/B (sky130_fd_sc_hd__and2b_2) |
| 0.28 0.33 13.88 ^ _09306_/X (sky130_fd_sc_hd__and2b_2) |
| 2 0.06 _02726_ (net) |
| 0.28 0.00 13.89 ^ _09307_/A (sky130_fd_sc_hd__buf_1) |
| 0.75 0.64 14.53 ^ _09307_/X (sky130_fd_sc_hd__buf_1) |
| 5 0.06 _02727_ (net) |
| 0.75 0.00 14.54 ^ _09308_/B (sky130_fd_sc_hd__xnor2_2) |
| 0.24 0.31 14.85 v _09308_/Y (sky130_fd_sc_hd__xnor2_2) |
| 4 0.04 _02728_ (net) |
| 0.24 0.00 14.85 v _10733_/B1 (sky130_fd_sc_hd__a32o_2) |
| 0.04 0.31 15.16 v _10733_/X (sky130_fd_sc_hd__a32o_2) |
| 1 0.00 _04129_ (net) |
| 0.04 0.00 15.16 v _10737_/A2 (sky130_fd_sc_hd__a21o_2) |
| 0.04 0.22 15.38 v _10737_/X (sky130_fd_sc_hd__a21o_2) |
| 1 0.00 _04133_ (net) |
| 0.04 0.00 15.38 v _10739_/A2 (sky130_fd_sc_hd__a31o_2) |
| 0.06 0.26 15.65 v _10739_/X (sky130_fd_sc_hd__a31o_2) |
| 1 0.01 _04135_ (net) |
| 0.06 0.00 15.65 v _10740_/B1 (sky130_fd_sc_hd__o221a_2) |
| 0.04 0.25 15.90 v _10740_/X (sky130_fd_sc_hd__o221a_2) |
| 1 0.00 _00064_ (net) |
| 0.04 0.00 15.90 v _14099_/D (sky130_fd_sc_hd__dfxtp_2) |
| 15.90 data arrival time |
| |
| 0.15 15.00 15.00 clock clock (rise edge) |
| 0.00 15.00 clock network delay (ideal) |
| -0.25 14.75 clock uncertainty |
| 0.00 14.75 clock reconvergence pessimism |
| 14.75 ^ _14099_/CLK (sky130_fd_sc_hd__dfxtp_2) |
| -0.09 14.66 library setup time |
| 14.66 data required time |
| ----------------------------------------------------------------------------- |
| 14.66 data required time |
| -15.90 data arrival time |
| ----------------------------------------------------------------------------- |
| -1.23 slack (VIOLATED) |
| |
| |
| max_report_end |
| check_report |
| |
| =========================================================================== |
| report_checks -unconstrained |
| ============================================================================ |
| Startpoint: _14528_ (rising edge-triggered flip-flop clocked by clock) |
| Endpoint: _14071_ (rising edge-triggered flip-flop clocked by clock) |
| Path Group: clock |
| Path Type: max |
| |
| Fanout Cap Slew Delay Time Description |
| ----------------------------------------------------------------------------- |
| 0.15 0.00 0.00 clock clock (rise edge) |
| 0.00 0.00 clock network delay (ideal) |
| 0.15 0.00 0.00 ^ _14528_/CLK (sky130_fd_sc_hd__dfxtp_2) |
| 0.14 0.45 0.45 ^ _14528_/Q (sky130_fd_sc_hd__dfxtp_2) |
| 5 0.03 _T_43 (net) |
| 0.14 0.00 0.45 ^ _07196_/A (sky130_fd_sc_hd__buf_1) |
| 0.29 0.29 0.74 ^ _07196_/X (sky130_fd_sc_hd__buf_1) |
| 5 0.02 _00630_ (net) |
| 0.29 0.00 0.74 ^ _07197_/A (sky130_fd_sc_hd__buf_1) |
| 0.66 0.58 1.32 ^ _07197_/X (sky130_fd_sc_hd__buf_1) |
| 5 0.06 _00631_ (net) |
| 0.66 0.01 1.33 ^ _07260_/A (sky130_fd_sc_hd__buf_1) |
| 0.34 0.38 1.71 ^ _07260_/X (sky130_fd_sc_hd__buf_1) |
| 5 0.03 _00691_ (net) |
| 0.34 0.00 1.71 ^ _07296_/A (sky130_fd_sc_hd__buf_1) |
| 0.50 0.47 2.17 ^ _07296_/X (sky130_fd_sc_hd__buf_1) |
| 5 0.04 _00727_ (net) |
| 0.50 0.00 2.17 ^ _08632_/B (sky130_fd_sc_hd__nand3b_2) |
| 0.10 0.14 2.31 v _08632_/Y (sky130_fd_sc_hd__nand3b_2) |
| 1 0.00 _02055_ (net) |
| 0.10 0.00 2.31 v _08634_/B1 (sky130_fd_sc_hd__a221o_2) |
| 0.11 0.44 2.76 v _08634_/X (sky130_fd_sc_hd__a221o_2) |
| 2 0.02 _02057_ (net) |
| 0.11 0.00 2.76 v _08691_/A2_N (sky130_fd_sc_hd__o2bb2a_2) |
| 0.15 0.36 3.12 ^ _08691_/X (sky130_fd_sc_hd__o2bb2a_2) |
| 4 0.03 _02114_ (net) |
| 0.15 0.00 3.12 ^ _08740_/A (sky130_fd_sc_hd__nor2_2) |
| 0.07 0.09 3.21 v _08740_/Y (sky130_fd_sc_hd__nor2_2) |
| 3 0.01 _02163_ (net) |
| 0.07 0.00 3.21 v _09035_/B (sky130_fd_sc_hd__nand2_2) |
| 0.18 0.18 3.40 ^ _09035_/Y (sky130_fd_sc_hd__nand2_2) |
| 5 0.03 _02457_ (net) |
| 0.18 0.00 3.40 ^ _09036_/A2 (sky130_fd_sc_hd__a21oi_2) |
| 0.05 0.09 3.49 v _09036_/Y (sky130_fd_sc_hd__a21oi_2) |
| 2 0.01 _02458_ (net) |
| 0.05 0.00 3.49 v _09272_/A (sky130_fd_sc_hd__or4b_2) |
| 0.11 0.72 4.21 v _09272_/X (sky130_fd_sc_hd__or4b_2) |
| 1 0.01 _02692_ (net) |
| 0.11 0.00 4.21 v _09274_/C (sky130_fd_sc_hd__or4b_2) |
| 0.10 0.66 4.87 v _09274_/X (sky130_fd_sc_hd__or4b_2) |
| 1 0.00 _02694_ (net) |
| 0.10 0.00 4.87 v _09276_/B (sky130_fd_sc_hd__or3_2) |
| 0.07 0.48 5.35 v _09276_/X (sky130_fd_sc_hd__or3_2) |
| 1 0.00 _02696_ (net) |
| 0.07 0.00 5.35 v _09277_/C (sky130_fd_sc_hd__or3_2) |
| 0.08 0.45 5.80 v _09277_/X (sky130_fd_sc_hd__or3_2) |
| 1 0.00 _02697_ (net) |
| 0.08 0.00 5.80 v _09281_/A (sky130_fd_sc_hd__or4bb_2) |
| 0.10 0.68 6.47 v _09281_/X (sky130_fd_sc_hd__or4bb_2) |
| 1 0.00 _02701_ (net) |
| 0.10 0.00 6.47 v _09287_/B (sky130_fd_sc_hd__or4_2) |
| 0.11 0.70 7.17 v _09287_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.00 _02707_ (net) |
| 0.11 0.00 7.17 v _09288_/D (sky130_fd_sc_hd__or4_2) |
| 0.11 0.59 7.76 v _09288_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.00 _02708_ (net) |
| 0.11 0.00 7.76 v _09289_/D (sky130_fd_sc_hd__or4_2) |
| 0.10 0.58 8.34 v _09289_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.00 _02709_ (net) |
| 0.10 0.00 8.34 v _09290_/D (sky130_fd_sc_hd__or4_2) |
| 0.10 0.58 8.92 v _09290_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.00 _02710_ (net) |
| 0.10 0.00 8.92 v _09291_/D (sky130_fd_sc_hd__or4_2) |
| 0.11 0.59 9.51 v _09291_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.00 _02711_ (net) |
| 0.11 0.00 9.51 v _09292_/C (sky130_fd_sc_hd__or3_2) |
| 0.08 0.45 9.95 v _09292_/X (sky130_fd_sc_hd__or3_2) |
| 1 0.00 _02712_ (net) |
| 0.08 0.00 9.95 v _09293_/C1 (sky130_fd_sc_hd__a311o_2) |
| 0.06 0.34 10.29 v _09293_/X (sky130_fd_sc_hd__a311o_2) |
| 1 0.00 _02713_ (net) |
| 0.06 0.00 10.29 v _09295_/C (sky130_fd_sc_hd__or4_2) |
| 0.10 0.64 10.93 v _09295_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.00 _02715_ (net) |
| 0.10 0.00 10.93 v _09296_/C1 (sky130_fd_sc_hd__a2111o_2) |
| 0.07 0.45 11.38 v _09296_/X (sky130_fd_sc_hd__a2111o_2) |
| 1 0.01 _02716_ (net) |
| 0.07 0.00 11.38 v _09297_/D (sky130_fd_sc_hd__or4_2) |
| 0.11 0.58 11.96 v _09297_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.00 _02717_ (net) |
| 0.11 0.00 11.96 v _09298_/D (sky130_fd_sc_hd__or4_2) |
| 0.12 0.61 12.57 v _09298_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.01 _02718_ (net) |
| 0.12 0.00 12.57 v _09300_/C (sky130_fd_sc_hd__or4_2) |
| 0.12 0.69 13.26 v _09300_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.01 _02720_ (net) |
| 0.12 0.00 13.27 v _09301_/B1 (sky130_fd_sc_hd__o21ai_2) |
| 0.10 0.09 13.35 ^ _09301_/Y (sky130_fd_sc_hd__o21ai_2) |
| 1 0.00 _02721_ (net) |
| 0.10 0.00 13.35 ^ _09305_/A1 (sky130_fd_sc_hd__a311o_2) |
| 0.06 0.20 13.55 ^ _09305_/X (sky130_fd_sc_hd__a311o_2) |
| 1 0.01 _02725_ (net) |
| 0.06 0.00 13.55 ^ _09306_/B (sky130_fd_sc_hd__and2b_2) |
| 0.28 0.33 13.88 ^ _09306_/X (sky130_fd_sc_hd__and2b_2) |
| 2 0.06 _02726_ (net) |
| 0.28 0.00 13.89 ^ _09307_/A (sky130_fd_sc_hd__buf_1) |
| 0.75 0.64 14.53 ^ _09307_/X (sky130_fd_sc_hd__buf_1) |
| 5 0.06 _02727_ (net) |
| 0.75 0.00 14.54 ^ _09308_/B (sky130_fd_sc_hd__xnor2_2) |
| 0.24 0.31 14.85 v _09308_/Y (sky130_fd_sc_hd__xnor2_2) |
| 4 0.04 _02728_ (net) |
| 0.24 0.00 14.85 v _09309_/B2 (sky130_fd_sc_hd__a32o_2) |
| 0.06 0.36 15.20 v _09309_/X (sky130_fd_sc_hd__a32o_2) |
| 1 0.01 _02729_ (net) |
| 0.06 0.00 15.21 v _09310_/A1 (sky130_fd_sc_hd__mux2_2) |
| 0.05 0.28 15.48 v _09310_/X (sky130_fd_sc_hd__mux2_2) |
| 1 0.00 _02730_ (net) |
| 0.05 0.00 15.48 v _09312_/A2 (sky130_fd_sc_hd__o211a_2) |
| 0.04 0.25 15.73 v _09312_/X (sky130_fd_sc_hd__o211a_2) |
| 1 0.00 _02732_ (net) |
| 0.04 0.00 15.73 v _09317_/A2 (sky130_fd_sc_hd__o311a_2) |
| 0.05 0.38 16.11 v _09317_/X (sky130_fd_sc_hd__o311a_2) |
| 1 0.00 _00040_ (net) |
| 0.05 0.00 16.11 v _14071_/D (sky130_fd_sc_hd__dfxtp_2) |
| 16.11 data arrival time |
| |
| 0.15 15.00 15.00 clock clock (rise edge) |
| 0.00 15.00 clock network delay (ideal) |
| -0.25 14.75 clock uncertainty |
| 0.00 14.75 clock reconvergence pessimism |
| 14.75 ^ _14071_/CLK (sky130_fd_sc_hd__dfxtp_2) |
| -0.09 14.66 library setup time |
| 14.66 data required time |
| ----------------------------------------------------------------------------- |
| 14.66 data required time |
| -16.11 data arrival time |
| ----------------------------------------------------------------------------- |
| -1.45 slack (VIOLATED) |
| |
| |
| |
| =========================================================================== |
| report_checks --slack_max -0.01 |
| ============================================================================ |
| Startpoint: _14528_ (rising edge-triggered flip-flop clocked by clock) |
| Endpoint: _14071_ (rising edge-triggered flip-flop clocked by clock) |
| Path Group: clock |
| Path Type: max |
| |
| Fanout Cap Slew Delay Time Description |
| ----------------------------------------------------------------------------- |
| 0.15 0.00 0.00 clock clock (rise edge) |
| 0.00 0.00 clock network delay (ideal) |
| 0.15 0.00 0.00 ^ _14528_/CLK (sky130_fd_sc_hd__dfxtp_2) |
| 0.14 0.45 0.45 ^ _14528_/Q (sky130_fd_sc_hd__dfxtp_2) |
| 5 0.03 _T_43 (net) |
| 0.14 0.00 0.45 ^ _07196_/A (sky130_fd_sc_hd__buf_1) |
| 0.29 0.29 0.74 ^ _07196_/X (sky130_fd_sc_hd__buf_1) |
| 5 0.02 _00630_ (net) |
| 0.29 0.00 0.74 ^ _07197_/A (sky130_fd_sc_hd__buf_1) |
| 0.66 0.58 1.32 ^ _07197_/X (sky130_fd_sc_hd__buf_1) |
| 5 0.06 _00631_ (net) |
| 0.66 0.01 1.33 ^ _07260_/A (sky130_fd_sc_hd__buf_1) |
| 0.34 0.38 1.71 ^ _07260_/X (sky130_fd_sc_hd__buf_1) |
| 5 0.03 _00691_ (net) |
| 0.34 0.00 1.71 ^ _07296_/A (sky130_fd_sc_hd__buf_1) |
| 0.50 0.47 2.17 ^ _07296_/X (sky130_fd_sc_hd__buf_1) |
| 5 0.04 _00727_ (net) |
| 0.50 0.00 2.17 ^ _08632_/B (sky130_fd_sc_hd__nand3b_2) |
| 0.10 0.14 2.31 v _08632_/Y (sky130_fd_sc_hd__nand3b_2) |
| 1 0.00 _02055_ (net) |
| 0.10 0.00 2.31 v _08634_/B1 (sky130_fd_sc_hd__a221o_2) |
| 0.11 0.44 2.76 v _08634_/X (sky130_fd_sc_hd__a221o_2) |
| 2 0.02 _02057_ (net) |
| 0.11 0.00 2.76 v _08691_/A2_N (sky130_fd_sc_hd__o2bb2a_2) |
| 0.15 0.36 3.12 ^ _08691_/X (sky130_fd_sc_hd__o2bb2a_2) |
| 4 0.03 _02114_ (net) |
| 0.15 0.00 3.12 ^ _08740_/A (sky130_fd_sc_hd__nor2_2) |
| 0.07 0.09 3.21 v _08740_/Y (sky130_fd_sc_hd__nor2_2) |
| 3 0.01 _02163_ (net) |
| 0.07 0.00 3.21 v _09035_/B (sky130_fd_sc_hd__nand2_2) |
| 0.18 0.18 3.40 ^ _09035_/Y (sky130_fd_sc_hd__nand2_2) |
| 5 0.03 _02457_ (net) |
| 0.18 0.00 3.40 ^ _09036_/A2 (sky130_fd_sc_hd__a21oi_2) |
| 0.05 0.09 3.49 v _09036_/Y (sky130_fd_sc_hd__a21oi_2) |
| 2 0.01 _02458_ (net) |
| 0.05 0.00 3.49 v _09272_/A (sky130_fd_sc_hd__or4b_2) |
| 0.11 0.72 4.21 v _09272_/X (sky130_fd_sc_hd__or4b_2) |
| 1 0.01 _02692_ (net) |
| 0.11 0.00 4.21 v _09274_/C (sky130_fd_sc_hd__or4b_2) |
| 0.10 0.66 4.87 v _09274_/X (sky130_fd_sc_hd__or4b_2) |
| 1 0.00 _02694_ (net) |
| 0.10 0.00 4.87 v _09276_/B (sky130_fd_sc_hd__or3_2) |
| 0.07 0.48 5.35 v _09276_/X (sky130_fd_sc_hd__or3_2) |
| 1 0.00 _02696_ (net) |
| 0.07 0.00 5.35 v _09277_/C (sky130_fd_sc_hd__or3_2) |
| 0.08 0.45 5.80 v _09277_/X (sky130_fd_sc_hd__or3_2) |
| 1 0.00 _02697_ (net) |
| 0.08 0.00 5.80 v _09281_/A (sky130_fd_sc_hd__or4bb_2) |
| 0.10 0.68 6.47 v _09281_/X (sky130_fd_sc_hd__or4bb_2) |
| 1 0.00 _02701_ (net) |
| 0.10 0.00 6.47 v _09287_/B (sky130_fd_sc_hd__or4_2) |
| 0.11 0.70 7.17 v _09287_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.00 _02707_ (net) |
| 0.11 0.00 7.17 v _09288_/D (sky130_fd_sc_hd__or4_2) |
| 0.11 0.59 7.76 v _09288_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.00 _02708_ (net) |
| 0.11 0.00 7.76 v _09289_/D (sky130_fd_sc_hd__or4_2) |
| 0.10 0.58 8.34 v _09289_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.00 _02709_ (net) |
| 0.10 0.00 8.34 v _09290_/D (sky130_fd_sc_hd__or4_2) |
| 0.10 0.58 8.92 v _09290_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.00 _02710_ (net) |
| 0.10 0.00 8.92 v _09291_/D (sky130_fd_sc_hd__or4_2) |
| 0.11 0.59 9.51 v _09291_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.00 _02711_ (net) |
| 0.11 0.00 9.51 v _09292_/C (sky130_fd_sc_hd__or3_2) |
| 0.08 0.45 9.95 v _09292_/X (sky130_fd_sc_hd__or3_2) |
| 1 0.00 _02712_ (net) |
| 0.08 0.00 9.95 v _09293_/C1 (sky130_fd_sc_hd__a311o_2) |
| 0.06 0.34 10.29 v _09293_/X (sky130_fd_sc_hd__a311o_2) |
| 1 0.00 _02713_ (net) |
| 0.06 0.00 10.29 v _09295_/C (sky130_fd_sc_hd__or4_2) |
| 0.10 0.64 10.93 v _09295_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.00 _02715_ (net) |
| 0.10 0.00 10.93 v _09296_/C1 (sky130_fd_sc_hd__a2111o_2) |
| 0.07 0.45 11.38 v _09296_/X (sky130_fd_sc_hd__a2111o_2) |
| 1 0.01 _02716_ (net) |
| 0.07 0.00 11.38 v _09297_/D (sky130_fd_sc_hd__or4_2) |
| 0.11 0.58 11.96 v _09297_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.00 _02717_ (net) |
| 0.11 0.00 11.96 v _09298_/D (sky130_fd_sc_hd__or4_2) |
| 0.12 0.61 12.57 v _09298_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.01 _02718_ (net) |
| 0.12 0.00 12.57 v _09300_/C (sky130_fd_sc_hd__or4_2) |
| 0.12 0.69 13.26 v _09300_/X (sky130_fd_sc_hd__or4_2) |
| 1 0.01 _02720_ (net) |
| 0.12 0.00 13.27 v _09301_/B1 (sky130_fd_sc_hd__o21ai_2) |
| 0.10 0.09 13.35 ^ _09301_/Y (sky130_fd_sc_hd__o21ai_2) |
| 1 0.00 _02721_ (net) |
| 0.10 0.00 13.35 ^ _09305_/A1 (sky130_fd_sc_hd__a311o_2) |
| 0.06 0.20 13.55 ^ _09305_/X (sky130_fd_sc_hd__a311o_2) |
| 1 0.01 _02725_ (net) |
| 0.06 0.00 13.55 ^ _09306_/B (sky130_fd_sc_hd__and2b_2) |
| 0.28 0.33 13.88 ^ _09306_/X (sky130_fd_sc_hd__and2b_2) |
| 2 0.06 _02726_ (net) |
| 0.28 0.00 13.89 ^ _09307_/A (sky130_fd_sc_hd__buf_1) |
| 0.75 0.64 14.53 ^ _09307_/X (sky130_fd_sc_hd__buf_1) |
| 5 0.06 _02727_ (net) |
| 0.75 0.00 14.54 ^ _09308_/B (sky130_fd_sc_hd__xnor2_2) |
| 0.24 0.31 14.85 v _09308_/Y (sky130_fd_sc_hd__xnor2_2) |
| 4 0.04 _02728_ (net) |
| 0.24 0.00 14.85 v _09309_/B2 (sky130_fd_sc_hd__a32o_2) |
| 0.06 0.36 15.20 v _09309_/X (sky130_fd_sc_hd__a32o_2) |
| 1 0.01 _02729_ (net) |
| 0.06 0.00 15.21 v _09310_/A1 (sky130_fd_sc_hd__mux2_2) |
| 0.05 0.28 15.48 v _09310_/X (sky130_fd_sc_hd__mux2_2) |
| 1 0.00 _02730_ (net) |
| 0.05 0.00 15.48 v _09312_/A2 (sky130_fd_sc_hd__o211a_2) |
| 0.04 0.25 15.73 v _09312_/X (sky130_fd_sc_hd__o211a_2) |
| 1 0.00 _02732_ (net) |
| 0.04 0.00 15.73 v _09317_/A2 (sky130_fd_sc_hd__o311a_2) |
| 0.05 0.38 16.11 v _09317_/X (sky130_fd_sc_hd__o311a_2) |
| 1 0.00 _00040_ (net) |
| 0.05 0.00 16.11 v _14071_/D (sky130_fd_sc_hd__dfxtp_2) |
| 16.11 data arrival time |
| |
| 0.15 15.00 15.00 clock clock (rise edge) |
| 0.00 15.00 clock network delay (ideal) |
| -0.25 14.75 clock uncertainty |
| 0.00 14.75 clock reconvergence pessimism |
| 14.75 ^ _14071_/CLK (sky130_fd_sc_hd__dfxtp_2) |
| -0.09 14.66 library setup time |
| 14.66 data required time |
| ----------------------------------------------------------------------------- |
| 14.66 data required time |
| -16.11 data arrival time |
| ----------------------------------------------------------------------------- |
| -1.45 slack (VIOLATED) |
| |
| |
| check_report_end |
| check_slew |
| |
| =========================================================================== |
| report_check_types -max_slew -max_cap -max_fanout -violators |
| ============================================================================ |
| |
| =========================================================================== |
| max slew violation count 0 |
| max fanout violation count 0 |
| max cap violation count 0 |
| ============================================================================ |
| check_slew_end |
| tns_report |
| |
| =========================================================================== |
| report_tns |
| ============================================================================ |
| tns -28.79 |
| tns_report_end |
| wns_report |
| |
| =========================================================================== |
| report_wns |
| ============================================================================ |
| wns -1.45 |
| wns_report_end |
| worst_slack |
| |
| =========================================================================== |
| report_worst_slack -max (Setup) |
| ============================================================================ |
| worst slack -1.45 |
| |
| =========================================================================== |
| report_worst_slack -min (Hold) |
| ============================================================================ |
| worst slack 0.25 |
| worst_slack_end |
| clock_skew |
| |
| =========================================================================== |
| report_clock_skew |
| ============================================================================ |
| Clock clock |
| Latency CRPR Skew |
| _14045_/CLK ^ |
| 3.13 |
| _14045_/CLK ^ |
| 2.83 0.00 0.30 |
| |
| clock_skew_end |
| power_report |
| |
| =========================================================================== |
| report_power |
| ============================================================================ |
| Group Internal Switching Leakage Total |
| Power Power Power Power |
| ---------------------------------------------------------------- |
| Sequential 1.41e-03 1.59e-04 4.12e-09 1.57e-03 28.4% |
| Combinational 1.49e-03 2.47e-03 2.06e-08 3.96e-03 71.6% |
| Macro 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0% |
| Pad 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0% |
| ---------------------------------------------------------------- |
| Total 2.91e-03 2.62e-03 2.47e-08 5.53e-03 100.0% |
| 52.5% 47.5% 0.0% |
| power_report_end |
| area_report |
| |
| =========================================================================== |
| report_design_area |
| ============================================================================ |
| Design area 76876 u^2 13% utilization. |
| area_report_end |
| [INFO]: Global placement was successful |
| [INFO]: Changing layout from /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/tmp/floorplan/6-pdn.def to /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/tmp/placement/7-global.def |
| [INFO]: Incremented step index to 7. |
| [INFO]: Running Resizer Design Optimizations... |
| OpenROAD 8d53e9b018dec98fa63e907ddeb6c5406f035361 |
| This program is licensed under the BSD-3 license. See the LICENSE file for details. |
| Components of this program may be licensed under more restrictive licenses which must be honored. |
| [INFO ODB-0222] Reading LEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/tmp/merged_unpadded.lef |
| [INFO ODB-0223] Created 13 technology layers |
| [INFO ODB-0224] Created 25 technology vias |
| [INFO ODB-0225] Created 441 library cells |
| [INFO ODB-0226] Finished LEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/tmp/merged_unpadded.lef |
| [INFO ODB-0127] Reading DEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/tmp/placement/7-global.def |
| [INFO ODB-0128] Design: aes |
| [INFO ODB-0130] Created 108 pins. |
| [INFO ODB-0131] Created 16867 components and 76282 component-terminals. |
| [INFO ODB-0132] Created 2 special nets and 49900 connections. |
| [INFO ODB-0133] Created 7584 nets and 26382 connections. |
| [INFO ODB-0134] Finished DEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/tmp/placement/7-global.def |
| ############################################################################### |
| # Created by write_sdc |
| # Sat Jun 4 17:11:52 2022 |
| ############################################################################### |
| current_design aes |
| ############################################################################### |
| # Timing Constraints |
| ############################################################################### |
| create_clock -name clock -period 15.0000 [get_ports {clock}] |
| set_clock_transition 0.1500 [get_clocks {clock}] |
| set_clock_uncertainty 0.2500 clock |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[0]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[10]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[11]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[12]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[13]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[14]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[15]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[16]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[17]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[18]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[19]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[1]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[20]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[21]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[22]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[23]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[24]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[25]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[26]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[27]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[28]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[29]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[2]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[30]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[31]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[3]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[4]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[5]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[6]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[7]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[8]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[9]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_cyc_i}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[0]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[10]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[11]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[12]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[13]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[14]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[15]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[16]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[17]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[18]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[19]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[1]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[20]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[21]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[22]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[23]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[24]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[25]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[26]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[27]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[28]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[29]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[2]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[30]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[31]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[3]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[4]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[5]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[6]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[7]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[8]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[9]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_sel_i[0]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_sel_i[1]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_sel_i[2]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_sel_i[3]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_stb_i}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_we_i}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {reset}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_ack_o}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[0]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[10]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[11]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[12]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[13]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[14]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[15]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[16]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[17]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[18]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[19]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[1]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[20]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[21]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[22]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[23]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[24]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[25]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[26]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[27]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[28]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[29]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[2]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[30]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[31]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[3]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[4]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[5]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[6]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[7]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[8]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[9]}] |
| ############################################################################### |
| # Environment |
| ############################################################################### |
| set_load -pin_load 0.0334 [get_ports {io_wbs_ack_o}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[31]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[30]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[29]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[28]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[27]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[26]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[25]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[24]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[23]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[22]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[21]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[20]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[19]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[18]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[17]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[16]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[15]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[14]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[13]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[12]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[11]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[10]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[9]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[8]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[7]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[6]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[5]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[4]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[3]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[2]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[1]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {clock}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_cyc_i}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_stb_i}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_we_i}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {reset}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[31]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[30]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[29]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[28]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[27]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[26]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[25]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[24]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[23]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[22]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[21]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[20]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[19]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[18]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[17]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[16]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[15]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[14]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[13]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[12]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[11]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[10]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[9]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[8]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[7]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[6]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[5]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[4]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[3]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[2]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[31]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[30]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[29]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[28]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[27]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[26]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[25]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[24]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[23]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[22]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[21]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[20]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[19]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[18]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[17]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[16]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[15]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[14]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[13]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[12]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[11]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[10]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[9]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[8]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[7]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[6]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[5]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[4]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[3]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[2]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_sel_i[3]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_sel_i[2]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_sel_i[1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_sel_i[0]}] |
| set_timing_derate -early 0.9500 |
| set_timing_derate -late 1.0500 |
| ############################################################################### |
| # Design Rules |
| ############################################################################### |
| set_max_fanout 5.0000 [current_design] |
| [INFO]: Setting RC values... |
| [INFO RSZ-0027] Inserted 52 input buffers. |
| [INFO RSZ-0028] Inserted 33 output buffers. |
| [INFO RSZ-0058] Using max wire length 2319um. |
| [INFO RSZ-0039] Resized 6873 instances. |
| Placement Analysis |
| --------------------------------- |
| total displacement 15816.5 u |
| average displacement 0.9 u |
| max displacement 12.8 u |
| original HPWL 431692.2 u |
| legalized HPWL 441610.1 u |
| delta HPWL 2 % |
| |
| [INFO DPL-0020] Mirrored 3325 instances |
| [INFO DPL-0021] HPWL before 441610.1 u |
| [INFO DPL-0022] HPWL after 435931.3 u |
| [INFO DPL-0023] HPWL delta -1.3 % |
| min_report |
| |
| =========================================================================== |
| report_checks -path_delay min (Hold) |
| ============================================================================ |
| Startpoint: _14332_ (rising edge-triggered flip-flop clocked by clock) |
| Endpoint: _14332_ (rising edge-triggered flip-flop clocked by clock) |
| Path Group: clock |
| Path Type: min |
| |
| Fanout Cap Slew Delay Time Description |
| ----------------------------------------------------------------------------- |
| 0.15 0.00 0.00 clock clock (rise edge) |
| 0.00 0.00 clock network delay (ideal) |
| 0.15 0.00 0.00 ^ _14332_/CLK (sky130_fd_sc_hd__dfxtp_1) |
| 0.08 0.35 0.35 ^ _14332_/Q (sky130_fd_sc_hd__dfxtp_1) |
| 2 0.01 _T_1[286] (net) |
| 0.08 0.00 0.35 ^ _12771_/A1 (sky130_fd_sc_hd__o211a_1) |
| 0.04 0.14 0.49 ^ _12771_/X (sky130_fd_sc_hd__o211a_1) |
| 1 0.00 _00292_ (net) |
| 0.04 0.00 0.49 ^ _14332_/D (sky130_fd_sc_hd__dfxtp_1) |
| 0.49 data arrival time |
| |
| 0.15 0.00 0.00 clock clock (rise edge) |
| 0.00 0.00 clock network delay (ideal) |
| 0.25 0.25 clock uncertainty |
| 0.00 0.25 clock reconvergence pessimism |
| 0.25 ^ _14332_/CLK (sky130_fd_sc_hd__dfxtp_1) |
| -0.02 0.23 library hold time |
| 0.23 data required time |
| ----------------------------------------------------------------------------- |
| 0.23 data required time |
| -0.49 data arrival time |
| ----------------------------------------------------------------------------- |
| 0.25 slack (MET) |
| |
| |
| Startpoint: _14333_ (rising edge-triggered flip-flop clocked by clock) |
| Endpoint: _14333_ (rising edge-triggered flip-flop clocked by clock) |
| Path Group: clock |
| Path Type: min |
| |
| Fanout Cap Slew Delay Time Description |
| ----------------------------------------------------------------------------- |
| 0.15 0.00 0.00 clock clock (rise edge) |
| 0.00 0.00 clock network delay (ideal) |
| 0.15 0.00 0.00 ^ _14333_/CLK (sky130_fd_sc_hd__dfxtp_1) |
| 0.08 0.35 0.35 ^ _14333_/Q (sky130_fd_sc_hd__dfxtp_1) |
| 2 0.01 _T_1[287] (net) |
| 0.08 0.00 0.35 ^ _12773_/A1 (sky130_fd_sc_hd__o211a_1) |
| 0.04 0.14 0.49 ^ _12773_/X (sky130_fd_sc_hd__o211a_1) |
| 1 0.00 _00293_ (net) |
| 0.04 0.00 0.49 ^ _14333_/D (sky130_fd_sc_hd__dfxtp_1) |
| 0.49 data arrival time |
| |
| 0.15 0.00 0.00 clock clock (rise edge) |
| 0.00 0.00 clock network delay (ideal) |
| 0.25 0.25 clock uncertainty |
| 0.00 0.25 clock reconvergence pessimism |
| 0.25 ^ _14333_/CLK (sky130_fd_sc_hd__dfxtp_1) |
| -0.02 0.23 library hold time |
| 0.23 data required time |
| ----------------------------------------------------------------------------- |
| 0.23 data required time |
| -0.49 data arrival time |
| ----------------------------------------------------------------------------- |
| 0.26 slack (MET) |
| |
| |
| Startpoint: _14311_ (rising edge-triggered flip-flop clocked by clock) |
| Endpoint: _14311_ (rising edge-triggered flip-flop clocked by clock) |
| Path Group: clock |
| Path Type: min |
| |
| Fanout Cap Slew Delay Time Description |
| ----------------------------------------------------------------------------- |
| 0.15 0.00 0.00 clock clock (rise edge) |
| 0.00 0.00 clock network delay (ideal) |
| 0.15 0.00 0.00 ^ _14311_/CLK (sky130_fd_sc_hd__dfxtp_1) |
| 0.08 0.35 0.35 ^ _14311_/Q (sky130_fd_sc_hd__dfxtp_1) |
| 2 0.01 _T_1[265] (net) |
| 0.08 0.00 0.35 ^ _12705_/A1 (sky130_fd_sc_hd__o211a_1) |
| 0.04 0.14 0.49 ^ _12705_/X (sky130_fd_sc_hd__o211a_1) |
| 1 0.00 _00271_ (net) |
| 0.04 0.00 0.49 ^ _14311_/D (sky130_fd_sc_hd__dfxtp_1) |
| 0.49 data arrival time |
| |
| 0.15 0.00 0.00 clock clock (rise edge) |
| 0.00 0.00 clock network delay (ideal) |
| 0.25 0.25 clock uncertainty |
| 0.00 0.25 clock reconvergence pessimism |
| 0.25 ^ _14311_/CLK (sky130_fd_sc_hd__dfxtp_1) |
| -0.02 0.23 library hold time |
| 0.23 data required time |
| ----------------------------------------------------------------------------- |
| 0.23 data required time |
| -0.49 data arrival time |
| ----------------------------------------------------------------------------- |
| 0.26 slack (MET) |
| |
| |
| Startpoint: _14308_ (rising edge-triggered flip-flop clocked by clock) |
| Endpoint: _14308_ (rising edge-triggered flip-flop clocked by clock) |
| Path Group: clock |
| Path Type: min |
| |
| Fanout Cap Slew Delay Time Description |
| ----------------------------------------------------------------------------- |
| 0.15 0.00 0.00 clock clock (rise edge) |
| 0.00 0.00 clock network delay (ideal) |
| 0.15 0.00 0.00 ^ _14308_/CLK (sky130_fd_sc_hd__dfxtp_1) |
| 0.08 0.35 0.35 ^ _14308_/Q (sky130_fd_sc_hd__dfxtp_1) |
| 2 0.01 _T_1[262] (net) |
| 0.08 0.00 0.35 ^ _12697_/A1 (sky130_fd_sc_hd__o211a_1) |
| 0.04 0.14 0.49 ^ _12697_/X (sky130_fd_sc_hd__o211a_1) |
| 1 0.00 _00268_ (net) |
| 0.04 0.00 0.49 ^ _14308_/D (sky130_fd_sc_hd__dfxtp_1) |
| 0.49 data arrival time |
| |
| 0.15 0.00 0.00 clock clock (rise edge) |
| 0.00 0.00 clock network delay (ideal) |
| 0.25 0.25 clock uncertainty |
| 0.00 0.25 clock reconvergence pessimism |
| 0.25 ^ _14308_/CLK (sky130_fd_sc_hd__dfxtp_1) |
| -0.02 0.23 library hold time |
| 0.23 data required time |
| ----------------------------------------------------------------------------- |
| 0.23 data required time |
| -0.49 data arrival time |
| ----------------------------------------------------------------------------- |
| 0.26 slack (MET) |
| |
| |
| Startpoint: _14310_ (rising edge-triggered flip-flop clocked by clock) |
| Endpoint: _14310_ (rising edge-triggered flip-flop clocked by clock) |
| Path Group: clock |
| Path Type: min |
| |
| Fanout Cap Slew Delay Time Description |
| ----------------------------------------------------------------------------- |
| 0.15 0.00 0.00 clock clock (rise edge) |
| 0.00 0.00 clock network delay (ideal) |
| 0.15 0.00 0.00 ^ _14310_/CLK (sky130_fd_sc_hd__dfxtp_1) |
| 0.09 0.35 0.35 ^ _14310_/Q (sky130_fd_sc_hd__dfxtp_1) |
| 2 0.01 _T_1[264] (net) |
| 0.09 0.00 0.35 ^ _12703_/A1 (sky130_fd_sc_hd__o211a_1) |
| 0.04 0.14 0.50 ^ _12703_/X (sky130_fd_sc_hd__o211a_1) |
| 1 0.00 _00270_ (net) |
| 0.04 0.00 0.50 ^ _14310_/D (sky130_fd_sc_hd__dfxtp_1) |
| 0.50 data arrival time |
| |
| 0.15 0.00 0.00 clock clock (rise edge) |
| 0.00 0.00 clock network delay (ideal) |
| 0.25 0.25 clock uncertainty |
| 0.00 0.25 clock reconvergence pessimism |
| 0.25 ^ _14310_/CLK (sky130_fd_sc_hd__dfxtp_1) |
| -0.02 0.23 library hold time |
| 0.23 data required time |
| ----------------------------------------------------------------------------- |
| 0.23 data required time |
| -0.50 data arrival time |
| ----------------------------------------------------------------------------- |
| 0.26 slack (MET) |
| |
| |
| min_report_end |
| max_report |
| |
| =========================================================================== |
| report_checks -path_delay max (Setup) |
| ============================================================================ |
| Startpoint: _14532_ (rising edge-triggered flip-flop clocked by clock) |
| Endpoint: _14063_ (rising edge-triggered flip-flop clocked by clock) |
| Path Group: clock |
| Path Type: max |
| |
| Fanout Cap Slew Delay Time Description |
| ----------------------------------------------------------------------------- |
| 0.15 0.00 0.00 clock clock (rise edge) |
| 0.00 0.00 clock network delay (ideal) |
| 0.15 0.00 0.00 ^ _14532_/CLK (sky130_fd_sc_hd__dfxtp_1) |
| 0.16 0.44 0.44 ^ _14532_/Q (sky130_fd_sc_hd__dfxtp_1) |
| 5 0.02 _T_42 (net) |
| 0.16 0.00 0.44 ^ _07181_/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.14 0.22 0.66 ^ _07181_/X (sky130_fd_sc_hd__clkbuf_2) |
| 5 0.02 _00618_ (net) |
| 0.14 0.00 0.66 ^ _07182_/A (sky130_fd_sc_hd__clkbuf_4) |
| 0.14 0.25 0.91 ^ _07182_/X (sky130_fd_sc_hd__clkbuf_4) |
| 5 0.04 _00619_ (net) |
| 0.14 0.00 0.92 ^ _07241_/A (sky130_fd_sc_hd__buf_2) |
| 0.16 0.23 1.15 ^ _07241_/X (sky130_fd_sc_hd__buf_2) |
| 5 0.03 _00672_ (net) |
| 0.16 0.00 1.15 ^ _07298_/A (sky130_fd_sc_hd__dlymetal6s2s_1) |
| 0.20 0.24 1.39 ^ _07298_/X (sky130_fd_sc_hd__dlymetal6s2s_1) |
| 5 0.02 _00729_ (net) |
| 0.20 0.00 1.39 ^ _07299_/A2 (sky130_fd_sc_hd__a21oi_1) |
| 0.07 0.11 1.51 v _07299_/Y (sky130_fd_sc_hd__a21oi_1) |
| 1 0.00 _00730_ (net) |
| 0.07 0.00 1.51 v _07302_/B2 (sky130_fd_sc_hd__a221o_4) |
| 0.11 0.50 2.00 v _07302_/X (sky130_fd_sc_hd__a221o_4) |
| 2 0.04 _00733_ (net) |
| 0.11 0.00 2.01 v _07367_/A2_N (sky130_fd_sc_hd__o2bb2a_1) |
| 0.16 0.35 2.35 ^ _07367_/X (sky130_fd_sc_hd__o2bb2a_1) |
| 5 0.02 _00798_ (net) |
| 0.16 0.00 2.36 ^ _07413_/A (sky130_fd_sc_hd__or3b_4) |
| 0.09 0.21 2.56 ^ _07413_/X (sky130_fd_sc_hd__or3b_4) |
| 4 0.02 _00844_ (net) |
| 0.09 0.00 2.57 ^ _07415_/A (sky130_fd_sc_hd__dlymetal6s2s_1) |
| 0.18 0.21 2.77 ^ _07415_/X (sky130_fd_sc_hd__dlymetal6s2s_1) |
| 5 0.02 _00846_ (net) |
| 0.18 0.00 2.77 ^ _08099_/B (sky130_fd_sc_hd__nor2_1) |
| 0.07 0.11 2.88 v _08099_/Y (sky130_fd_sc_hd__nor2_1) |
| 2 0.01 _01527_ (net) |
| 0.07 0.00 2.88 v _08100_/C (sky130_fd_sc_hd__or4b_1) |
| 0.08 0.49 3.37 v _08100_/X (sky130_fd_sc_hd__or4b_1) |
| 1 0.00 _01528_ (net) |
| 0.08 0.00 3.37 v _08104_/B (sky130_fd_sc_hd__or4b_1) |
| 0.09 0.55 3.92 v _08104_/X (sky130_fd_sc_hd__or4b_1) |
| 1 0.00 _01532_ (net) |
| 0.09 0.00 3.92 v _08106_/C (sky130_fd_sc_hd__or4_1) |
| 0.08 0.49 4.41 v _08106_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.00 _01534_ (net) |
| 0.08 0.00 4.41 v _08109_/B (sky130_fd_sc_hd__or4b_1) |
| 0.11 0.57 4.97 v _08109_/X (sky130_fd_sc_hd__or4b_1) |
| 1 0.01 _01537_ (net) |
| 0.11 0.00 4.98 v _08112_/B (sky130_fd_sc_hd__or4b_1) |
| 0.11 0.58 5.56 v _08112_/X (sky130_fd_sc_hd__or4b_1) |
| 1 0.01 _01540_ (net) |
| 0.11 0.00 5.56 v _08116_/C (sky130_fd_sc_hd__or4_1) |
| 0.09 0.52 6.08 v _08116_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.00 _01544_ (net) |
| 0.09 0.00 6.08 v _08117_/D (sky130_fd_sc_hd__or4_1) |
| 0.07 0.41 6.49 v _08117_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.00 _01545_ (net) |
| 0.07 0.00 6.49 v _08118_/D (sky130_fd_sc_hd__or4_1) |
| 0.11 0.46 6.94 v _08118_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.01 _01546_ (net) |
| 0.11 0.00 6.94 v _08119_/D (sky130_fd_sc_hd__or4_1) |
| 0.10 0.45 7.40 v _08119_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.01 _01547_ (net) |
| 0.10 0.00 7.40 v _08120_/D (sky130_fd_sc_hd__or4_1) |
| 0.09 0.43 7.83 v _08120_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.00 _01548_ (net) |
| 0.09 0.00 7.83 v _08121_/B (sky130_fd_sc_hd__nor2_1) |
| 0.15 0.16 7.99 ^ _08121_/Y (sky130_fd_sc_hd__nor2_1) |
| 1 0.01 _01549_ (net) |
| 0.15 0.00 7.99 ^ _08122_/C1 (sky130_fd_sc_hd__o311a_1) |
| 0.05 0.19 8.18 ^ _08122_/X (sky130_fd_sc_hd__o311a_1) |
| 1 0.00 _01550_ (net) |
| 0.05 0.00 8.18 ^ _08123_/D_N (sky130_fd_sc_hd__or4b_1) |
| 0.09 0.47 8.65 v _08123_/X (sky130_fd_sc_hd__or4b_1) |
| 1 0.00 _01551_ (net) |
| 0.09 0.00 8.65 v _08124_/B1 (sky130_fd_sc_hd__a211o_1) |
| 0.09 0.35 9.00 v _08124_/X (sky130_fd_sc_hd__a211o_1) |
| 1 0.01 _01552_ (net) |
| 0.09 0.00 9.00 v _08125_/D (sky130_fd_sc_hd__or4_1) |
| 0.08 0.42 9.42 v _08125_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.00 _01553_ (net) |
| 0.08 0.00 9.42 v _08126_/D (sky130_fd_sc_hd__or4_1) |
| 0.08 0.41 9.84 v _08126_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.00 _01554_ (net) |
| 0.08 0.00 9.84 v _08128_/C (sky130_fd_sc_hd__or4_1) |
| 0.13 0.56 10.40 v _08128_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.01 _01556_ (net) |
| 0.13 0.00 10.40 v _08129_/B2 (sky130_fd_sc_hd__o22a_1) |
| 0.06 0.22 10.62 v _08129_/X (sky130_fd_sc_hd__o22a_1) |
| 1 0.01 _01557_ (net) |
| 0.06 0.00 10.62 v _08133_/A2 (sky130_fd_sc_hd__o31a_1) |
| 0.10 0.36 10.97 v _08133_/X (sky130_fd_sc_hd__o31a_1) |
| 1 0.02 _01561_ (net) |
| 0.10 0.00 10.97 v _08143_/A (sky130_fd_sc_hd__nor2_4) |
| 0.19 0.23 11.20 ^ _08143_/Y (sky130_fd_sc_hd__nor2_4) |
| 2 0.03 _01571_ (net) |
| 0.19 0.00 11.20 ^ _08148_/A (sky130_fd_sc_hd__xnor2_4) |
| 0.10 0.14 11.35 v _08148_/Y (sky130_fd_sc_hd__xnor2_4) |
| 4 0.03 _01576_ (net) |
| 0.10 0.00 11.35 v _08149_/B2 (sky130_fd_sc_hd__a32o_1) |
| 0.05 0.25 11.59 v _08149_/X (sky130_fd_sc_hd__a32o_1) |
| 1 0.00 _01577_ (net) |
| 0.05 0.00 11.59 v _08152_/A2 (sky130_fd_sc_hd__a221o_1) |
| 0.09 0.40 12.00 v _08152_/X (sky130_fd_sc_hd__a221o_1) |
| 1 0.01 _01580_ (net) |
| 0.09 0.00 12.00 v _08186_/A1 (sky130_fd_sc_hd__a21o_1) |
| 0.04 0.19 12.18 v _08186_/X (sky130_fd_sc_hd__a21o_1) |
| 1 0.01 _01614_ (net) |
| 0.04 0.00 12.18 v _08193_/A2 (sky130_fd_sc_hd__a311o_1) |
| 0.05 0.32 12.50 v _08193_/X (sky130_fd_sc_hd__a311o_1) |
| 1 0.00 _01621_ (net) |
| 0.05 0.00 12.50 v _08194_/B1 (sky130_fd_sc_hd__o211a_1) |
| 0.04 0.14 12.64 v _08194_/X (sky130_fd_sc_hd__o211a_1) |
| 1 0.00 _00032_ (net) |
| 0.04 0.00 12.64 v _14063_/D (sky130_fd_sc_hd__dfxtp_4) |
| 12.64 data arrival time |
| |
| 0.15 15.00 15.00 clock clock (rise edge) |
| 0.00 15.00 clock network delay (ideal) |
| -0.25 14.75 clock uncertainty |
| 0.00 14.75 clock reconvergence pessimism |
| 14.75 ^ _14063_/CLK (sky130_fd_sc_hd__dfxtp_4) |
| -0.09 14.66 library setup time |
| 14.66 data required time |
| ----------------------------------------------------------------------------- |
| 14.66 data required time |
| -12.64 data arrival time |
| ----------------------------------------------------------------------------- |
| 2.02 slack (MET) |
| |
| |
| Startpoint: _14532_ (rising edge-triggered flip-flop clocked by clock) |
| Endpoint: _14091_ (rising edge-triggered flip-flop clocked by clock) |
| Path Group: clock |
| Path Type: max |
| |
| Fanout Cap Slew Delay Time Description |
| ----------------------------------------------------------------------------- |
| 0.15 0.00 0.00 clock clock (rise edge) |
| 0.00 0.00 clock network delay (ideal) |
| 0.15 0.00 0.00 ^ _14532_/CLK (sky130_fd_sc_hd__dfxtp_1) |
| 0.16 0.44 0.44 ^ _14532_/Q (sky130_fd_sc_hd__dfxtp_1) |
| 5 0.02 _T_42 (net) |
| 0.16 0.00 0.44 ^ _07181_/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.14 0.22 0.66 ^ _07181_/X (sky130_fd_sc_hd__clkbuf_2) |
| 5 0.02 _00618_ (net) |
| 0.14 0.00 0.66 ^ _07182_/A (sky130_fd_sc_hd__clkbuf_4) |
| 0.14 0.25 0.91 ^ _07182_/X (sky130_fd_sc_hd__clkbuf_4) |
| 5 0.04 _00619_ (net) |
| 0.14 0.00 0.92 ^ _07241_/A (sky130_fd_sc_hd__buf_2) |
| 0.16 0.23 1.15 ^ _07241_/X (sky130_fd_sc_hd__buf_2) |
| 5 0.03 _00672_ (net) |
| 0.16 0.00 1.15 ^ _07298_/A (sky130_fd_sc_hd__dlymetal6s2s_1) |
| 0.20 0.24 1.39 ^ _07298_/X (sky130_fd_sc_hd__dlymetal6s2s_1) |
| 5 0.02 _00729_ (net) |
| 0.20 0.00 1.39 ^ _07299_/A2 (sky130_fd_sc_hd__a21oi_1) |
| 0.07 0.11 1.51 v _07299_/Y (sky130_fd_sc_hd__a21oi_1) |
| 1 0.00 _00730_ (net) |
| 0.07 0.00 1.51 v _07302_/B2 (sky130_fd_sc_hd__a221o_4) |
| 0.11 0.50 2.00 v _07302_/X (sky130_fd_sc_hd__a221o_4) |
| 2 0.04 _00733_ (net) |
| 0.11 0.00 2.01 v _07367_/A2_N (sky130_fd_sc_hd__o2bb2a_1) |
| 0.16 0.35 2.35 ^ _07367_/X (sky130_fd_sc_hd__o2bb2a_1) |
| 5 0.02 _00798_ (net) |
| 0.16 0.00 2.36 ^ _07413_/A (sky130_fd_sc_hd__or3b_4) |
| 0.09 0.21 2.56 ^ _07413_/X (sky130_fd_sc_hd__or3b_4) |
| 4 0.02 _00844_ (net) |
| 0.09 0.00 2.57 ^ _07415_/A (sky130_fd_sc_hd__dlymetal6s2s_1) |
| 0.18 0.21 2.77 ^ _07415_/X (sky130_fd_sc_hd__dlymetal6s2s_1) |
| 5 0.02 _00846_ (net) |
| 0.18 0.00 2.77 ^ _08099_/B (sky130_fd_sc_hd__nor2_1) |
| 0.07 0.11 2.88 v _08099_/Y (sky130_fd_sc_hd__nor2_1) |
| 2 0.01 _01527_ (net) |
| 0.07 0.00 2.88 v _08100_/C (sky130_fd_sc_hd__or4b_1) |
| 0.08 0.49 3.37 v _08100_/X (sky130_fd_sc_hd__or4b_1) |
| 1 0.00 _01528_ (net) |
| 0.08 0.00 3.37 v _08104_/B (sky130_fd_sc_hd__or4b_1) |
| 0.09 0.55 3.92 v _08104_/X (sky130_fd_sc_hd__or4b_1) |
| 1 0.00 _01532_ (net) |
| 0.09 0.00 3.92 v _08106_/C (sky130_fd_sc_hd__or4_1) |
| 0.08 0.49 4.41 v _08106_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.00 _01534_ (net) |
| 0.08 0.00 4.41 v _08109_/B (sky130_fd_sc_hd__or4b_1) |
| 0.11 0.57 4.97 v _08109_/X (sky130_fd_sc_hd__or4b_1) |
| 1 0.01 _01537_ (net) |
| 0.11 0.00 4.98 v _08112_/B (sky130_fd_sc_hd__or4b_1) |
| 0.11 0.58 5.56 v _08112_/X (sky130_fd_sc_hd__or4b_1) |
| 1 0.01 _01540_ (net) |
| 0.11 0.00 5.56 v _08116_/C (sky130_fd_sc_hd__or4_1) |
| 0.09 0.52 6.08 v _08116_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.00 _01544_ (net) |
| 0.09 0.00 6.08 v _08117_/D (sky130_fd_sc_hd__or4_1) |
| 0.07 0.41 6.49 v _08117_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.00 _01545_ (net) |
| 0.07 0.00 6.49 v _08118_/D (sky130_fd_sc_hd__or4_1) |
| 0.11 0.46 6.94 v _08118_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.01 _01546_ (net) |
| 0.11 0.00 6.94 v _08119_/D (sky130_fd_sc_hd__or4_1) |
| 0.10 0.45 7.40 v _08119_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.01 _01547_ (net) |
| 0.10 0.00 7.40 v _08120_/D (sky130_fd_sc_hd__or4_1) |
| 0.09 0.43 7.83 v _08120_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.00 _01548_ (net) |
| 0.09 0.00 7.83 v _08121_/B (sky130_fd_sc_hd__nor2_1) |
| 0.15 0.16 7.99 ^ _08121_/Y (sky130_fd_sc_hd__nor2_1) |
| 1 0.01 _01549_ (net) |
| 0.15 0.00 7.99 ^ _08122_/C1 (sky130_fd_sc_hd__o311a_1) |
| 0.05 0.19 8.18 ^ _08122_/X (sky130_fd_sc_hd__o311a_1) |
| 1 0.00 _01550_ (net) |
| 0.05 0.00 8.18 ^ _08123_/D_N (sky130_fd_sc_hd__or4b_1) |
| 0.09 0.47 8.65 v _08123_/X (sky130_fd_sc_hd__or4b_1) |
| 1 0.00 _01551_ (net) |
| 0.09 0.00 8.65 v _08124_/B1 (sky130_fd_sc_hd__a211o_1) |
| 0.09 0.35 9.00 v _08124_/X (sky130_fd_sc_hd__a211o_1) |
| 1 0.01 _01552_ (net) |
| 0.09 0.00 9.00 v _08125_/D (sky130_fd_sc_hd__or4_1) |
| 0.08 0.42 9.42 v _08125_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.00 _01553_ (net) |
| 0.08 0.00 9.42 v _08126_/D (sky130_fd_sc_hd__or4_1) |
| 0.08 0.41 9.84 v _08126_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.00 _01554_ (net) |
| 0.08 0.00 9.84 v _08128_/C (sky130_fd_sc_hd__or4_1) |
| 0.13 0.56 10.40 v _08128_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.01 _01556_ (net) |
| 0.13 0.00 10.40 v _08129_/B2 (sky130_fd_sc_hd__o22a_1) |
| 0.06 0.22 10.62 v _08129_/X (sky130_fd_sc_hd__o22a_1) |
| 1 0.01 _01557_ (net) |
| 0.06 0.00 10.62 v _08133_/A2 (sky130_fd_sc_hd__o31a_1) |
| 0.10 0.36 10.97 v _08133_/X (sky130_fd_sc_hd__o31a_1) |
| 1 0.02 _01561_ (net) |
| 0.10 0.00 10.97 v _08143_/A (sky130_fd_sc_hd__nor2_4) |
| 0.19 0.23 11.20 ^ _08143_/Y (sky130_fd_sc_hd__nor2_4) |
| 2 0.03 _01571_ (net) |
| 0.19 0.00 11.20 ^ _08148_/A (sky130_fd_sc_hd__xnor2_4) |
| 0.10 0.14 11.35 v _08148_/Y (sky130_fd_sc_hd__xnor2_4) |
| 4 0.03 _01576_ (net) |
| 0.10 0.00 11.35 v _10643_/B1 (sky130_fd_sc_hd__a32o_1) |
| 0.05 0.24 11.59 v _10643_/X (sky130_fd_sc_hd__a32o_1) |
| 1 0.00 _04047_ (net) |
| 0.05 0.00 11.59 v _10645_/A2 (sky130_fd_sc_hd__a221o_1) |
| 0.06 0.37 11.96 v _10645_/X (sky130_fd_sc_hd__a221o_1) |
| 1 0.01 _04049_ (net) |
| 0.06 0.00 11.96 v _10647_/A1 (sky130_fd_sc_hd__a21o_1) |
| 0.05 0.18 12.14 v _10647_/X (sky130_fd_sc_hd__a21o_1) |
| 1 0.01 _04051_ (net) |
| 0.05 0.00 12.14 v _10649_/A2 (sky130_fd_sc_hd__a311o_1) |
| 0.07 0.34 12.48 v _10649_/X (sky130_fd_sc_hd__a311o_1) |
| 1 0.01 _04053_ (net) |
| 0.07 0.00 12.48 v _10651_/B1 (sky130_fd_sc_hd__o211a_1) |
| 0.04 0.13 12.62 v _10651_/X (sky130_fd_sc_hd__o211a_1) |
| 1 0.00 _00056_ (net) |
| 0.04 0.00 12.62 v _14091_/D (sky130_fd_sc_hd__dfxtp_4) |
| 12.62 data arrival time |
| |
| 0.15 15.00 15.00 clock clock (rise edge) |
| 0.00 15.00 clock network delay (ideal) |
| -0.25 14.75 clock uncertainty |
| 0.00 14.75 clock reconvergence pessimism |
| 14.75 ^ _14091_/CLK (sky130_fd_sc_hd__dfxtp_4) |
| -0.08 14.67 library setup time |
| 14.67 data required time |
| ----------------------------------------------------------------------------- |
| 14.67 data required time |
| -12.62 data arrival time |
| ----------------------------------------------------------------------------- |
| 2.05 slack (MET) |
| |
| |
| Startpoint: _14528_ (rising edge-triggered flip-flop clocked by clock) |
| Endpoint: _14079_ (rising edge-triggered flip-flop clocked by clock) |
| Path Group: clock |
| Path Type: max |
| |
| Fanout Cap Slew Delay Time Description |
| ----------------------------------------------------------------------------- |
| 0.15 0.00 0.00 clock clock (rise edge) |
| 0.00 0.00 clock network delay (ideal) |
| 0.15 0.00 0.00 ^ _14528_/CLK (sky130_fd_sc_hd__dfxtp_1) |
| 0.17 0.45 0.45 ^ _14528_/Q (sky130_fd_sc_hd__dfxtp_1) |
| 5 0.02 _T_43 (net) |
| 0.17 0.00 0.45 ^ _07196_/A (sky130_fd_sc_hd__dlymetal6s2s_1) |
| 0.21 0.25 0.70 ^ _07196_/X (sky130_fd_sc_hd__dlymetal6s2s_1) |
| 5 0.02 _00630_ (net) |
| 0.21 0.00 0.70 ^ _07197_/A (sky130_fd_sc_hd__clkbuf_8) |
| 0.12 0.25 0.96 ^ _07197_/X (sky130_fd_sc_hd__clkbuf_8) |
| 5 0.07 _00631_ (net) |
| 0.12 0.01 0.96 ^ _07260_/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.13 0.21 1.17 ^ _07260_/X (sky130_fd_sc_hd__clkbuf_2) |
| 5 0.02 _00691_ (net) |
| 0.13 0.00 1.17 ^ _07296_/A (sky130_fd_sc_hd__clkbuf_4) |
| 0.12 0.23 1.40 ^ _07296_/X (sky130_fd_sc_hd__clkbuf_4) |
| 5 0.04 _00727_ (net) |
| 0.12 0.00 1.40 ^ _09615_/B (sky130_fd_sc_hd__nand3b_2) |
| 0.12 0.15 1.55 v _09615_/Y (sky130_fd_sc_hd__nand3b_2) |
| 1 0.02 _03030_ (net) |
| 0.12 0.00 1.55 v _09617_/B1 (sky130_fd_sc_hd__a221o_4) |
| 0.10 0.46 2.01 v _09617_/X (sky130_fd_sc_hd__a221o_4) |
| 2 0.03 _03032_ (net) |
| 0.10 0.00 2.01 v _09618_/A2_N (sky130_fd_sc_hd__o2bb2a_2) |
| 0.14 0.35 2.37 ^ _09618_/X (sky130_fd_sc_hd__o2bb2a_2) |
| 2 0.02 _03033_ (net) |
| 0.14 0.00 2.37 ^ _09619_/A (sky130_fd_sc_hd__buf_2) |
| 0.17 0.24 2.61 ^ _09619_/X (sky130_fd_sc_hd__buf_2) |
| 5 0.03 _03034_ (net) |
| 0.17 0.00 2.61 ^ _09681_/A (sky130_fd_sc_hd__buf_2) |
| 0.15 0.24 2.84 ^ _09681_/X (sky130_fd_sc_hd__buf_2) |
| 5 0.03 _03096_ (net) |
| 0.15 0.00 2.84 ^ _09682_/B (sky130_fd_sc_hd__nor2_1) |
| 0.07 0.10 2.94 v _09682_/Y (sky130_fd_sc_hd__nor2_1) |
| 3 0.01 _03097_ (net) |
| 0.07 0.00 2.94 v _09683_/A (sky130_fd_sc_hd__clkbuf_4) |
| 0.09 0.22 3.16 v _09683_/X (sky130_fd_sc_hd__clkbuf_4) |
| 5 0.04 _03098_ (net) |
| 0.09 0.00 3.16 v _09684_/B (sky130_fd_sc_hd__nand2_4) |
| 0.11 0.14 3.30 ^ _09684_/Y (sky130_fd_sc_hd__nand2_4) |
| 5 0.03 _03099_ (net) |
| 0.11 0.00 3.30 ^ _10240_/A1 (sky130_fd_sc_hd__o2111a_1) |
| 0.05 0.21 3.52 ^ _10240_/X (sky130_fd_sc_hd__o2111a_1) |
| 1 0.00 _03652_ (net) |
| 0.05 0.00 3.52 ^ _10242_/C1 (sky130_fd_sc_hd__o2111a_1) |
| 0.07 0.20 3.72 ^ _10242_/X (sky130_fd_sc_hd__o2111a_1) |
| 1 0.01 _03654_ (net) |
| 0.07 0.00 3.72 ^ _10243_/D_N (sky130_fd_sc_hd__or4bb_1) |
| 0.09 0.43 4.15 v _10243_/X (sky130_fd_sc_hd__or4bb_1) |
| 1 0.00 _03655_ (net) |
| 0.09 0.00 4.15 v _10245_/C (sky130_fd_sc_hd__or4b_1) |
| 0.09 0.50 4.65 v _10245_/X (sky130_fd_sc_hd__or4b_1) |
| 1 0.00 _03657_ (net) |
| 0.09 0.00 4.65 v _10248_/B (sky130_fd_sc_hd__or4b_1) |
| 0.10 0.56 5.21 v _10248_/X (sky130_fd_sc_hd__or4b_1) |
| 1 0.01 _03660_ (net) |
| 0.10 0.00 5.21 v _10252_/C (sky130_fd_sc_hd__or4_1) |
| 0.09 0.51 5.72 v _10252_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.00 _03664_ (net) |
| 0.09 0.00 5.72 v _10253_/D (sky130_fd_sc_hd__or4_1) |
| 0.10 0.45 6.17 v _10253_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.01 _03665_ (net) |
| 0.10 0.00 6.17 v _10254_/D (sky130_fd_sc_hd__or4_1) |
| 0.09 0.44 6.61 v _10254_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.00 _03666_ (net) |
| 0.09 0.00 6.61 v _10256_/A (sky130_fd_sc_hd__or4bb_1) |
| 0.10 0.56 7.17 v _10256_/X (sky130_fd_sc_hd__or4bb_1) |
| 1 0.01 _03668_ (net) |
| 0.10 0.00 7.17 v _10257_/D (sky130_fd_sc_hd__or4_1) |
| 0.08 0.42 7.59 v _10257_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.00 _03669_ (net) |
| 0.08 0.00 7.59 v _10258_/B (sky130_fd_sc_hd__nor2_1) |
| 0.17 0.17 7.77 ^ _10258_/Y (sky130_fd_sc_hd__nor2_1) |
| 1 0.01 _03670_ (net) |
| 0.17 0.00 7.77 ^ _10259_/C1 (sky130_fd_sc_hd__o311a_1) |
| 0.05 0.19 7.95 ^ _10259_/X (sky130_fd_sc_hd__o311a_1) |
| 1 0.00 _03671_ (net) |
| 0.05 0.00 7.95 ^ _10260_/D_N (sky130_fd_sc_hd__or4b_1) |
| 0.09 0.45 8.40 v _10260_/X (sky130_fd_sc_hd__or4b_1) |
| 1 0.00 _03672_ (net) |
| 0.09 0.00 8.40 v _10261_/B1 (sky130_fd_sc_hd__a211o_1) |
| 0.08 0.34 8.74 v _10261_/X (sky130_fd_sc_hd__a211o_1) |
| 1 0.01 _03673_ (net) |
| 0.08 0.00 8.74 v _10262_/D (sky130_fd_sc_hd__or4_1) |
| 0.08 0.41 9.15 v _10262_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.00 _03674_ (net) |
| 0.08 0.00 9.15 v _10263_/D (sky130_fd_sc_hd__or4_1) |
| 0.10 0.45 9.61 v _10263_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.01 _03675_ (net) |
| 0.10 0.00 9.61 v _10265_/C (sky130_fd_sc_hd__or4_1) |
| 0.12 0.56 10.17 v _10265_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.01 _03677_ (net) |
| 0.12 0.00 10.17 v _10266_/B2 (sky130_fd_sc_hd__o22a_1) |
| 0.04 0.19 10.37 v _10266_/X (sky130_fd_sc_hd__o22a_1) |
| 1 0.00 _03678_ (net) |
| 0.04 0.00 10.37 v _10270_/A1 (sky130_fd_sc_hd__o31a_1) |
| 0.09 0.36 10.72 v _10270_/X (sky130_fd_sc_hd__o31a_1) |
| 1 0.01 _03682_ (net) |
| 0.09 0.00 10.72 v _10281_/A (sky130_fd_sc_hd__nor2_2) |
| 0.29 0.29 11.02 ^ _10281_/Y (sky130_fd_sc_hd__nor2_2) |
| 2 0.03 _03693_ (net) |
| 0.29 0.00 11.02 ^ _10282_/B (sky130_fd_sc_hd__xnor2_4) |
| 0.11 0.16 11.18 v _10282_/Y (sky130_fd_sc_hd__xnor2_4) |
| 4 0.03 _03694_ (net) |
| 0.11 0.00 11.18 v _10283_/B2 (sky130_fd_sc_hd__a32o_1) |
| 0.04 0.25 11.43 v _10283_/X (sky130_fd_sc_hd__a32o_1) |
| 1 0.00 _03695_ (net) |
| 0.04 0.00 11.43 v _10286_/A2 (sky130_fd_sc_hd__a22o_1) |
| 0.08 0.28 11.71 v _10286_/X (sky130_fd_sc_hd__a22o_1) |
| 1 0.01 _03698_ (net) |
| 0.08 0.00 11.71 v _10293_/A0 (sky130_fd_sc_hd__mux2_1) |
| 0.06 0.31 12.02 v _10293_/X (sky130_fd_sc_hd__mux2_1) |
| 1 0.00 _03705_ (net) |
| 0.06 0.00 12.02 v _10296_/A2 (sky130_fd_sc_hd__o211a_1) |
| 0.04 0.22 12.24 v _10296_/X (sky130_fd_sc_hd__o211a_1) |
| 1 0.00 _03708_ (net) |
| 0.04 0.00 12.24 v _10301_/A2 (sky130_fd_sc_hd__o311a_1) |
| 0.05 0.34 12.57 v _10301_/X (sky130_fd_sc_hd__o311a_1) |
| 1 0.00 _00048_ (net) |
| 0.05 0.00 12.57 v _14079_/D (sky130_fd_sc_hd__dfxtp_2) |
| 12.57 data arrival time |
| |
| 0.15 15.00 15.00 clock clock (rise edge) |
| 0.00 15.00 clock network delay (ideal) |
| -0.25 14.75 clock uncertainty |
| 0.00 14.75 clock reconvergence pessimism |
| 14.75 ^ _14079_/CLK (sky130_fd_sc_hd__dfxtp_2) |
| -0.09 14.66 library setup time |
| 14.66 data required time |
| ----------------------------------------------------------------------------- |
| 14.66 data required time |
| -12.57 data arrival time |
| ----------------------------------------------------------------------------- |
| 2.08 slack (MET) |
| |
| |
| Startpoint: _14532_ (rising edge-triggered flip-flop clocked by clock) |
| Endpoint: _14443_ (rising edge-triggered flip-flop clocked by clock) |
| Path Group: clock |
| Path Type: max |
| |
| Fanout Cap Slew Delay Time Description |
| ----------------------------------------------------------------------------- |
| 0.15 0.00 0.00 clock clock (rise edge) |
| 0.00 0.00 clock network delay (ideal) |
| 0.15 0.00 0.00 ^ _14532_/CLK (sky130_fd_sc_hd__dfxtp_1) |
| 0.16 0.44 0.44 ^ _14532_/Q (sky130_fd_sc_hd__dfxtp_1) |
| 5 0.02 _T_42 (net) |
| 0.16 0.00 0.44 ^ _07181_/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.14 0.22 0.66 ^ _07181_/X (sky130_fd_sc_hd__clkbuf_2) |
| 5 0.02 _00618_ (net) |
| 0.14 0.00 0.66 ^ _07182_/A (sky130_fd_sc_hd__clkbuf_4) |
| 0.14 0.25 0.91 ^ _07182_/X (sky130_fd_sc_hd__clkbuf_4) |
| 5 0.04 _00619_ (net) |
| 0.14 0.00 0.92 ^ _07241_/A (sky130_fd_sc_hd__buf_2) |
| 0.16 0.23 1.15 ^ _07241_/X (sky130_fd_sc_hd__buf_2) |
| 5 0.03 _00672_ (net) |
| 0.16 0.00 1.15 ^ _07298_/A (sky130_fd_sc_hd__dlymetal6s2s_1) |
| 0.20 0.24 1.39 ^ _07298_/X (sky130_fd_sc_hd__dlymetal6s2s_1) |
| 5 0.02 _00729_ (net) |
| 0.20 0.00 1.39 ^ _07299_/A2 (sky130_fd_sc_hd__a21oi_1) |
| 0.07 0.11 1.51 v _07299_/Y (sky130_fd_sc_hd__a21oi_1) |
| 1 0.00 _00730_ (net) |
| 0.07 0.00 1.51 v _07302_/B2 (sky130_fd_sc_hd__a221o_4) |
| 0.11 0.50 2.00 v _07302_/X (sky130_fd_sc_hd__a221o_4) |
| 2 0.04 _00733_ (net) |
| 0.11 0.00 2.01 v _07367_/A2_N (sky130_fd_sc_hd__o2bb2a_1) |
| 0.16 0.35 2.35 ^ _07367_/X (sky130_fd_sc_hd__o2bb2a_1) |
| 5 0.02 _00798_ (net) |
| 0.16 0.00 2.36 ^ _07413_/A (sky130_fd_sc_hd__or3b_4) |
| 0.09 0.21 2.56 ^ _07413_/X (sky130_fd_sc_hd__or3b_4) |
| 4 0.02 _00844_ (net) |
| 0.09 0.00 2.57 ^ _07415_/A (sky130_fd_sc_hd__dlymetal6s2s_1) |
| 0.18 0.21 2.77 ^ _07415_/X (sky130_fd_sc_hd__dlymetal6s2s_1) |
| 5 0.02 _00846_ (net) |
| 0.18 0.00 2.77 ^ _08099_/B (sky130_fd_sc_hd__nor2_1) |
| 0.07 0.11 2.88 v _08099_/Y (sky130_fd_sc_hd__nor2_1) |
| 2 0.01 _01527_ (net) |
| 0.07 0.00 2.88 v _08100_/C (sky130_fd_sc_hd__or4b_1) |
| 0.08 0.49 3.37 v _08100_/X (sky130_fd_sc_hd__or4b_1) |
| 1 0.00 _01528_ (net) |
| 0.08 0.00 3.37 v _08104_/B (sky130_fd_sc_hd__or4b_1) |
| 0.09 0.55 3.92 v _08104_/X (sky130_fd_sc_hd__or4b_1) |
| 1 0.00 _01532_ (net) |
| 0.09 0.00 3.92 v _08106_/C (sky130_fd_sc_hd__or4_1) |
| 0.08 0.49 4.41 v _08106_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.00 _01534_ (net) |
| 0.08 0.00 4.41 v _08109_/B (sky130_fd_sc_hd__or4b_1) |
| 0.11 0.57 4.97 v _08109_/X (sky130_fd_sc_hd__or4b_1) |
| 1 0.01 _01537_ (net) |
| 0.11 0.00 4.98 v _08112_/B (sky130_fd_sc_hd__or4b_1) |
| 0.11 0.58 5.56 v _08112_/X (sky130_fd_sc_hd__or4b_1) |
| 1 0.01 _01540_ (net) |
| 0.11 0.00 5.56 v _08116_/C (sky130_fd_sc_hd__or4_1) |
| 0.09 0.52 6.08 v _08116_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.00 _01544_ (net) |
| 0.09 0.00 6.08 v _08117_/D (sky130_fd_sc_hd__or4_1) |
| 0.07 0.41 6.49 v _08117_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.00 _01545_ (net) |
| 0.07 0.00 6.49 v _08118_/D (sky130_fd_sc_hd__or4_1) |
| 0.11 0.46 6.94 v _08118_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.01 _01546_ (net) |
| 0.11 0.00 6.94 v _08119_/D (sky130_fd_sc_hd__or4_1) |
| 0.10 0.45 7.40 v _08119_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.01 _01547_ (net) |
| 0.10 0.00 7.40 v _08120_/D (sky130_fd_sc_hd__or4_1) |
| 0.09 0.43 7.83 v _08120_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.00 _01548_ (net) |
| 0.09 0.00 7.83 v _08121_/B (sky130_fd_sc_hd__nor2_1) |
| 0.15 0.16 7.99 ^ _08121_/Y (sky130_fd_sc_hd__nor2_1) |
| 1 0.01 _01549_ (net) |
| 0.15 0.00 7.99 ^ _08122_/C1 (sky130_fd_sc_hd__o311a_1) |
| 0.05 0.19 8.18 ^ _08122_/X (sky130_fd_sc_hd__o311a_1) |
| 1 0.00 _01550_ (net) |
| 0.05 0.00 8.18 ^ _08123_/D_N (sky130_fd_sc_hd__or4b_1) |
| 0.09 0.47 8.65 v _08123_/X (sky130_fd_sc_hd__or4b_1) |
| 1 0.00 _01551_ (net) |
| 0.09 0.00 8.65 v _08124_/B1 (sky130_fd_sc_hd__a211o_1) |
| 0.09 0.35 9.00 v _08124_/X (sky130_fd_sc_hd__a211o_1) |
| 1 0.01 _01552_ (net) |
| 0.09 0.00 9.00 v _08125_/D (sky130_fd_sc_hd__or4_1) |
| 0.08 0.42 9.42 v _08125_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.00 _01553_ (net) |
| 0.08 0.00 9.42 v _08126_/D (sky130_fd_sc_hd__or4_1) |
| 0.08 0.41 9.84 v _08126_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.00 _01554_ (net) |
| 0.08 0.00 9.84 v _08128_/C (sky130_fd_sc_hd__or4_1) |
| 0.13 0.56 10.40 v _08128_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.01 _01556_ (net) |
| 0.13 0.00 10.40 v _08129_/B2 (sky130_fd_sc_hd__o22a_1) |
| 0.06 0.22 10.62 v _08129_/X (sky130_fd_sc_hd__o22a_1) |
| 1 0.01 _01557_ (net) |
| 0.06 0.00 10.62 v _08133_/A2 (sky130_fd_sc_hd__o31a_1) |
| 0.10 0.36 10.97 v _08133_/X (sky130_fd_sc_hd__o31a_1) |
| 1 0.02 _01561_ (net) |
| 0.10 0.00 10.97 v _08143_/A (sky130_fd_sc_hd__nor2_4) |
| 0.19 0.23 11.20 ^ _08143_/Y (sky130_fd_sc_hd__nor2_4) |
| 2 0.03 _01571_ (net) |
| 0.19 0.00 11.20 ^ _08187_/A (sky130_fd_sc_hd__buf_4) |
| 0.15 0.25 11.45 ^ _08187_/X (sky130_fd_sc_hd__buf_4) |
| 5 0.05 _01615_ (net) |
| 0.15 0.00 11.45 ^ _12830_/B (sky130_fd_sc_hd__xnor2_1) |
| 0.29 0.21 11.66 ^ _12830_/Y (sky130_fd_sc_hd__xnor2_1) |
| 2 0.01 _05984_ (net) |
| 0.29 0.00 11.66 ^ _13015_/B (sky130_fd_sc_hd__xnor2_2) |
| 0.10 0.15 11.81 v _13015_/Y (sky130_fd_sc_hd__xnor2_2) |
| 3 0.01 _06137_ (net) |
| 0.10 0.00 11.81 v _13177_/A2 (sky130_fd_sc_hd__o21ai_1) |
| 0.10 0.14 11.95 ^ _13177_/Y (sky130_fd_sc_hd__o21ai_1) |
| 1 0.00 _06267_ (net) |
| 0.10 0.00 11.95 ^ _13178_/B1 (sky130_fd_sc_hd__a21oi_1) |
| 0.10 0.08 12.03 v _13178_/Y (sky130_fd_sc_hd__a21oi_1) |
| 3 0.01 _06268_ (net) |
| 0.10 0.00 12.03 v _13339_/B (sky130_fd_sc_hd__or2_1) |
| 0.05 0.24 12.27 v _13339_/X (sky130_fd_sc_hd__or2_1) |
| 1 0.00 _06397_ (net) |
| 0.05 0.00 12.27 v _13340_/A3 (sky130_fd_sc_hd__a32o_1) |
| 0.04 0.27 12.54 v _13340_/X (sky130_fd_sc_hd__a32o_1) |
| 1 0.00 _00402_ (net) |
| 0.04 0.00 12.54 v _14443_/D (sky130_fd_sc_hd__dfxtp_1) |
| 12.54 data arrival time |
| |
| 0.15 15.00 15.00 clock clock (rise edge) |
| 0.00 15.00 clock network delay (ideal) |
| -0.25 14.75 clock uncertainty |
| 0.00 14.75 clock reconvergence pessimism |
| 14.75 ^ _14443_/CLK (sky130_fd_sc_hd__dfxtp_1) |
| -0.09 14.66 library setup time |
| 14.66 data required time |
| ----------------------------------------------------------------------------- |
| 14.66 data required time |
| -12.54 data arrival time |
| ----------------------------------------------------------------------------- |
| 2.13 slack (MET) |
| |
| |
| Startpoint: _14528_ (rising edge-triggered flip-flop clocked by clock) |
| Endpoint: _14071_ (rising edge-triggered flip-flop clocked by clock) |
| Path Group: clock |
| Path Type: max |
| |
| Fanout Cap Slew Delay Time Description |
| ----------------------------------------------------------------------------- |
| 0.15 0.00 0.00 clock clock (rise edge) |
| 0.00 0.00 clock network delay (ideal) |
| 0.15 0.00 0.00 ^ _14528_/CLK (sky130_fd_sc_hd__dfxtp_1) |
| 0.17 0.45 0.45 ^ _14528_/Q (sky130_fd_sc_hd__dfxtp_1) |
| 5 0.02 _T_43 (net) |
| 0.17 0.00 0.45 ^ _07196_/A (sky130_fd_sc_hd__dlymetal6s2s_1) |
| 0.21 0.25 0.70 ^ _07196_/X (sky130_fd_sc_hd__dlymetal6s2s_1) |
| 5 0.02 _00630_ (net) |
| 0.21 0.00 0.70 ^ _07197_/A (sky130_fd_sc_hd__clkbuf_8) |
| 0.12 0.25 0.96 ^ _07197_/X (sky130_fd_sc_hd__clkbuf_8) |
| 5 0.07 _00631_ (net) |
| 0.12 0.01 0.96 ^ _07260_/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.13 0.21 1.17 ^ _07260_/X (sky130_fd_sc_hd__clkbuf_2) |
| 5 0.02 _00691_ (net) |
| 0.13 0.00 1.17 ^ _07296_/A (sky130_fd_sc_hd__clkbuf_4) |
| 0.12 0.23 1.40 ^ _07296_/X (sky130_fd_sc_hd__clkbuf_4) |
| 5 0.04 _00727_ (net) |
| 0.12 0.00 1.40 ^ _08632_/B (sky130_fd_sc_hd__nand3b_1) |
| 0.07 0.11 1.51 v _08632_/Y (sky130_fd_sc_hd__nand3b_1) |
| 1 0.00 _02055_ (net) |
| 0.07 0.00 1.51 v _08634_/B1 (sky130_fd_sc_hd__a221o_2) |
| 0.11 0.43 1.95 v _08634_/X (sky130_fd_sc_hd__a221o_2) |
| 2 0.02 _02057_ (net) |
| 0.11 0.00 1.95 v _08691_/A2_N (sky130_fd_sc_hd__o2bb2a_2) |
| 0.12 0.35 2.29 ^ _08691_/X (sky130_fd_sc_hd__o2bb2a_2) |
| 4 0.02 _02114_ (net) |
| 0.12 0.00 2.30 ^ _08740_/A (sky130_fd_sc_hd__nor2_1) |
| 0.08 0.12 2.41 v _08740_/Y (sky130_fd_sc_hd__nor2_1) |
| 3 0.02 _02163_ (net) |
| 0.08 0.00 2.41 v _08767_/B (sky130_fd_sc_hd__nand2_2) |
| 0.11 0.14 2.55 ^ _08767_/Y (sky130_fd_sc_hd__nand2_2) |
| 4 0.02 _02190_ (net) |
| 0.11 0.00 2.55 ^ _08768_/B1 (sky130_fd_sc_hd__o22a_1) |
| 0.14 0.23 2.78 ^ _08768_/X (sky130_fd_sc_hd__o22a_1) |
| 3 0.01 _02191_ (net) |
| 0.14 0.00 2.78 ^ _09272_/D_N (sky130_fd_sc_hd__or4b_1) |
| 0.10 0.50 3.28 v _09272_/X (sky130_fd_sc_hd__or4b_1) |
| 1 0.01 _02692_ (net) |
| 0.10 0.00 3.28 v _09274_/C (sky130_fd_sc_hd__or4b_1) |
| 0.09 0.52 3.80 v _09274_/X (sky130_fd_sc_hd__or4b_1) |
| 1 0.00 _02694_ (net) |
| 0.09 0.00 3.80 v _09276_/B (sky130_fd_sc_hd__or3_1) |
| 0.06 0.37 4.17 v _09276_/X (sky130_fd_sc_hd__or3_1) |
| 1 0.00 _02696_ (net) |
| 0.06 0.00 4.17 v _09277_/C (sky130_fd_sc_hd__or3_1) |
| 0.08 0.34 4.51 v _09277_/X (sky130_fd_sc_hd__or3_1) |
| 1 0.01 _02697_ (net) |
| 0.08 0.00 4.51 v _09281_/A (sky130_fd_sc_hd__or4bb_1) |
| 0.09 0.54 5.05 v _09281_/X (sky130_fd_sc_hd__or4bb_1) |
| 1 0.00 _02701_ (net) |
| 0.09 0.00 5.05 v _09287_/B (sky130_fd_sc_hd__or4_1) |
| 0.10 0.56 5.61 v _09287_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.00 _02707_ (net) |
| 0.10 0.00 5.61 v _09288_/D (sky130_fd_sc_hd__or4_1) |
| 0.10 0.45 6.06 v _09288_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.01 _02708_ (net) |
| 0.10 0.00 6.06 v _09289_/D (sky130_fd_sc_hd__or4_1) |
| 0.08 0.42 6.48 v _09289_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.00 _02709_ (net) |
| 0.08 0.00 6.48 v _09290_/D (sky130_fd_sc_hd__or4_1) |
| 0.08 0.42 6.90 v _09290_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.00 _02710_ (net) |
| 0.08 0.00 6.90 v _09291_/D (sky130_fd_sc_hd__or4_1) |
| 0.09 0.44 7.34 v _09291_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.00 _02711_ (net) |
| 0.09 0.00 7.34 v _09292_/C (sky130_fd_sc_hd__or3_1) |
| 0.07 0.33 7.67 v _09292_/X (sky130_fd_sc_hd__or3_1) |
| 1 0.00 _02712_ (net) |
| 0.07 0.00 7.67 v _09293_/C1 (sky130_fd_sc_hd__a311o_1) |
| 0.06 0.30 7.97 v _09293_/X (sky130_fd_sc_hd__a311o_1) |
| 1 0.00 _02713_ (net) |
| 0.06 0.00 7.97 v _09295_/C (sky130_fd_sc_hd__or4_1) |
| 0.09 0.50 8.47 v _09295_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.00 _02715_ (net) |
| 0.09 0.00 8.47 v _09296_/C1 (sky130_fd_sc_hd__a2111o_1) |
| 0.07 0.39 8.86 v _09296_/X (sky130_fd_sc_hd__a2111o_1) |
| 1 0.01 _02716_ (net) |
| 0.07 0.00 8.86 v _09297_/D (sky130_fd_sc_hd__or4_1) |
| 0.10 0.44 9.30 v _09297_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.00 _02717_ (net) |
| 0.10 0.00 9.30 v _09298_/D (sky130_fd_sc_hd__or4_1) |
| 0.11 0.47 9.77 v _09298_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.01 _02718_ (net) |
| 0.11 0.00 9.77 v _09300_/C (sky130_fd_sc_hd__or4_1) |
| 0.10 0.53 10.30 v _09300_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.01 _02720_ (net) |
| 0.10 0.00 10.30 v _09301_/B1 (sky130_fd_sc_hd__o21ai_1) |
| 0.12 0.11 10.41 ^ _09301_/Y (sky130_fd_sc_hd__o21ai_1) |
| 1 0.00 _02721_ (net) |
| 0.12 0.00 10.41 ^ _09305_/A1 (sky130_fd_sc_hd__a311o_1) |
| 0.11 0.22 10.63 ^ _09305_/X (sky130_fd_sc_hd__a311o_1) |
| 1 0.01 _02725_ (net) |
| 0.11 0.00 10.63 ^ _09306_/B (sky130_fd_sc_hd__and2b_4) |
| 0.20 0.29 10.92 ^ _09306_/X (sky130_fd_sc_hd__and2b_4) |
| 2 0.06 _02726_ (net) |
| 0.20 0.00 10.93 ^ _09307_/A (sky130_fd_sc_hd__clkbuf_8) |
| 0.12 0.25 11.18 ^ _09307_/X (sky130_fd_sc_hd__clkbuf_8) |
| 5 0.06 _02727_ (net) |
| 0.12 0.00 11.18 ^ _09308_/B (sky130_fd_sc_hd__xnor2_4) |
| 0.12 0.14 11.32 v _09308_/Y (sky130_fd_sc_hd__xnor2_4) |
| 4 0.04 _02728_ (net) |
| 0.12 0.00 11.32 v _09309_/B2 (sky130_fd_sc_hd__a32o_1) |
| 0.09 0.30 11.62 v _09309_/X (sky130_fd_sc_hd__a32o_1) |
| 1 0.01 _02729_ (net) |
| 0.09 0.00 11.62 v _09310_/A1 (sky130_fd_sc_hd__mux2_1) |
| 0.06 0.32 11.94 v _09310_/X (sky130_fd_sc_hd__mux2_1) |
| 1 0.00 _02730_ (net) |
| 0.06 0.00 11.94 v _09312_/A2 (sky130_fd_sc_hd__o211a_1) |
| 0.04 0.22 12.16 v _09312_/X (sky130_fd_sc_hd__o211a_1) |
| 1 0.00 _02732_ (net) |
| 0.04 0.00 12.16 v _09317_/A2 (sky130_fd_sc_hd__o311a_1) |
| 0.05 0.33 12.49 v _09317_/X (sky130_fd_sc_hd__o311a_1) |
| 1 0.00 _00040_ (net) |
| 0.05 0.00 12.49 v _14071_/D (sky130_fd_sc_hd__dfxtp_4) |
| 12.49 data arrival time |
| |
| 0.15 15.00 15.00 clock clock (rise edge) |
| 0.00 15.00 clock network delay (ideal) |
| -0.25 14.75 clock uncertainty |
| 0.00 14.75 clock reconvergence pessimism |
| 14.75 ^ _14071_/CLK (sky130_fd_sc_hd__dfxtp_4) |
| -0.09 14.66 library setup time |
| 14.66 data required time |
| ----------------------------------------------------------------------------- |
| 14.66 data required time |
| -12.49 data arrival time |
| ----------------------------------------------------------------------------- |
| 2.17 slack (MET) |
| |
| |
| max_report_end |
| check_report |
| |
| =========================================================================== |
| report_checks -unconstrained |
| ============================================================================ |
| Startpoint: _14532_ (rising edge-triggered flip-flop clocked by clock) |
| Endpoint: _14063_ (rising edge-triggered flip-flop clocked by clock) |
| Path Group: clock |
| Path Type: max |
| |
| Fanout Cap Slew Delay Time Description |
| ----------------------------------------------------------------------------- |
| 0.15 0.00 0.00 clock clock (rise edge) |
| 0.00 0.00 clock network delay (ideal) |
| 0.15 0.00 0.00 ^ _14532_/CLK (sky130_fd_sc_hd__dfxtp_1) |
| 0.16 0.44 0.44 ^ _14532_/Q (sky130_fd_sc_hd__dfxtp_1) |
| 5 0.02 _T_42 (net) |
| 0.16 0.00 0.44 ^ _07181_/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.14 0.22 0.66 ^ _07181_/X (sky130_fd_sc_hd__clkbuf_2) |
| 5 0.02 _00618_ (net) |
| 0.14 0.00 0.66 ^ _07182_/A (sky130_fd_sc_hd__clkbuf_4) |
| 0.14 0.25 0.91 ^ _07182_/X (sky130_fd_sc_hd__clkbuf_4) |
| 5 0.04 _00619_ (net) |
| 0.14 0.00 0.92 ^ _07241_/A (sky130_fd_sc_hd__buf_2) |
| 0.16 0.23 1.15 ^ _07241_/X (sky130_fd_sc_hd__buf_2) |
| 5 0.03 _00672_ (net) |
| 0.16 0.00 1.15 ^ _07298_/A (sky130_fd_sc_hd__dlymetal6s2s_1) |
| 0.20 0.24 1.39 ^ _07298_/X (sky130_fd_sc_hd__dlymetal6s2s_1) |
| 5 0.02 _00729_ (net) |
| 0.20 0.00 1.39 ^ _07299_/A2 (sky130_fd_sc_hd__a21oi_1) |
| 0.07 0.11 1.51 v _07299_/Y (sky130_fd_sc_hd__a21oi_1) |
| 1 0.00 _00730_ (net) |
| 0.07 0.00 1.51 v _07302_/B2 (sky130_fd_sc_hd__a221o_4) |
| 0.11 0.50 2.00 v _07302_/X (sky130_fd_sc_hd__a221o_4) |
| 2 0.04 _00733_ (net) |
| 0.11 0.00 2.01 v _07367_/A2_N (sky130_fd_sc_hd__o2bb2a_1) |
| 0.16 0.35 2.35 ^ _07367_/X (sky130_fd_sc_hd__o2bb2a_1) |
| 5 0.02 _00798_ (net) |
| 0.16 0.00 2.36 ^ _07413_/A (sky130_fd_sc_hd__or3b_4) |
| 0.09 0.21 2.56 ^ _07413_/X (sky130_fd_sc_hd__or3b_4) |
| 4 0.02 _00844_ (net) |
| 0.09 0.00 2.57 ^ _07415_/A (sky130_fd_sc_hd__dlymetal6s2s_1) |
| 0.18 0.21 2.77 ^ _07415_/X (sky130_fd_sc_hd__dlymetal6s2s_1) |
| 5 0.02 _00846_ (net) |
| 0.18 0.00 2.77 ^ _08099_/B (sky130_fd_sc_hd__nor2_1) |
| 0.07 0.11 2.88 v _08099_/Y (sky130_fd_sc_hd__nor2_1) |
| 2 0.01 _01527_ (net) |
| 0.07 0.00 2.88 v _08100_/C (sky130_fd_sc_hd__or4b_1) |
| 0.08 0.49 3.37 v _08100_/X (sky130_fd_sc_hd__or4b_1) |
| 1 0.00 _01528_ (net) |
| 0.08 0.00 3.37 v _08104_/B (sky130_fd_sc_hd__or4b_1) |
| 0.09 0.55 3.92 v _08104_/X (sky130_fd_sc_hd__or4b_1) |
| 1 0.00 _01532_ (net) |
| 0.09 0.00 3.92 v _08106_/C (sky130_fd_sc_hd__or4_1) |
| 0.08 0.49 4.41 v _08106_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.00 _01534_ (net) |
| 0.08 0.00 4.41 v _08109_/B (sky130_fd_sc_hd__or4b_1) |
| 0.11 0.57 4.97 v _08109_/X (sky130_fd_sc_hd__or4b_1) |
| 1 0.01 _01537_ (net) |
| 0.11 0.00 4.98 v _08112_/B (sky130_fd_sc_hd__or4b_1) |
| 0.11 0.58 5.56 v _08112_/X (sky130_fd_sc_hd__or4b_1) |
| 1 0.01 _01540_ (net) |
| 0.11 0.00 5.56 v _08116_/C (sky130_fd_sc_hd__or4_1) |
| 0.09 0.52 6.08 v _08116_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.00 _01544_ (net) |
| 0.09 0.00 6.08 v _08117_/D (sky130_fd_sc_hd__or4_1) |
| 0.07 0.41 6.49 v _08117_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.00 _01545_ (net) |
| 0.07 0.00 6.49 v _08118_/D (sky130_fd_sc_hd__or4_1) |
| 0.11 0.46 6.94 v _08118_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.01 _01546_ (net) |
| 0.11 0.00 6.94 v _08119_/D (sky130_fd_sc_hd__or4_1) |
| 0.10 0.45 7.40 v _08119_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.01 _01547_ (net) |
| 0.10 0.00 7.40 v _08120_/D (sky130_fd_sc_hd__or4_1) |
| 0.09 0.43 7.83 v _08120_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.00 _01548_ (net) |
| 0.09 0.00 7.83 v _08121_/B (sky130_fd_sc_hd__nor2_1) |
| 0.15 0.16 7.99 ^ _08121_/Y (sky130_fd_sc_hd__nor2_1) |
| 1 0.01 _01549_ (net) |
| 0.15 0.00 7.99 ^ _08122_/C1 (sky130_fd_sc_hd__o311a_1) |
| 0.05 0.19 8.18 ^ _08122_/X (sky130_fd_sc_hd__o311a_1) |
| 1 0.00 _01550_ (net) |
| 0.05 0.00 8.18 ^ _08123_/D_N (sky130_fd_sc_hd__or4b_1) |
| 0.09 0.47 8.65 v _08123_/X (sky130_fd_sc_hd__or4b_1) |
| 1 0.00 _01551_ (net) |
| 0.09 0.00 8.65 v _08124_/B1 (sky130_fd_sc_hd__a211o_1) |
| 0.09 0.35 9.00 v _08124_/X (sky130_fd_sc_hd__a211o_1) |
| 1 0.01 _01552_ (net) |
| 0.09 0.00 9.00 v _08125_/D (sky130_fd_sc_hd__or4_1) |
| 0.08 0.42 9.42 v _08125_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.00 _01553_ (net) |
| 0.08 0.00 9.42 v _08126_/D (sky130_fd_sc_hd__or4_1) |
| 0.08 0.41 9.84 v _08126_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.00 _01554_ (net) |
| 0.08 0.00 9.84 v _08128_/C (sky130_fd_sc_hd__or4_1) |
| 0.13 0.56 10.40 v _08128_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.01 _01556_ (net) |
| 0.13 0.00 10.40 v _08129_/B2 (sky130_fd_sc_hd__o22a_1) |
| 0.06 0.22 10.62 v _08129_/X (sky130_fd_sc_hd__o22a_1) |
| 1 0.01 _01557_ (net) |
| 0.06 0.00 10.62 v _08133_/A2 (sky130_fd_sc_hd__o31a_1) |
| 0.10 0.36 10.97 v _08133_/X (sky130_fd_sc_hd__o31a_1) |
| 1 0.02 _01561_ (net) |
| 0.10 0.00 10.97 v _08143_/A (sky130_fd_sc_hd__nor2_4) |
| 0.19 0.23 11.20 ^ _08143_/Y (sky130_fd_sc_hd__nor2_4) |
| 2 0.03 _01571_ (net) |
| 0.19 0.00 11.20 ^ _08148_/A (sky130_fd_sc_hd__xnor2_4) |
| 0.10 0.14 11.35 v _08148_/Y (sky130_fd_sc_hd__xnor2_4) |
| 4 0.03 _01576_ (net) |
| 0.10 0.00 11.35 v _08149_/B2 (sky130_fd_sc_hd__a32o_1) |
| 0.05 0.25 11.59 v _08149_/X (sky130_fd_sc_hd__a32o_1) |
| 1 0.00 _01577_ (net) |
| 0.05 0.00 11.59 v _08152_/A2 (sky130_fd_sc_hd__a221o_1) |
| 0.09 0.40 12.00 v _08152_/X (sky130_fd_sc_hd__a221o_1) |
| 1 0.01 _01580_ (net) |
| 0.09 0.00 12.00 v _08186_/A1 (sky130_fd_sc_hd__a21o_1) |
| 0.04 0.19 12.18 v _08186_/X (sky130_fd_sc_hd__a21o_1) |
| 1 0.01 _01614_ (net) |
| 0.04 0.00 12.18 v _08193_/A2 (sky130_fd_sc_hd__a311o_1) |
| 0.05 0.32 12.50 v _08193_/X (sky130_fd_sc_hd__a311o_1) |
| 1 0.00 _01621_ (net) |
| 0.05 0.00 12.50 v _08194_/B1 (sky130_fd_sc_hd__o211a_1) |
| 0.04 0.14 12.64 v _08194_/X (sky130_fd_sc_hd__o211a_1) |
| 1 0.00 _00032_ (net) |
| 0.04 0.00 12.64 v _14063_/D (sky130_fd_sc_hd__dfxtp_4) |
| 12.64 data arrival time |
| |
| 0.15 15.00 15.00 clock clock (rise edge) |
| 0.00 15.00 clock network delay (ideal) |
| -0.25 14.75 clock uncertainty |
| 0.00 14.75 clock reconvergence pessimism |
| 14.75 ^ _14063_/CLK (sky130_fd_sc_hd__dfxtp_4) |
| -0.09 14.66 library setup time |
| 14.66 data required time |
| ----------------------------------------------------------------------------- |
| 14.66 data required time |
| -12.64 data arrival time |
| ----------------------------------------------------------------------------- |
| 2.02 slack (MET) |
| |
| |
| |
| =========================================================================== |
| report_checks --slack_max -0.01 |
| ============================================================================ |
| No paths found. |
| check_report_end |
| check_slew |
| |
| =========================================================================== |
| report_check_types -max_slew -max_cap -max_fanout -violators |
| ============================================================================ |
| |
| =========================================================================== |
| max slew violation count 0 |
| max fanout violation count 0 |
| max cap violation count 0 |
| ============================================================================ |
| check_slew_end |
| tns_report |
| |
| =========================================================================== |
| report_tns |
| ============================================================================ |
| tns 0.00 |
| tns_report_end |
| wns_report |
| |
| =========================================================================== |
| report_wns |
| ============================================================================ |
| wns 0.00 |
| wns_report_end |
| worst_slack |
| |
| =========================================================================== |
| report_worst_slack -max (Setup) |
| ============================================================================ |
| worst slack 2.02 |
| |
| =========================================================================== |
| report_worst_slack -min (Hold) |
| ============================================================================ |
| worst slack 0.25 |
| worst_slack_end |
| clock_skew |
| |
| =========================================================================== |
| report_clock_skew |
| ============================================================================ |
| Clock clock |
| Latency CRPR Skew |
| _14045_/CLK ^ |
| 3.13 |
| _14045_/CLK ^ |
| 2.83 0.00 0.30 |
| |
| clock_skew_end |
| power_report |
| |
| =========================================================================== |
| report_power |
| ============================================================================ |
| Group Internal Switching Leakage Total |
| Power Power Power Power |
| ---------------------------------------------------------------- |
| Sequential 1.39e-03 1.55e-04 4.15e-09 1.55e-03 27.8% |
| Combinational 1.59e-03 2.44e-03 3.01e-08 4.02e-03 72.2% |
| Macro 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0% |
| Pad 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0% |
| ---------------------------------------------------------------- |
| Total 2.98e-03 2.59e-03 3.43e-08 5.57e-03 100.0% |
| 53.5% 46.5% 0.0% |
| power_report_end |
| area_report |
| |
| =========================================================================== |
| report_design_area |
| ============================================================================ |
| Design area 78528 u^2 13% utilization. |
| area_report_end |
| [INFO]: Changing layout from /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/tmp/placement/7-global.def to /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/tmp/placement/8-resizer.def |
| [INFO]: Incremented step index to 8. |
| [INFO]: Writing Verilog... |
| OpenROAD 8d53e9b018dec98fa63e907ddeb6c5406f035361 |
| This program is licensed under the BSD-3 license. See the LICENSE file for details. |
| Components of this program may be licensed under more restrictive licenses which must be honored. |
| [INFO ODB-0222] Reading LEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/tmp/merged_unpadded.lef |
| [INFO ODB-0223] Created 13 technology layers |
| [INFO ODB-0224] Created 25 technology vias |
| [INFO ODB-0225] Created 441 library cells |
| [INFO ODB-0226] Finished LEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/tmp/merged_unpadded.lef |
| [INFO ODB-0127] Reading DEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/tmp/placement/8-resizer.def |
| [INFO ODB-0128] Design: aes |
| [INFO ODB-0130] Created 108 pins. |
| [INFO ODB-0131] Created 16952 components and 76792 component-terminals. |
| [INFO ODB-0132] Created 2 special nets and 50240 connections. |
| [INFO ODB-0133] Created 7669 nets and 26552 connections. |
| [INFO ODB-0134] Finished DEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/tmp/placement/8-resizer.def |
| [INFO]: Changing netlist from /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/results/synthesis/aes.v to /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/results/placement/aes.resized.v |
| [INFO]: Incremented step index to 9. |
| [INFO]: Running Detailed Placement... |
| OpenROAD 8d53e9b018dec98fa63e907ddeb6c5406f035361 |
| This program is licensed under the BSD-3 license. See the LICENSE file for details. |
| Components of this program may be licensed under more restrictive licenses which must be honored. |
| [INFO ODB-0222] Reading LEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/tmp/merged_unpadded.lef |
| [INFO ODB-0223] Created 13 technology layers |
| [INFO ODB-0224] Created 25 technology vias |
| [INFO ODB-0225] Created 441 library cells |
| [INFO ODB-0226] Finished LEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/tmp/merged_unpadded.lef |
| [INFO ODB-0127] Reading DEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/tmp/placement/8-resizer.def |
| [INFO ODB-0128] Design: aes |
| [INFO ODB-0130] Created 108 pins. |
| [INFO ODB-0131] Created 16952 components and 76792 component-terminals. |
| [INFO ODB-0132] Created 2 special nets and 50240 connections. |
| [INFO ODB-0133] Created 7669 nets and 26552 connections. |
| [INFO ODB-0134] Finished DEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/tmp/placement/8-resizer.def |
| Placement Analysis |
| --------------------------------- |
| total displacement 0.0 u |
| average displacement 0.0 u |
| max displacement 0.0 u |
| original HPWL 435931.3 u |
| legalized HPWL 441610.1 u |
| delta HPWL 1 % |
| |
| [INFO DPL-0020] Mirrored 3325 instances |
| [INFO DPL-0021] HPWL before 441610.1 u |
| [INFO DPL-0022] HPWL after 435931.3 u |
| [INFO DPL-0023] HPWL delta -1.3 % |
| [INFO]: Changing layout from /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/tmp/placement/8-resizer.def to /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/results/placement/aes.def |
| [INFO]: Changing layout from /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/results/placement/aes.def to /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/results/placement/aes.def |
| [INFO]: Incremented step index to 10. |
| [INFO]: Running TritonCTS... |
| [INFO]: Trimming Liberty... |
| [INFO]: Generating Exclude List... |
| OpenROAD 8d53e9b018dec98fa63e907ddeb6c5406f035361 |
| This program is licensed under the BSD-3 license. See the LICENSE file for details. |
| Components of this program may be licensed under more restrictive licenses which must be honored. |
| [INFO ODB-0222] Reading LEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/tmp/merged_unpadded.lef |
| [INFO ODB-0223] Created 13 technology layers |
| [INFO ODB-0224] Created 25 technology vias |
| [INFO ODB-0225] Created 441 library cells |
| [INFO ODB-0226] Finished LEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/tmp/merged_unpadded.lef |
| [INFO ODB-0127] Reading DEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/results/placement/aes.def |
| [INFO ODB-0128] Design: aes |
| [INFO ODB-0130] Created 108 pins. |
| [INFO ODB-0131] Created 16952 components and 76792 component-terminals. |
| [INFO ODB-0132] Created 2 special nets and 50240 connections. |
| [INFO ODB-0133] Created 7669 nets and 26552 connections. |
| [INFO ODB-0134] Finished DEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/results/placement/aes.def |
| ############################################################################### |
| # Created by write_sdc |
| # Sat Jun 4 17:12:08 2022 |
| ############################################################################### |
| current_design aes |
| ############################################################################### |
| # Timing Constraints |
| ############################################################################### |
| create_clock -name clock -period 15.0000 [get_ports {clock}] |
| set_clock_transition 0.1500 [get_clocks {clock}] |
| set_clock_uncertainty 0.2500 clock |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[0]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[10]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[11]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[12]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[13]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[14]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[15]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[16]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[17]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[18]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[19]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[1]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[20]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[21]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[22]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[23]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[24]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[25]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[26]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[27]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[28]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[29]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[2]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[30]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[31]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[3]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[4]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[5]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[6]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[7]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[8]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[9]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_cyc_i}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[0]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[10]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[11]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[12]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[13]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[14]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[15]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[16]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[17]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[18]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[19]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[1]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[20]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[21]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[22]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[23]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[24]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[25]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[26]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[27]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[28]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[29]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[2]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[30]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[31]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[3]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[4]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[5]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[6]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[7]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[8]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[9]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_sel_i[0]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_sel_i[1]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_sel_i[2]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_sel_i[3]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_stb_i}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_we_i}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {reset}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_ack_o}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[0]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[10]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[11]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[12]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[13]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[14]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[15]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[16]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[17]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[18]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[19]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[1]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[20]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[21]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[22]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[23]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[24]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[25]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[26]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[27]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[28]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[29]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[2]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[30]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[31]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[3]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[4]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[5]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[6]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[7]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[8]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[9]}] |
| ############################################################################### |
| # Environment |
| ############################################################################### |
| set_load -pin_load 0.0334 [get_ports {io_wbs_ack_o}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[31]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[30]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[29]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[28]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[27]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[26]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[25]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[24]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[23]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[22]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[21]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[20]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[19]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[18]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[17]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[16]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[15]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[14]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[13]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[12]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[11]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[10]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[9]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[8]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[7]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[6]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[5]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[4]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[3]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[2]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[1]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {clock}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_cyc_i}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_stb_i}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_we_i}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {reset}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[31]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[30]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[29]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[28]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[27]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[26]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[25]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[24]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[23]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[22]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[21]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[20]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[19]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[18]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[17]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[16]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[15]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[14]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[13]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[12]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[11]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[10]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[9]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[8]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[7]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[6]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[5]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[4]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[3]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[2]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[31]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[30]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[29]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[28]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[27]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[26]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[25]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[24]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[23]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[22]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[21]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[20]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[19]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[18]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[17]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[16]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[15]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[14]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[13]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[12]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[11]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[10]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[9]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[8]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[7]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[6]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[5]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[4]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[3]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[2]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_sel_i[3]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_sel_i[2]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_sel_i[1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_sel_i[0]}] |
| set_timing_derate -early 0.9500 |
| set_timing_derate -late 1.0500 |
| ############################################################################### |
| # Design Rules |
| ############################################################################### |
| set_max_fanout 5.0000 [current_design] |
| [INFO]: Setting RC values... |
| [INFO]: Configuring cts characterization... |
| [INFO]: Performing clock tree synthesis... |
| [INFO]: Looking for the following net(s): aes.clock |
| [INFO]: Running Clock Tree Synthesis... |
| [INFO CTS-0038] Number of created patterns = 50000. |
| [INFO CTS-0038] Number of created patterns = 100000. |
| [INFO CTS-0039] Number of created patterns = 137808. |
| [INFO CTS-0084] Compiling LUT. |
| Min. len Max. len Min. cap Max. cap Min. slew Max. slew |
| 2 8 1 36 1 150 |
| [WARNING CTS-0043] 4752 wires are pure wire and no slew degradation. |
| TritonCTS forced slew degradation on these wires. |
| [INFO CTS-0046] Number of wire segments: 136611. |
| [INFO CTS-0047] Number of keys in characterization LUT: 1923. |
| [INFO CTS-0048] Actual min input cap: 1. |
| [INFO CTS-0007] Net "clock" found for clock "clock". |
| [INFO CTS-0010] Clock net "clock" has 488 sinks. |
| [INFO CTS-0008] TritonCTS found 1 clock nets. |
| [INFO CTS-0097] Characterization used 3 buffer(s) types. |
| [INFO CTS-0027] Generating H-Tree topology for net clock. |
| [INFO CTS-0028] Total number of sinks: 488. |
| [INFO CTS-0029] Sinks will be clustered in groups of up to 25 and with maximum cluster diameter of 50.0 um. |
| [INFO CTS-0030] Number of static layers: 0. |
| [INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). |
| [INFO CTS-0019] Total number of sinks after clustering: 91. |
| [INFO CTS-0024] Normalized sink region: [(8.95551, 11.6623), (43.7347, 60.3585)]. |
| [INFO CTS-0025] Width: 34.7792. |
| [INFO CTS-0026] Height: 48.6962. |
| Level 1 |
| Direction: Vertical |
| Sinks per sub-region: 46 |
| Sub-region size: 34.7792 X 24.3481 |
| [INFO CTS-0034] Segment length (rounded): 12. |
| Key: 4068 outSlew: 13 load: 1 length: 8 isBuffered: true |
| Key: 173 outSlew: 7 load: 1 length: 4 isBuffered: true |
| Out of 91 sinks, 1 sinks closer to other cluster. |
| Level 2 |
| Direction: Horizontal |
| Sinks per sub-region: 23 |
| Sub-region size: 17.3896 X 24.3481 |
| [INFO CTS-0034] Segment length (rounded): 8. |
| Key: 4073 outSlew: 13 load: 1 length: 8 isBuffered: true |
| Out of 36 sinks, 1 sinks closer to other cluster. |
| Level 3 |
| Direction: Vertical |
| Sinks per sub-region: 12 |
| Sub-region size: 17.3896 X 12.1740 |
| [INFO CTS-0034] Segment length (rounded): 6. |
| Key: 974 outSlew: 10 load: 1 length: 6 isBuffered: true |
| Out of 30 sinks, 3 sinks closer to other cluster. |
| Out of 21 sinks, 1 sinks closer to other cluster. |
| [INFO CTS-0032] Stop criterion found. Max number of sinks is 15. |
| [INFO CTS-0035] Number of sinks covered: 91. |
| [INFO CTS-0036] Average source sink dist: 36145.44 dbu. |
| [INFO CTS-0037] Number of outlier sinks: 6. |
| [INFO CTS-0018] Created 116 clock buffers. |
| [INFO CTS-0012] Minimum number of buffers in the clock path: 5. |
| [INFO CTS-0013] Maximum number of buffers in the clock path: 9. |
| [INFO CTS-0015] Created 116 clock nets. |
| [INFO CTS-0016] Fanout distribution for the current clock = 2:10, 3:7, 4:18, 5:11, 6:11, 7:7, 8:11, 9:8, 10:3, 11:3, 12:2, 13:2, 18:1.. |
| [INFO CTS-0017] Max level of the clock tree: 3. |
| [INFO CTS-0098] Clock net "clock" |
| [INFO CTS-0099] Sinks 488 |
| [INFO CTS-0100] Leaf buffers 86 |
| [INFO CTS-0101] Average sink wire length 956.37 um |
| [INFO CTS-0102] Path depth 5 - 9 |
| [INFO]: Repairing long wires on clock nets... |
| [INFO RSZ-0058] Using max wire length 2319um. |
| [INFO]: Legalizing... |
| Placement Analysis |
| --------------------------------- |
| total displacement 910.9 u |
| average displacement 0.1 u |
| max displacement 8.7 u |
| original HPWL 444578.7 u |
| legalized HPWL 450730.9 u |
| delta HPWL 1 % |
| |
| [INFO DPL-0020] Mirrored 3407 instances |
| [INFO DPL-0021] HPWL before 450730.9 u |
| [INFO DPL-0022] HPWL after 444674.0 u |
| [INFO DPL-0023] HPWL delta -1.3 % |
| cts_report |
| [INFO CTS-0003] Total number of Clock Roots: 1. |
| [INFO CTS-0004] Total number of Buffers Inserted: 116. |
| [INFO CTS-0005] Total number of Clock Subnets: 116. |
| [INFO CTS-0006] Total number of Sinks: 488. |
| cts_report_end |
| min_report |
| |
| =========================================================================== |
| report_checks -path_delay min (Hold) |
| ============================================================================ |
| Startpoint: _14207_ (rising edge-triggered flip-flop clocked by clock) |
| Endpoint: _14472_ (rising edge-triggered flip-flop clocked by clock) |
| Path Group: clock |
| Path Type: min |
| |
| Fanout Cap Slew Delay Time Description |
| ----------------------------------------------------------------------------- |
| 0.00 0.00 clock clock (rise edge) |
| 0.00 0.00 clock source latency |
| 0.42 0.29 0.29 ^ clock (in) |
| 1 0.09 clock (net) |
| 0.42 0.00 0.29 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.05 0.21 0.50 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 2 0.02 clknet_0_clock (net) |
| 0.05 0.00 0.50 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.06 0.11 0.61 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 1 0.01 clknet_1_1_0_clock (net) |
| 0.06 0.00 0.61 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.11 0.15 0.76 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.02 clknet_1_1_1_clock (net) |
| 0.11 0.00 0.76 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.13 0.18 0.94 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.02 clknet_2_3_0_clock (net) |
| 0.13 0.00 0.94 ^ clkbuf_3_6_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.71 0.57 1.51 ^ clkbuf_3_6_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 10 0.13 clknet_3_6_0_clock (net) |
| 0.71 0.01 1.52 ^ clkbuf_leaf_41_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.07 0.27 1.79 ^ clkbuf_leaf_41_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 10 0.04 clknet_leaf_41_clock (net) |
| 0.07 0.00 1.79 ^ _14207_/CLK (sky130_fd_sc_hd__dfxtp_1) |
| 0.15 0.37 2.16 ^ _14207_/Q (sky130_fd_sc_hd__dfxtp_1) |
| 3 0.01 _T_1[161] (net) |
| 0.15 0.00 2.16 ^ _13450_/A1 (sky130_fd_sc_hd__a211o_1) |
| 0.06 0.15 2.31 ^ _13450_/X (sky130_fd_sc_hd__a211o_1) |
| 1 0.01 _06479_ (net) |
| 0.06 0.00 2.31 ^ _13451_/C1 (sky130_fd_sc_hd__o211a_1) |
| 0.07 0.16 2.47 ^ _13451_/X (sky130_fd_sc_hd__o211a_1) |
| 1 0.01 _06480_ (net) |
| 0.07 0.00 2.47 ^ _13460_/B1 (sky130_fd_sc_hd__o221a_1) |
| 0.09 0.20 2.67 ^ _13460_/X (sky130_fd_sc_hd__o221a_1) |
| 1 0.01 _00431_ (net) |
| 0.09 0.00 2.67 ^ _14472_/D (sky130_fd_sc_hd__dfxtp_4) |
| 2.67 data arrival time |
| |
| 0.00 0.00 clock clock (rise edge) |
| 0.00 0.00 clock source latency |
| 0.42 0.32 0.32 ^ clock (in) |
| 1 0.09 clock (net) |
| 0.42 0.00 0.32 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.05 0.24 0.55 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 2 0.02 clknet_0_clock (net) |
| 0.05 0.00 0.55 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.06 0.12 0.68 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 1 0.01 clknet_1_0_0_clock (net) |
| 0.06 0.00 0.68 ^ clkbuf_1_0_1_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.19 0.22 0.90 ^ clkbuf_1_0_1_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.03 clknet_1_0_1_clock (net) |
| 0.19 0.00 0.90 ^ clkbuf_2_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.11 0.21 1.11 ^ clkbuf_2_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.02 clknet_2_1_0_clock (net) |
| 0.11 0.00 1.11 ^ clkbuf_3_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 1.39 1.11 2.22 ^ clkbuf_3_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 18 0.26 clknet_3_2_0_clock (net) |
| 1.39 0.01 2.23 ^ clkbuf_leaf_48_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.07 0.34 2.57 ^ clkbuf_leaf_48_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 5 0.02 clknet_leaf_48_clock (net) |
| 0.07 0.00 2.57 ^ _14472_/CLK (sky130_fd_sc_hd__dfxtp_4) |
| 0.25 2.82 clock uncertainty |
| -0.05 2.77 clock reconvergence pessimism |
| -0.04 2.73 library hold time |
| 2.73 data required time |
| ----------------------------------------------------------------------------- |
| 2.73 data required time |
| -2.67 data arrival time |
| ----------------------------------------------------------------------------- |
| -0.06 slack (VIOLATED) |
| |
| |
| Startpoint: _14178_ (rising edge-triggered flip-flop clocked by clock) |
| Endpoint: _14475_ (rising edge-triggered flip-flop clocked by clock) |
| Path Group: clock |
| Path Type: min |
| |
| Fanout Cap Slew Delay Time Description |
| ----------------------------------------------------------------------------- |
| 0.00 0.00 clock clock (rise edge) |
| 0.00 0.00 clock source latency |
| 0.42 0.29 0.29 ^ clock (in) |
| 1 0.09 clock (net) |
| 0.42 0.00 0.29 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.05 0.21 0.50 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 2 0.02 clknet_0_clock (net) |
| 0.05 0.00 0.50 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.06 0.11 0.61 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 1 0.01 clknet_1_1_0_clock (net) |
| 0.06 0.00 0.61 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.11 0.15 0.76 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.02 clknet_1_1_1_clock (net) |
| 0.11 0.00 0.76 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.13 0.18 0.94 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.02 clknet_2_3_0_clock (net) |
| 0.13 0.00 0.94 ^ clkbuf_3_6_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.71 0.57 1.51 ^ clkbuf_3_6_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 10 0.13 clknet_3_6_0_clock (net) |
| 0.71 0.01 1.52 ^ clkbuf_leaf_41_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.07 0.27 1.79 ^ clkbuf_leaf_41_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 10 0.04 clknet_leaf_41_clock (net) |
| 0.07 0.00 1.79 ^ _14178_/CLK (sky130_fd_sc_hd__dfxtp_1) |
| 0.15 0.37 2.16 ^ _14178_/Q (sky130_fd_sc_hd__dfxtp_1) |
| 3 0.02 _T_1[132] (net) |
| 0.15 0.00 2.17 ^ _13488_/A1 (sky130_fd_sc_hd__o211a_1) |
| 0.06 0.18 2.34 ^ _13488_/X (sky130_fd_sc_hd__o211a_1) |
| 1 0.01 _06514_ (net) |
| 0.06 0.00 2.34 ^ _13489_/C1 (sky130_fd_sc_hd__a211o_1) |
| 0.06 0.10 2.44 ^ _13489_/X (sky130_fd_sc_hd__a211o_1) |
| 1 0.01 _06515_ (net) |
| 0.06 0.00 2.44 ^ _13490_/C1 (sky130_fd_sc_hd__o211a_1) |
| 0.07 0.15 2.60 ^ _13490_/X (sky130_fd_sc_hd__o211a_1) |
| 1 0.01 _06516_ (net) |
| 0.07 0.00 2.60 ^ _13492_/B1 (sky130_fd_sc_hd__o221a_1) |
| 0.08 0.19 2.79 ^ _13492_/X (sky130_fd_sc_hd__o221a_1) |
| 1 0.01 _00434_ (net) |
| 0.08 0.00 2.79 ^ _14475_/D (sky130_fd_sc_hd__dfxtp_4) |
| 2.79 data arrival time |
| |
| 0.00 0.00 clock clock (rise edge) |
| 0.00 0.00 clock source latency |
| 0.42 0.32 0.32 ^ clock (in) |
| 1 0.09 clock (net) |
| 0.42 0.00 0.32 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.05 0.24 0.55 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 2 0.02 clknet_0_clock (net) |
| 0.05 0.00 0.55 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.06 0.12 0.68 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 1 0.01 clknet_1_0_0_clock (net) |
| 0.06 0.00 0.68 ^ clkbuf_1_0_1_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.19 0.22 0.90 ^ clkbuf_1_0_1_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.03 clknet_1_0_1_clock (net) |
| 0.19 0.00 0.90 ^ clkbuf_2_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.11 0.21 1.11 ^ clkbuf_2_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.02 clknet_2_1_0_clock (net) |
| 0.11 0.00 1.11 ^ clkbuf_3_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 1.39 1.11 2.22 ^ clkbuf_3_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 18 0.26 clknet_3_2_0_clock (net) |
| 1.39 0.01 2.23 ^ clkbuf_leaf_46_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.07 0.34 2.57 ^ clkbuf_leaf_46_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 5 0.02 clknet_leaf_46_clock (net) |
| 0.07 0.00 2.57 ^ _14475_/CLK (sky130_fd_sc_hd__dfxtp_4) |
| 0.25 2.82 clock uncertainty |
| -0.05 2.77 clock reconvergence pessimism |
| -0.03 2.73 library hold time |
| 2.73 data required time |
| ----------------------------------------------------------------------------- |
| 2.73 data required time |
| -2.79 data arrival time |
| ----------------------------------------------------------------------------- |
| 0.05 slack (MET) |
| |
| |
| Startpoint: _14267_ (rising edge-triggered flip-flop clocked by clock) |
| Endpoint: _14437_ (rising edge-triggered flip-flop clocked by clock) |
| Path Group: clock |
| Path Type: min |
| |
| Fanout Cap Slew Delay Time Description |
| ----------------------------------------------------------------------------- |
| 0.00 0.00 clock clock (rise edge) |
| 0.00 0.00 clock source latency |
| 0.42 0.29 0.29 ^ clock (in) |
| 1 0.09 clock (net) |
| 0.42 0.00 0.29 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.05 0.21 0.50 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 2 0.02 clknet_0_clock (net) |
| 0.05 0.00 0.50 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.06 0.11 0.61 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 1 0.01 clknet_1_0_0_clock (net) |
| 0.06 0.00 0.61 ^ clkbuf_1_0_1_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.19 0.20 0.82 ^ clkbuf_1_0_1_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.03 clknet_1_0_1_clock (net) |
| 0.19 0.00 0.82 ^ clkbuf_2_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.15 0.22 1.03 ^ clkbuf_2_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.03 clknet_2_0_0_clock (net) |
| 0.15 0.00 1.03 ^ clkbuf_3_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.87 0.67 1.71 ^ clkbuf_3_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 12 0.16 clknet_3_0_0_clock (net) |
| 0.87 0.00 1.71 ^ clkbuf_leaf_86_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.07 0.28 1.99 ^ clkbuf_leaf_86_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 9 0.03 clknet_leaf_86_clock (net) |
| 0.07 0.00 1.99 ^ _14267_/CLK (sky130_fd_sc_hd__dfxtp_2) |
| 0.13 0.37 2.36 ^ _14267_/Q (sky130_fd_sc_hd__dfxtp_2) |
| 3 0.02 _T_1[221] (net) |
| 0.13 0.00 2.36 ^ _13315_/A1 (sky130_fd_sc_hd__a22o_1) |
| 0.05 0.15 2.51 ^ _13315_/X (sky130_fd_sc_hd__a22o_1) |
| 1 0.00 _00396_ (net) |
| 0.05 0.00 2.51 ^ _14437_/D (sky130_fd_sc_hd__dfxtp_2) |
| 2.51 data arrival time |
| |
| 0.00 0.00 clock clock (rise edge) |
| 0.00 0.00 clock source latency |
| 0.42 0.32 0.32 ^ clock (in) |
| 1 0.09 clock (net) |
| 0.42 0.00 0.32 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.05 0.24 0.55 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 2 0.02 clknet_0_clock (net) |
| 0.05 0.00 0.55 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.06 0.12 0.68 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 1 0.01 clknet_1_0_0_clock (net) |
| 0.06 0.00 0.68 ^ clkbuf_1_0_1_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.19 0.22 0.90 ^ clkbuf_1_0_1_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.03 clknet_1_0_1_clock (net) |
| 0.19 0.00 0.90 ^ clkbuf_2_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.15 0.24 1.14 ^ clkbuf_2_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.03 clknet_2_0_0_clock (net) |
| 0.15 0.00 1.14 ^ clkbuf_3_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 1.01 0.85 1.99 ^ clkbuf_3_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 13 0.19 clknet_3_1_0_clock (net) |
| 1.01 0.00 1.99 ^ clkbuf_leaf_74_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.08 0.33 2.32 ^ clkbuf_leaf_74_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 8 0.03 clknet_leaf_74_clock (net) |
| 0.08 0.00 2.32 ^ _14437_/CLK (sky130_fd_sc_hd__dfxtp_2) |
| 0.25 2.57 clock uncertainty |
| -0.11 2.46 clock reconvergence pessimism |
| -0.03 2.43 library hold time |
| 2.43 data required time |
| ----------------------------------------------------------------------------- |
| 2.43 data required time |
| -2.51 data arrival time |
| ----------------------------------------------------------------------------- |
| 0.08 slack (MET) |
| |
| |
| Startpoint: _14265_ (rising edge-triggered flip-flop clocked by clock) |
| Endpoint: _14435_ (rising edge-triggered flip-flop clocked by clock) |
| Path Group: clock |
| Path Type: min |
| |
| Fanout Cap Slew Delay Time Description |
| ----------------------------------------------------------------------------- |
| 0.00 0.00 clock clock (rise edge) |
| 0.00 0.00 clock source latency |
| 0.42 0.29 0.29 ^ clock (in) |
| 1 0.09 clock (net) |
| 0.42 0.00 0.29 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.05 0.21 0.50 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 2 0.02 clknet_0_clock (net) |
| 0.05 0.00 0.50 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.06 0.11 0.61 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 1 0.01 clknet_1_0_0_clock (net) |
| 0.06 0.00 0.61 ^ clkbuf_1_0_1_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.19 0.20 0.82 ^ clkbuf_1_0_1_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.03 clknet_1_0_1_clock (net) |
| 0.19 0.00 0.82 ^ clkbuf_2_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.15 0.22 1.03 ^ clkbuf_2_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.03 clknet_2_0_0_clock (net) |
| 0.15 0.00 1.03 ^ clkbuf_3_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.87 0.67 1.71 ^ clkbuf_3_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 12 0.16 clknet_3_0_0_clock (net) |
| 0.87 0.00 1.71 ^ clkbuf_leaf_86_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.07 0.28 1.99 ^ clkbuf_leaf_86_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 9 0.03 clknet_leaf_86_clock (net) |
| 0.07 0.00 1.99 ^ _14265_/CLK (sky130_fd_sc_hd__dfxtp_2) |
| 0.14 0.38 2.37 ^ _14265_/Q (sky130_fd_sc_hd__dfxtp_2) |
| 3 0.03 _T_1[219] (net) |
| 0.14 0.00 2.37 ^ _13303_/A1 (sky130_fd_sc_hd__a22o_1) |
| 0.04 0.14 2.52 ^ _13303_/X (sky130_fd_sc_hd__a22o_1) |
| 1 0.00 _00394_ (net) |
| 0.04 0.00 2.52 ^ _14435_/D (sky130_fd_sc_hd__dfxtp_2) |
| 2.52 data arrival time |
| |
| 0.00 0.00 clock clock (rise edge) |
| 0.00 0.00 clock source latency |
| 0.42 0.32 0.32 ^ clock (in) |
| 1 0.09 clock (net) |
| 0.42 0.00 0.32 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.05 0.24 0.55 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 2 0.02 clknet_0_clock (net) |
| 0.05 0.00 0.55 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.06 0.12 0.68 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 1 0.01 clknet_1_0_0_clock (net) |
| 0.06 0.00 0.68 ^ clkbuf_1_0_1_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.19 0.22 0.90 ^ clkbuf_1_0_1_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.03 clknet_1_0_1_clock (net) |
| 0.19 0.00 0.90 ^ clkbuf_2_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.15 0.24 1.14 ^ clkbuf_2_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.03 clknet_2_0_0_clock (net) |
| 0.15 0.00 1.14 ^ clkbuf_3_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 1.01 0.85 1.99 ^ clkbuf_3_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 13 0.19 clknet_3_1_0_clock (net) |
| 1.01 0.00 1.99 ^ clkbuf_leaf_74_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.08 0.33 2.32 ^ clkbuf_leaf_74_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 8 0.03 clknet_leaf_74_clock (net) |
| 0.08 0.00 2.32 ^ _14435_/CLK (sky130_fd_sc_hd__dfxtp_2) |
| 0.25 2.57 clock uncertainty |
| -0.11 2.46 clock reconvergence pessimism |
| -0.03 2.43 library hold time |
| 2.43 data required time |
| ----------------------------------------------------------------------------- |
| 2.43 data required time |
| -2.52 data arrival time |
| ----------------------------------------------------------------------------- |
| 0.09 slack (MET) |
| |
| |
| Startpoint: _14297_ (rising edge-triggered flip-flop clocked by clock) |
| Endpoint: _14467_ (rising edge-triggered flip-flop clocked by clock) |
| Path Group: clock |
| Path Type: min |
| |
| Fanout Cap Slew Delay Time Description |
| ----------------------------------------------------------------------------- |
| 0.00 0.00 clock clock (rise edge) |
| 0.00 0.00 clock source latency |
| 0.42 0.29 0.29 ^ clock (in) |
| 1 0.09 clock (net) |
| 0.42 0.00 0.29 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.05 0.21 0.50 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 2 0.02 clknet_0_clock (net) |
| 0.05 0.00 0.50 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.06 0.11 0.61 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 1 0.01 clknet_1_0_0_clock (net) |
| 0.06 0.00 0.61 ^ clkbuf_1_0_1_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.19 0.20 0.82 ^ clkbuf_1_0_1_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.03 clknet_1_0_1_clock (net) |
| 0.19 0.00 0.82 ^ clkbuf_2_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.15 0.22 1.03 ^ clkbuf_2_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.03 clknet_2_0_0_clock (net) |
| 0.15 0.00 1.03 ^ clkbuf_3_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.87 0.67 1.71 ^ clkbuf_3_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 12 0.16 clknet_3_0_0_clock (net) |
| 0.87 0.00 1.71 ^ clkbuf_leaf_85_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.06 0.26 1.97 ^ clkbuf_leaf_85_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 4 0.01 clknet_leaf_85_clock (net) |
| 0.06 0.00 1.97 ^ _14297_/CLK (sky130_fd_sc_hd__dfxtp_2) |
| 0.18 0.40 2.38 ^ _14297_/Q (sky130_fd_sc_hd__dfxtp_2) |
| 3 0.04 _T_1[251] (net) |
| 0.18 0.00 2.38 ^ _13416_/B2 (sky130_fd_sc_hd__a32o_1) |
| 0.05 0.14 2.52 ^ _13416_/X (sky130_fd_sc_hd__a32o_1) |
| 1 0.00 _00426_ (net) |
| 0.05 0.00 2.52 ^ _14467_/D (sky130_fd_sc_hd__dfxtp_4) |
| 2.52 data arrival time |
| |
| 0.00 0.00 clock clock (rise edge) |
| 0.00 0.00 clock source latency |
| 0.42 0.32 0.32 ^ clock (in) |
| 1 0.09 clock (net) |
| 0.42 0.00 0.32 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.05 0.24 0.55 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 2 0.02 clknet_0_clock (net) |
| 0.05 0.00 0.55 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.06 0.12 0.68 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 1 0.01 clknet_1_0_0_clock (net) |
| 0.06 0.00 0.68 ^ clkbuf_1_0_1_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.19 0.22 0.90 ^ clkbuf_1_0_1_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.03 clknet_1_0_1_clock (net) |
| 0.19 0.00 0.90 ^ clkbuf_2_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.15 0.24 1.14 ^ clkbuf_2_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.03 clknet_2_0_0_clock (net) |
| 0.15 0.00 1.14 ^ clkbuf_3_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 1.01 0.85 1.99 ^ clkbuf_3_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 13 0.19 clknet_3_1_0_clock (net) |
| 1.01 0.00 1.99 ^ clkbuf_leaf_74_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.08 0.33 2.32 ^ clkbuf_leaf_74_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 8 0.03 clknet_leaf_74_clock (net) |
| 0.08 0.00 2.32 ^ _14467_/CLK (sky130_fd_sc_hd__dfxtp_4) |
| 0.25 2.57 clock uncertainty |
| -0.11 2.46 clock reconvergence pessimism |
| -0.03 2.43 library hold time |
| 2.43 data required time |
| ----------------------------------------------------------------------------- |
| 2.43 data required time |
| -2.52 data arrival time |
| ----------------------------------------------------------------------------- |
| 0.09 slack (MET) |
| |
| |
| min_report_end |
| max_report |
| |
| =========================================================================== |
| report_checks -path_delay max (Setup) |
| ============================================================================ |
| Startpoint: _14528_ (rising edge-triggered flip-flop clocked by clock) |
| Endpoint: _14513_ (rising edge-triggered flip-flop clocked by clock) |
| Path Group: clock |
| Path Type: max |
| |
| Fanout Cap Slew Delay Time Description |
| ----------------------------------------------------------------------------- |
| 0.00 0.00 clock clock (rise edge) |
| 0.00 0.00 clock source latency |
| 0.42 0.32 0.32 ^ clock (in) |
| 1 0.09 clock (net) |
| 0.42 0.00 0.32 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.05 0.24 0.55 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 2 0.02 clknet_0_clock (net) |
| 0.05 0.00 0.55 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.06 0.12 0.68 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 1 0.01 clknet_1_0_0_clock (net) |
| 0.06 0.00 0.68 ^ clkbuf_1_0_1_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.19 0.22 0.90 ^ clkbuf_1_0_1_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.03 clknet_1_0_1_clock (net) |
| 0.19 0.00 0.90 ^ clkbuf_2_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.15 0.24 1.14 ^ clkbuf_2_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.03 clknet_2_0_0_clock (net) |
| 0.15 0.00 1.14 ^ clkbuf_3_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 1.01 0.85 1.99 ^ clkbuf_3_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 13 0.19 clknet_3_1_0_clock (net) |
| 1.01 0.00 1.99 ^ clkbuf_leaf_3_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.07 0.31 2.30 ^ clkbuf_leaf_3_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 6 0.02 clknet_leaf_3_clock (net) |
| 0.07 0.00 2.30 ^ _14528_/CLK (sky130_fd_sc_hd__dfxtp_1) |
| 0.17 0.42 2.73 ^ _14528_/Q (sky130_fd_sc_hd__dfxtp_1) |
| 5 0.02 _T_43 (net) |
| 0.17 0.00 2.73 ^ _07196_/A (sky130_fd_sc_hd__dlymetal6s2s_1) |
| 0.21 0.25 2.98 ^ _07196_/X (sky130_fd_sc_hd__dlymetal6s2s_1) |
| 5 0.02 _00630_ (net) |
| 0.21 0.00 2.98 ^ _07197_/A (sky130_fd_sc_hd__clkbuf_8) |
| 0.12 0.25 3.23 ^ _07197_/X (sky130_fd_sc_hd__clkbuf_8) |
| 5 0.07 _00631_ (net) |
| 0.12 0.01 3.24 ^ _07260_/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.13 0.20 3.44 ^ _07260_/X (sky130_fd_sc_hd__clkbuf_2) |
| 5 0.02 _00691_ (net) |
| 0.13 0.00 3.44 ^ _07296_/A (sky130_fd_sc_hd__clkbuf_4) |
| 0.12 0.24 3.68 ^ _07296_/X (sky130_fd_sc_hd__clkbuf_4) |
| 5 0.04 _00727_ (net) |
| 0.12 0.00 3.68 ^ _08632_/B (sky130_fd_sc_hd__nand3b_1) |
| 0.07 0.11 3.79 v _08632_/Y (sky130_fd_sc_hd__nand3b_1) |
| 1 0.00 _02055_ (net) |
| 0.07 0.00 3.79 v _08634_/B1 (sky130_fd_sc_hd__a221o_2) |
| 0.11 0.43 4.22 v _08634_/X (sky130_fd_sc_hd__a221o_2) |
| 2 0.02 _02057_ (net) |
| 0.11 0.00 4.22 v _08691_/A2_N (sky130_fd_sc_hd__o2bb2a_2) |
| 0.12 0.35 4.57 ^ _08691_/X (sky130_fd_sc_hd__o2bb2a_2) |
| 4 0.02 _02114_ (net) |
| 0.12 0.00 4.57 ^ _08740_/A (sky130_fd_sc_hd__nor2_1) |
| 0.08 0.12 4.69 v _08740_/Y (sky130_fd_sc_hd__nor2_1) |
| 3 0.02 _02163_ (net) |
| 0.08 0.00 4.69 v _08741_/A (sky130_fd_sc_hd__buf_2) |
| 0.07 0.19 4.88 v _08741_/X (sky130_fd_sc_hd__buf_2) |
| 5 0.03 _02164_ (net) |
| 0.07 0.00 4.88 v _08742_/B (sky130_fd_sc_hd__nand2_1) |
| 0.12 0.13 5.01 ^ _08742_/Y (sky130_fd_sc_hd__nand2_1) |
| 4 0.01 _02165_ (net) |
| 0.12 0.00 5.01 ^ _08775_/B (sky130_fd_sc_hd__and2_2) |
| 0.18 0.28 5.29 ^ _08775_/X (sky130_fd_sc_hd__and2_2) |
| 4 0.03 _02198_ (net) |
| 0.18 0.00 5.29 ^ _08776_/B (sky130_fd_sc_hd__nor2_1) |
| 0.07 0.09 5.38 v _08776_/Y (sky130_fd_sc_hd__nor2_1) |
| 2 0.01 _02199_ (net) |
| 0.07 0.00 5.38 v _09158_/A (sky130_fd_sc_hd__or4b_1) |
| 0.08 0.54 5.93 v _09158_/X (sky130_fd_sc_hd__or4b_1) |
| 1 0.00 _02579_ (net) |
| 0.08 0.00 5.93 v _09165_/A (sky130_fd_sc_hd__or3b_1) |
| 0.06 0.39 6.32 v _09165_/X (sky130_fd_sc_hd__or3b_1) |
| 1 0.00 _02586_ (net) |
| 0.06 0.00 6.32 v _09167_/C (sky130_fd_sc_hd__or4_1) |
| 0.10 0.51 6.83 v _09167_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.00 _02588_ (net) |
| 0.10 0.00 6.83 v _09168_/D (sky130_fd_sc_hd__or4_1) |
| 0.08 0.42 7.24 v _09168_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.00 _02589_ (net) |
| 0.08 0.00 7.24 v _09170_/C (sky130_fd_sc_hd__or4_1) |
| 0.09 0.51 7.75 v _09170_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.00 _02591_ (net) |
| 0.09 0.00 7.75 v _09171_/D (sky130_fd_sc_hd__or4_1) |
| 0.11 0.46 8.22 v _09171_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.01 _02592_ (net) |
| 0.11 0.00 8.22 v _09174_/A2 (sky130_fd_sc_hd__o41a_1) |
| 0.06 0.44 8.66 v _09174_/X (sky130_fd_sc_hd__o41a_1) |
| 1 0.00 _02595_ (net) |
| 0.06 0.00 8.66 v _09177_/B (sky130_fd_sc_hd__or4b_1) |
| 0.09 0.54 9.20 v _09177_/X (sky130_fd_sc_hd__or4b_1) |
| 1 0.00 _02598_ (net) |
| 0.09 0.00 9.20 v _09180_/C (sky130_fd_sc_hd__or4b_1) |
| 0.09 0.52 9.72 v _09180_/X (sky130_fd_sc_hd__or4b_1) |
| 1 0.00 _02601_ (net) |
| 0.09 0.00 9.72 v _09181_/D (sky130_fd_sc_hd__or4_1) |
| 0.10 0.46 10.18 v _09181_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.01 _02602_ (net) |
| 0.10 0.00 10.18 v _09182_/B (sky130_fd_sc_hd__nor2_1) |
| 0.08 0.11 10.29 ^ _09182_/Y (sky130_fd_sc_hd__nor2_1) |
| 1 0.00 _02603_ (net) |
| 0.08 0.00 10.29 ^ _09183_/C1 (sky130_fd_sc_hd__o221a_1) |
| 0.06 0.18 10.47 ^ _09183_/X (sky130_fd_sc_hd__o221a_1) |
| 1 0.00 _02604_ (net) |
| 0.06 0.00 10.47 ^ _09184_/D_N (sky130_fd_sc_hd__or4b_1) |
| 0.10 0.47 10.94 v _09184_/X (sky130_fd_sc_hd__or4b_1) |
| 1 0.00 _02605_ (net) |
| 0.10 0.00 10.94 v _09186_/C (sky130_fd_sc_hd__or4b_1) |
| 0.10 0.53 11.47 v _09186_/X (sky130_fd_sc_hd__or4b_1) |
| 1 0.01 _02607_ (net) |
| 0.10 0.00 11.47 v _09189_/A (sky130_fd_sc_hd__or4bb_1) |
| 0.11 0.59 12.06 v _09189_/X (sky130_fd_sc_hd__or4bb_1) |
| 1 0.01 _02610_ (net) |
| 0.11 0.00 12.06 v _09190_/C1 (sky130_fd_sc_hd__o211a_1) |
| 0.04 0.14 12.19 v _09190_/X (sky130_fd_sc_hd__o211a_1) |
| 1 0.00 _02611_ (net) |
| 0.04 0.00 12.19 v _09192_/C (sky130_fd_sc_hd__or4_1) |
| 0.10 0.52 12.71 v _09192_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.01 _02613_ (net) |
| 0.10 0.00 12.71 v _09193_/C1 (sky130_fd_sc_hd__o221a_1) |
| 0.08 0.18 12.89 v _09193_/X (sky130_fd_sc_hd__o221a_1) |
| 1 0.01 _02614_ (net) |
| 0.08 0.00 12.89 v _09206_/A (sky130_fd_sc_hd__nor2_2) |
| 0.26 0.27 13.16 ^ _09206_/Y (sky130_fd_sc_hd__nor2_2) |
| 2 0.02 _02627_ (net) |
| 0.26 0.00 13.16 ^ _09207_/B (sky130_fd_sc_hd__xnor2_4) |
| 0.12 0.16 13.32 v _09207_/Y (sky130_fd_sc_hd__xnor2_4) |
| 4 0.04 _02628_ (net) |
| 0.12 0.00 13.33 v _13919_/B1 (sky130_fd_sc_hd__a32o_1) |
| 0.04 0.24 13.57 v _13919_/X (sky130_fd_sc_hd__a32o_1) |
| 1 0.00 _06907_ (net) |
| 0.04 0.00 13.57 v _13921_/A2 (sky130_fd_sc_hd__a221o_1) |
| 0.09 0.41 13.98 v _13921_/X (sky130_fd_sc_hd__a221o_1) |
| 1 0.01 _06909_ (net) |
| 0.09 0.00 13.98 v _13923_/A1 (sky130_fd_sc_hd__a21o_1) |
| 0.03 0.18 14.15 v _13923_/X (sky130_fd_sc_hd__a21o_1) |
| 1 0.00 _06911_ (net) |
| 0.03 0.00 14.15 v _13925_/A2 (sky130_fd_sc_hd__a311o_1) |
| 0.10 0.38 14.53 v _13925_/X (sky130_fd_sc_hd__a311o_1) |
| 1 0.01 _06913_ (net) |
| 0.10 0.00 14.54 v _13926_/B1 (sky130_fd_sc_hd__o211a_1) |
| 0.04 0.15 14.69 v _13926_/X (sky130_fd_sc_hd__o211a_1) |
| 1 0.00 _00472_ (net) |
| 0.04 0.00 14.69 v _14513_/D (sky130_fd_sc_hd__dfxtp_1) |
| 14.69 data arrival time |
| |
| 15.00 15.00 clock clock (rise edge) |
| 0.00 15.00 clock source latency |
| 0.42 0.29 15.29 ^ clock (in) |
| 1 0.09 clock (net) |
| 0.42 0.00 15.29 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.05 0.21 15.50 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 2 0.02 clknet_0_clock (net) |
| 0.05 0.00 15.50 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.06 0.11 15.61 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 1 0.01 clknet_1_1_0_clock (net) |
| 0.06 0.00 15.61 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.11 0.15 15.76 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.02 clknet_1_1_1_clock (net) |
| 0.11 0.00 15.76 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.13 0.18 15.94 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.02 clknet_2_3_0_clock (net) |
| 0.13 0.00 15.94 ^ clkbuf_3_7_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.40 0.36 16.31 ^ clkbuf_3_7_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 5 0.07 clknet_3_7_0_clock (net) |
| 0.40 0.00 16.31 ^ clkbuf_leaf_19_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.05 0.22 16.53 ^ clkbuf_leaf_19_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 8 0.02 clknet_leaf_19_clock (net) |
| 0.05 0.00 16.53 ^ _14513_/CLK (sky130_fd_sc_hd__dfxtp_1) |
| -0.25 16.28 clock uncertainty |
| 0.05 16.33 clock reconvergence pessimism |
| -0.11 16.22 library setup time |
| 16.22 data required time |
| ----------------------------------------------------------------------------- |
| 16.22 data required time |
| -14.69 data arrival time |
| ----------------------------------------------------------------------------- |
| 1.53 slack (MET) |
| |
| |
| Startpoint: _14532_ (rising edge-triggered flip-flop clocked by clock) |
| Endpoint: _14506_ (rising edge-triggered flip-flop clocked by clock) |
| Path Group: clock |
| Path Type: max |
| |
| Fanout Cap Slew Delay Time Description |
| ----------------------------------------------------------------------------- |
| 0.00 0.00 clock clock (rise edge) |
| 0.00 0.00 clock source latency |
| 0.42 0.32 0.32 ^ clock (in) |
| 1 0.09 clock (net) |
| 0.42 0.00 0.32 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.05 0.24 0.55 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 2 0.02 clknet_0_clock (net) |
| 0.05 0.00 0.55 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.06 0.12 0.68 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 1 0.01 clknet_1_0_0_clock (net) |
| 0.06 0.00 0.68 ^ clkbuf_1_0_1_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.19 0.22 0.90 ^ clkbuf_1_0_1_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.03 clknet_1_0_1_clock (net) |
| 0.19 0.00 0.90 ^ clkbuf_2_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.15 0.24 1.14 ^ clkbuf_2_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.03 clknet_2_0_0_clock (net) |
| 0.15 0.00 1.14 ^ clkbuf_3_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 1.01 0.85 1.99 ^ clkbuf_3_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 13 0.19 clknet_3_1_0_clock (net) |
| 1.01 0.00 1.99 ^ clkbuf_leaf_2_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.08 0.33 2.32 ^ clkbuf_leaf_2_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 10 0.03 clknet_leaf_2_clock (net) |
| 0.08 0.00 2.32 ^ _14532_/CLK (sky130_fd_sc_hd__dfxtp_1) |
| 0.16 0.42 2.74 ^ _14532_/Q (sky130_fd_sc_hd__dfxtp_1) |
| 5 0.02 _T_42 (net) |
| 0.16 0.00 2.74 ^ _07181_/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.14 0.22 2.96 ^ _07181_/X (sky130_fd_sc_hd__clkbuf_2) |
| 5 0.02 _00618_ (net) |
| 0.14 0.00 2.96 ^ _07182_/A (sky130_fd_sc_hd__clkbuf_4) |
| 0.14 0.25 3.21 ^ _07182_/X (sky130_fd_sc_hd__clkbuf_4) |
| 5 0.04 _00619_ (net) |
| 0.14 0.00 3.21 ^ _07241_/A (sky130_fd_sc_hd__buf_2) |
| 0.16 0.23 3.45 ^ _07241_/X (sky130_fd_sc_hd__buf_2) |
| 5 0.03 _00672_ (net) |
| 0.16 0.00 3.45 ^ _07298_/A (sky130_fd_sc_hd__dlymetal6s2s_1) |
| 0.20 0.24 3.69 ^ _07298_/X (sky130_fd_sc_hd__dlymetal6s2s_1) |
| 5 0.02 _00729_ (net) |
| 0.20 0.00 3.69 ^ _07299_/A2 (sky130_fd_sc_hd__a21oi_1) |
| 0.07 0.11 3.80 v _07299_/Y (sky130_fd_sc_hd__a21oi_1) |
| 1 0.00 _00730_ (net) |
| 0.07 0.00 3.80 v _07302_/B2 (sky130_fd_sc_hd__a221o_4) |
| 0.11 0.50 4.30 v _07302_/X (sky130_fd_sc_hd__a221o_4) |
| 2 0.04 _00733_ (net) |
| 0.11 0.00 4.30 v _07367_/A2_N (sky130_fd_sc_hd__o2bb2a_1) |
| 0.16 0.35 4.65 ^ _07367_/X (sky130_fd_sc_hd__o2bb2a_1) |
| 5 0.02 _00798_ (net) |
| 0.16 0.00 4.65 ^ _07413_/A (sky130_fd_sc_hd__or3b_4) |
| 0.09 0.21 4.86 ^ _07413_/X (sky130_fd_sc_hd__or3b_4) |
| 4 0.02 _00844_ (net) |
| 0.09 0.00 4.86 ^ _07415_/A (sky130_fd_sc_hd__dlymetal6s2s_1) |
| 0.18 0.21 5.07 ^ _07415_/X (sky130_fd_sc_hd__dlymetal6s2s_1) |
| 5 0.02 _00846_ (net) |
| 0.18 0.00 5.07 ^ _08099_/B (sky130_fd_sc_hd__nor2_1) |
| 0.07 0.11 5.18 v _08099_/Y (sky130_fd_sc_hd__nor2_1) |
| 2 0.01 _01527_ (net) |
| 0.07 0.00 5.18 v _08100_/C (sky130_fd_sc_hd__or4b_1) |
| 0.08 0.49 5.67 v _08100_/X (sky130_fd_sc_hd__or4b_1) |
| 1 0.00 _01528_ (net) |
| 0.08 0.00 5.67 v _08104_/B (sky130_fd_sc_hd__or4b_1) |
| 0.09 0.55 6.22 v _08104_/X (sky130_fd_sc_hd__or4b_1) |
| 1 0.00 _01532_ (net) |
| 0.09 0.00 6.22 v _08106_/C (sky130_fd_sc_hd__or4_1) |
| 0.08 0.49 6.70 v _08106_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.00 _01534_ (net) |
| 0.08 0.00 6.70 v _08109_/B (sky130_fd_sc_hd__or4b_1) |
| 0.11 0.57 7.27 v _08109_/X (sky130_fd_sc_hd__or4b_1) |
| 1 0.01 _01537_ (net) |
| 0.11 0.00 7.27 v _08112_/B (sky130_fd_sc_hd__or4b_1) |
| 0.11 0.58 7.86 v _08112_/X (sky130_fd_sc_hd__or4b_1) |
| 1 0.01 _01540_ (net) |
| 0.11 0.00 7.86 v _08116_/C (sky130_fd_sc_hd__or4_1) |
| 0.09 0.52 8.38 v _08116_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.00 _01544_ (net) |
| 0.09 0.00 8.38 v _08117_/D (sky130_fd_sc_hd__or4_1) |
| 0.07 0.41 8.78 v _08117_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.00 _01545_ (net) |
| 0.07 0.00 8.78 v _08118_/D (sky130_fd_sc_hd__or4_1) |
| 0.11 0.46 9.24 v _08118_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.01 _01546_ (net) |
| 0.11 0.00 9.24 v _08119_/D (sky130_fd_sc_hd__or4_1) |
| 0.10 0.45 9.70 v _08119_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.01 _01547_ (net) |
| 0.10 0.00 9.70 v _08120_/D (sky130_fd_sc_hd__or4_1) |
| 0.09 0.43 10.13 v _08120_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.00 _01548_ (net) |
| 0.09 0.00 10.13 v _08121_/B (sky130_fd_sc_hd__nor2_1) |
| 0.15 0.16 10.29 ^ _08121_/Y (sky130_fd_sc_hd__nor2_1) |
| 1 0.01 _01549_ (net) |
| 0.15 0.00 10.29 ^ _08122_/C1 (sky130_fd_sc_hd__o311a_1) |
| 0.05 0.19 10.48 ^ _08122_/X (sky130_fd_sc_hd__o311a_1) |
| 1 0.00 _01550_ (net) |
| 0.05 0.00 10.48 ^ _08123_/D_N (sky130_fd_sc_hd__or4b_1) |
| 0.09 0.47 10.94 v _08123_/X (sky130_fd_sc_hd__or4b_1) |
| 1 0.00 _01551_ (net) |
| 0.09 0.00 10.94 v _08124_/B1 (sky130_fd_sc_hd__a211o_1) |
| 0.09 0.35 11.30 v _08124_/X (sky130_fd_sc_hd__a211o_1) |
| 1 0.01 _01552_ (net) |
| 0.09 0.00 11.30 v _08125_/D (sky130_fd_sc_hd__or4_1) |
| 0.08 0.42 11.72 v _08125_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.00 _01553_ (net) |
| 0.08 0.00 11.72 v _08126_/D (sky130_fd_sc_hd__or4_1) |
| 0.08 0.41 12.13 v _08126_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.00 _01554_ (net) |
| 0.08 0.00 12.13 v _08128_/C (sky130_fd_sc_hd__or4_1) |
| 0.13 0.56 12.69 v _08128_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.01 _01556_ (net) |
| 0.13 0.00 12.69 v _08129_/B2 (sky130_fd_sc_hd__o22a_1) |
| 0.06 0.22 12.91 v _08129_/X (sky130_fd_sc_hd__o22a_1) |
| 1 0.01 _01557_ (net) |
| 0.06 0.00 12.91 v _08133_/A2 (sky130_fd_sc_hd__o31a_1) |
| 0.10 0.36 13.27 v _08133_/X (sky130_fd_sc_hd__o31a_1) |
| 1 0.02 _01561_ (net) |
| 0.10 0.00 13.27 v _08143_/A (sky130_fd_sc_hd__nor2_4) |
| 0.19 0.23 13.50 ^ _08143_/Y (sky130_fd_sc_hd__nor2_4) |
| 2 0.03 _01571_ (net) |
| 0.19 0.00 13.50 ^ _08148_/A (sky130_fd_sc_hd__xnor2_4) |
| 0.10 0.14 13.64 v _08148_/Y (sky130_fd_sc_hd__xnor2_4) |
| 4 0.03 _01576_ (net) |
| 0.10 0.00 13.64 v _13853_/A1 (sky130_fd_sc_hd__a211o_1) |
| 0.07 0.32 13.96 v _13853_/X (sky130_fd_sc_hd__a211o_1) |
| 1 0.01 _06848_ (net) |
| 0.07 0.00 13.96 v _13854_/A2 (sky130_fd_sc_hd__a21o_1) |
| 0.03 0.19 14.15 v _13854_/X (sky130_fd_sc_hd__a21o_1) |
| 1 0.00 _06849_ (net) |
| 0.03 0.00 14.15 v _13856_/A2 (sky130_fd_sc_hd__a311o_1) |
| 0.07 0.34 14.49 v _13856_/X (sky130_fd_sc_hd__a311o_1) |
| 1 0.01 _06851_ (net) |
| 0.07 0.00 14.49 v _13857_/B1 (sky130_fd_sc_hd__o211a_1) |
| 0.04 0.14 14.63 v _13857_/X (sky130_fd_sc_hd__o211a_1) |
| 1 0.00 _00465_ (net) |
| 0.04 0.00 14.63 v _14506_/D (sky130_fd_sc_hd__dfxtp_1) |
| 14.63 data arrival time |
| |
| 15.00 15.00 clock clock (rise edge) |
| 0.00 15.00 clock source latency |
| 0.42 0.29 15.29 ^ clock (in) |
| 1 0.09 clock (net) |
| 0.42 0.00 15.29 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.05 0.21 15.50 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 2 0.02 clknet_0_clock (net) |
| 0.05 0.00 15.50 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.06 0.11 15.61 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 1 0.01 clknet_1_1_0_clock (net) |
| 0.06 0.00 15.61 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.11 0.15 15.76 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.02 clknet_1_1_1_clock (net) |
| 0.11 0.00 15.76 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.13 0.18 15.94 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.02 clknet_2_3_0_clock (net) |
| 0.13 0.00 15.94 ^ clkbuf_3_7_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.40 0.36 16.31 ^ clkbuf_3_7_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 5 0.07 clknet_3_7_0_clock (net) |
| 0.40 0.00 16.31 ^ clkbuf_leaf_35_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.04 0.21 16.51 ^ clkbuf_leaf_35_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 4 0.01 clknet_leaf_35_clock (net) |
| 0.04 0.00 16.51 ^ _14506_/CLK (sky130_fd_sc_hd__dfxtp_1) |
| -0.25 16.26 clock uncertainty |
| 0.05 16.32 clock reconvergence pessimism |
| -0.11 16.21 library setup time |
| 16.21 data required time |
| ----------------------------------------------------------------------------- |
| 16.21 data required time |
| -14.63 data arrival time |
| ----------------------------------------------------------------------------- |
| 1.58 slack (MET) |
| |
| |
| Startpoint: _14532_ (rising edge-triggered flip-flop clocked by clock) |
| Endpoint: _14443_ (rising edge-triggered flip-flop clocked by clock) |
| Path Group: clock |
| Path Type: max |
| |
| Fanout Cap Slew Delay Time Description |
| ----------------------------------------------------------------------------- |
| 0.00 0.00 clock clock (rise edge) |
| 0.00 0.00 clock source latency |
| 0.42 0.32 0.32 ^ clock (in) |
| 1 0.09 clock (net) |
| 0.42 0.00 0.32 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.05 0.24 0.55 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 2 0.02 clknet_0_clock (net) |
| 0.05 0.00 0.55 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.06 0.12 0.68 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 1 0.01 clknet_1_0_0_clock (net) |
| 0.06 0.00 0.68 ^ clkbuf_1_0_1_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.19 0.22 0.90 ^ clkbuf_1_0_1_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.03 clknet_1_0_1_clock (net) |
| 0.19 0.00 0.90 ^ clkbuf_2_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.15 0.24 1.14 ^ clkbuf_2_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.03 clknet_2_0_0_clock (net) |
| 0.15 0.00 1.14 ^ clkbuf_3_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 1.01 0.85 1.99 ^ clkbuf_3_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 13 0.19 clknet_3_1_0_clock (net) |
| 1.01 0.00 1.99 ^ clkbuf_leaf_2_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.08 0.33 2.32 ^ clkbuf_leaf_2_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 10 0.03 clknet_leaf_2_clock (net) |
| 0.08 0.00 2.32 ^ _14532_/CLK (sky130_fd_sc_hd__dfxtp_1) |
| 0.16 0.42 2.74 ^ _14532_/Q (sky130_fd_sc_hd__dfxtp_1) |
| 5 0.02 _T_42 (net) |
| 0.16 0.00 2.74 ^ _07181_/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.14 0.22 2.96 ^ _07181_/X (sky130_fd_sc_hd__clkbuf_2) |
| 5 0.02 _00618_ (net) |
| 0.14 0.00 2.96 ^ _07182_/A (sky130_fd_sc_hd__clkbuf_4) |
| 0.14 0.25 3.21 ^ _07182_/X (sky130_fd_sc_hd__clkbuf_4) |
| 5 0.04 _00619_ (net) |
| 0.14 0.00 3.21 ^ _07241_/A (sky130_fd_sc_hd__buf_2) |
| 0.16 0.23 3.45 ^ _07241_/X (sky130_fd_sc_hd__buf_2) |
| 5 0.03 _00672_ (net) |
| 0.16 0.00 3.45 ^ _07298_/A (sky130_fd_sc_hd__dlymetal6s2s_1) |
| 0.20 0.24 3.69 ^ _07298_/X (sky130_fd_sc_hd__dlymetal6s2s_1) |
| 5 0.02 _00729_ (net) |
| 0.20 0.00 3.69 ^ _07299_/A2 (sky130_fd_sc_hd__a21oi_1) |
| 0.07 0.11 3.80 v _07299_/Y (sky130_fd_sc_hd__a21oi_1) |
| 1 0.00 _00730_ (net) |
| 0.07 0.00 3.80 v _07302_/B2 (sky130_fd_sc_hd__a221o_4) |
| 0.11 0.50 4.30 v _07302_/X (sky130_fd_sc_hd__a221o_4) |
| 2 0.04 _00733_ (net) |
| 0.11 0.00 4.30 v _07367_/A2_N (sky130_fd_sc_hd__o2bb2a_1) |
| 0.16 0.35 4.65 ^ _07367_/X (sky130_fd_sc_hd__o2bb2a_1) |
| 5 0.02 _00798_ (net) |
| 0.16 0.00 4.65 ^ _07413_/A (sky130_fd_sc_hd__or3b_4) |
| 0.09 0.21 4.86 ^ _07413_/X (sky130_fd_sc_hd__or3b_4) |
| 4 0.02 _00844_ (net) |
| 0.09 0.00 4.86 ^ _07415_/A (sky130_fd_sc_hd__dlymetal6s2s_1) |
| 0.18 0.21 5.07 ^ _07415_/X (sky130_fd_sc_hd__dlymetal6s2s_1) |
| 5 0.02 _00846_ (net) |
| 0.18 0.00 5.07 ^ _08099_/B (sky130_fd_sc_hd__nor2_1) |
| 0.07 0.11 5.18 v _08099_/Y (sky130_fd_sc_hd__nor2_1) |
| 2 0.01 _01527_ (net) |
| 0.07 0.00 5.18 v _08100_/C (sky130_fd_sc_hd__or4b_1) |
| 0.08 0.49 5.67 v _08100_/X (sky130_fd_sc_hd__or4b_1) |
| 1 0.00 _01528_ (net) |
| 0.08 0.00 5.67 v _08104_/B (sky130_fd_sc_hd__or4b_1) |
| 0.09 0.55 6.22 v _08104_/X (sky130_fd_sc_hd__or4b_1) |
| 1 0.00 _01532_ (net) |
| 0.09 0.00 6.22 v _08106_/C (sky130_fd_sc_hd__or4_1) |
| 0.08 0.49 6.70 v _08106_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.00 _01534_ (net) |
| 0.08 0.00 6.70 v _08109_/B (sky130_fd_sc_hd__or4b_1) |
| 0.11 0.57 7.27 v _08109_/X (sky130_fd_sc_hd__or4b_1) |
| 1 0.01 _01537_ (net) |
| 0.11 0.00 7.27 v _08112_/B (sky130_fd_sc_hd__or4b_1) |
| 0.11 0.58 7.86 v _08112_/X (sky130_fd_sc_hd__or4b_1) |
| 1 0.01 _01540_ (net) |
| 0.11 0.00 7.86 v _08116_/C (sky130_fd_sc_hd__or4_1) |
| 0.09 0.52 8.38 v _08116_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.00 _01544_ (net) |
| 0.09 0.00 8.38 v _08117_/D (sky130_fd_sc_hd__or4_1) |
| 0.07 0.41 8.78 v _08117_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.00 _01545_ (net) |
| 0.07 0.00 8.78 v _08118_/D (sky130_fd_sc_hd__or4_1) |
| 0.11 0.46 9.24 v _08118_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.01 _01546_ (net) |
| 0.11 0.00 9.24 v _08119_/D (sky130_fd_sc_hd__or4_1) |
| 0.10 0.45 9.70 v _08119_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.01 _01547_ (net) |
| 0.10 0.00 9.70 v _08120_/D (sky130_fd_sc_hd__or4_1) |
| 0.09 0.43 10.13 v _08120_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.00 _01548_ (net) |
| 0.09 0.00 10.13 v _08121_/B (sky130_fd_sc_hd__nor2_1) |
| 0.15 0.16 10.29 ^ _08121_/Y (sky130_fd_sc_hd__nor2_1) |
| 1 0.01 _01549_ (net) |
| 0.15 0.00 10.29 ^ _08122_/C1 (sky130_fd_sc_hd__o311a_1) |
| 0.05 0.19 10.48 ^ _08122_/X (sky130_fd_sc_hd__o311a_1) |
| 1 0.00 _01550_ (net) |
| 0.05 0.00 10.48 ^ _08123_/D_N (sky130_fd_sc_hd__or4b_1) |
| 0.09 0.47 10.94 v _08123_/X (sky130_fd_sc_hd__or4b_1) |
| 1 0.00 _01551_ (net) |
| 0.09 0.00 10.94 v _08124_/B1 (sky130_fd_sc_hd__a211o_1) |
| 0.09 0.35 11.30 v _08124_/X (sky130_fd_sc_hd__a211o_1) |
| 1 0.01 _01552_ (net) |
| 0.09 0.00 11.30 v _08125_/D (sky130_fd_sc_hd__or4_1) |
| 0.08 0.42 11.72 v _08125_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.00 _01553_ (net) |
| 0.08 0.00 11.72 v _08126_/D (sky130_fd_sc_hd__or4_1) |
| 0.08 0.41 12.13 v _08126_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.00 _01554_ (net) |
| 0.08 0.00 12.13 v _08128_/C (sky130_fd_sc_hd__or4_1) |
| 0.13 0.56 12.69 v _08128_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.01 _01556_ (net) |
| 0.13 0.00 12.69 v _08129_/B2 (sky130_fd_sc_hd__o22a_1) |
| 0.06 0.22 12.91 v _08129_/X (sky130_fd_sc_hd__o22a_1) |
| 1 0.01 _01557_ (net) |
| 0.06 0.00 12.91 v _08133_/A2 (sky130_fd_sc_hd__o31a_1) |
| 0.10 0.36 13.27 v _08133_/X (sky130_fd_sc_hd__o31a_1) |
| 1 0.02 _01561_ (net) |
| 0.10 0.00 13.27 v _08143_/A (sky130_fd_sc_hd__nor2_4) |
| 0.19 0.23 13.50 ^ _08143_/Y (sky130_fd_sc_hd__nor2_4) |
| 2 0.03 _01571_ (net) |
| 0.19 0.00 13.50 ^ _08187_/A (sky130_fd_sc_hd__buf_4) |
| 0.15 0.25 13.75 ^ _08187_/X (sky130_fd_sc_hd__buf_4) |
| 5 0.05 _01615_ (net) |
| 0.15 0.00 13.75 ^ _12830_/B (sky130_fd_sc_hd__xnor2_1) |
| 0.29 0.21 13.96 ^ _12830_/Y (sky130_fd_sc_hd__xnor2_1) |
| 2 0.01 _05984_ (net) |
| 0.29 0.00 13.96 ^ _13015_/B (sky130_fd_sc_hd__xnor2_2) |
| 0.10 0.15 14.11 v _13015_/Y (sky130_fd_sc_hd__xnor2_2) |
| 3 0.01 _06137_ (net) |
| 0.10 0.00 14.11 v _13177_/A2 (sky130_fd_sc_hd__o21ai_1) |
| 0.11 0.14 14.25 ^ _13177_/Y (sky130_fd_sc_hd__o21ai_1) |
| 1 0.00 _06267_ (net) |
| 0.11 0.00 14.25 ^ _13178_/B1 (sky130_fd_sc_hd__a21oi_1) |
| 0.10 0.09 14.34 v _13178_/Y (sky130_fd_sc_hd__a21oi_1) |
| 3 0.01 _06268_ (net) |
| 0.10 0.00 14.34 v _13339_/B (sky130_fd_sc_hd__or2_1) |
| 0.05 0.25 14.58 v _13339_/X (sky130_fd_sc_hd__or2_1) |
| 1 0.00 _06397_ (net) |
| 0.05 0.00 14.58 v _13340_/A3 (sky130_fd_sc_hd__a32o_1) |
| 0.04 0.27 14.85 v _13340_/X (sky130_fd_sc_hd__a32o_1) |
| 1 0.00 _00402_ (net) |
| 0.04 0.00 14.85 v _14443_/D (sky130_fd_sc_hd__dfxtp_1) |
| 14.85 data arrival time |
| |
| 15.00 15.00 clock clock (rise edge) |
| 0.00 15.00 clock source latency |
| 0.42 0.29 15.29 ^ clock (in) |
| 1 0.09 clock (net) |
| 0.42 0.00 15.29 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.05 0.21 15.50 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 2 0.02 clknet_0_clock (net) |
| 0.05 0.00 15.50 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.06 0.11 15.61 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 1 0.01 clknet_1_1_0_clock (net) |
| 0.06 0.00 15.61 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.11 0.15 15.76 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.02 clknet_1_1_1_clock (net) |
| 0.11 0.00 15.76 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.13 0.18 15.94 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.02 clknet_2_3_0_clock (net) |
| 0.13 0.00 15.94 ^ clkbuf_3_6_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.71 0.57 16.51 ^ clkbuf_3_6_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 10 0.13 clknet_3_6_0_clock (net) |
| 0.71 0.00 16.51 ^ clkbuf_leaf_37_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.06 0.26 16.77 ^ clkbuf_leaf_37_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 6 0.02 clknet_leaf_37_clock (net) |
| 0.06 0.00 16.77 ^ _14443_/CLK (sky130_fd_sc_hd__dfxtp_1) |
| -0.25 16.52 clock uncertainty |
| 0.05 16.58 clock reconvergence pessimism |
| -0.11 16.47 library setup time |
| 16.47 data required time |
| ----------------------------------------------------------------------------- |
| 16.47 data required time |
| -14.85 data arrival time |
| ----------------------------------------------------------------------------- |
| 1.62 slack (MET) |
| |
| |
| Startpoint: _14528_ (rising edge-triggered flip-flop clocked by clock) |
| Endpoint: _14071_ (rising edge-triggered flip-flop clocked by clock) |
| Path Group: clock |
| Path Type: max |
| |
| Fanout Cap Slew Delay Time Description |
| ----------------------------------------------------------------------------- |
| 0.00 0.00 clock clock (rise edge) |
| 0.00 0.00 clock source latency |
| 0.42 0.32 0.32 ^ clock (in) |
| 1 0.09 clock (net) |
| 0.42 0.00 0.32 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.05 0.24 0.55 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 2 0.02 clknet_0_clock (net) |
| 0.05 0.00 0.55 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.06 0.12 0.68 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 1 0.01 clknet_1_0_0_clock (net) |
| 0.06 0.00 0.68 ^ clkbuf_1_0_1_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.19 0.22 0.90 ^ clkbuf_1_0_1_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.03 clknet_1_0_1_clock (net) |
| 0.19 0.00 0.90 ^ clkbuf_2_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.15 0.24 1.14 ^ clkbuf_2_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.03 clknet_2_0_0_clock (net) |
| 0.15 0.00 1.14 ^ clkbuf_3_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 1.01 0.85 1.99 ^ clkbuf_3_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 13 0.19 clknet_3_1_0_clock (net) |
| 1.01 0.00 1.99 ^ clkbuf_leaf_3_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.07 0.31 2.30 ^ clkbuf_leaf_3_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 6 0.02 clknet_leaf_3_clock (net) |
| 0.07 0.00 2.30 ^ _14528_/CLK (sky130_fd_sc_hd__dfxtp_1) |
| 0.17 0.42 2.73 ^ _14528_/Q (sky130_fd_sc_hd__dfxtp_1) |
| 5 0.02 _T_43 (net) |
| 0.17 0.00 2.73 ^ _07196_/A (sky130_fd_sc_hd__dlymetal6s2s_1) |
| 0.21 0.25 2.98 ^ _07196_/X (sky130_fd_sc_hd__dlymetal6s2s_1) |
| 5 0.02 _00630_ (net) |
| 0.21 0.00 2.98 ^ _07197_/A (sky130_fd_sc_hd__clkbuf_8) |
| 0.12 0.25 3.23 ^ _07197_/X (sky130_fd_sc_hd__clkbuf_8) |
| 5 0.07 _00631_ (net) |
| 0.12 0.01 3.24 ^ _07260_/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.13 0.20 3.44 ^ _07260_/X (sky130_fd_sc_hd__clkbuf_2) |
| 5 0.02 _00691_ (net) |
| 0.13 0.00 3.44 ^ _07296_/A (sky130_fd_sc_hd__clkbuf_4) |
| 0.12 0.24 3.68 ^ _07296_/X (sky130_fd_sc_hd__clkbuf_4) |
| 5 0.04 _00727_ (net) |
| 0.12 0.00 3.68 ^ _08632_/B (sky130_fd_sc_hd__nand3b_1) |
| 0.07 0.11 3.79 v _08632_/Y (sky130_fd_sc_hd__nand3b_1) |
| 1 0.00 _02055_ (net) |
| 0.07 0.00 3.79 v _08634_/B1 (sky130_fd_sc_hd__a221o_2) |
| 0.11 0.43 4.22 v _08634_/X (sky130_fd_sc_hd__a221o_2) |
| 2 0.02 _02057_ (net) |
| 0.11 0.00 4.22 v _08691_/A2_N (sky130_fd_sc_hd__o2bb2a_2) |
| 0.12 0.35 4.57 ^ _08691_/X (sky130_fd_sc_hd__o2bb2a_2) |
| 4 0.02 _02114_ (net) |
| 0.12 0.00 4.57 ^ _08740_/A (sky130_fd_sc_hd__nor2_1) |
| 0.08 0.12 4.69 v _08740_/Y (sky130_fd_sc_hd__nor2_1) |
| 3 0.02 _02163_ (net) |
| 0.08 0.00 4.69 v _08767_/B (sky130_fd_sc_hd__nand2_2) |
| 0.11 0.14 4.83 ^ _08767_/Y (sky130_fd_sc_hd__nand2_2) |
| 4 0.02 _02190_ (net) |
| 0.11 0.00 4.83 ^ _08768_/B1 (sky130_fd_sc_hd__o22a_1) |
| 0.14 0.23 5.06 ^ _08768_/X (sky130_fd_sc_hd__o22a_1) |
| 3 0.01 _02191_ (net) |
| 0.14 0.00 5.06 ^ _09272_/D_N (sky130_fd_sc_hd__or4b_1) |
| 0.10 0.50 5.55 v _09272_/X (sky130_fd_sc_hd__or4b_1) |
| 1 0.01 _02692_ (net) |
| 0.10 0.00 5.55 v _09274_/C (sky130_fd_sc_hd__or4b_1) |
| 0.09 0.52 6.07 v _09274_/X (sky130_fd_sc_hd__or4b_1) |
| 1 0.00 _02694_ (net) |
| 0.09 0.00 6.07 v _09276_/B (sky130_fd_sc_hd__or3_1) |
| 0.06 0.37 6.44 v _09276_/X (sky130_fd_sc_hd__or3_1) |
| 1 0.00 _02696_ (net) |
| 0.06 0.00 6.44 v _09277_/C (sky130_fd_sc_hd__or3_1) |
| 0.08 0.34 6.79 v _09277_/X (sky130_fd_sc_hd__or3_1) |
| 1 0.01 _02697_ (net) |
| 0.08 0.00 6.79 v _09281_/A (sky130_fd_sc_hd__or4bb_1) |
| 0.09 0.54 7.33 v _09281_/X (sky130_fd_sc_hd__or4bb_1) |
| 1 0.00 _02701_ (net) |
| 0.09 0.00 7.33 v _09287_/B (sky130_fd_sc_hd__or4_1) |
| 0.10 0.56 7.88 v _09287_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.00 _02707_ (net) |
| 0.10 0.00 7.88 v _09288_/D (sky130_fd_sc_hd__or4_1) |
| 0.10 0.45 8.34 v _09288_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.01 _02708_ (net) |
| 0.10 0.00 8.34 v _09289_/D (sky130_fd_sc_hd__or4_1) |
| 0.08 0.42 8.75 v _09289_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.00 _02709_ (net) |
| 0.08 0.00 8.75 v _09290_/D (sky130_fd_sc_hd__or4_1) |
| 0.08 0.42 9.18 v _09290_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.00 _02710_ (net) |
| 0.08 0.00 9.18 v _09291_/D (sky130_fd_sc_hd__or4_1) |
| 0.09 0.44 9.62 v _09291_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.00 _02711_ (net) |
| 0.09 0.00 9.62 v _09292_/C (sky130_fd_sc_hd__or3_1) |
| 0.07 0.33 9.95 v _09292_/X (sky130_fd_sc_hd__or3_1) |
| 1 0.00 _02712_ (net) |
| 0.07 0.00 9.95 v _09293_/C1 (sky130_fd_sc_hd__a311o_1) |
| 0.06 0.30 10.25 v _09293_/X (sky130_fd_sc_hd__a311o_1) |
| 1 0.00 _02713_ (net) |
| 0.06 0.00 10.25 v _09295_/C (sky130_fd_sc_hd__or4_1) |
| 0.09 0.50 10.75 v _09295_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.00 _02715_ (net) |
| 0.09 0.00 10.75 v _09296_/C1 (sky130_fd_sc_hd__a2111o_1) |
| 0.07 0.39 11.14 v _09296_/X (sky130_fd_sc_hd__a2111o_1) |
| 1 0.01 _02716_ (net) |
| 0.07 0.00 11.14 v _09297_/D (sky130_fd_sc_hd__or4_1) |
| 0.10 0.44 11.58 v _09297_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.00 _02717_ (net) |
| 0.10 0.00 11.58 v _09298_/D (sky130_fd_sc_hd__or4_1) |
| 0.11 0.47 12.04 v _09298_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.01 _02718_ (net) |
| 0.11 0.00 12.04 v _09300_/C (sky130_fd_sc_hd__or4_1) |
| 0.10 0.53 12.58 v _09300_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.01 _02720_ (net) |
| 0.10 0.00 12.58 v _09301_/B1 (sky130_fd_sc_hd__o21ai_1) |
| 0.12 0.11 12.69 ^ _09301_/Y (sky130_fd_sc_hd__o21ai_1) |
| 1 0.00 _02721_ (net) |
| 0.12 0.00 12.69 ^ _09305_/A1 (sky130_fd_sc_hd__a311o_1) |
| 0.11 0.22 12.91 ^ _09305_/X (sky130_fd_sc_hd__a311o_1) |
| 1 0.01 _02725_ (net) |
| 0.11 0.00 12.91 ^ _09306_/B (sky130_fd_sc_hd__and2b_4) |
| 0.20 0.29 13.20 ^ _09306_/X (sky130_fd_sc_hd__and2b_4) |
| 2 0.06 _02726_ (net) |
| 0.20 0.00 13.20 ^ _09307_/A (sky130_fd_sc_hd__clkbuf_8) |
| 0.12 0.25 13.45 ^ _09307_/X (sky130_fd_sc_hd__clkbuf_8) |
| 5 0.06 _02727_ (net) |
| 0.12 0.00 13.46 ^ _09308_/B (sky130_fd_sc_hd__xnor2_4) |
| 0.12 0.14 13.59 v _09308_/Y (sky130_fd_sc_hd__xnor2_4) |
| 4 0.04 _02728_ (net) |
| 0.12 0.00 13.59 v _09309_/B2 (sky130_fd_sc_hd__a32o_1) |
| 0.09 0.30 13.90 v _09309_/X (sky130_fd_sc_hd__a32o_1) |
| 1 0.01 _02729_ (net) |
| 0.09 0.00 13.90 v _09310_/A1 (sky130_fd_sc_hd__mux2_1) |
| 0.06 0.32 14.21 v _09310_/X (sky130_fd_sc_hd__mux2_1) |
| 1 0.00 _02730_ (net) |
| 0.06 0.00 14.21 v _09312_/A2 (sky130_fd_sc_hd__o211a_1) |
| 0.04 0.22 14.43 v _09312_/X (sky130_fd_sc_hd__o211a_1) |
| 1 0.00 _02732_ (net) |
| 0.04 0.00 14.43 v _09317_/A2 (sky130_fd_sc_hd__o311a_1) |
| 0.05 0.33 14.77 v _09317_/X (sky130_fd_sc_hd__o311a_1) |
| 1 0.00 _00040_ (net) |
| 0.05 0.00 14.77 v _14071_/D (sky130_fd_sc_hd__dfxtp_4) |
| 14.77 data arrival time |
| |
| 15.00 15.00 clock clock (rise edge) |
| 0.00 15.00 clock source latency |
| 0.42 0.29 15.29 ^ clock (in) |
| 1 0.09 clock (net) |
| 0.42 0.00 15.29 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.05 0.21 15.50 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 2 0.02 clknet_0_clock (net) |
| 0.05 0.00 15.50 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.06 0.11 15.61 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 1 0.01 clknet_1_1_0_clock (net) |
| 0.06 0.00 15.61 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.11 0.15 15.76 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.02 clknet_1_1_1_clock (net) |
| 0.11 0.00 15.76 ^ clkbuf_2_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.20 0.23 15.99 ^ clkbuf_2_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.04 clknet_2_2_0_clock (net) |
| 0.20 0.00 15.99 ^ clkbuf_3_5_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.60 0.51 16.50 ^ clkbuf_3_5_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 8 0.11 clknet_3_5_0_clock (net) |
| 0.60 0.00 16.50 ^ clkbuf_leaf_25_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.05 0.24 16.75 ^ clkbuf_leaf_25_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 5 0.02 clknet_leaf_25_clock (net) |
| 0.05 0.00 16.75 ^ _14071_/CLK (sky130_fd_sc_hd__dfxtp_4) |
| -0.25 16.50 clock uncertainty |
| 0.05 16.55 clock reconvergence pessimism |
| -0.11 16.44 library setup time |
| 16.44 data required time |
| ----------------------------------------------------------------------------- |
| 16.44 data required time |
| -14.77 data arrival time |
| ----------------------------------------------------------------------------- |
| 1.67 slack (MET) |
| |
| |
| Startpoint: _14528_ (rising edge-triggered flip-flop clocked by clock) |
| Endpoint: _14099_ (rising edge-triggered flip-flop clocked by clock) |
| Path Group: clock |
| Path Type: max |
| |
| Fanout Cap Slew Delay Time Description |
| ----------------------------------------------------------------------------- |
| 0.00 0.00 clock clock (rise edge) |
| 0.00 0.00 clock source latency |
| 0.42 0.32 0.32 ^ clock (in) |
| 1 0.09 clock (net) |
| 0.42 0.00 0.32 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.05 0.24 0.55 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 2 0.02 clknet_0_clock (net) |
| 0.05 0.00 0.55 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.06 0.12 0.68 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 1 0.01 clknet_1_0_0_clock (net) |
| 0.06 0.00 0.68 ^ clkbuf_1_0_1_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.19 0.22 0.90 ^ clkbuf_1_0_1_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.03 clknet_1_0_1_clock (net) |
| 0.19 0.00 0.90 ^ clkbuf_2_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.15 0.24 1.14 ^ clkbuf_2_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.03 clknet_2_0_0_clock (net) |
| 0.15 0.00 1.14 ^ clkbuf_3_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 1.01 0.85 1.99 ^ clkbuf_3_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 13 0.19 clknet_3_1_0_clock (net) |
| 1.01 0.00 1.99 ^ clkbuf_leaf_3_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.07 0.31 2.30 ^ clkbuf_leaf_3_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 6 0.02 clknet_leaf_3_clock (net) |
| 0.07 0.00 2.30 ^ _14528_/CLK (sky130_fd_sc_hd__dfxtp_1) |
| 0.17 0.42 2.73 ^ _14528_/Q (sky130_fd_sc_hd__dfxtp_1) |
| 5 0.02 _T_43 (net) |
| 0.17 0.00 2.73 ^ _07196_/A (sky130_fd_sc_hd__dlymetal6s2s_1) |
| 0.21 0.25 2.98 ^ _07196_/X (sky130_fd_sc_hd__dlymetal6s2s_1) |
| 5 0.02 _00630_ (net) |
| 0.21 0.00 2.98 ^ _07197_/A (sky130_fd_sc_hd__clkbuf_8) |
| 0.12 0.25 3.23 ^ _07197_/X (sky130_fd_sc_hd__clkbuf_8) |
| 5 0.07 _00631_ (net) |
| 0.12 0.01 3.24 ^ _07260_/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.13 0.20 3.44 ^ _07260_/X (sky130_fd_sc_hd__clkbuf_2) |
| 5 0.02 _00691_ (net) |
| 0.13 0.00 3.44 ^ _07296_/A (sky130_fd_sc_hd__clkbuf_4) |
| 0.12 0.24 3.68 ^ _07296_/X (sky130_fd_sc_hd__clkbuf_4) |
| 5 0.04 _00727_ (net) |
| 0.12 0.00 3.68 ^ _08632_/B (sky130_fd_sc_hd__nand3b_1) |
| 0.07 0.11 3.79 v _08632_/Y (sky130_fd_sc_hd__nand3b_1) |
| 1 0.00 _02055_ (net) |
| 0.07 0.00 3.79 v _08634_/B1 (sky130_fd_sc_hd__a221o_2) |
| 0.11 0.43 4.22 v _08634_/X (sky130_fd_sc_hd__a221o_2) |
| 2 0.02 _02057_ (net) |
| 0.11 0.00 4.22 v _08691_/A2_N (sky130_fd_sc_hd__o2bb2a_2) |
| 0.12 0.35 4.57 ^ _08691_/X (sky130_fd_sc_hd__o2bb2a_2) |
| 4 0.02 _02114_ (net) |
| 0.12 0.00 4.57 ^ _08740_/A (sky130_fd_sc_hd__nor2_1) |
| 0.08 0.12 4.69 v _08740_/Y (sky130_fd_sc_hd__nor2_1) |
| 3 0.02 _02163_ (net) |
| 0.08 0.00 4.69 v _08767_/B (sky130_fd_sc_hd__nand2_2) |
| 0.11 0.14 4.83 ^ _08767_/Y (sky130_fd_sc_hd__nand2_2) |
| 4 0.02 _02190_ (net) |
| 0.11 0.00 4.83 ^ _08768_/B1 (sky130_fd_sc_hd__o22a_1) |
| 0.14 0.23 5.06 ^ _08768_/X (sky130_fd_sc_hd__o22a_1) |
| 3 0.01 _02191_ (net) |
| 0.14 0.00 5.06 ^ _09272_/D_N (sky130_fd_sc_hd__or4b_1) |
| 0.10 0.50 5.55 v _09272_/X (sky130_fd_sc_hd__or4b_1) |
| 1 0.01 _02692_ (net) |
| 0.10 0.00 5.55 v _09274_/C (sky130_fd_sc_hd__or4b_1) |
| 0.09 0.52 6.07 v _09274_/X (sky130_fd_sc_hd__or4b_1) |
| 1 0.00 _02694_ (net) |
| 0.09 0.00 6.07 v _09276_/B (sky130_fd_sc_hd__or3_1) |
| 0.06 0.37 6.44 v _09276_/X (sky130_fd_sc_hd__or3_1) |
| 1 0.00 _02696_ (net) |
| 0.06 0.00 6.44 v _09277_/C (sky130_fd_sc_hd__or3_1) |
| 0.08 0.34 6.79 v _09277_/X (sky130_fd_sc_hd__or3_1) |
| 1 0.01 _02697_ (net) |
| 0.08 0.00 6.79 v _09281_/A (sky130_fd_sc_hd__or4bb_1) |
| 0.09 0.54 7.33 v _09281_/X (sky130_fd_sc_hd__or4bb_1) |
| 1 0.00 _02701_ (net) |
| 0.09 0.00 7.33 v _09287_/B (sky130_fd_sc_hd__or4_1) |
| 0.10 0.56 7.88 v _09287_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.00 _02707_ (net) |
| 0.10 0.00 7.88 v _09288_/D (sky130_fd_sc_hd__or4_1) |
| 0.10 0.45 8.34 v _09288_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.01 _02708_ (net) |
| 0.10 0.00 8.34 v _09289_/D (sky130_fd_sc_hd__or4_1) |
| 0.08 0.42 8.75 v _09289_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.00 _02709_ (net) |
| 0.08 0.00 8.75 v _09290_/D (sky130_fd_sc_hd__or4_1) |
| 0.08 0.42 9.18 v _09290_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.00 _02710_ (net) |
| 0.08 0.00 9.18 v _09291_/D (sky130_fd_sc_hd__or4_1) |
| 0.09 0.44 9.62 v _09291_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.00 _02711_ (net) |
| 0.09 0.00 9.62 v _09292_/C (sky130_fd_sc_hd__or3_1) |
| 0.07 0.33 9.95 v _09292_/X (sky130_fd_sc_hd__or3_1) |
| 1 0.00 _02712_ (net) |
| 0.07 0.00 9.95 v _09293_/C1 (sky130_fd_sc_hd__a311o_1) |
| 0.06 0.30 10.25 v _09293_/X (sky130_fd_sc_hd__a311o_1) |
| 1 0.00 _02713_ (net) |
| 0.06 0.00 10.25 v _09295_/C (sky130_fd_sc_hd__or4_1) |
| 0.09 0.50 10.75 v _09295_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.00 _02715_ (net) |
| 0.09 0.00 10.75 v _09296_/C1 (sky130_fd_sc_hd__a2111o_1) |
| 0.07 0.39 11.14 v _09296_/X (sky130_fd_sc_hd__a2111o_1) |
| 1 0.01 _02716_ (net) |
| 0.07 0.00 11.14 v _09297_/D (sky130_fd_sc_hd__or4_1) |
| 0.10 0.44 11.58 v _09297_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.00 _02717_ (net) |
| 0.10 0.00 11.58 v _09298_/D (sky130_fd_sc_hd__or4_1) |
| 0.11 0.47 12.04 v _09298_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.01 _02718_ (net) |
| 0.11 0.00 12.04 v _09300_/C (sky130_fd_sc_hd__or4_1) |
| 0.10 0.53 12.58 v _09300_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.01 _02720_ (net) |
| 0.10 0.00 12.58 v _09301_/B1 (sky130_fd_sc_hd__o21ai_1) |
| 0.12 0.11 12.69 ^ _09301_/Y (sky130_fd_sc_hd__o21ai_1) |
| 1 0.00 _02721_ (net) |
| 0.12 0.00 12.69 ^ _09305_/A1 (sky130_fd_sc_hd__a311o_1) |
| 0.11 0.22 12.91 ^ _09305_/X (sky130_fd_sc_hd__a311o_1) |
| 1 0.01 _02725_ (net) |
| 0.11 0.00 12.91 ^ _09306_/B (sky130_fd_sc_hd__and2b_4) |
| 0.20 0.29 13.20 ^ _09306_/X (sky130_fd_sc_hd__and2b_4) |
| 2 0.06 _02726_ (net) |
| 0.20 0.00 13.20 ^ _09307_/A (sky130_fd_sc_hd__clkbuf_8) |
| 0.12 0.25 13.45 ^ _09307_/X (sky130_fd_sc_hd__clkbuf_8) |
| 5 0.06 _02727_ (net) |
| 0.12 0.00 13.46 ^ _09308_/B (sky130_fd_sc_hd__xnor2_4) |
| 0.12 0.14 13.59 v _09308_/Y (sky130_fd_sc_hd__xnor2_4) |
| 4 0.04 _02728_ (net) |
| 0.12 0.00 13.59 v _10733_/B1 (sky130_fd_sc_hd__a32o_1) |
| 0.05 0.25 13.84 v _10733_/X (sky130_fd_sc_hd__a32o_1) |
| 1 0.00 _04129_ (net) |
| 0.05 0.00 13.84 v _10737_/A2 (sky130_fd_sc_hd__a21o_1) |
| 0.04 0.19 14.03 v _10737_/X (sky130_fd_sc_hd__a21o_1) |
| 1 0.00 _04133_ (net) |
| 0.04 0.00 14.03 v _10739_/A2 (sky130_fd_sc_hd__a31o_1) |
| 0.07 0.25 14.28 v _10739_/X (sky130_fd_sc_hd__a31o_1) |
| 1 0.01 _04135_ (net) |
| 0.07 0.00 14.28 v _10740_/B1 (sky130_fd_sc_hd__o221a_1) |
| 0.04 0.24 14.52 v _10740_/X (sky130_fd_sc_hd__o221a_1) |
| 1 0.00 _00064_ (net) |
| 0.04 0.00 14.52 v _14099_/D (sky130_fd_sc_hd__dfxtp_2) |
| 14.52 data arrival time |
| |
| 15.00 15.00 clock clock (rise edge) |
| 0.00 15.00 clock source latency |
| 0.42 0.29 15.29 ^ clock (in) |
| 1 0.09 clock (net) |
| 0.42 0.00 15.29 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.05 0.21 15.50 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 2 0.02 clknet_0_clock (net) |
| 0.05 0.00 15.50 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.06 0.11 15.61 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 1 0.01 clknet_1_1_0_clock (net) |
| 0.06 0.00 15.61 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.11 0.15 15.76 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.02 clknet_1_1_1_clock (net) |
| 0.11 0.00 15.76 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.13 0.18 15.94 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.02 clknet_2_3_0_clock (net) |
| 0.13 0.00 15.94 ^ clkbuf_3_7_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.40 0.36 16.31 ^ clkbuf_3_7_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 5 0.07 clknet_3_7_0_clock (net) |
| 0.40 0.00 16.31 ^ clkbuf_leaf_34_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.05 0.21 16.52 ^ clkbuf_leaf_34_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 5 0.02 clknet_leaf_34_clock (net) |
| 0.05 0.00 16.52 ^ _14099_/CLK (sky130_fd_sc_hd__dfxtp_2) |
| -0.25 16.27 clock uncertainty |
| 0.05 16.32 clock reconvergence pessimism |
| -0.11 16.21 library setup time |
| 16.21 data required time |
| ----------------------------------------------------------------------------- |
| 16.21 data required time |
| -14.52 data arrival time |
| ----------------------------------------------------------------------------- |
| 1.69 slack (MET) |
| |
| |
| max_report_end |
| check_report |
| |
| =========================================================================== |
| report_checks -unconstrained |
| ============================================================================ |
| Startpoint: _14528_ (rising edge-triggered flip-flop clocked by clock) |
| Endpoint: _14513_ (rising edge-triggered flip-flop clocked by clock) |
| Path Group: clock |
| Path Type: max |
| |
| Fanout Cap Slew Delay Time Description |
| ----------------------------------------------------------------------------- |
| 0.00 0.00 clock clock (rise edge) |
| 0.00 0.00 clock source latency |
| 0.42 0.32 0.32 ^ clock (in) |
| 1 0.09 clock (net) |
| 0.42 0.00 0.32 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.05 0.24 0.55 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 2 0.02 clknet_0_clock (net) |
| 0.05 0.00 0.55 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.06 0.12 0.68 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 1 0.01 clknet_1_0_0_clock (net) |
| 0.06 0.00 0.68 ^ clkbuf_1_0_1_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.19 0.22 0.90 ^ clkbuf_1_0_1_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.03 clknet_1_0_1_clock (net) |
| 0.19 0.00 0.90 ^ clkbuf_2_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.15 0.24 1.14 ^ clkbuf_2_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.03 clknet_2_0_0_clock (net) |
| 0.15 0.00 1.14 ^ clkbuf_3_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 1.01 0.85 1.99 ^ clkbuf_3_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 13 0.19 clknet_3_1_0_clock (net) |
| 1.01 0.00 1.99 ^ clkbuf_leaf_3_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.07 0.31 2.30 ^ clkbuf_leaf_3_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 6 0.02 clknet_leaf_3_clock (net) |
| 0.07 0.00 2.30 ^ _14528_/CLK (sky130_fd_sc_hd__dfxtp_1) |
| 0.17 0.42 2.73 ^ _14528_/Q (sky130_fd_sc_hd__dfxtp_1) |
| 5 0.02 _T_43 (net) |
| 0.17 0.00 2.73 ^ _07196_/A (sky130_fd_sc_hd__dlymetal6s2s_1) |
| 0.21 0.25 2.98 ^ _07196_/X (sky130_fd_sc_hd__dlymetal6s2s_1) |
| 5 0.02 _00630_ (net) |
| 0.21 0.00 2.98 ^ _07197_/A (sky130_fd_sc_hd__clkbuf_8) |
| 0.12 0.25 3.23 ^ _07197_/X (sky130_fd_sc_hd__clkbuf_8) |
| 5 0.07 _00631_ (net) |
| 0.12 0.01 3.24 ^ _07260_/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.13 0.20 3.44 ^ _07260_/X (sky130_fd_sc_hd__clkbuf_2) |
| 5 0.02 _00691_ (net) |
| 0.13 0.00 3.44 ^ _07296_/A (sky130_fd_sc_hd__clkbuf_4) |
| 0.12 0.24 3.68 ^ _07296_/X (sky130_fd_sc_hd__clkbuf_4) |
| 5 0.04 _00727_ (net) |
| 0.12 0.00 3.68 ^ _08632_/B (sky130_fd_sc_hd__nand3b_1) |
| 0.07 0.11 3.79 v _08632_/Y (sky130_fd_sc_hd__nand3b_1) |
| 1 0.00 _02055_ (net) |
| 0.07 0.00 3.79 v _08634_/B1 (sky130_fd_sc_hd__a221o_2) |
| 0.11 0.43 4.22 v _08634_/X (sky130_fd_sc_hd__a221o_2) |
| 2 0.02 _02057_ (net) |
| 0.11 0.00 4.22 v _08691_/A2_N (sky130_fd_sc_hd__o2bb2a_2) |
| 0.12 0.35 4.57 ^ _08691_/X (sky130_fd_sc_hd__o2bb2a_2) |
| 4 0.02 _02114_ (net) |
| 0.12 0.00 4.57 ^ _08740_/A (sky130_fd_sc_hd__nor2_1) |
| 0.08 0.12 4.69 v _08740_/Y (sky130_fd_sc_hd__nor2_1) |
| 3 0.02 _02163_ (net) |
| 0.08 0.00 4.69 v _08741_/A (sky130_fd_sc_hd__buf_2) |
| 0.07 0.19 4.88 v _08741_/X (sky130_fd_sc_hd__buf_2) |
| 5 0.03 _02164_ (net) |
| 0.07 0.00 4.88 v _08742_/B (sky130_fd_sc_hd__nand2_1) |
| 0.12 0.13 5.01 ^ _08742_/Y (sky130_fd_sc_hd__nand2_1) |
| 4 0.01 _02165_ (net) |
| 0.12 0.00 5.01 ^ _08775_/B (sky130_fd_sc_hd__and2_2) |
| 0.18 0.28 5.29 ^ _08775_/X (sky130_fd_sc_hd__and2_2) |
| 4 0.03 _02198_ (net) |
| 0.18 0.00 5.29 ^ _08776_/B (sky130_fd_sc_hd__nor2_1) |
| 0.07 0.09 5.38 v _08776_/Y (sky130_fd_sc_hd__nor2_1) |
| 2 0.01 _02199_ (net) |
| 0.07 0.00 5.38 v _09158_/A (sky130_fd_sc_hd__or4b_1) |
| 0.08 0.54 5.93 v _09158_/X (sky130_fd_sc_hd__or4b_1) |
| 1 0.00 _02579_ (net) |
| 0.08 0.00 5.93 v _09165_/A (sky130_fd_sc_hd__or3b_1) |
| 0.06 0.39 6.32 v _09165_/X (sky130_fd_sc_hd__or3b_1) |
| 1 0.00 _02586_ (net) |
| 0.06 0.00 6.32 v _09167_/C (sky130_fd_sc_hd__or4_1) |
| 0.10 0.51 6.83 v _09167_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.00 _02588_ (net) |
| 0.10 0.00 6.83 v _09168_/D (sky130_fd_sc_hd__or4_1) |
| 0.08 0.42 7.24 v _09168_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.00 _02589_ (net) |
| 0.08 0.00 7.24 v _09170_/C (sky130_fd_sc_hd__or4_1) |
| 0.09 0.51 7.75 v _09170_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.00 _02591_ (net) |
| 0.09 0.00 7.75 v _09171_/D (sky130_fd_sc_hd__or4_1) |
| 0.11 0.46 8.22 v _09171_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.01 _02592_ (net) |
| 0.11 0.00 8.22 v _09174_/A2 (sky130_fd_sc_hd__o41a_1) |
| 0.06 0.44 8.66 v _09174_/X (sky130_fd_sc_hd__o41a_1) |
| 1 0.00 _02595_ (net) |
| 0.06 0.00 8.66 v _09177_/B (sky130_fd_sc_hd__or4b_1) |
| 0.09 0.54 9.20 v _09177_/X (sky130_fd_sc_hd__or4b_1) |
| 1 0.00 _02598_ (net) |
| 0.09 0.00 9.20 v _09180_/C (sky130_fd_sc_hd__or4b_1) |
| 0.09 0.52 9.72 v _09180_/X (sky130_fd_sc_hd__or4b_1) |
| 1 0.00 _02601_ (net) |
| 0.09 0.00 9.72 v _09181_/D (sky130_fd_sc_hd__or4_1) |
| 0.10 0.46 10.18 v _09181_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.01 _02602_ (net) |
| 0.10 0.00 10.18 v _09182_/B (sky130_fd_sc_hd__nor2_1) |
| 0.08 0.11 10.29 ^ _09182_/Y (sky130_fd_sc_hd__nor2_1) |
| 1 0.00 _02603_ (net) |
| 0.08 0.00 10.29 ^ _09183_/C1 (sky130_fd_sc_hd__o221a_1) |
| 0.06 0.18 10.47 ^ _09183_/X (sky130_fd_sc_hd__o221a_1) |
| 1 0.00 _02604_ (net) |
| 0.06 0.00 10.47 ^ _09184_/D_N (sky130_fd_sc_hd__or4b_1) |
| 0.10 0.47 10.94 v _09184_/X (sky130_fd_sc_hd__or4b_1) |
| 1 0.00 _02605_ (net) |
| 0.10 0.00 10.94 v _09186_/C (sky130_fd_sc_hd__or4b_1) |
| 0.10 0.53 11.47 v _09186_/X (sky130_fd_sc_hd__or4b_1) |
| 1 0.01 _02607_ (net) |
| 0.10 0.00 11.47 v _09189_/A (sky130_fd_sc_hd__or4bb_1) |
| 0.11 0.59 12.06 v _09189_/X (sky130_fd_sc_hd__or4bb_1) |
| 1 0.01 _02610_ (net) |
| 0.11 0.00 12.06 v _09190_/C1 (sky130_fd_sc_hd__o211a_1) |
| 0.04 0.14 12.19 v _09190_/X (sky130_fd_sc_hd__o211a_1) |
| 1 0.00 _02611_ (net) |
| 0.04 0.00 12.19 v _09192_/C (sky130_fd_sc_hd__or4_1) |
| 0.10 0.52 12.71 v _09192_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.01 _02613_ (net) |
| 0.10 0.00 12.71 v _09193_/C1 (sky130_fd_sc_hd__o221a_1) |
| 0.08 0.18 12.89 v _09193_/X (sky130_fd_sc_hd__o221a_1) |
| 1 0.01 _02614_ (net) |
| 0.08 0.00 12.89 v _09206_/A (sky130_fd_sc_hd__nor2_2) |
| 0.26 0.27 13.16 ^ _09206_/Y (sky130_fd_sc_hd__nor2_2) |
| 2 0.02 _02627_ (net) |
| 0.26 0.00 13.16 ^ _09207_/B (sky130_fd_sc_hd__xnor2_4) |
| 0.12 0.16 13.32 v _09207_/Y (sky130_fd_sc_hd__xnor2_4) |
| 4 0.04 _02628_ (net) |
| 0.12 0.00 13.33 v _13919_/B1 (sky130_fd_sc_hd__a32o_1) |
| 0.04 0.24 13.57 v _13919_/X (sky130_fd_sc_hd__a32o_1) |
| 1 0.00 _06907_ (net) |
| 0.04 0.00 13.57 v _13921_/A2 (sky130_fd_sc_hd__a221o_1) |
| 0.09 0.41 13.98 v _13921_/X (sky130_fd_sc_hd__a221o_1) |
| 1 0.01 _06909_ (net) |
| 0.09 0.00 13.98 v _13923_/A1 (sky130_fd_sc_hd__a21o_1) |
| 0.03 0.18 14.15 v _13923_/X (sky130_fd_sc_hd__a21o_1) |
| 1 0.00 _06911_ (net) |
| 0.03 0.00 14.15 v _13925_/A2 (sky130_fd_sc_hd__a311o_1) |
| 0.10 0.38 14.53 v _13925_/X (sky130_fd_sc_hd__a311o_1) |
| 1 0.01 _06913_ (net) |
| 0.10 0.00 14.54 v _13926_/B1 (sky130_fd_sc_hd__o211a_1) |
| 0.04 0.15 14.69 v _13926_/X (sky130_fd_sc_hd__o211a_1) |
| 1 0.00 _00472_ (net) |
| 0.04 0.00 14.69 v _14513_/D (sky130_fd_sc_hd__dfxtp_1) |
| 14.69 data arrival time |
| |
| 15.00 15.00 clock clock (rise edge) |
| 0.00 15.00 clock source latency |
| 0.42 0.29 15.29 ^ clock (in) |
| 1 0.09 clock (net) |
| 0.42 0.00 15.29 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.05 0.21 15.50 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 2 0.02 clknet_0_clock (net) |
| 0.05 0.00 15.50 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.06 0.11 15.61 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 1 0.01 clknet_1_1_0_clock (net) |
| 0.06 0.00 15.61 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.11 0.15 15.76 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.02 clknet_1_1_1_clock (net) |
| 0.11 0.00 15.76 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.13 0.18 15.94 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.02 clknet_2_3_0_clock (net) |
| 0.13 0.00 15.94 ^ clkbuf_3_7_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.40 0.36 16.31 ^ clkbuf_3_7_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 5 0.07 clknet_3_7_0_clock (net) |
| 0.40 0.00 16.31 ^ clkbuf_leaf_19_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.05 0.22 16.53 ^ clkbuf_leaf_19_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 8 0.02 clknet_leaf_19_clock (net) |
| 0.05 0.00 16.53 ^ _14513_/CLK (sky130_fd_sc_hd__dfxtp_1) |
| -0.25 16.28 clock uncertainty |
| 0.05 16.33 clock reconvergence pessimism |
| -0.11 16.22 library setup time |
| 16.22 data required time |
| ----------------------------------------------------------------------------- |
| 16.22 data required time |
| -14.69 data arrival time |
| ----------------------------------------------------------------------------- |
| 1.53 slack (MET) |
| |
| |
| |
| =========================================================================== |
| report_checks --slack_max -0.01 |
| ============================================================================ |
| No paths found. |
| check_report_end |
| check_slew |
| |
| =========================================================================== |
| report_check_types -max_slew -max_cap -max_fanout -violators |
| ============================================================================ |
| |
| =========================================================================== |
| max slew violation count 0 |
| max fanout violation count 0 |
| max cap violation count 0 |
| ============================================================================ |
| check_slew_end |
| tns_report |
| |
| =========================================================================== |
| report_tns |
| ============================================================================ |
| tns 0.00 |
| tns_report_end |
| wns_report |
| |
| =========================================================================== |
| report_wns |
| ============================================================================ |
| wns 0.00 |
| wns_report_end |
| worst_slack |
| |
| =========================================================================== |
| report_worst_slack -max (Setup) |
| ============================================================================ |
| worst slack 1.53 |
| |
| =========================================================================== |
| report_worst_slack -min (Hold) |
| ============================================================================ |
| worst slack -0.06 |
| worst_slack_end |
| clock_skew |
| |
| =========================================================================== |
| report_clock_skew |
| ============================================================================ |
| Clock clock |
| Latency CRPR Skew |
| _14206_/CLK ^ |
| 2.59 |
| _14503_/CLK ^ |
| 1.51 -0.05 1.02 |
| |
| clock_skew_end |
| power_report |
| |
| =========================================================================== |
| report_power |
| ============================================================================ |
| Group Internal Switching Leakage Total |
| Power Power Power Power |
| ---------------------------------------------------------------- |
| Sequential 1.39e-03 1.55e-04 4.15e-09 1.55e-03 20.6% |
| Combinational 2.76e-03 3.20e-03 3.14e-08 5.96e-03 79.4% |
| Macro 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0% |
| Pad 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0% |
| ---------------------------------------------------------------- |
| Total 4.15e-03 3.36e-03 3.56e-08 7.51e-03 100.0% |
| 55.3% 44.7% 0.0% |
| power_report_end |
| area_report |
| |
| =========================================================================== |
| report_design_area |
| ============================================================================ |
| Design area 81110 u^2 13% utilization. |
| area_report_end |
| [INFO]: Clock Tree Synthesis was successful |
| [INFO]: Changing layout from /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/results/placement/aes.def to /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/results/cts/aes.def |
| [INFO]: Incremented step index to 11. |
| [INFO]: Writing Verilog... |
| OpenROAD 8d53e9b018dec98fa63e907ddeb6c5406f035361 |
| This program is licensed under the BSD-3 license. See the LICENSE file for details. |
| Components of this program may be licensed under more restrictive licenses which must be honored. |
| [INFO ODB-0222] Reading LEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/tmp/merged_unpadded.lef |
| [INFO ODB-0223] Created 13 technology layers |
| [INFO ODB-0224] Created 25 technology vias |
| [INFO ODB-0225] Created 441 library cells |
| [INFO ODB-0226] Finished LEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/tmp/merged_unpadded.lef |
| [INFO ODB-0127] Reading DEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/results/cts/aes.def |
| [INFO ODB-0128] Design: aes |
| [INFO ODB-0130] Created 108 pins. |
| [INFO ODB-0131] Created 17068 components and 77488 component-terminals. |
| [INFO ODB-0132] Created 2 special nets and 50704 connections. |
| [INFO ODB-0133] Created 7785 nets and 26784 connections. |
| [INFO ODB-0134] Finished DEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/results/cts/aes.def |
| [INFO]: Changing netlist from /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/results/placement/aes.resized.v to /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/results/cts/aes.v |
| [INFO]: Incremented step index to 12. |
| [INFO]: Running Resizer Timing Optimizations... |
| OpenROAD 8d53e9b018dec98fa63e907ddeb6c5406f035361 |
| This program is licensed under the BSD-3 license. See the LICENSE file for details. |
| Components of this program may be licensed under more restrictive licenses which must be honored. |
| [INFO ODB-0222] Reading LEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/tmp/merged_unpadded.lef |
| [INFO ODB-0223] Created 13 technology layers |
| [INFO ODB-0224] Created 25 technology vias |
| [INFO ODB-0225] Created 441 library cells |
| [INFO ODB-0226] Finished LEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/tmp/merged_unpadded.lef |
| [INFO ODB-0127] Reading DEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/results/cts/aes.def |
| [INFO ODB-0128] Design: aes |
| [INFO ODB-0130] Created 108 pins. |
| [INFO ODB-0131] Created 17068 components and 77488 component-terminals. |
| [INFO ODB-0132] Created 2 special nets and 50704 connections. |
| [INFO ODB-0133] Created 7785 nets and 26784 connections. |
| [INFO ODB-0134] Finished DEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/results/cts/aes.def |
| ############################################################################### |
| # Created by write_sdc |
| # Sat Jun 4 17:12:56 2022 |
| ############################################################################### |
| current_design aes |
| ############################################################################### |
| # Timing Constraints |
| ############################################################################### |
| create_clock -name clock -period 15.0000 [get_ports {clock}] |
| set_clock_transition 0.1500 [get_clocks {clock}] |
| set_clock_uncertainty 0.2500 clock |
| set_propagated_clock [get_clocks {clock}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[0]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[10]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[11]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[12]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[13]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[14]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[15]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[16]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[17]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[18]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[19]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[1]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[20]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[21]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[22]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[23]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[24]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[25]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[26]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[27]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[28]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[29]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[2]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[30]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[31]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[3]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[4]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[5]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[6]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[7]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[8]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[9]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_cyc_i}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[0]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[10]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[11]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[12]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[13]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[14]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[15]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[16]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[17]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[18]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[19]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[1]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[20]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[21]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[22]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[23]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[24]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[25]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[26]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[27]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[28]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[29]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[2]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[30]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[31]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[3]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[4]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[5]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[6]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[7]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[8]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[9]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_sel_i[0]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_sel_i[1]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_sel_i[2]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_sel_i[3]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_stb_i}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_we_i}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {reset}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_ack_o}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[0]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[10]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[11]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[12]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[13]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[14]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[15]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[16]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[17]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[18]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[19]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[1]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[20]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[21]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[22]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[23]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[24]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[25]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[26]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[27]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[28]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[29]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[2]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[30]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[31]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[3]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[4]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[5]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[6]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[7]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[8]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[9]}] |
| ############################################################################### |
| # Environment |
| ############################################################################### |
| set_load -pin_load 0.0334 [get_ports {io_wbs_ack_o}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[31]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[30]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[29]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[28]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[27]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[26]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[25]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[24]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[23]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[22]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[21]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[20]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[19]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[18]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[17]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[16]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[15]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[14]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[13]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[12]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[11]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[10]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[9]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[8]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[7]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[6]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[5]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[4]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[3]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[2]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[1]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {clock}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_cyc_i}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_stb_i}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_we_i}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {reset}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[31]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[30]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[29]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[28]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[27]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[26]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[25]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[24]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[23]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[22]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[21]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[20]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[19]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[18]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[17]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[16]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[15]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[14]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[13]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[12]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[11]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[10]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[9]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[8]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[7]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[6]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[5]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[4]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[3]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[2]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[31]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[30]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[29]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[28]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[27]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[26]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[25]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[24]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[23]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[22]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[21]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[20]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[19]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[18]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[17]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[16]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[15]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[14]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[13]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[12]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[11]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[10]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[9]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[8]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[7]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[6]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[5]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[4]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[3]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[2]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_sel_i[3]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_sel_i[2]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_sel_i[1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_sel_i[0]}] |
| set_timing_derate -early 0.9500 |
| set_timing_derate -late 1.0500 |
| ############################################################################### |
| # Design Rules |
| ############################################################################### |
| set_max_fanout 5.0000 [current_design] |
| [INFO]: Setting RC values... |
| [INFO RSZ-0046] Found 484 endpoints with hold violations. |
| [INFO RSZ-0032] Inserted 1336 hold buffers. |
| Placement Analysis |
| --------------------------------- |
| total displacement 8807.4 u |
| average displacement 0.5 u |
| max displacement 18.5 u |
| original HPWL 466376.2 u |
| legalized HPWL 480319.8 u |
| delta HPWL 3 % |
| |
| [INFO DPL-0020] Mirrored 3954 instances |
| [INFO DPL-0021] HPWL before 480319.8 u |
| [INFO DPL-0022] HPWL after 472562.2 u |
| [INFO DPL-0023] HPWL delta -1.6 % |
| min_report |
| |
| =========================================================================== |
| report_checks -path_delay min (Hold) |
| ============================================================================ |
| Startpoint: _14175_ (rising edge-triggered flip-flop clocked by clock) |
| Endpoint: _14175_ (rising edge-triggered flip-flop clocked by clock) |
| Path Group: clock |
| Path Type: min |
| |
| Fanout Cap Slew Delay Time Description |
| ----------------------------------------------------------------------------- |
| 0.00 0.00 clock clock (rise edge) |
| 0.00 0.00 clock source latency |
| 0.42 0.29 0.29 ^ clock (in) |
| 1 0.09 clock (net) |
| 0.42 0.00 0.29 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.05 0.22 0.50 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 2 0.02 clknet_0_clock (net) |
| 0.05 0.00 0.50 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.06 0.11 0.62 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 1 0.01 clknet_1_1_0_clock (net) |
| 0.06 0.00 0.62 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.11 0.15 0.77 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.02 clknet_1_1_1_clock (net) |
| 0.11 0.00 0.77 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.13 0.18 0.94 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.02 clknet_2_3_0_clock (net) |
| 0.13 0.00 0.94 ^ clkbuf_3_6_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.71 0.57 1.51 ^ clkbuf_3_6_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 10 0.13 clknet_3_6_0_clock (net) |
| 0.71 0.01 1.52 ^ clkbuf_leaf_41_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.07 0.28 1.79 ^ clkbuf_leaf_41_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 10 0.04 clknet_leaf_41_clock (net) |
| 0.07 0.00 1.79 ^ _14175_/CLK (sky130_fd_sc_hd__dfxtp_1) |
| 0.05 0.31 2.10 v _14175_/Q (sky130_fd_sc_hd__dfxtp_1) |
| 2 0.01 _T_1[129] (net) |
| 0.05 0.00 2.10 v hold9/A (sky130_fd_sc_hd__dlygate4sd3_1) |
| 0.05 0.52 2.61 v hold9/X (sky130_fd_sc_hd__dlygate4sd3_1) |
| 1 0.00 net95 (net) |
| 0.05 0.00 2.61 v hold10/A (sky130_fd_sc_hd__clkbuf_1) |
| 0.07 0.12 2.73 v hold10/X (sky130_fd_sc_hd__clkbuf_1) |
| 2 0.01 net94 (net) |
| 0.07 0.00 2.73 v _12285_/A (sky130_fd_sc_hd__nor2_1) |
| 0.08 0.10 2.84 ^ _12285_/Y (sky130_fd_sc_hd__nor2_1) |
| 1 0.00 _05610_ (net) |
| 0.08 0.00 2.84 ^ _12286_/B1 (sky130_fd_sc_hd__a211oi_1) |
| 0.04 0.05 2.89 v _12286_/Y (sky130_fd_sc_hd__a211oi_1) |
| 1 0.00 _00135_ (net) |
| 0.04 0.00 2.89 v _14175_/D (sky130_fd_sc_hd__dfxtp_1) |
| 2.89 data arrival time |
| |
| 0.00 0.00 clock clock (rise edge) |
| 0.00 0.00 clock source latency |
| 0.42 0.32 0.32 ^ clock (in) |
| 1 0.09 clock (net) |
| 0.42 0.00 0.32 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.05 0.24 0.55 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 2 0.02 clknet_0_clock (net) |
| 0.05 0.00 0.55 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.06 0.13 0.68 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 1 0.01 clknet_1_1_0_clock (net) |
| 0.06 0.00 0.68 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.11 0.17 0.85 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.02 clknet_1_1_1_clock (net) |
| 0.11 0.00 0.85 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.13 0.20 1.04 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.02 clknet_2_3_0_clock (net) |
| 0.13 0.00 1.04 ^ clkbuf_3_6_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.71 0.62 1.67 ^ clkbuf_3_6_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 10 0.13 clknet_3_6_0_clock (net) |
| 0.71 0.01 1.67 ^ clkbuf_leaf_41_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.07 0.30 1.98 ^ clkbuf_leaf_41_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 10 0.04 clknet_leaf_41_clock (net) |
| 0.07 0.00 1.98 ^ _14175_/CLK (sky130_fd_sc_hd__dfxtp_1) |
| 0.25 2.23 clock uncertainty |
| -0.19 2.04 clock reconvergence pessimism |
| -0.05 2.00 library hold time |
| 2.00 data required time |
| ----------------------------------------------------------------------------- |
| 2.00 data required time |
| -2.89 data arrival time |
| ----------------------------------------------------------------------------- |
| 0.89 slack (MET) |
| |
| |
| Startpoint: _14207_ (rising edge-triggered flip-flop clocked by clock) |
| Endpoint: _14207_ (rising edge-triggered flip-flop clocked by clock) |
| Path Group: clock |
| Path Type: min |
| |
| Fanout Cap Slew Delay Time Description |
| ----------------------------------------------------------------------------- |
| 0.00 0.00 clock clock (rise edge) |
| 0.00 0.00 clock source latency |
| 0.42 0.29 0.29 ^ clock (in) |
| 1 0.09 clock (net) |
| 0.42 0.00 0.29 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.05 0.22 0.50 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 2 0.02 clknet_0_clock (net) |
| 0.05 0.00 0.50 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.06 0.11 0.62 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 1 0.01 clknet_1_1_0_clock (net) |
| 0.06 0.00 0.62 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.11 0.15 0.77 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.02 clknet_1_1_1_clock (net) |
| 0.11 0.00 0.77 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.13 0.18 0.94 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.02 clknet_2_3_0_clock (net) |
| 0.13 0.00 0.94 ^ clkbuf_3_6_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.71 0.57 1.51 ^ clkbuf_3_6_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 10 0.13 clknet_3_6_0_clock (net) |
| 0.71 0.01 1.52 ^ clkbuf_leaf_41_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.07 0.28 1.79 ^ clkbuf_leaf_41_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 10 0.04 clknet_leaf_41_clock (net) |
| 0.07 0.00 1.79 ^ _14207_/CLK (sky130_fd_sc_hd__dfxtp_1) |
| 0.04 0.29 2.08 ^ _14207_/Q (sky130_fd_sc_hd__dfxtp_1) |
| 1 0.00 _T_1[161] (net) |
| 0.04 0.00 2.08 ^ hold1/A (sky130_fd_sc_hd__dlygate4sd3_1) |
| 0.05 0.51 2.59 ^ hold1/X (sky130_fd_sc_hd__dlygate4sd3_1) |
| 1 0.00 net87 (net) |
| 0.05 0.00 2.59 ^ hold2/A (sky130_fd_sc_hd__dlymetal6s2s_1) |
| 0.16 0.17 2.75 ^ hold2/X (sky130_fd_sc_hd__dlymetal6s2s_1) |
| 3 0.01 net86 (net) |
| 0.16 0.00 2.76 ^ _12407_/A1 (sky130_fd_sc_hd__o211a_1) |
| 0.04 0.16 2.91 ^ _12407_/X (sky130_fd_sc_hd__o211a_1) |
| 1 0.00 _00167_ (net) |
| 0.04 0.00 2.91 ^ _14207_/D (sky130_fd_sc_hd__dfxtp_1) |
| 2.91 data arrival time |
| |
| 0.00 0.00 clock clock (rise edge) |
| 0.00 0.00 clock source latency |
| 0.42 0.32 0.32 ^ clock (in) |
| 1 0.09 clock (net) |
| 0.42 0.00 0.32 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.05 0.24 0.55 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 2 0.02 clknet_0_clock (net) |
| 0.05 0.00 0.55 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.06 0.13 0.68 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 1 0.01 clknet_1_1_0_clock (net) |
| 0.06 0.00 0.68 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.11 0.17 0.85 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.02 clknet_1_1_1_clock (net) |
| 0.11 0.00 0.85 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.13 0.20 1.04 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.02 clknet_2_3_0_clock (net) |
| 0.13 0.00 1.04 ^ clkbuf_3_6_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.71 0.62 1.67 ^ clkbuf_3_6_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 10 0.13 clknet_3_6_0_clock (net) |
| 0.71 0.01 1.67 ^ clkbuf_leaf_41_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.07 0.30 1.98 ^ clkbuf_leaf_41_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 10 0.04 clknet_leaf_41_clock (net) |
| 0.07 0.00 1.98 ^ _14207_/CLK (sky130_fd_sc_hd__dfxtp_1) |
| 0.25 2.23 clock uncertainty |
| -0.19 2.04 clock reconvergence pessimism |
| -0.03 2.02 library hold time |
| 2.02 data required time |
| ----------------------------------------------------------------------------- |
| 2.02 data required time |
| -2.91 data arrival time |
| ----------------------------------------------------------------------------- |
| 0.90 slack (MET) |
| |
| |
| Startpoint: _14405_ (rising edge-triggered flip-flop clocked by clock) |
| Endpoint: _14405_ (rising edge-triggered flip-flop clocked by clock) |
| Path Group: clock |
| Path Type: min |
| |
| Fanout Cap Slew Delay Time Description |
| ----------------------------------------------------------------------------- |
| 0.00 0.00 clock clock (rise edge) |
| 0.00 0.00 clock source latency |
| 0.42 0.29 0.29 ^ clock (in) |
| 1 0.09 clock (net) |
| 0.42 0.00 0.29 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.05 0.22 0.50 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 2 0.02 clknet_0_clock (net) |
| 0.05 0.00 0.50 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.06 0.11 0.61 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 1 0.01 clknet_1_0_0_clock (net) |
| 0.06 0.00 0.61 ^ clkbuf_1_0_1_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.19 0.20 0.82 ^ clkbuf_1_0_1_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.04 clknet_1_0_1_clock (net) |
| 0.19 0.00 0.82 ^ clkbuf_2_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.16 0.22 1.04 ^ clkbuf_2_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.03 clknet_2_0_0_clock (net) |
| 0.16 0.00 1.04 ^ clkbuf_3_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.87 0.67 1.72 ^ clkbuf_3_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 12 0.16 clknet_3_0_0_clock (net) |
| 0.87 0.00 1.72 ^ clkbuf_leaf_88_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.07 0.29 2.00 ^ clkbuf_leaf_88_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 9 0.04 clknet_leaf_88_clock (net) |
| 0.07 0.00 2.00 ^ _14405_/CLK (sky130_fd_sc_hd__dfxtp_4) |
| 0.09 0.37 2.37 ^ _14405_/Q (sky130_fd_sc_hd__dfxtp_4) |
| 2 0.03 reg1K1[29] (net) |
| 0.09 0.00 2.37 ^ hold383/A (sky130_fd_sc_hd__clkbuf_4) |
| 0.15 0.22 2.59 ^ hold383/X (sky130_fd_sc_hd__clkbuf_4) |
| 4 0.05 net468 (net) |
| 0.15 0.00 2.59 ^ _13142_/A (sky130_fd_sc_hd__xor2_2) |
| 0.05 0.11 2.70 v _13142_/X (sky130_fd_sc_hd__xor2_2) |
| 3 0.01 _06238_ (net) |
| 0.05 0.00 2.70 v _13143_/B (sky130_fd_sc_hd__nor2_1) |
| 0.08 0.08 2.78 ^ _13143_/Y (sky130_fd_sc_hd__nor2_1) |
| 1 0.00 _06239_ (net) |
| 0.08 0.00 2.78 ^ _13145_/A1 (sky130_fd_sc_hd__o21a_1) |
| 0.04 0.12 2.89 ^ _13145_/X (sky130_fd_sc_hd__o21a_1) |
| 1 0.00 _00364_ (net) |
| 0.04 0.00 2.89 ^ hold646/A (sky130_fd_sc_hd__clkdlybuf4s25_1) |
| 0.05 0.23 3.13 ^ hold646/X (sky130_fd_sc_hd__clkdlybuf4s25_1) |
| 1 0.00 net731 (net) |
| 0.05 0.00 3.13 ^ _14405_/D (sky130_fd_sc_hd__dfxtp_4) |
| 3.13 data arrival time |
| |
| 0.00 0.00 clock clock (rise edge) |
| 0.00 0.00 clock source latency |
| 0.42 0.32 0.32 ^ clock (in) |
| 1 0.09 clock (net) |
| 0.42 0.00 0.32 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.05 0.24 0.55 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 2 0.02 clknet_0_clock (net) |
| 0.05 0.00 0.55 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.06 0.12 0.68 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 1 0.01 clknet_1_0_0_clock (net) |
| 0.06 0.00 0.68 ^ clkbuf_1_0_1_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.19 0.23 0.91 ^ clkbuf_1_0_1_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.04 clknet_1_0_1_clock (net) |
| 0.19 0.00 0.91 ^ clkbuf_2_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.16 0.24 1.15 ^ clkbuf_2_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.03 clknet_2_0_0_clock (net) |
| 0.16 0.00 1.15 ^ clkbuf_3_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.87 0.75 1.90 ^ clkbuf_3_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 12 0.16 clknet_3_0_0_clock (net) |
| 0.87 0.00 1.90 ^ clkbuf_leaf_88_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.07 0.32 2.21 ^ clkbuf_leaf_88_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 9 0.04 clknet_leaf_88_clock (net) |
| 0.07 0.00 2.21 ^ _14405_/CLK (sky130_fd_sc_hd__dfxtp_4) |
| 0.25 2.46 clock uncertainty |
| -0.21 2.25 clock reconvergence pessimism |
| -0.03 2.22 library hold time |
| 2.22 data required time |
| ----------------------------------------------------------------------------- |
| 2.22 data required time |
| -3.13 data arrival time |
| ----------------------------------------------------------------------------- |
| 0.90 slack (MET) |
| |
| |
| Startpoint: _14105_ (rising edge-triggered flip-flop clocked by clock) |
| Endpoint: _14105_ (rising edge-triggered flip-flop clocked by clock) |
| Path Group: clock |
| Path Type: min |
| |
| Fanout Cap Slew Delay Time Description |
| ----------------------------------------------------------------------------- |
| 0.00 0.00 clock clock (rise edge) |
| 0.00 0.00 clock source latency |
| 0.42 0.29 0.29 ^ clock (in) |
| 1 0.09 clock (net) |
| 0.42 0.00 0.29 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.05 0.22 0.50 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 2 0.02 clknet_0_clock (net) |
| 0.05 0.00 0.50 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.06 0.11 0.62 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 1 0.01 clknet_1_1_0_clock (net) |
| 0.06 0.00 0.62 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.11 0.15 0.77 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.02 clknet_1_1_1_clock (net) |
| 0.11 0.00 0.77 ^ clkbuf_2_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.20 0.23 0.99 ^ clkbuf_2_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.04 clknet_2_2_0_clock (net) |
| 0.20 0.00 0.99 ^ clkbuf_3_4_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.98 0.75 1.75 ^ clkbuf_3_4_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 13 0.18 clknet_3_4_0_clock (net) |
| 0.98 0.00 1.75 ^ clkbuf_leaf_30_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.05 0.26 2.01 ^ clkbuf_leaf_30_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 2 0.01 clknet_leaf_30_clock (net) |
| 0.05 0.00 2.01 ^ _14105_/CLK (sky130_fd_sc_hd__dfxtp_1) |
| 0.10 0.34 2.35 v _14105_/Q (sky130_fd_sc_hd__dfxtp_1) |
| 4 0.02 _T_1[81] (net) |
| 0.10 0.00 2.35 v _09614_/A (sky130_fd_sc_hd__inv_2) |
| 0.15 0.15 2.50 ^ _09614_/Y (sky130_fd_sc_hd__inv_2) |
| 3 0.03 _03029_ (net) |
| 0.15 0.00 2.50 ^ _10799_/A (sky130_fd_sc_hd__nand2_1) |
| 0.04 0.06 2.56 v _10799_/Y (sky130_fd_sc_hd__nand2_1) |
| 1 0.00 _04189_ (net) |
| 0.04 0.00 2.56 v hold841/A (sky130_fd_sc_hd__clkdlybuf4s25_1) |
| 0.04 0.23 2.79 v hold841/X (sky130_fd_sc_hd__clkdlybuf4s25_1) |
| 1 0.00 net926 (net) |
| 0.04 0.00 2.79 v _10800_/B1 (sky130_fd_sc_hd__o311a_1) |
| 0.03 0.11 2.90 v _10800_/X (sky130_fd_sc_hd__o311a_1) |
| 1 0.00 _00070_ (net) |
| 0.03 0.00 2.90 v hold842/A (sky130_fd_sc_hd__clkdlybuf4s25_1) |
| 0.04 0.22 3.12 v hold842/X (sky130_fd_sc_hd__clkdlybuf4s25_1) |
| 1 0.00 net927 (net) |
| 0.04 0.00 3.12 v _14105_/D (sky130_fd_sc_hd__dfxtp_1) |
| 3.12 data arrival time |
| |
| 0.00 0.00 clock clock (rise edge) |
| 0.00 0.00 clock source latency |
| 0.42 0.32 0.32 ^ clock (in) |
| 1 0.09 clock (net) |
| 0.42 0.00 0.32 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.05 0.24 0.55 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 2 0.02 clknet_0_clock (net) |
| 0.05 0.00 0.55 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.06 0.13 0.68 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 1 0.01 clknet_1_1_0_clock (net) |
| 0.06 0.00 0.68 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.11 0.17 0.85 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.02 clknet_1_1_1_clock (net) |
| 0.11 0.00 0.85 ^ clkbuf_2_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.20 0.25 1.10 ^ clkbuf_2_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.04 clknet_2_2_0_clock (net) |
| 0.20 0.00 1.10 ^ clkbuf_3_4_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.98 0.83 1.93 ^ clkbuf_3_4_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 13 0.18 clknet_3_4_0_clock (net) |
| 0.98 0.00 1.93 ^ clkbuf_leaf_30_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.05 0.29 2.22 ^ clkbuf_leaf_30_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 2 0.01 clknet_leaf_30_clock (net) |
| 0.05 0.00 2.22 ^ _14105_/CLK (sky130_fd_sc_hd__dfxtp_1) |
| 0.25 2.47 clock uncertainty |
| -0.21 2.26 clock reconvergence pessimism |
| -0.05 2.21 library hold time |
| 2.21 data required time |
| ----------------------------------------------------------------------------- |
| 2.21 data required time |
| -3.12 data arrival time |
| ----------------------------------------------------------------------------- |
| 0.90 slack (MET) |
| |
| |
| Startpoint: io_wbs_dat_i[8] (input port clocked by clock) |
| Endpoint: _14214_ (rising edge-triggered flip-flop clocked by clock) |
| Path Group: clock |
| Path Type: min |
| |
| Fanout Cap Slew Delay Time Description |
| ----------------------------------------------------------------------------- |
| 0.00 0.00 clock clock (rise edge) |
| 0.00 0.00 clock network delay (propagated) |
| 3.00 3.00 ^ input external delay |
| 0.02 0.01 3.01 ^ io_wbs_dat_i[8] (in) |
| 1 0.00 io_wbs_dat_i[8] (net) |
| 0.02 0.00 3.01 ^ input44/A (sky130_fd_sc_hd__buf_4) |
| 0.16 0.18 3.19 ^ input44/X (sky130_fd_sc_hd__buf_4) |
| 1 0.06 net44 (net) |
| 0.16 0.01 3.20 ^ _09000_/B (sky130_fd_sc_hd__nand2_2) |
| 0.12 0.14 3.34 v _09000_/Y (sky130_fd_sc_hd__nand2_2) |
| 2 0.03 _02423_ (net) |
| 0.12 0.00 3.34 v _12312_/A (sky130_fd_sc_hd__buf_2) |
| 0.08 0.19 3.53 v _12312_/X (sky130_fd_sc_hd__buf_2) |
| 5 0.03 _05630_ (net) |
| 0.08 0.00 3.53 v _12425_/A (sky130_fd_sc_hd__nand2_1) |
| 0.05 0.07 3.60 ^ _12425_/Y (sky130_fd_sc_hd__nand2_1) |
| 1 0.00 _05711_ (net) |
| 0.05 0.00 3.60 ^ _12426_/B1 (sky130_fd_sc_hd__o211a_1) |
| 0.04 0.13 3.72 ^ _12426_/X (sky130_fd_sc_hd__o211a_1) |
| 1 0.00 _00174_ (net) |
| 0.04 0.00 3.72 ^ _14214_/D (sky130_fd_sc_hd__dfxtp_1) |
| 3.72 data arrival time |
| |
| 0.00 0.00 clock clock (rise edge) |
| 0.00 0.00 clock source latency |
| 0.42 0.32 0.32 ^ clock (in) |
| 1 0.09 clock (net) |
| 0.42 0.00 0.32 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.05 0.24 0.55 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 2 0.02 clknet_0_clock (net) |
| 0.05 0.00 0.55 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.06 0.12 0.68 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 1 0.01 clknet_1_0_0_clock (net) |
| 0.06 0.00 0.68 ^ clkbuf_1_0_1_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.19 0.23 0.91 ^ clkbuf_1_0_1_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.04 clknet_1_0_1_clock (net) |
| 0.19 0.00 0.91 ^ clkbuf_2_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.11 0.21 1.12 ^ clkbuf_2_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.02 clknet_2_1_0_clock (net) |
| 0.11 0.00 1.12 ^ clkbuf_3_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 1.38 1.10 2.22 ^ clkbuf_3_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 18 0.26 clknet_3_2_0_clock (net) |
| 1.38 0.00 2.22 ^ clkbuf_leaf_53_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.09 0.37 2.59 ^ clkbuf_leaf_53_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 11 0.04 clknet_leaf_53_clock (net) |
| 0.09 0.00 2.59 ^ _14214_/CLK (sky130_fd_sc_hd__dfxtp_1) |
| 0.25 2.84 clock uncertainty |
| 0.00 2.84 clock reconvergence pessimism |
| -0.02 2.82 library hold time |
| 2.82 data required time |
| ----------------------------------------------------------------------------- |
| 2.82 data required time |
| -3.72 data arrival time |
| ----------------------------------------------------------------------------- |
| 0.91 slack (MET) |
| |
| |
| min_report_end |
| max_report |
| |
| =========================================================================== |
| report_checks -path_delay max (Setup) |
| ============================================================================ |
| Startpoint: _14532_ (rising edge-triggered flip-flop clocked by clock) |
| Endpoint: _14063_ (rising edge-triggered flip-flop clocked by clock) |
| Path Group: clock |
| Path Type: max |
| |
| Fanout Cap Slew Delay Time Description |
| ----------------------------------------------------------------------------- |
| 0.00 0.00 clock clock (rise edge) |
| 0.00 0.00 clock source latency |
| 0.42 0.32 0.32 ^ clock (in) |
| 1 0.09 clock (net) |
| 0.42 0.00 0.32 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.05 0.24 0.55 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 2 0.02 clknet_0_clock (net) |
| 0.05 0.00 0.55 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.06 0.12 0.68 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 1 0.01 clknet_1_0_0_clock (net) |
| 0.06 0.00 0.68 ^ clkbuf_1_0_1_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.19 0.23 0.91 ^ clkbuf_1_0_1_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.04 clknet_1_0_1_clock (net) |
| 0.19 0.00 0.91 ^ clkbuf_2_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.16 0.24 1.15 ^ clkbuf_2_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.03 clknet_2_0_0_clock (net) |
| 0.16 0.00 1.15 ^ clkbuf_3_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 1.01 0.85 1.99 ^ clkbuf_3_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 13 0.19 clknet_3_1_0_clock (net) |
| 1.01 0.00 2.00 ^ clkbuf_leaf_2_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.08 0.33 2.33 ^ clkbuf_leaf_2_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 10 0.03 clknet_leaf_2_clock (net) |
| 0.08 0.00 2.33 ^ _14532_/CLK (sky130_fd_sc_hd__dfxtp_1) |
| 0.16 0.42 2.75 ^ _14532_/Q (sky130_fd_sc_hd__dfxtp_1) |
| 5 0.02 _T_42 (net) |
| 0.16 0.00 2.75 ^ _07181_/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.14 0.22 2.97 ^ _07181_/X (sky130_fd_sc_hd__clkbuf_2) |
| 5 0.02 _00618_ (net) |
| 0.14 0.00 2.97 ^ _07182_/A (sky130_fd_sc_hd__clkbuf_4) |
| 0.14 0.25 3.21 ^ _07182_/X (sky130_fd_sc_hd__clkbuf_4) |
| 5 0.04 _00619_ (net) |
| 0.14 0.00 3.22 ^ _07241_/A (sky130_fd_sc_hd__buf_2) |
| 0.16 0.23 3.45 ^ _07241_/X (sky130_fd_sc_hd__buf_2) |
| 5 0.03 _00672_ (net) |
| 0.16 0.00 3.45 ^ _07298_/A (sky130_fd_sc_hd__dlymetal6s2s_1) |
| 0.20 0.24 3.69 ^ _07298_/X (sky130_fd_sc_hd__dlymetal6s2s_1) |
| 5 0.02 _00729_ (net) |
| 0.20 0.00 3.69 ^ _07299_/A2 (sky130_fd_sc_hd__a21oi_1) |
| 0.07 0.11 3.80 v _07299_/Y (sky130_fd_sc_hd__a21oi_1) |
| 1 0.00 _00730_ (net) |
| 0.07 0.00 3.80 v _07302_/B2 (sky130_fd_sc_hd__a221o_4) |
| 0.11 0.50 4.30 v _07302_/X (sky130_fd_sc_hd__a221o_4) |
| 2 0.04 _00733_ (net) |
| 0.11 0.00 4.31 v _07367_/A2_N (sky130_fd_sc_hd__o2bb2a_1) |
| 0.16 0.35 4.65 ^ _07367_/X (sky130_fd_sc_hd__o2bb2a_1) |
| 5 0.02 _00798_ (net) |
| 0.16 0.00 4.65 ^ _07413_/A (sky130_fd_sc_hd__or3b_4) |
| 0.09 0.21 4.86 ^ _07413_/X (sky130_fd_sc_hd__or3b_4) |
| 4 0.02 _00844_ (net) |
| 0.09 0.00 4.86 ^ _07415_/A (sky130_fd_sc_hd__dlymetal6s2s_1) |
| 0.18 0.21 5.07 ^ _07415_/X (sky130_fd_sc_hd__dlymetal6s2s_1) |
| 5 0.02 _00846_ (net) |
| 0.18 0.00 5.07 ^ _08099_/B (sky130_fd_sc_hd__nor2_1) |
| 0.07 0.11 5.18 v _08099_/Y (sky130_fd_sc_hd__nor2_1) |
| 2 0.01 _01527_ (net) |
| 0.07 0.00 5.18 v _08100_/C (sky130_fd_sc_hd__or4b_1) |
| 0.08 0.49 5.67 v _08100_/X (sky130_fd_sc_hd__or4b_1) |
| 1 0.00 _01528_ (net) |
| 0.08 0.00 5.67 v _08104_/B (sky130_fd_sc_hd__or4b_1) |
| 0.09 0.55 6.22 v _08104_/X (sky130_fd_sc_hd__or4b_1) |
| 1 0.00 _01532_ (net) |
| 0.09 0.00 6.22 v _08106_/C (sky130_fd_sc_hd__or4_1) |
| 0.08 0.49 6.70 v _08106_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.00 _01534_ (net) |
| 0.08 0.00 6.70 v _08109_/B (sky130_fd_sc_hd__or4b_1) |
| 0.11 0.57 7.27 v _08109_/X (sky130_fd_sc_hd__or4b_1) |
| 1 0.01 _01537_ (net) |
| 0.11 0.00 7.27 v _08112_/B (sky130_fd_sc_hd__or4b_1) |
| 0.11 0.58 7.86 v _08112_/X (sky130_fd_sc_hd__or4b_1) |
| 1 0.01 _01540_ (net) |
| 0.11 0.00 7.86 v _08116_/C (sky130_fd_sc_hd__or4_1) |
| 0.09 0.52 8.38 v _08116_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.00 _01544_ (net) |
| 0.09 0.00 8.38 v _08117_/D (sky130_fd_sc_hd__or4_1) |
| 0.07 0.41 8.79 v _08117_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.00 _01545_ (net) |
| 0.07 0.00 8.79 v _08118_/D (sky130_fd_sc_hd__or4_1) |
| 0.11 0.46 9.24 v _08118_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.01 _01546_ (net) |
| 0.11 0.00 9.24 v _08119_/D (sky130_fd_sc_hd__or4_1) |
| 0.10 0.45 9.70 v _08119_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.01 _01547_ (net) |
| 0.10 0.00 9.70 v _08120_/D (sky130_fd_sc_hd__or4_1) |
| 0.09 0.43 10.13 v _08120_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.00 _01548_ (net) |
| 0.09 0.00 10.13 v _08121_/B (sky130_fd_sc_hd__nor2_1) |
| 0.15 0.16 10.29 ^ _08121_/Y (sky130_fd_sc_hd__nor2_1) |
| 1 0.01 _01549_ (net) |
| 0.15 0.00 10.29 ^ _08122_/C1 (sky130_fd_sc_hd__o311a_1) |
| 0.05 0.19 10.48 ^ _08122_/X (sky130_fd_sc_hd__o311a_1) |
| 1 0.00 _01550_ (net) |
| 0.05 0.00 10.48 ^ _08123_/D_N (sky130_fd_sc_hd__or4b_1) |
| 0.09 0.47 10.95 v _08123_/X (sky130_fd_sc_hd__or4b_1) |
| 1 0.00 _01551_ (net) |
| 0.09 0.00 10.95 v _08124_/B1 (sky130_fd_sc_hd__a211o_1) |
| 0.09 0.35 11.30 v _08124_/X (sky130_fd_sc_hd__a211o_1) |
| 1 0.01 _01552_ (net) |
| 0.09 0.00 11.30 v _08125_/D (sky130_fd_sc_hd__or4_1) |
| 0.08 0.42 11.72 v _08125_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.00 _01553_ (net) |
| 0.08 0.00 11.72 v _08126_/D (sky130_fd_sc_hd__or4_1) |
| 0.08 0.41 12.13 v _08126_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.00 _01554_ (net) |
| 0.08 0.00 12.13 v _08128_/C (sky130_fd_sc_hd__or4_1) |
| 0.13 0.56 12.69 v _08128_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.01 _01556_ (net) |
| 0.13 0.00 12.70 v _08129_/B2 (sky130_fd_sc_hd__o22a_1) |
| 0.06 0.22 12.92 v _08129_/X (sky130_fd_sc_hd__o22a_1) |
| 1 0.01 _01557_ (net) |
| 0.06 0.00 12.92 v _08133_/A2 (sky130_fd_sc_hd__o31a_1) |
| 0.10 0.35 13.27 v _08133_/X (sky130_fd_sc_hd__o31a_1) |
| 1 0.01 _01561_ (net) |
| 0.10 0.00 13.27 v _08143_/A (sky130_fd_sc_hd__nor2_4) |
| 0.20 0.23 13.50 ^ _08143_/Y (sky130_fd_sc_hd__nor2_4) |
| 2 0.03 _01571_ (net) |
| 0.20 0.00 13.50 ^ _08148_/A (sky130_fd_sc_hd__xnor2_4) |
| 0.10 0.14 13.64 v _08148_/Y (sky130_fd_sc_hd__xnor2_4) |
| 4 0.03 _01576_ (net) |
| 0.10 0.00 13.64 v _08149_/B2 (sky130_fd_sc_hd__a32o_1) |
| 0.05 0.25 13.89 v _08149_/X (sky130_fd_sc_hd__a32o_1) |
| 1 0.00 _01577_ (net) |
| 0.05 0.00 13.89 v _08152_/A2 (sky130_fd_sc_hd__a221o_1) |
| 0.09 0.40 14.29 v _08152_/X (sky130_fd_sc_hd__a221o_1) |
| 1 0.01 _01580_ (net) |
| 0.09 0.00 14.29 v _08186_/A1 (sky130_fd_sc_hd__a21o_1) |
| 0.04 0.19 14.48 v _08186_/X (sky130_fd_sc_hd__a21o_1) |
| 1 0.01 _01614_ (net) |
| 0.04 0.00 14.48 v _08193_/A2 (sky130_fd_sc_hd__a311o_1) |
| 0.06 0.32 14.80 v _08193_/X (sky130_fd_sc_hd__a311o_1) |
| 1 0.00 _01621_ (net) |
| 0.06 0.00 14.80 v _08194_/B1 (sky130_fd_sc_hd__o211a_1) |
| 0.04 0.13 14.93 v _08194_/X (sky130_fd_sc_hd__o211a_1) |
| 1 0.00 _00032_ (net) |
| 0.04 0.00 14.93 v hold112/A (sky130_fd_sc_hd__dlygate4sd3_1) |
| 0.06 0.58 15.51 v hold112/X (sky130_fd_sc_hd__dlygate4sd3_1) |
| 1 0.00 net198 (net) |
| 0.06 0.00 15.51 v hold113/A (sky130_fd_sc_hd__clkdlybuf4s25_1) |
| 0.04 0.26 15.77 v hold113/X (sky130_fd_sc_hd__clkdlybuf4s25_1) |
| 1 0.00 net197 (net) |
| 0.04 0.00 15.77 v _14063_/D (sky130_fd_sc_hd__dfxtp_4) |
| 15.77 data arrival time |
| |
| 15.00 15.00 clock clock (rise edge) |
| 0.00 15.00 clock source latency |
| 0.42 0.29 15.29 ^ clock (in) |
| 1 0.09 clock (net) |
| 0.42 0.00 15.29 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.05 0.22 15.50 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 2 0.02 clknet_0_clock (net) |
| 0.05 0.00 15.50 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.06 0.11 15.62 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 1 0.01 clknet_1_1_0_clock (net) |
| 0.06 0.00 15.62 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.11 0.15 15.77 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.02 clknet_1_1_1_clock (net) |
| 0.11 0.00 15.77 ^ clkbuf_2_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.20 0.23 15.99 ^ clkbuf_2_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.04 clknet_2_2_0_clock (net) |
| 0.20 0.00 15.99 ^ clkbuf_3_4_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.98 0.75 16.75 ^ clkbuf_3_4_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 13 0.18 clknet_3_4_0_clock (net) |
| 0.98 0.00 16.75 ^ clkbuf_leaf_18_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.06 0.27 17.02 ^ clkbuf_leaf_18_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 4 0.01 clknet_leaf_18_clock (net) |
| 0.06 0.00 17.02 ^ _14063_/CLK (sky130_fd_sc_hd__dfxtp_4) |
| -0.25 16.77 clock uncertainty |
| 0.05 16.82 clock reconvergence pessimism |
| -0.11 16.72 library setup time |
| 16.72 data required time |
| ----------------------------------------------------------------------------- |
| 16.72 data required time |
| -15.77 data arrival time |
| ----------------------------------------------------------------------------- |
| 0.95 slack (MET) |
| |
| |
| Startpoint: _14532_ (rising edge-triggered flip-flop clocked by clock) |
| Endpoint: _14443_ (rising edge-triggered flip-flop clocked by clock) |
| Path Group: clock |
| Path Type: max |
| |
| Fanout Cap Slew Delay Time Description |
| ----------------------------------------------------------------------------- |
| 0.00 0.00 clock clock (rise edge) |
| 0.00 0.00 clock source latency |
| 0.42 0.32 0.32 ^ clock (in) |
| 1 0.09 clock (net) |
| 0.42 0.00 0.32 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.05 0.24 0.55 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 2 0.02 clknet_0_clock (net) |
| 0.05 0.00 0.55 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.06 0.12 0.68 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 1 0.01 clknet_1_0_0_clock (net) |
| 0.06 0.00 0.68 ^ clkbuf_1_0_1_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.19 0.23 0.91 ^ clkbuf_1_0_1_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.04 clknet_1_0_1_clock (net) |
| 0.19 0.00 0.91 ^ clkbuf_2_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.16 0.24 1.15 ^ clkbuf_2_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.03 clknet_2_0_0_clock (net) |
| 0.16 0.00 1.15 ^ clkbuf_3_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 1.01 0.85 1.99 ^ clkbuf_3_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 13 0.19 clknet_3_1_0_clock (net) |
| 1.01 0.00 2.00 ^ clkbuf_leaf_2_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.08 0.33 2.33 ^ clkbuf_leaf_2_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 10 0.03 clknet_leaf_2_clock (net) |
| 0.08 0.00 2.33 ^ _14532_/CLK (sky130_fd_sc_hd__dfxtp_1) |
| 0.16 0.42 2.75 ^ _14532_/Q (sky130_fd_sc_hd__dfxtp_1) |
| 5 0.02 _T_42 (net) |
| 0.16 0.00 2.75 ^ _07181_/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.14 0.22 2.97 ^ _07181_/X (sky130_fd_sc_hd__clkbuf_2) |
| 5 0.02 _00618_ (net) |
| 0.14 0.00 2.97 ^ _07182_/A (sky130_fd_sc_hd__clkbuf_4) |
| 0.14 0.25 3.21 ^ _07182_/X (sky130_fd_sc_hd__clkbuf_4) |
| 5 0.04 _00619_ (net) |
| 0.14 0.00 3.22 ^ _07241_/A (sky130_fd_sc_hd__buf_2) |
| 0.16 0.23 3.45 ^ _07241_/X (sky130_fd_sc_hd__buf_2) |
| 5 0.03 _00672_ (net) |
| 0.16 0.00 3.45 ^ _07298_/A (sky130_fd_sc_hd__dlymetal6s2s_1) |
| 0.20 0.24 3.69 ^ _07298_/X (sky130_fd_sc_hd__dlymetal6s2s_1) |
| 5 0.02 _00729_ (net) |
| 0.20 0.00 3.69 ^ _07299_/A2 (sky130_fd_sc_hd__a21oi_1) |
| 0.07 0.11 3.80 v _07299_/Y (sky130_fd_sc_hd__a21oi_1) |
| 1 0.00 _00730_ (net) |
| 0.07 0.00 3.80 v _07302_/B2 (sky130_fd_sc_hd__a221o_4) |
| 0.11 0.50 4.30 v _07302_/X (sky130_fd_sc_hd__a221o_4) |
| 2 0.04 _00733_ (net) |
| 0.11 0.00 4.31 v _07367_/A2_N (sky130_fd_sc_hd__o2bb2a_1) |
| 0.16 0.35 4.65 ^ _07367_/X (sky130_fd_sc_hd__o2bb2a_1) |
| 5 0.02 _00798_ (net) |
| 0.16 0.00 4.65 ^ _07413_/A (sky130_fd_sc_hd__or3b_4) |
| 0.09 0.21 4.86 ^ _07413_/X (sky130_fd_sc_hd__or3b_4) |
| 4 0.02 _00844_ (net) |
| 0.09 0.00 4.86 ^ _07415_/A (sky130_fd_sc_hd__dlymetal6s2s_1) |
| 0.18 0.21 5.07 ^ _07415_/X (sky130_fd_sc_hd__dlymetal6s2s_1) |
| 5 0.02 _00846_ (net) |
| 0.18 0.00 5.07 ^ _08099_/B (sky130_fd_sc_hd__nor2_1) |
| 0.07 0.11 5.18 v _08099_/Y (sky130_fd_sc_hd__nor2_1) |
| 2 0.01 _01527_ (net) |
| 0.07 0.00 5.18 v _08100_/C (sky130_fd_sc_hd__or4b_1) |
| 0.08 0.49 5.67 v _08100_/X (sky130_fd_sc_hd__or4b_1) |
| 1 0.00 _01528_ (net) |
| 0.08 0.00 5.67 v _08104_/B (sky130_fd_sc_hd__or4b_1) |
| 0.09 0.55 6.22 v _08104_/X (sky130_fd_sc_hd__or4b_1) |
| 1 0.00 _01532_ (net) |
| 0.09 0.00 6.22 v _08106_/C (sky130_fd_sc_hd__or4_1) |
| 0.08 0.49 6.70 v _08106_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.00 _01534_ (net) |
| 0.08 0.00 6.70 v _08109_/B (sky130_fd_sc_hd__or4b_1) |
| 0.11 0.57 7.27 v _08109_/X (sky130_fd_sc_hd__or4b_1) |
| 1 0.01 _01537_ (net) |
| 0.11 0.00 7.27 v _08112_/B (sky130_fd_sc_hd__or4b_1) |
| 0.11 0.58 7.86 v _08112_/X (sky130_fd_sc_hd__or4b_1) |
| 1 0.01 _01540_ (net) |
| 0.11 0.00 7.86 v _08116_/C (sky130_fd_sc_hd__or4_1) |
| 0.09 0.52 8.38 v _08116_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.00 _01544_ (net) |
| 0.09 0.00 8.38 v _08117_/D (sky130_fd_sc_hd__or4_1) |
| 0.07 0.41 8.79 v _08117_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.00 _01545_ (net) |
| 0.07 0.00 8.79 v _08118_/D (sky130_fd_sc_hd__or4_1) |
| 0.11 0.46 9.24 v _08118_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.01 _01546_ (net) |
| 0.11 0.00 9.24 v _08119_/D (sky130_fd_sc_hd__or4_1) |
| 0.10 0.45 9.70 v _08119_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.01 _01547_ (net) |
| 0.10 0.00 9.70 v _08120_/D (sky130_fd_sc_hd__or4_1) |
| 0.09 0.43 10.13 v _08120_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.00 _01548_ (net) |
| 0.09 0.00 10.13 v _08121_/B (sky130_fd_sc_hd__nor2_1) |
| 0.15 0.16 10.29 ^ _08121_/Y (sky130_fd_sc_hd__nor2_1) |
| 1 0.01 _01549_ (net) |
| 0.15 0.00 10.29 ^ _08122_/C1 (sky130_fd_sc_hd__o311a_1) |
| 0.05 0.19 10.48 ^ _08122_/X (sky130_fd_sc_hd__o311a_1) |
| 1 0.00 _01550_ (net) |
| 0.05 0.00 10.48 ^ _08123_/D_N (sky130_fd_sc_hd__or4b_1) |
| 0.09 0.47 10.95 v _08123_/X (sky130_fd_sc_hd__or4b_1) |
| 1 0.00 _01551_ (net) |
| 0.09 0.00 10.95 v _08124_/B1 (sky130_fd_sc_hd__a211o_1) |
| 0.09 0.35 11.30 v _08124_/X (sky130_fd_sc_hd__a211o_1) |
| 1 0.01 _01552_ (net) |
| 0.09 0.00 11.30 v _08125_/D (sky130_fd_sc_hd__or4_1) |
| 0.08 0.42 11.72 v _08125_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.00 _01553_ (net) |
| 0.08 0.00 11.72 v _08126_/D (sky130_fd_sc_hd__or4_1) |
| 0.08 0.41 12.13 v _08126_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.00 _01554_ (net) |
| 0.08 0.00 12.13 v _08128_/C (sky130_fd_sc_hd__or4_1) |
| 0.13 0.56 12.69 v _08128_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.01 _01556_ (net) |
| 0.13 0.00 12.70 v _08129_/B2 (sky130_fd_sc_hd__o22a_1) |
| 0.06 0.22 12.92 v _08129_/X (sky130_fd_sc_hd__o22a_1) |
| 1 0.01 _01557_ (net) |
| 0.06 0.00 12.92 v _08133_/A2 (sky130_fd_sc_hd__o31a_1) |
| 0.10 0.35 13.27 v _08133_/X (sky130_fd_sc_hd__o31a_1) |
| 1 0.01 _01561_ (net) |
| 0.10 0.00 13.27 v _08143_/A (sky130_fd_sc_hd__nor2_4) |
| 0.20 0.23 13.50 ^ _08143_/Y (sky130_fd_sc_hd__nor2_4) |
| 2 0.03 _01571_ (net) |
| 0.20 0.00 13.50 ^ _08187_/A (sky130_fd_sc_hd__buf_4) |
| 0.15 0.25 13.75 ^ _08187_/X (sky130_fd_sc_hd__buf_4) |
| 5 0.05 _01615_ (net) |
| 0.15 0.00 13.75 ^ _12830_/B (sky130_fd_sc_hd__xnor2_1) |
| 0.30 0.21 13.96 ^ _12830_/Y (sky130_fd_sc_hd__xnor2_1) |
| 2 0.01 _05984_ (net) |
| 0.30 0.00 13.96 ^ _13015_/B (sky130_fd_sc_hd__xnor2_2) |
| 0.23 0.20 14.16 ^ _13015_/Y (sky130_fd_sc_hd__xnor2_2) |
| 3 0.01 _06137_ (net) |
| 0.23 0.00 14.16 ^ _13177_/A2 (sky130_fd_sc_hd__o21ai_1) |
| 0.06 0.09 14.26 v _13177_/Y (sky130_fd_sc_hd__o21ai_1) |
| 1 0.00 _06267_ (net) |
| 0.06 0.00 14.26 v _13178_/B1 (sky130_fd_sc_hd__a21oi_1) |
| 0.26 0.24 14.50 ^ _13178_/Y (sky130_fd_sc_hd__a21oi_1) |
| 3 0.01 _06268_ (net) |
| 0.26 0.00 14.50 ^ _13338_/B (sky130_fd_sc_hd__nand2_1) |
| 0.06 0.09 14.59 v _13338_/Y (sky130_fd_sc_hd__nand2_1) |
| 1 0.00 _06396_ (net) |
| 0.06 0.00 14.59 v hold782/A (sky130_fd_sc_hd__clkdlybuf4s25_1) |
| 0.04 0.26 14.85 v hold782/X (sky130_fd_sc_hd__clkdlybuf4s25_1) |
| 1 0.00 net867 (net) |
| 0.04 0.00 14.85 v _13340_/A2 (sky130_fd_sc_hd__a32o_1) |
| 0.04 0.25 15.10 v _13340_/X (sky130_fd_sc_hd__a32o_1) |
| 1 0.00 _00402_ (net) |
| 0.04 0.00 15.10 v hold783/A (sky130_fd_sc_hd__clkdlybuf4s25_1) |
| 0.05 0.26 15.36 v hold783/X (sky130_fd_sc_hd__clkdlybuf4s25_1) |
| 1 0.00 net868 (net) |
| 0.05 0.00 15.36 v _14443_/D (sky130_fd_sc_hd__dfxtp_1) |
| 15.36 data arrival time |
| |
| 15.00 15.00 clock clock (rise edge) |
| 0.00 15.00 clock source latency |
| 0.42 0.29 15.29 ^ clock (in) |
| 1 0.09 clock (net) |
| 0.42 0.00 15.29 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.05 0.22 15.50 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 2 0.02 clknet_0_clock (net) |
| 0.05 0.00 15.50 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.06 0.11 15.62 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 1 0.01 clknet_1_1_0_clock (net) |
| 0.06 0.00 15.62 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.11 0.15 15.77 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.02 clknet_1_1_1_clock (net) |
| 0.11 0.00 15.77 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.13 0.18 15.94 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.02 clknet_2_3_0_clock (net) |
| 0.13 0.00 15.94 ^ clkbuf_3_6_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.71 0.57 16.51 ^ clkbuf_3_6_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 10 0.13 clknet_3_6_0_clock (net) |
| 0.71 0.00 16.51 ^ clkbuf_leaf_37_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.06 0.26 16.77 ^ clkbuf_leaf_37_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 6 0.02 clknet_leaf_37_clock (net) |
| 0.06 0.00 16.77 ^ _14443_/CLK (sky130_fd_sc_hd__dfxtp_1) |
| -0.25 16.52 clock uncertainty |
| 0.05 16.58 clock reconvergence pessimism |
| -0.11 16.47 library setup time |
| 16.47 data required time |
| ----------------------------------------------------------------------------- |
| 16.47 data required time |
| -15.36 data arrival time |
| ----------------------------------------------------------------------------- |
| 1.11 slack (MET) |
| |
| |
| Startpoint: _14528_ (rising edge-triggered flip-flop clocked by clock) |
| Endpoint: _14153_ (rising edge-triggered flip-flop clocked by clock) |
| Path Group: clock |
| Path Type: max |
| |
| Fanout Cap Slew Delay Time Description |
| ----------------------------------------------------------------------------- |
| 0.00 0.00 clock clock (rise edge) |
| 0.00 0.00 clock source latency |
| 0.42 0.32 0.32 ^ clock (in) |
| 1 0.09 clock (net) |
| 0.42 0.00 0.32 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.05 0.24 0.55 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 2 0.02 clknet_0_clock (net) |
| 0.05 0.00 0.55 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.06 0.12 0.68 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 1 0.01 clknet_1_0_0_clock (net) |
| 0.06 0.00 0.68 ^ clkbuf_1_0_1_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.19 0.23 0.91 ^ clkbuf_1_0_1_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.04 clknet_1_0_1_clock (net) |
| 0.19 0.00 0.91 ^ clkbuf_2_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.16 0.24 1.15 ^ clkbuf_2_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.03 clknet_2_0_0_clock (net) |
| 0.16 0.00 1.15 ^ clkbuf_3_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 1.01 0.85 1.99 ^ clkbuf_3_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 13 0.19 clknet_3_1_0_clock (net) |
| 1.01 0.00 2.00 ^ clkbuf_leaf_3_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.07 0.31 2.31 ^ clkbuf_leaf_3_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 6 0.02 clknet_leaf_3_clock (net) |
| 0.07 0.00 2.31 ^ _14528_/CLK (sky130_fd_sc_hd__dfxtp_1) |
| 0.18 0.43 2.74 ^ _14528_/Q (sky130_fd_sc_hd__dfxtp_1) |
| 5 0.02 _T_43 (net) |
| 0.18 0.00 2.74 ^ _07196_/A (sky130_fd_sc_hd__dlymetal6s2s_1) |
| 0.21 0.25 2.99 ^ _07196_/X (sky130_fd_sc_hd__dlymetal6s2s_1) |
| 5 0.02 _00630_ (net) |
| 0.21 0.00 2.99 ^ _07197_/A (sky130_fd_sc_hd__clkbuf_8) |
| 0.12 0.25 3.24 ^ _07197_/X (sky130_fd_sc_hd__clkbuf_8) |
| 5 0.07 _00631_ (net) |
| 0.12 0.01 3.25 ^ _07260_/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.14 0.21 3.46 ^ _07260_/X (sky130_fd_sc_hd__clkbuf_2) |
| 5 0.02 _00691_ (net) |
| 0.14 0.00 3.46 ^ _07296_/A (sky130_fd_sc_hd__clkbuf_4) |
| 0.12 0.24 3.70 ^ _07296_/X (sky130_fd_sc_hd__clkbuf_4) |
| 5 0.04 _00727_ (net) |
| 0.12 0.00 3.70 ^ _08632_/B (sky130_fd_sc_hd__nand3b_1) |
| 0.07 0.11 3.81 v _08632_/Y (sky130_fd_sc_hd__nand3b_1) |
| 1 0.00 _02055_ (net) |
| 0.07 0.00 3.81 v _08634_/B1 (sky130_fd_sc_hd__a221o_2) |
| 0.11 0.43 4.24 v _08634_/X (sky130_fd_sc_hd__a221o_2) |
| 2 0.02 _02057_ (net) |
| 0.11 0.00 4.24 v _08691_/A2_N (sky130_fd_sc_hd__o2bb2a_2) |
| 0.12 0.35 4.59 ^ _08691_/X (sky130_fd_sc_hd__o2bb2a_2) |
| 4 0.02 _02114_ (net) |
| 0.12 0.00 4.59 ^ _08740_/A (sky130_fd_sc_hd__nor2_1) |
| 0.08 0.12 4.71 v _08740_/Y (sky130_fd_sc_hd__nor2_1) |
| 3 0.02 _02163_ (net) |
| 0.08 0.00 4.71 v _08767_/B (sky130_fd_sc_hd__nand2_2) |
| 0.11 0.14 4.85 ^ _08767_/Y (sky130_fd_sc_hd__nand2_2) |
| 4 0.02 _02190_ (net) |
| 0.11 0.00 4.85 ^ _08768_/B1 (sky130_fd_sc_hd__o22a_1) |
| 0.14 0.23 5.08 ^ _08768_/X (sky130_fd_sc_hd__o22a_1) |
| 3 0.01 _02191_ (net) |
| 0.14 0.00 5.08 ^ _09272_/D_N (sky130_fd_sc_hd__or4b_1) |
| 0.10 0.50 5.58 v _09272_/X (sky130_fd_sc_hd__or4b_1) |
| 1 0.01 _02692_ (net) |
| 0.10 0.00 5.58 v _09274_/C (sky130_fd_sc_hd__or4b_1) |
| 0.09 0.52 6.09 v _09274_/X (sky130_fd_sc_hd__or4b_1) |
| 1 0.00 _02694_ (net) |
| 0.09 0.00 6.09 v _09276_/B (sky130_fd_sc_hd__or3_1) |
| 0.06 0.37 6.46 v _09276_/X (sky130_fd_sc_hd__or3_1) |
| 1 0.00 _02696_ (net) |
| 0.06 0.00 6.46 v _09277_/C (sky130_fd_sc_hd__or3_1) |
| 0.08 0.34 6.81 v _09277_/X (sky130_fd_sc_hd__or3_1) |
| 1 0.01 _02697_ (net) |
| 0.08 0.00 6.81 v _09281_/A (sky130_fd_sc_hd__or4bb_1) |
| 0.09 0.54 7.35 v _09281_/X (sky130_fd_sc_hd__or4bb_1) |
| 1 0.00 _02701_ (net) |
| 0.09 0.00 7.35 v _09287_/B (sky130_fd_sc_hd__or4_1) |
| 0.10 0.56 7.91 v _09287_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.00 _02707_ (net) |
| 0.10 0.00 7.91 v _09288_/D (sky130_fd_sc_hd__or4_1) |
| 0.10 0.45 8.36 v _09288_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.01 _02708_ (net) |
| 0.10 0.00 8.36 v _09289_/D (sky130_fd_sc_hd__or4_1) |
| 0.08 0.42 8.78 v _09289_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.00 _02709_ (net) |
| 0.08 0.00 8.78 v _09290_/D (sky130_fd_sc_hd__or4_1) |
| 0.08 0.42 9.20 v _09290_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.00 _02710_ (net) |
| 0.08 0.00 9.20 v _09291_/D (sky130_fd_sc_hd__or4_1) |
| 0.09 0.44 9.64 v _09291_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.00 _02711_ (net) |
| 0.09 0.00 9.64 v _09292_/C (sky130_fd_sc_hd__or3_1) |
| 0.07 0.33 9.97 v _09292_/X (sky130_fd_sc_hd__or3_1) |
| 1 0.00 _02712_ (net) |
| 0.07 0.00 9.97 v _09293_/C1 (sky130_fd_sc_hd__a311o_1) |
| 0.06 0.30 10.27 v _09293_/X (sky130_fd_sc_hd__a311o_1) |
| 1 0.00 _02713_ (net) |
| 0.06 0.00 10.27 v _09295_/C (sky130_fd_sc_hd__or4_1) |
| 0.09 0.50 10.77 v _09295_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.00 _02715_ (net) |
| 0.09 0.00 10.77 v _09296_/C1 (sky130_fd_sc_hd__a2111o_1) |
| 0.07 0.39 11.16 v _09296_/X (sky130_fd_sc_hd__a2111o_1) |
| 1 0.01 _02716_ (net) |
| 0.07 0.00 11.16 v _09297_/D (sky130_fd_sc_hd__or4_1) |
| 0.10 0.44 11.60 v _09297_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.00 _02717_ (net) |
| 0.10 0.00 11.60 v _09298_/D (sky130_fd_sc_hd__or4_1) |
| 0.11 0.47 12.06 v _09298_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.01 _02718_ (net) |
| 0.11 0.00 12.06 v _09300_/C (sky130_fd_sc_hd__or4_1) |
| 0.10 0.53 12.60 v _09300_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.01 _02720_ (net) |
| 0.10 0.00 12.60 v _09301_/B1 (sky130_fd_sc_hd__o21ai_1) |
| 0.12 0.11 12.71 ^ _09301_/Y (sky130_fd_sc_hd__o21ai_1) |
| 1 0.00 _02721_ (net) |
| 0.12 0.00 12.71 ^ _09305_/A1 (sky130_fd_sc_hd__a311o_1) |
| 0.11 0.22 12.93 ^ _09305_/X (sky130_fd_sc_hd__a311o_1) |
| 1 0.01 _02725_ (net) |
| 0.11 0.00 12.93 ^ _09306_/B (sky130_fd_sc_hd__and2b_4) |
| 0.20 0.29 13.22 ^ _09306_/X (sky130_fd_sc_hd__and2b_4) |
| 2 0.06 _02726_ (net) |
| 0.20 0.00 13.23 ^ _09307_/A (sky130_fd_sc_hd__clkbuf_8) |
| 0.12 0.25 13.47 ^ _09307_/X (sky130_fd_sc_hd__clkbuf_8) |
| 5 0.06 _02727_ (net) |
| 0.12 0.00 13.48 ^ _09308_/B (sky130_fd_sc_hd__xnor2_4) |
| 0.12 0.14 13.61 v _09308_/Y (sky130_fd_sc_hd__xnor2_4) |
| 4 0.04 _02728_ (net) |
| 0.12 0.00 13.61 v _12100_/B1 (sky130_fd_sc_hd__a32o_1) |
| 0.04 0.24 13.85 v _12100_/X (sky130_fd_sc_hd__a32o_1) |
| 1 0.00 _05447_ (net) |
| 0.04 0.00 13.85 v _12102_/A2 (sky130_fd_sc_hd__a221o_1) |
| 0.06 0.37 14.23 v _12102_/X (sky130_fd_sc_hd__a221o_1) |
| 1 0.01 _05449_ (net) |
| 0.06 0.00 14.23 v _12105_/A2 (sky130_fd_sc_hd__a31o_1) |
| 0.04 0.23 14.45 v _12105_/X (sky130_fd_sc_hd__a31o_1) |
| 1 0.00 _05452_ (net) |
| 0.04 0.00 14.45 v _12107_/B1 (sky130_fd_sc_hd__o221a_1) |
| 0.04 0.22 14.68 v _12107_/X (sky130_fd_sc_hd__o221a_1) |
| 1 0.00 _00113_ (net) |
| 0.04 0.00 14.68 v hold1067/A (sky130_fd_sc_hd__dlygate4sd3_1) |
| 0.09 0.63 15.31 v hold1067/X (sky130_fd_sc_hd__dlygate4sd3_1) |
| 1 0.01 net1152 (net) |
| 0.09 0.00 15.31 v _14153_/D (sky130_fd_sc_hd__dfxtp_1) |
| 15.31 data arrival time |
| |
| 15.00 15.00 clock clock (rise edge) |
| 0.00 15.00 clock source latency |
| 0.42 0.29 15.29 ^ clock (in) |
| 1 0.09 clock (net) |
| 0.42 0.00 15.29 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.05 0.22 15.50 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 2 0.02 clknet_0_clock (net) |
| 0.05 0.00 15.50 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.06 0.11 15.62 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 1 0.01 clknet_1_1_0_clock (net) |
| 0.06 0.00 15.62 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.11 0.15 15.77 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.02 clknet_1_1_1_clock (net) |
| 0.11 0.00 15.77 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.13 0.18 15.94 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.02 clknet_2_3_0_clock (net) |
| 0.13 0.00 15.94 ^ clkbuf_3_6_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.71 0.57 16.51 ^ clkbuf_3_6_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 10 0.13 clknet_3_6_0_clock (net) |
| 0.71 0.00 16.51 ^ clkbuf_leaf_38_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.06 0.25 16.77 ^ clkbuf_leaf_38_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 4 0.02 clknet_leaf_38_clock (net) |
| 0.06 0.00 16.77 ^ _14153_/CLK (sky130_fd_sc_hd__dfxtp_1) |
| -0.25 16.52 clock uncertainty |
| 0.05 16.57 clock reconvergence pessimism |
| -0.13 16.44 library setup time |
| 16.44 data required time |
| ----------------------------------------------------------------------------- |
| 16.44 data required time |
| -15.31 data arrival time |
| ----------------------------------------------------------------------------- |
| 1.13 slack (MET) |
| |
| |
| Startpoint: _14532_ (rising edge-triggered flip-flop clocked by clock) |
| Endpoint: _14411_ (rising edge-triggered flip-flop clocked by clock) |
| Path Group: clock |
| Path Type: max |
| |
| Fanout Cap Slew Delay Time Description |
| ----------------------------------------------------------------------------- |
| 0.00 0.00 clock clock (rise edge) |
| 0.00 0.00 clock source latency |
| 0.42 0.32 0.32 ^ clock (in) |
| 1 0.09 clock (net) |
| 0.42 0.00 0.32 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.05 0.24 0.55 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 2 0.02 clknet_0_clock (net) |
| 0.05 0.00 0.55 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.06 0.12 0.68 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 1 0.01 clknet_1_0_0_clock (net) |
| 0.06 0.00 0.68 ^ clkbuf_1_0_1_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.19 0.23 0.91 ^ clkbuf_1_0_1_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.04 clknet_1_0_1_clock (net) |
| 0.19 0.00 0.91 ^ clkbuf_2_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.16 0.24 1.15 ^ clkbuf_2_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.03 clknet_2_0_0_clock (net) |
| 0.16 0.00 1.15 ^ clkbuf_3_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 1.01 0.85 1.99 ^ clkbuf_3_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 13 0.19 clknet_3_1_0_clock (net) |
| 1.01 0.00 2.00 ^ clkbuf_leaf_2_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.08 0.33 2.33 ^ clkbuf_leaf_2_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 10 0.03 clknet_leaf_2_clock (net) |
| 0.08 0.00 2.33 ^ _14532_/CLK (sky130_fd_sc_hd__dfxtp_1) |
| 0.16 0.42 2.75 ^ _14532_/Q (sky130_fd_sc_hd__dfxtp_1) |
| 5 0.02 _T_42 (net) |
| 0.16 0.00 2.75 ^ _07181_/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.14 0.22 2.97 ^ _07181_/X (sky130_fd_sc_hd__clkbuf_2) |
| 5 0.02 _00618_ (net) |
| 0.14 0.00 2.97 ^ _07182_/A (sky130_fd_sc_hd__clkbuf_4) |
| 0.14 0.25 3.21 ^ _07182_/X (sky130_fd_sc_hd__clkbuf_4) |
| 5 0.04 _00619_ (net) |
| 0.14 0.00 3.22 ^ _07241_/A (sky130_fd_sc_hd__buf_2) |
| 0.16 0.23 3.45 ^ _07241_/X (sky130_fd_sc_hd__buf_2) |
| 5 0.03 _00672_ (net) |
| 0.16 0.00 3.45 ^ _07298_/A (sky130_fd_sc_hd__dlymetal6s2s_1) |
| 0.20 0.24 3.69 ^ _07298_/X (sky130_fd_sc_hd__dlymetal6s2s_1) |
| 5 0.02 _00729_ (net) |
| 0.20 0.00 3.69 ^ _07299_/A2 (sky130_fd_sc_hd__a21oi_1) |
| 0.07 0.11 3.80 v _07299_/Y (sky130_fd_sc_hd__a21oi_1) |
| 1 0.00 _00730_ (net) |
| 0.07 0.00 3.80 v _07302_/B2 (sky130_fd_sc_hd__a221o_4) |
| 0.11 0.50 4.30 v _07302_/X (sky130_fd_sc_hd__a221o_4) |
| 2 0.04 _00733_ (net) |
| 0.11 0.00 4.31 v _07367_/A2_N (sky130_fd_sc_hd__o2bb2a_1) |
| 0.16 0.35 4.65 ^ _07367_/X (sky130_fd_sc_hd__o2bb2a_1) |
| 5 0.02 _00798_ (net) |
| 0.16 0.00 4.65 ^ _07413_/A (sky130_fd_sc_hd__or3b_4) |
| 0.09 0.21 4.86 ^ _07413_/X (sky130_fd_sc_hd__or3b_4) |
| 4 0.02 _00844_ (net) |
| 0.09 0.00 4.86 ^ _07415_/A (sky130_fd_sc_hd__dlymetal6s2s_1) |
| 0.18 0.21 5.07 ^ _07415_/X (sky130_fd_sc_hd__dlymetal6s2s_1) |
| 5 0.02 _00846_ (net) |
| 0.18 0.00 5.07 ^ _08099_/B (sky130_fd_sc_hd__nor2_1) |
| 0.07 0.11 5.18 v _08099_/Y (sky130_fd_sc_hd__nor2_1) |
| 2 0.01 _01527_ (net) |
| 0.07 0.00 5.18 v _08100_/C (sky130_fd_sc_hd__or4b_1) |
| 0.08 0.49 5.67 v _08100_/X (sky130_fd_sc_hd__or4b_1) |
| 1 0.00 _01528_ (net) |
| 0.08 0.00 5.67 v _08104_/B (sky130_fd_sc_hd__or4b_1) |
| 0.09 0.55 6.22 v _08104_/X (sky130_fd_sc_hd__or4b_1) |
| 1 0.00 _01532_ (net) |
| 0.09 0.00 6.22 v _08106_/C (sky130_fd_sc_hd__or4_1) |
| 0.08 0.49 6.70 v _08106_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.00 _01534_ (net) |
| 0.08 0.00 6.70 v _08109_/B (sky130_fd_sc_hd__or4b_1) |
| 0.11 0.57 7.27 v _08109_/X (sky130_fd_sc_hd__or4b_1) |
| 1 0.01 _01537_ (net) |
| 0.11 0.00 7.27 v _08112_/B (sky130_fd_sc_hd__or4b_1) |
| 0.11 0.58 7.86 v _08112_/X (sky130_fd_sc_hd__or4b_1) |
| 1 0.01 _01540_ (net) |
| 0.11 0.00 7.86 v _08116_/C (sky130_fd_sc_hd__or4_1) |
| 0.09 0.52 8.38 v _08116_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.00 _01544_ (net) |
| 0.09 0.00 8.38 v _08117_/D (sky130_fd_sc_hd__or4_1) |
| 0.07 0.41 8.79 v _08117_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.00 _01545_ (net) |
| 0.07 0.00 8.79 v _08118_/D (sky130_fd_sc_hd__or4_1) |
| 0.11 0.46 9.24 v _08118_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.01 _01546_ (net) |
| 0.11 0.00 9.24 v _08119_/D (sky130_fd_sc_hd__or4_1) |
| 0.10 0.45 9.70 v _08119_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.01 _01547_ (net) |
| 0.10 0.00 9.70 v _08120_/D (sky130_fd_sc_hd__or4_1) |
| 0.09 0.43 10.13 v _08120_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.00 _01548_ (net) |
| 0.09 0.00 10.13 v _08121_/B (sky130_fd_sc_hd__nor2_1) |
| 0.15 0.16 10.29 ^ _08121_/Y (sky130_fd_sc_hd__nor2_1) |
| 1 0.01 _01549_ (net) |
| 0.15 0.00 10.29 ^ _08122_/C1 (sky130_fd_sc_hd__o311a_1) |
| 0.05 0.19 10.48 ^ _08122_/X (sky130_fd_sc_hd__o311a_1) |
| 1 0.00 _01550_ (net) |
| 0.05 0.00 10.48 ^ _08123_/D_N (sky130_fd_sc_hd__or4b_1) |
| 0.09 0.47 10.95 v _08123_/X (sky130_fd_sc_hd__or4b_1) |
| 1 0.00 _01551_ (net) |
| 0.09 0.00 10.95 v _08124_/B1 (sky130_fd_sc_hd__a211o_1) |
| 0.09 0.35 11.30 v _08124_/X (sky130_fd_sc_hd__a211o_1) |
| 1 0.01 _01552_ (net) |
| 0.09 0.00 11.30 v _08125_/D (sky130_fd_sc_hd__or4_1) |
| 0.08 0.42 11.72 v _08125_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.00 _01553_ (net) |
| 0.08 0.00 11.72 v _08126_/D (sky130_fd_sc_hd__or4_1) |
| 0.08 0.41 12.13 v _08126_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.00 _01554_ (net) |
| 0.08 0.00 12.13 v _08128_/C (sky130_fd_sc_hd__or4_1) |
| 0.13 0.56 12.69 v _08128_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.01 _01556_ (net) |
| 0.13 0.00 12.70 v _08129_/B2 (sky130_fd_sc_hd__o22a_1) |
| 0.06 0.22 12.92 v _08129_/X (sky130_fd_sc_hd__o22a_1) |
| 1 0.01 _01557_ (net) |
| 0.06 0.00 12.92 v _08133_/A2 (sky130_fd_sc_hd__o31a_1) |
| 0.10 0.35 13.27 v _08133_/X (sky130_fd_sc_hd__o31a_1) |
| 1 0.01 _01561_ (net) |
| 0.10 0.00 13.27 v _08143_/A (sky130_fd_sc_hd__nor2_4) |
| 0.20 0.23 13.50 ^ _08143_/Y (sky130_fd_sc_hd__nor2_4) |
| 2 0.03 _01571_ (net) |
| 0.20 0.00 13.50 ^ _08187_/A (sky130_fd_sc_hd__buf_4) |
| 0.15 0.25 13.75 ^ _08187_/X (sky130_fd_sc_hd__buf_4) |
| 5 0.05 _01615_ (net) |
| 0.15 0.00 13.75 ^ _12830_/B (sky130_fd_sc_hd__xnor2_1) |
| 0.30 0.21 13.96 ^ _12830_/Y (sky130_fd_sc_hd__xnor2_1) |
| 2 0.01 _05984_ (net) |
| 0.30 0.00 13.96 ^ _13015_/B (sky130_fd_sc_hd__xnor2_2) |
| 0.23 0.20 14.16 ^ _13015_/Y (sky130_fd_sc_hd__xnor2_2) |
| 3 0.01 _06137_ (net) |
| 0.23 0.00 14.16 ^ _13177_/A2 (sky130_fd_sc_hd__o21ai_1) |
| 0.06 0.09 14.26 v _13177_/Y (sky130_fd_sc_hd__o21ai_1) |
| 1 0.00 _06267_ (net) |
| 0.06 0.00 14.26 v _13178_/B1 (sky130_fd_sc_hd__a21oi_1) |
| 0.26 0.24 14.50 ^ _13178_/Y (sky130_fd_sc_hd__a21oi_1) |
| 3 0.01 _06268_ (net) |
| 0.26 0.00 14.50 ^ _13180_/A2 (sky130_fd_sc_hd__a21oi_1) |
| 0.07 0.11 14.61 v _13180_/Y (sky130_fd_sc_hd__a21oi_1) |
| 1 0.00 _06270_ (net) |
| 0.07 0.00 14.61 v hold751/A (sky130_fd_sc_hd__clkdlybuf4s25_1) |
| 0.04 0.26 14.88 v hold751/X (sky130_fd_sc_hd__clkdlybuf4s25_1) |
| 1 0.00 net836 (net) |
| 0.04 0.00 14.88 v _13181_/B (sky130_fd_sc_hd__nor2_1) |
| 0.08 0.08 14.96 ^ _13181_/Y (sky130_fd_sc_hd__nor2_1) |
| 1 0.00 _00370_ (net) |
| 0.08 0.00 14.96 ^ hold750/A (sky130_fd_sc_hd__clkdlybuf4s25_1) |
| 0.04 0.26 15.22 ^ hold750/X (sky130_fd_sc_hd__clkdlybuf4s25_1) |
| 1 0.00 net835 (net) |
| 0.04 0.00 15.22 ^ _14411_/D (sky130_fd_sc_hd__dfxtp_2) |
| 15.22 data arrival time |
| |
| 15.00 15.00 clock clock (rise edge) |
| 0.00 15.00 clock source latency |
| 0.42 0.29 15.29 ^ clock (in) |
| 1 0.09 clock (net) |
| 0.42 0.00 15.29 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.05 0.22 15.50 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 2 0.02 clknet_0_clock (net) |
| 0.05 0.00 15.50 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.06 0.11 15.62 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 1 0.01 clknet_1_1_0_clock (net) |
| 0.06 0.00 15.62 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.11 0.15 15.77 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.02 clknet_1_1_1_clock (net) |
| 0.11 0.00 15.77 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.13 0.18 15.94 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.02 clknet_2_3_0_clock (net) |
| 0.13 0.00 15.94 ^ clkbuf_3_6_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.71 0.57 16.51 ^ clkbuf_3_6_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 10 0.13 clknet_3_6_0_clock (net) |
| 0.71 0.00 16.51 ^ clkbuf_leaf_37_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.06 0.26 16.77 ^ clkbuf_leaf_37_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 6 0.02 clknet_leaf_37_clock (net) |
| 0.06 0.00 16.77 ^ _14411_/CLK (sky130_fd_sc_hd__dfxtp_2) |
| -0.25 16.52 clock uncertainty |
| 0.05 16.58 clock reconvergence pessimism |
| -0.06 16.52 library setup time |
| 16.52 data required time |
| ----------------------------------------------------------------------------- |
| 16.52 data required time |
| -15.22 data arrival time |
| ----------------------------------------------------------------------------- |
| 1.30 slack (MET) |
| |
| |
| Startpoint: _14528_ (rising edge-triggered flip-flop clocked by clock) |
| Endpoint: _14071_ (rising edge-triggered flip-flop clocked by clock) |
| Path Group: clock |
| Path Type: max |
| |
| Fanout Cap Slew Delay Time Description |
| ----------------------------------------------------------------------------- |
| 0.00 0.00 clock clock (rise edge) |
| 0.00 0.00 clock source latency |
| 0.42 0.32 0.32 ^ clock (in) |
| 1 0.09 clock (net) |
| 0.42 0.00 0.32 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.05 0.24 0.55 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 2 0.02 clknet_0_clock (net) |
| 0.05 0.00 0.55 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.06 0.12 0.68 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 1 0.01 clknet_1_0_0_clock (net) |
| 0.06 0.00 0.68 ^ clkbuf_1_0_1_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.19 0.23 0.91 ^ clkbuf_1_0_1_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.04 clknet_1_0_1_clock (net) |
| 0.19 0.00 0.91 ^ clkbuf_2_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.16 0.24 1.15 ^ clkbuf_2_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.03 clknet_2_0_0_clock (net) |
| 0.16 0.00 1.15 ^ clkbuf_3_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 1.01 0.85 1.99 ^ clkbuf_3_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 13 0.19 clknet_3_1_0_clock (net) |
| 1.01 0.00 2.00 ^ clkbuf_leaf_3_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.07 0.31 2.31 ^ clkbuf_leaf_3_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 6 0.02 clknet_leaf_3_clock (net) |
| 0.07 0.00 2.31 ^ _14528_/CLK (sky130_fd_sc_hd__dfxtp_1) |
| 0.18 0.43 2.74 ^ _14528_/Q (sky130_fd_sc_hd__dfxtp_1) |
| 5 0.02 _T_43 (net) |
| 0.18 0.00 2.74 ^ _07196_/A (sky130_fd_sc_hd__dlymetal6s2s_1) |
| 0.21 0.25 2.99 ^ _07196_/X (sky130_fd_sc_hd__dlymetal6s2s_1) |
| 5 0.02 _00630_ (net) |
| 0.21 0.00 2.99 ^ _07197_/A (sky130_fd_sc_hd__clkbuf_8) |
| 0.12 0.25 3.24 ^ _07197_/X (sky130_fd_sc_hd__clkbuf_8) |
| 5 0.07 _00631_ (net) |
| 0.12 0.01 3.25 ^ _07260_/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.14 0.21 3.46 ^ _07260_/X (sky130_fd_sc_hd__clkbuf_2) |
| 5 0.02 _00691_ (net) |
| 0.14 0.00 3.46 ^ _07296_/A (sky130_fd_sc_hd__clkbuf_4) |
| 0.12 0.24 3.70 ^ _07296_/X (sky130_fd_sc_hd__clkbuf_4) |
| 5 0.04 _00727_ (net) |
| 0.12 0.00 3.70 ^ _08632_/B (sky130_fd_sc_hd__nand3b_1) |
| 0.07 0.11 3.81 v _08632_/Y (sky130_fd_sc_hd__nand3b_1) |
| 1 0.00 _02055_ (net) |
| 0.07 0.00 3.81 v _08634_/B1 (sky130_fd_sc_hd__a221o_2) |
| 0.11 0.43 4.24 v _08634_/X (sky130_fd_sc_hd__a221o_2) |
| 2 0.02 _02057_ (net) |
| 0.11 0.00 4.24 v _08691_/A2_N (sky130_fd_sc_hd__o2bb2a_2) |
| 0.12 0.35 4.59 ^ _08691_/X (sky130_fd_sc_hd__o2bb2a_2) |
| 4 0.02 _02114_ (net) |
| 0.12 0.00 4.59 ^ _08740_/A (sky130_fd_sc_hd__nor2_1) |
| 0.08 0.12 4.71 v _08740_/Y (sky130_fd_sc_hd__nor2_1) |
| 3 0.02 _02163_ (net) |
| 0.08 0.00 4.71 v _08767_/B (sky130_fd_sc_hd__nand2_2) |
| 0.11 0.14 4.85 ^ _08767_/Y (sky130_fd_sc_hd__nand2_2) |
| 4 0.02 _02190_ (net) |
| 0.11 0.00 4.85 ^ _08768_/B1 (sky130_fd_sc_hd__o22a_1) |
| 0.14 0.23 5.08 ^ _08768_/X (sky130_fd_sc_hd__o22a_1) |
| 3 0.01 _02191_ (net) |
| 0.14 0.00 5.08 ^ _09272_/D_N (sky130_fd_sc_hd__or4b_1) |
| 0.10 0.50 5.58 v _09272_/X (sky130_fd_sc_hd__or4b_1) |
| 1 0.01 _02692_ (net) |
| 0.10 0.00 5.58 v _09274_/C (sky130_fd_sc_hd__or4b_1) |
| 0.09 0.52 6.09 v _09274_/X (sky130_fd_sc_hd__or4b_1) |
| 1 0.00 _02694_ (net) |
| 0.09 0.00 6.09 v _09276_/B (sky130_fd_sc_hd__or3_1) |
| 0.06 0.37 6.46 v _09276_/X (sky130_fd_sc_hd__or3_1) |
| 1 0.00 _02696_ (net) |
| 0.06 0.00 6.46 v _09277_/C (sky130_fd_sc_hd__or3_1) |
| 0.08 0.34 6.81 v _09277_/X (sky130_fd_sc_hd__or3_1) |
| 1 0.01 _02697_ (net) |
| 0.08 0.00 6.81 v _09281_/A (sky130_fd_sc_hd__or4bb_1) |
| 0.09 0.54 7.35 v _09281_/X (sky130_fd_sc_hd__or4bb_1) |
| 1 0.00 _02701_ (net) |
| 0.09 0.00 7.35 v _09287_/B (sky130_fd_sc_hd__or4_1) |
| 0.10 0.56 7.91 v _09287_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.00 _02707_ (net) |
| 0.10 0.00 7.91 v _09288_/D (sky130_fd_sc_hd__or4_1) |
| 0.10 0.45 8.36 v _09288_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.01 _02708_ (net) |
| 0.10 0.00 8.36 v _09289_/D (sky130_fd_sc_hd__or4_1) |
| 0.08 0.42 8.78 v _09289_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.00 _02709_ (net) |
| 0.08 0.00 8.78 v _09290_/D (sky130_fd_sc_hd__or4_1) |
| 0.08 0.42 9.20 v _09290_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.00 _02710_ (net) |
| 0.08 0.00 9.20 v _09291_/D (sky130_fd_sc_hd__or4_1) |
| 0.09 0.44 9.64 v _09291_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.00 _02711_ (net) |
| 0.09 0.00 9.64 v _09292_/C (sky130_fd_sc_hd__or3_1) |
| 0.07 0.33 9.97 v _09292_/X (sky130_fd_sc_hd__or3_1) |
| 1 0.00 _02712_ (net) |
| 0.07 0.00 9.97 v _09293_/C1 (sky130_fd_sc_hd__a311o_1) |
| 0.06 0.30 10.27 v _09293_/X (sky130_fd_sc_hd__a311o_1) |
| 1 0.00 _02713_ (net) |
| 0.06 0.00 10.27 v _09295_/C (sky130_fd_sc_hd__or4_1) |
| 0.09 0.50 10.77 v _09295_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.00 _02715_ (net) |
| 0.09 0.00 10.77 v _09296_/C1 (sky130_fd_sc_hd__a2111o_1) |
| 0.07 0.39 11.16 v _09296_/X (sky130_fd_sc_hd__a2111o_1) |
| 1 0.01 _02716_ (net) |
| 0.07 0.00 11.16 v _09297_/D (sky130_fd_sc_hd__or4_1) |
| 0.10 0.44 11.60 v _09297_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.00 _02717_ (net) |
| 0.10 0.00 11.60 v _09298_/D (sky130_fd_sc_hd__or4_1) |
| 0.11 0.47 12.06 v _09298_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.01 _02718_ (net) |
| 0.11 0.00 12.06 v _09300_/C (sky130_fd_sc_hd__or4_1) |
| 0.10 0.53 12.60 v _09300_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.01 _02720_ (net) |
| 0.10 0.00 12.60 v _09301_/B1 (sky130_fd_sc_hd__o21ai_1) |
| 0.12 0.11 12.71 ^ _09301_/Y (sky130_fd_sc_hd__o21ai_1) |
| 1 0.00 _02721_ (net) |
| 0.12 0.00 12.71 ^ _09305_/A1 (sky130_fd_sc_hd__a311o_1) |
| 0.11 0.22 12.93 ^ _09305_/X (sky130_fd_sc_hd__a311o_1) |
| 1 0.01 _02725_ (net) |
| 0.11 0.00 12.93 ^ _09306_/B (sky130_fd_sc_hd__and2b_4) |
| 0.20 0.29 13.22 ^ _09306_/X (sky130_fd_sc_hd__and2b_4) |
| 2 0.06 _02726_ (net) |
| 0.20 0.00 13.23 ^ _09307_/A (sky130_fd_sc_hd__clkbuf_8) |
| 0.12 0.25 13.47 ^ _09307_/X (sky130_fd_sc_hd__clkbuf_8) |
| 5 0.06 _02727_ (net) |
| 0.12 0.00 13.48 ^ _09308_/B (sky130_fd_sc_hd__xnor2_4) |
| 0.12 0.14 13.61 v _09308_/Y (sky130_fd_sc_hd__xnor2_4) |
| 4 0.04 _02728_ (net) |
| 0.12 0.00 13.61 v _09309_/B2 (sky130_fd_sc_hd__a32o_1) |
| 0.09 0.30 13.92 v _09309_/X (sky130_fd_sc_hd__a32o_1) |
| 1 0.01 _02729_ (net) |
| 0.09 0.00 13.92 v _09310_/A1 (sky130_fd_sc_hd__mux2_1) |
| 0.06 0.32 14.24 v _09310_/X (sky130_fd_sc_hd__mux2_1) |
| 1 0.00 _02730_ (net) |
| 0.06 0.00 14.24 v _09312_/A2 (sky130_fd_sc_hd__o211a_1) |
| 0.04 0.22 14.46 v _09312_/X (sky130_fd_sc_hd__o211a_1) |
| 1 0.00 _02732_ (net) |
| 0.04 0.00 14.46 v _09317_/A2 (sky130_fd_sc_hd__o311a_1) |
| 0.05 0.33 14.79 v _09317_/X (sky130_fd_sc_hd__o311a_1) |
| 1 0.00 _00040_ (net) |
| 0.05 0.00 14.79 v hold857/A (sky130_fd_sc_hd__clkdlybuf4s25_1) |
| 0.04 0.25 15.04 v hold857/X (sky130_fd_sc_hd__clkdlybuf4s25_1) |
| 1 0.00 net942 (net) |
| 0.04 0.00 15.04 v _14071_/D (sky130_fd_sc_hd__dfxtp_4) |
| 15.04 data arrival time |
| |
| 15.00 15.00 clock clock (rise edge) |
| 0.00 15.00 clock source latency |
| 0.42 0.29 15.29 ^ clock (in) |
| 1 0.09 clock (net) |
| 0.42 0.00 15.29 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.05 0.22 15.50 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 2 0.02 clknet_0_clock (net) |
| 0.05 0.00 15.50 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.06 0.11 15.62 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 1 0.01 clknet_1_1_0_clock (net) |
| 0.06 0.00 15.62 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.11 0.15 15.77 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.02 clknet_1_1_1_clock (net) |
| 0.11 0.00 15.77 ^ clkbuf_2_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.20 0.23 15.99 ^ clkbuf_2_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.04 clknet_2_2_0_clock (net) |
| 0.20 0.00 15.99 ^ clkbuf_3_5_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.60 0.51 16.50 ^ clkbuf_3_5_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 8 0.11 clknet_3_5_0_clock (net) |
| 0.60 0.00 16.51 ^ clkbuf_leaf_25_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.05 0.24 16.75 ^ clkbuf_leaf_25_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 5 0.02 clknet_leaf_25_clock (net) |
| 0.05 0.00 16.75 ^ _14071_/CLK (sky130_fd_sc_hd__dfxtp_4) |
| -0.25 16.50 clock uncertainty |
| 0.05 16.55 clock reconvergence pessimism |
| -0.11 16.45 library setup time |
| 16.45 data required time |
| ----------------------------------------------------------------------------- |
| 16.45 data required time |
| -15.04 data arrival time |
| ----------------------------------------------------------------------------- |
| 1.41 slack (MET) |
| |
| |
| max_report_end |
| check_report |
| |
| =========================================================================== |
| report_checks -unconstrained |
| ============================================================================ |
| Startpoint: _14532_ (rising edge-triggered flip-flop clocked by clock) |
| Endpoint: _14063_ (rising edge-triggered flip-flop clocked by clock) |
| Path Group: clock |
| Path Type: max |
| |
| Fanout Cap Slew Delay Time Description |
| ----------------------------------------------------------------------------- |
| 0.00 0.00 clock clock (rise edge) |
| 0.00 0.00 clock source latency |
| 0.42 0.32 0.32 ^ clock (in) |
| 1 0.09 clock (net) |
| 0.42 0.00 0.32 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.05 0.24 0.55 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 2 0.02 clknet_0_clock (net) |
| 0.05 0.00 0.55 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.06 0.12 0.68 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 1 0.01 clknet_1_0_0_clock (net) |
| 0.06 0.00 0.68 ^ clkbuf_1_0_1_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.19 0.23 0.91 ^ clkbuf_1_0_1_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.04 clknet_1_0_1_clock (net) |
| 0.19 0.00 0.91 ^ clkbuf_2_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.16 0.24 1.15 ^ clkbuf_2_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.03 clknet_2_0_0_clock (net) |
| 0.16 0.00 1.15 ^ clkbuf_3_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 1.01 0.85 1.99 ^ clkbuf_3_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 13 0.19 clknet_3_1_0_clock (net) |
| 1.01 0.00 2.00 ^ clkbuf_leaf_2_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.08 0.33 2.33 ^ clkbuf_leaf_2_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 10 0.03 clknet_leaf_2_clock (net) |
| 0.08 0.00 2.33 ^ _14532_/CLK (sky130_fd_sc_hd__dfxtp_1) |
| 0.16 0.42 2.75 ^ _14532_/Q (sky130_fd_sc_hd__dfxtp_1) |
| 5 0.02 _T_42 (net) |
| 0.16 0.00 2.75 ^ _07181_/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.14 0.22 2.97 ^ _07181_/X (sky130_fd_sc_hd__clkbuf_2) |
| 5 0.02 _00618_ (net) |
| 0.14 0.00 2.97 ^ _07182_/A (sky130_fd_sc_hd__clkbuf_4) |
| 0.14 0.25 3.21 ^ _07182_/X (sky130_fd_sc_hd__clkbuf_4) |
| 5 0.04 _00619_ (net) |
| 0.14 0.00 3.22 ^ _07241_/A (sky130_fd_sc_hd__buf_2) |
| 0.16 0.23 3.45 ^ _07241_/X (sky130_fd_sc_hd__buf_2) |
| 5 0.03 _00672_ (net) |
| 0.16 0.00 3.45 ^ _07298_/A (sky130_fd_sc_hd__dlymetal6s2s_1) |
| 0.20 0.24 3.69 ^ _07298_/X (sky130_fd_sc_hd__dlymetal6s2s_1) |
| 5 0.02 _00729_ (net) |
| 0.20 0.00 3.69 ^ _07299_/A2 (sky130_fd_sc_hd__a21oi_1) |
| 0.07 0.11 3.80 v _07299_/Y (sky130_fd_sc_hd__a21oi_1) |
| 1 0.00 _00730_ (net) |
| 0.07 0.00 3.80 v _07302_/B2 (sky130_fd_sc_hd__a221o_4) |
| 0.11 0.50 4.30 v _07302_/X (sky130_fd_sc_hd__a221o_4) |
| 2 0.04 _00733_ (net) |
| 0.11 0.00 4.31 v _07367_/A2_N (sky130_fd_sc_hd__o2bb2a_1) |
| 0.16 0.35 4.65 ^ _07367_/X (sky130_fd_sc_hd__o2bb2a_1) |
| 5 0.02 _00798_ (net) |
| 0.16 0.00 4.65 ^ _07413_/A (sky130_fd_sc_hd__or3b_4) |
| 0.09 0.21 4.86 ^ _07413_/X (sky130_fd_sc_hd__or3b_4) |
| 4 0.02 _00844_ (net) |
| 0.09 0.00 4.86 ^ _07415_/A (sky130_fd_sc_hd__dlymetal6s2s_1) |
| 0.18 0.21 5.07 ^ _07415_/X (sky130_fd_sc_hd__dlymetal6s2s_1) |
| 5 0.02 _00846_ (net) |
| 0.18 0.00 5.07 ^ _08099_/B (sky130_fd_sc_hd__nor2_1) |
| 0.07 0.11 5.18 v _08099_/Y (sky130_fd_sc_hd__nor2_1) |
| 2 0.01 _01527_ (net) |
| 0.07 0.00 5.18 v _08100_/C (sky130_fd_sc_hd__or4b_1) |
| 0.08 0.49 5.67 v _08100_/X (sky130_fd_sc_hd__or4b_1) |
| 1 0.00 _01528_ (net) |
| 0.08 0.00 5.67 v _08104_/B (sky130_fd_sc_hd__or4b_1) |
| 0.09 0.55 6.22 v _08104_/X (sky130_fd_sc_hd__or4b_1) |
| 1 0.00 _01532_ (net) |
| 0.09 0.00 6.22 v _08106_/C (sky130_fd_sc_hd__or4_1) |
| 0.08 0.49 6.70 v _08106_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.00 _01534_ (net) |
| 0.08 0.00 6.70 v _08109_/B (sky130_fd_sc_hd__or4b_1) |
| 0.11 0.57 7.27 v _08109_/X (sky130_fd_sc_hd__or4b_1) |
| 1 0.01 _01537_ (net) |
| 0.11 0.00 7.27 v _08112_/B (sky130_fd_sc_hd__or4b_1) |
| 0.11 0.58 7.86 v _08112_/X (sky130_fd_sc_hd__or4b_1) |
| 1 0.01 _01540_ (net) |
| 0.11 0.00 7.86 v _08116_/C (sky130_fd_sc_hd__or4_1) |
| 0.09 0.52 8.38 v _08116_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.00 _01544_ (net) |
| 0.09 0.00 8.38 v _08117_/D (sky130_fd_sc_hd__or4_1) |
| 0.07 0.41 8.79 v _08117_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.00 _01545_ (net) |
| 0.07 0.00 8.79 v _08118_/D (sky130_fd_sc_hd__or4_1) |
| 0.11 0.46 9.24 v _08118_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.01 _01546_ (net) |
| 0.11 0.00 9.24 v _08119_/D (sky130_fd_sc_hd__or4_1) |
| 0.10 0.45 9.70 v _08119_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.01 _01547_ (net) |
| 0.10 0.00 9.70 v _08120_/D (sky130_fd_sc_hd__or4_1) |
| 0.09 0.43 10.13 v _08120_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.00 _01548_ (net) |
| 0.09 0.00 10.13 v _08121_/B (sky130_fd_sc_hd__nor2_1) |
| 0.15 0.16 10.29 ^ _08121_/Y (sky130_fd_sc_hd__nor2_1) |
| 1 0.01 _01549_ (net) |
| 0.15 0.00 10.29 ^ _08122_/C1 (sky130_fd_sc_hd__o311a_1) |
| 0.05 0.19 10.48 ^ _08122_/X (sky130_fd_sc_hd__o311a_1) |
| 1 0.00 _01550_ (net) |
| 0.05 0.00 10.48 ^ _08123_/D_N (sky130_fd_sc_hd__or4b_1) |
| 0.09 0.47 10.95 v _08123_/X (sky130_fd_sc_hd__or4b_1) |
| 1 0.00 _01551_ (net) |
| 0.09 0.00 10.95 v _08124_/B1 (sky130_fd_sc_hd__a211o_1) |
| 0.09 0.35 11.30 v _08124_/X (sky130_fd_sc_hd__a211o_1) |
| 1 0.01 _01552_ (net) |
| 0.09 0.00 11.30 v _08125_/D (sky130_fd_sc_hd__or4_1) |
| 0.08 0.42 11.72 v _08125_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.00 _01553_ (net) |
| 0.08 0.00 11.72 v _08126_/D (sky130_fd_sc_hd__or4_1) |
| 0.08 0.41 12.13 v _08126_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.00 _01554_ (net) |
| 0.08 0.00 12.13 v _08128_/C (sky130_fd_sc_hd__or4_1) |
| 0.13 0.56 12.69 v _08128_/X (sky130_fd_sc_hd__or4_1) |
| 1 0.01 _01556_ (net) |
| 0.13 0.00 12.70 v _08129_/B2 (sky130_fd_sc_hd__o22a_1) |
| 0.06 0.22 12.92 v _08129_/X (sky130_fd_sc_hd__o22a_1) |
| 1 0.01 _01557_ (net) |
| 0.06 0.00 12.92 v _08133_/A2 (sky130_fd_sc_hd__o31a_1) |
| 0.10 0.35 13.27 v _08133_/X (sky130_fd_sc_hd__o31a_1) |
| 1 0.01 _01561_ (net) |
| 0.10 0.00 13.27 v _08143_/A (sky130_fd_sc_hd__nor2_4) |
| 0.20 0.23 13.50 ^ _08143_/Y (sky130_fd_sc_hd__nor2_4) |
| 2 0.03 _01571_ (net) |
| 0.20 0.00 13.50 ^ _08148_/A (sky130_fd_sc_hd__xnor2_4) |
| 0.10 0.14 13.64 v _08148_/Y (sky130_fd_sc_hd__xnor2_4) |
| 4 0.03 _01576_ (net) |
| 0.10 0.00 13.64 v _08149_/B2 (sky130_fd_sc_hd__a32o_1) |
| 0.05 0.25 13.89 v _08149_/X (sky130_fd_sc_hd__a32o_1) |
| 1 0.00 _01577_ (net) |
| 0.05 0.00 13.89 v _08152_/A2 (sky130_fd_sc_hd__a221o_1) |
| 0.09 0.40 14.29 v _08152_/X (sky130_fd_sc_hd__a221o_1) |
| 1 0.01 _01580_ (net) |
| 0.09 0.00 14.29 v _08186_/A1 (sky130_fd_sc_hd__a21o_1) |
| 0.04 0.19 14.48 v _08186_/X (sky130_fd_sc_hd__a21o_1) |
| 1 0.01 _01614_ (net) |
| 0.04 0.00 14.48 v _08193_/A2 (sky130_fd_sc_hd__a311o_1) |
| 0.06 0.32 14.80 v _08193_/X (sky130_fd_sc_hd__a311o_1) |
| 1 0.00 _01621_ (net) |
| 0.06 0.00 14.80 v _08194_/B1 (sky130_fd_sc_hd__o211a_1) |
| 0.04 0.13 14.93 v _08194_/X (sky130_fd_sc_hd__o211a_1) |
| 1 0.00 _00032_ (net) |
| 0.04 0.00 14.93 v hold112/A (sky130_fd_sc_hd__dlygate4sd3_1) |
| 0.06 0.58 15.51 v hold112/X (sky130_fd_sc_hd__dlygate4sd3_1) |
| 1 0.00 net198 (net) |
| 0.06 0.00 15.51 v hold113/A (sky130_fd_sc_hd__clkdlybuf4s25_1) |
| 0.04 0.26 15.77 v hold113/X (sky130_fd_sc_hd__clkdlybuf4s25_1) |
| 1 0.00 net197 (net) |
| 0.04 0.00 15.77 v _14063_/D (sky130_fd_sc_hd__dfxtp_4) |
| 15.77 data arrival time |
| |
| 15.00 15.00 clock clock (rise edge) |
| 0.00 15.00 clock source latency |
| 0.42 0.29 15.29 ^ clock (in) |
| 1 0.09 clock (net) |
| 0.42 0.00 15.29 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.05 0.22 15.50 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 2 0.02 clknet_0_clock (net) |
| 0.05 0.00 15.50 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.06 0.11 15.62 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 1 0.01 clknet_1_1_0_clock (net) |
| 0.06 0.00 15.62 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.11 0.15 15.77 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.02 clknet_1_1_1_clock (net) |
| 0.11 0.00 15.77 ^ clkbuf_2_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.20 0.23 15.99 ^ clkbuf_2_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.04 clknet_2_2_0_clock (net) |
| 0.20 0.00 15.99 ^ clkbuf_3_4_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.98 0.75 16.75 ^ clkbuf_3_4_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 13 0.18 clknet_3_4_0_clock (net) |
| 0.98 0.00 16.75 ^ clkbuf_leaf_18_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.06 0.27 17.02 ^ clkbuf_leaf_18_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 4 0.01 clknet_leaf_18_clock (net) |
| 0.06 0.00 17.02 ^ _14063_/CLK (sky130_fd_sc_hd__dfxtp_4) |
| -0.25 16.77 clock uncertainty |
| 0.05 16.82 clock reconvergence pessimism |
| -0.11 16.72 library setup time |
| 16.72 data required time |
| ----------------------------------------------------------------------------- |
| 16.72 data required time |
| -15.77 data arrival time |
| ----------------------------------------------------------------------------- |
| 0.95 slack (MET) |
| |
| |
| |
| =========================================================================== |
| report_checks --slack_max -0.01 |
| ============================================================================ |
| No paths found. |
| check_report_end |
| check_slew |
| |
| =========================================================================== |
| report_check_types -max_slew -max_cap -max_fanout -violators |
| ============================================================================ |
| |
| =========================================================================== |
| max slew violation count 0 |
| max fanout violation count 0 |
| max cap violation count 0 |
| ============================================================================ |
| check_slew_end |
| tns_report |
| |
| =========================================================================== |
| report_tns |
| ============================================================================ |
| tns 0.00 |
| tns_report_end |
| wns_report |
| |
| =========================================================================== |
| report_wns |
| ============================================================================ |
| wns 0.00 |
| wns_report_end |
| worst_slack |
| |
| =========================================================================== |
| report_worst_slack -max (Setup) |
| ============================================================================ |
| worst slack 0.95 |
| |
| =========================================================================== |
| report_worst_slack -min (Hold) |
| ============================================================================ |
| worst slack 0.89 |
| worst_slack_end |
| clock_skew |
| |
| =========================================================================== |
| report_clock_skew |
| ============================================================================ |
| Clock clock |
| Latency CRPR Skew |
| _14206_/CLK ^ |
| 2.59 |
| _14503_/CLK ^ |
| 1.51 -0.05 1.02 |
| |
| clock_skew_end |
| power_report |
| |
| =========================================================================== |
| report_power |
| ============================================================================ |
| Group Internal Switching Leakage Total |
| Power Power Power Power |
| ---------------------------------------------------------------- |
| Sequential 1.39e-03 1.01e-04 4.15e-09 1.49e-03 18.6% |
| Combinational 3.16e-03 3.38e-03 3.75e-08 6.54e-03 81.4% |
| Macro 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0% |
| Pad 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0% |
| ---------------------------------------------------------------- |
| Total 4.55e-03 3.49e-03 4.16e-08 8.04e-03 100.0% |
| 56.6% 43.4% 0.0% |
| power_report_end |
| area_report |
| |
| =========================================================================== |
| report_design_area |
| ============================================================================ |
| Design area 93521 u^2 15% utilization. |
| area_report_end |
| [INFO]: Changing layout from /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/results/cts/aes.def to /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/tmp/cts/13-resizer_timing.def |
| [INFO]: Incremented step index to 13. |
| [INFO]: Writing Verilog... |
| OpenROAD 8d53e9b018dec98fa63e907ddeb6c5406f035361 |
| This program is licensed under the BSD-3 license. See the LICENSE file for details. |
| Components of this program may be licensed under more restrictive licenses which must be honored. |
| [INFO ODB-0222] Reading LEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/tmp/merged_unpadded.lef |
| [INFO ODB-0223] Created 13 technology layers |
| [INFO ODB-0224] Created 25 technology vias |
| [INFO ODB-0225] Created 441 library cells |
| [INFO ODB-0226] Finished LEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/tmp/merged_unpadded.lef |
| [INFO ODB-0127] Reading DEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/tmp/cts/13-resizer_timing.def |
| [INFO ODB-0128] Design: aes |
| [INFO ODB-0130] Created 108 pins. |
| [INFO ODB-0131] Created 18404 components and 85504 component-terminals. |
| [INFO ODB-0132] Created 2 special nets and 56048 connections. |
| [INFO ODB-0133] Created 9121 nets and 29456 connections. |
| [INFO ODB-0134] Finished DEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/tmp/cts/13-resizer_timing.def |
| [INFO]: Changing netlist from /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/results/cts/aes.v to /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/results/cts/aes.resized.v |
| [INFO]: Routing... |
| Current DEF: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/tmp/cts/13-resizer_timing.def |
| Routing Current DEF: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/tmp/cts/13-resizer_timing.def |
| [INFO]: Skipping Resizer Timing Optimizations. |
| [INFO]: Incremented step index to 14. |
| [INFO]: Running Diode Insertion... |
| OpenROAD 8d53e9b018dec98fa63e907ddeb6c5406f035361 |
| This program is licensed under the BSD-3 license. See the LICENSE file for details. |
| Components of this program may be licensed under more restrictive licenses which must be honored. |
| [INFO ODB-0222] Reading LEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/tmp/merged_unpadded.lef |
| [INFO ODB-0223] Created 13 technology layers |
| [INFO ODB-0224] Created 25 technology vias |
| [INFO ODB-0225] Created 441 library cells |
| [INFO ODB-0226] Finished LEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/tmp/merged_unpadded.lef |
| [INFO ODB-0127] Reading DEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/tmp/cts/13-resizer_timing.def |
| [INFO ODB-0128] Design: aes |
| [INFO ODB-0130] Created 108 pins. |
| [INFO ODB-0131] Created 18404 components and 85504 component-terminals. |
| [INFO ODB-0132] Created 2 special nets and 56048 connections. |
| [INFO ODB-0133] Created 9121 nets and 29456 connections. |
| [INFO ODB-0134] Finished DEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/tmp/cts/13-resizer_timing.def |
| [INFO]: Inserting sky130_fd_sc_hd__diode_2... |
| [WARN]: Skipping vccd1 |
| [WARN]: Skipping vssd1 |
| |
| [INFO]: 20408 of sky130_fd_sc_hd__diode_2 inserted! |
| [INFO]: Legalizing... |
| Placement Analysis |
| --------------------------------- |
| total displacement 103218.0 u |
| average displacement 2.7 u |
| max displacement 98.7 u |
| original HPWL 483775.4 u |
| legalized HPWL 522115.7 u |
| delta HPWL 8 % |
| |
| [INFO DPL-0020] Mirrored 16006 instances |
| [INFO DPL-0021] HPWL before 522115.7 u |
| [INFO DPL-0022] HPWL after 514194.8 u |
| [INFO DPL-0023] HPWL delta -1.5 % |
| [INFO]: Changing layout from /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/tmp/cts/13-resizer_timing.def to /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/tmp/routing/15-diodes.def |
| [INFO]: Incremented step index to 15. |
| [INFO]: Writing Verilog... |
| OpenROAD 8d53e9b018dec98fa63e907ddeb6c5406f035361 |
| This program is licensed under the BSD-3 license. See the LICENSE file for details. |
| Components of this program may be licensed under more restrictive licenses which must be honored. |
| [INFO ODB-0222] Reading LEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/tmp/merged_unpadded.lef |
| [INFO ODB-0223] Created 13 technology layers |
| [INFO ODB-0224] Created 25 technology vias |
| [INFO ODB-0225] Created 441 library cells |
| [INFO ODB-0226] Finished LEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/tmp/merged_unpadded.lef |
| [INFO ODB-0127] Reading DEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/tmp/routing/15-diodes.def |
| [INFO ODB-0128] Design: aes |
| [INFO ODB-0130] Created 108 pins. |
| [INFO ODB-0131] Created 38812 components and 187544 component-terminals. |
| [INFO ODB-0132] Created 2 special nets and 137680 connections. |
| [INFO ODB-0133] Created 9121 nets and 49864 connections. |
| [INFO ODB-0134] Finished DEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/tmp/routing/15-diodes.def |
| [INFO]: Changing netlist from /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/results/cts/aes.resized.v to /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/results/synthesis/aes_diodes.v |
| [INFO]: Incremented step index to 16. |
| [INFO]: Running Detailed Placement... |
| OpenROAD 8d53e9b018dec98fa63e907ddeb6c5406f035361 |
| This program is licensed under the BSD-3 license. See the LICENSE file for details. |
| Components of this program may be licensed under more restrictive licenses which must be honored. |
| [INFO ODB-0222] Reading LEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/tmp/merged_unpadded.lef |
| [INFO ODB-0223] Created 13 technology layers |
| [INFO ODB-0224] Created 25 technology vias |
| [INFO ODB-0225] Created 441 library cells |
| [INFO ODB-0226] Finished LEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/tmp/merged_unpadded.lef |
| [INFO ODB-0127] Reading DEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/tmp/routing/15-diodes.def |
| [INFO ODB-0128] Design: aes |
| [INFO ODB-0130] Created 108 pins. |
| [INFO ODB-0131] Created 38812 components and 187544 component-terminals. |
| [INFO ODB-0132] Created 2 special nets and 137680 connections. |
| [INFO ODB-0133] Created 9121 nets and 49864 connections. |
| [INFO ODB-0134] Finished DEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/tmp/routing/15-diodes.def |
| Placement Analysis |
| --------------------------------- |
| total displacement 1776049.3 u |
| average displacement 45.8 u |
| max displacement 406.2 u |
| original HPWL 514194.8 u |
| legalized HPWL 1555496.2 u |
| delta HPWL 203 % |
| |
| [INFO DPL-0020] Mirrored 17858 instances |
| [INFO DPL-0021] HPWL before 1555496.2 u |
| [INFO DPL-0022] HPWL after 1550117.9 u |
| [INFO DPL-0023] HPWL delta -0.3 % |
| [INFO]: Changing layout from /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/tmp/routing/15-diodes.def to /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/tmp/routing/15-diodes.def |
| [INFO]: Changing layout from /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/tmp/routing/15-diodes.def to /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/tmp/routing/15-diodes.def |
| [INFO]: Incremented step index to 17. |
| [INFO]: Running Fill Insertion... |
| OpenROAD 8d53e9b018dec98fa63e907ddeb6c5406f035361 |
| This program is licensed under the BSD-3 license. See the LICENSE file for details. |
| Components of this program may be licensed under more restrictive licenses which must be honored. |
| [INFO ODB-0222] Reading LEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/tmp/merged_unpadded.lef |
| [INFO ODB-0223] Created 13 technology layers |
| [INFO ODB-0224] Created 25 technology vias |
| [INFO ODB-0225] Created 441 library cells |
| [INFO ODB-0226] Finished LEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/tmp/merged_unpadded.lef |
| [INFO ODB-0127] Reading DEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/tmp/routing/15-diodes.def |
| [INFO ODB-0128] Design: aes |
| [INFO ODB-0130] Created 108 pins. |
| [INFO ODB-0131] Created 38812 components and 187544 component-terminals. |
| [INFO ODB-0132] Created 2 special nets and 137680 connections. |
| [INFO ODB-0133] Created 9121 nets and 49864 connections. |
| [INFO ODB-0134] Finished DEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/tmp/routing/15-diodes.def |
| [INFO DPL-0001] Placed 62037 filler instances. |
| [INFO]: Changing layout from /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/tmp/routing/15-diodes.def to /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/tmp/routing/18-fill.def |
| [INFO]: Incremented step index to 18. |
| [INFO]: Running Global Routing... |
| OpenROAD 8d53e9b018dec98fa63e907ddeb6c5406f035361 |
| This program is licensed under the BSD-3 license. See the LICENSE file for details. |
| Components of this program may be licensed under more restrictive licenses which must be honored. |
| [INFO ODB-0222] Reading LEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/tmp/merged_unpadded.lef |
| [INFO ODB-0223] Created 13 technology layers |
| [INFO ODB-0224] Created 25 technology vias |
| [INFO ODB-0225] Created 441 library cells |
| [INFO ODB-0226] Finished LEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/tmp/merged_unpadded.lef |
| [INFO ODB-0127] Reading DEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/tmp/routing/18-fill.def |
| [INFO ODB-0128] Design: aes |
| [INFO ODB-0094] Created 100000 Insts |
| [INFO ODB-0130] Created 108 pins. |
| [INFO ODB-0131] Created 100849 components and 435692 component-terminals. |
| [INFO ODB-0132] Created 2 special nets and 385828 connections. |
| [INFO ODB-0133] Created 9121 nets and 49864 connections. |
| [INFO ODB-0134] Finished DEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/tmp/routing/18-fill.def |
| ############################################################################### |
| # Created by write_sdc |
| # Sat Jun 4 17:13:13 2022 |
| ############################################################################### |
| current_design aes |
| ############################################################################### |
| # Timing Constraints |
| ############################################################################### |
| create_clock -name clock -period 15.0000 [get_ports {clock}] |
| set_clock_transition 0.1500 [get_clocks {clock}] |
| set_clock_uncertainty 0.2500 clock |
| set_propagated_clock [get_clocks {clock}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[0]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[10]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[11]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[12]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[13]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[14]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[15]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[16]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[17]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[18]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[19]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[1]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[20]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[21]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[22]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[23]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[24]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[25]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[26]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[27]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[28]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[29]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[2]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[30]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[31]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[3]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[4]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[5]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[6]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[7]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[8]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_adr_i[9]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_cyc_i}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[0]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[10]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[11]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[12]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[13]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[14]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[15]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[16]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[17]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[18]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[19]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[1]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[20]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[21]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[22]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[23]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[24]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[25]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[26]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[27]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[28]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[29]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[2]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[30]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[31]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[3]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[4]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[5]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[6]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[7]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[8]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_i[9]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_sel_i[0]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_sel_i[1]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_sel_i[2]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_sel_i[3]}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_stb_i}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_we_i}] |
| set_input_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {reset}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_ack_o}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[0]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[10]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[11]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[12]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[13]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[14]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[15]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[16]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[17]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[18]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[19]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[1]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[20]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[21]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[22]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[23]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[24]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[25]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[26]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[27]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[28]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[29]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[2]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[30]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[31]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[3]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[4]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[5]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[6]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[7]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[8]}] |
| set_output_delay 3.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_dat_o[9]}] |
| ############################################################################### |
| # Environment |
| ############################################################################### |
| set_load -pin_load 0.0334 [get_ports {io_wbs_ack_o}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[31]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[30]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[29]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[28]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[27]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[26]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[25]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[24]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[23]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[22]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[21]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[20]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[19]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[18]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[17]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[16]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[15]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[14]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[13]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[12]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[11]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[10]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[9]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[8]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[7]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[6]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[5]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[4]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[3]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[2]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[1]}] |
| set_load -pin_load 0.0334 [get_ports {io_wbs_dat_o[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {clock}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_cyc_i}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_stb_i}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_we_i}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {reset}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[31]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[30]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[29]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[28]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[27]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[26]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[25]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[24]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[23]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[22]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[21]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[20]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[19]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[18]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[17]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[16]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[15]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[14]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[13]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[12]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[11]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[10]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[9]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[8]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[7]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[6]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[5]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[4]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[3]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[2]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_adr_i[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[31]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[30]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[29]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[28]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[27]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[26]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[25]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[24]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[23]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[22]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[21]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[20]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[19]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[18]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[17]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[16]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[15]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[14]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[13]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[12]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[11]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[10]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[9]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[8]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[7]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[6]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[5]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[4]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[3]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[2]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_dat_i[0]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_sel_i[3]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_sel_i[2]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_sel_i[1]}] |
| set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_sel_i[0]}] |
| set_timing_derate -early 0.9500 |
| set_timing_derate -late 1.0500 |
| ############################################################################### |
| # Design Rules |
| ############################################################################### |
| set_max_fanout 5.0000 [current_design] |
| [INFO]: Setting signal min routing layer to: met1 and clock min routing layer to met1. |
| [INFO]: Setting signal max routing layer to: met4 and clock max routing layer to met4. |
| -congestion_iterations 50 -verbose -allow_congestion |
| [INFO GRT-0020] Min routing layer: met1 |
| [INFO GRT-0021] Max routing layer: met4 |
| [INFO GRT-0022] Global adjustment: 30% |
| [INFO GRT-0023] Grid origin: (0, 0) |
| [WARNING GRT-0043] No OR_DEFAULT vias defined. |
| [INFO GRT-0088] Layer li1 Track-Pitch = 0.4600 line-2-Via Pitch: 0.3400 |
| [INFO GRT-0088] Layer met1 Track-Pitch = 0.3400 line-2-Via Pitch: 0.3400 |
| [INFO GRT-0088] Layer met2 Track-Pitch = 0.4600 line-2-Via Pitch: 0.3500 |
| [INFO GRT-0088] Layer met3 Track-Pitch = 0.6800 line-2-Via Pitch: 0.6150 |
| [INFO GRT-0088] Layer met4 Track-Pitch = 0.9200 line-2-Via Pitch: 0.6150 |
| [INFO GRT-0019] Found 117 clock nets. |
| [INFO GRT-0001] Minimum degree: 2 |
| [INFO GRT-0002] Maximum degree: 37 |
| [INFO GRT-0003] Macros: 0 |
| [INFO GRT-0004] Blockages: 7912 |
| |
| [INFO GRT-0053] Routing resources analysis: |
| Routing Original Derated Resource |
| Layer Direction Resources Resources Reduction (%) |
| --------------------------------------------------------------- |
| li1 Vertical 0 0 0.00% |
| met1 Horizontal 264500 109554 58.58% |
| met2 Vertical 198375 133038 32.94% |
| met3 Horizontal 132250 92910 29.75% |
| met4 Vertical 92575 53467 42.24% |
| --------------------------------------------------------------- |
| |
| [INFO GRT-0101] Running extra iterations to remove overflow. |
| [WARNING GRT-0227] Reached 20 congestion iterations with less than 15% of reduction between iterations. |
| [INFO GRT-0197] Via related to pin nodes: 88043 |
| [INFO GRT-0198] Via related Steiner nodes: 8566 |
| [INFO GRT-0199] Via filling finished. |
| [INFO GRT-0111] Final number of vias: 157561 |
| [INFO GRT-0112] Final usage 3D: 842151 |
| [WARNING GRT-0115] Global routing finished with overflow. |
| |
| [INFO GRT-0096] Final congestion report: |
| Layer Resource Demand Usage (%) Max H / Max V / Total Overflow |
| --------------------------------------------------------------------------------------- |
| li1 0 5062 0.00% 1 / 6 / 5062 |
| met1 109554 110429 100.80% 13 / 2 / 18671 |
| met2 133038 126069 94.76% 2 / 12 / 15107 |
| met3 92910 83128 89.47% 12 / 2 / 12477 |
| met4 53467 44780 83.75% 2 / 7 / 6407 |
| --------------------------------------------------------------------------------------- |
| Total 388969 369468 94.99% 30 / 29 / 57724 |
| |
| [INFO GRT-0018] Total wirelength: 3233202 um |
| [INFO GRT-0014] Routed nets: 9101 |
| [INFO]: Setting RC values... |
| min_report |
| |
| =========================================================================== |
| report_checks -path_delay min (Hold) |
| ============================================================================ |
| Startpoint: io_wbs_dat_i[4] (input port clocked by clock) |
| Endpoint: _14210_ (rising edge-triggered flip-flop clocked by clock) |
| Path Group: clock |
| Path Type: min |
| |
| Fanout Cap Slew Delay Time Description |
| ----------------------------------------------------------------------------- |
| 0.00 0.00 clock clock (rise edge) |
| 0.00 0.00 clock network delay (propagated) |
| 3.00 3.00 v input external delay |
| 0.03 0.02 3.02 v io_wbs_dat_i[4] (in) |
| 2 0.01 io_wbs_dat_i[4] (net) |
| 0.03 0.00 3.02 v input40/A (sky130_fd_sc_hd__buf_8) |
| 0.09 0.15 3.17 v input40/X (sky130_fd_sc_hd__buf_8) |
| 2 0.12 net40 (net) |
| 0.11 0.03 3.20 v _08295_/B (sky130_fd_sc_hd__nand2_2) |
| 0.17 0.18 3.38 ^ _08295_/Y (sky130_fd_sc_hd__nand2_2) |
| 4 0.03 _01722_ (net) |
| 0.17 0.00 3.38 ^ _12297_/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.21 0.25 3.63 ^ _12297_/X (sky130_fd_sc_hd__clkbuf_2) |
| 10 0.04 _05619_ (net) |
| 0.21 0.00 3.63 ^ _12415_/A (sky130_fd_sc_hd__nand2_1) |
| 0.07 0.09 3.72 v _12415_/Y (sky130_fd_sc_hd__nand2_1) |
| 2 0.01 _05705_ (net) |
| 0.07 0.00 3.72 v _12416_/B1 (sky130_fd_sc_hd__o211a_1) |
| 0.08 0.17 3.90 v _12416_/X (sky130_fd_sc_hd__o211a_1) |
| 2 0.01 _00170_ (net) |
| 0.08 0.00 3.90 v _14210_/D (sky130_fd_sc_hd__dfxtp_1) |
| 3.90 data arrival time |
| |
| 0.00 0.00 clock clock (rise edge) |
| 0.00 0.00 clock source latency |
| 0.72 0.53 0.53 ^ clock (in) |
| 2 0.16 clock (net) |
| 0.72 0.00 0.53 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.07 0.30 0.83 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 4 0.32 clknet_0_clock (net) |
| 0.46 0.23 1.07 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.47 0.45 1.52 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.09 clknet_1_0_0_clock (net) |
| 0.47 0.00 1.52 ^ clkbuf_1_0_1_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 1.30 0.29 1.81 ^ clkbuf_1_0_1_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 4 0.32 clknet_1_0_1_clock (net) |
| 2.93 1.45 3.26 ^ clkbuf_2_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.71 0.85 4.11 ^ clkbuf_2_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 4 0.13 clknet_2_1_0_clock (net) |
| 0.71 0.01 4.13 ^ clkbuf_3_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 2.20 1.71 5.84 ^ clkbuf_3_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 36 0.41 clknet_3_2_0_clock (net) |
| 2.21 0.13 5.97 ^ clkbuf_leaf_44_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.12 0.46 6.43 ^ clkbuf_leaf_44_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 16 0.06 clknet_leaf_44_clock (net) |
| 0.12 0.00 6.43 ^ _14210_/CLK (sky130_fd_sc_hd__dfxtp_1) |
| 0.25 6.68 clock uncertainty |
| 0.00 6.68 clock reconvergence pessimism |
| -0.05 6.63 library hold time |
| 6.63 data required time |
| ----------------------------------------------------------------------------- |
| 6.63 data required time |
| -3.90 data arrival time |
| ----------------------------------------------------------------------------- |
| -2.74 slack (VIOLATED) |
| |
| |
| Startpoint: io_wbs_dat_i[2] (input port clocked by clock) |
| Endpoint: _14208_ (rising edge-triggered flip-flop clocked by clock) |
| Path Group: clock |
| Path Type: min |
| |
| Fanout Cap Slew Delay Time Description |
| ----------------------------------------------------------------------------- |
| 0.00 0.00 clock clock (rise edge) |
| 0.00 0.00 clock network delay (propagated) |
| 3.00 3.00 v input external delay |
| 0.03 0.02 3.02 v io_wbs_dat_i[2] (in) |
| 2 0.01 io_wbs_dat_i[2] (net) |
| 0.03 0.00 3.02 v input36/A (sky130_fd_sc_hd__buf_6) |
| 0.07 0.15 3.16 v input36/X (sky130_fd_sc_hd__buf_6) |
| 2 0.07 net36 (net) |
| 0.07 0.01 3.17 v _08072_/B (sky130_fd_sc_hd__nand2_2) |
| 0.20 0.18 3.35 ^ _08072_/Y (sky130_fd_sc_hd__nand2_2) |
| 4 0.04 _01501_ (net) |
| 0.20 0.00 3.35 ^ _12287_/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.23 0.27 3.62 ^ _12287_/X (sky130_fd_sc_hd__clkbuf_2) |
| 10 0.04 _05611_ (net) |
| 0.23 0.00 3.62 ^ _12408_/A (sky130_fd_sc_hd__nand2_1) |
| 0.07 0.11 3.73 v _12408_/Y (sky130_fd_sc_hd__nand2_1) |
| 2 0.01 _05700_ (net) |
| 0.07 0.00 3.73 v _12410_/B1 (sky130_fd_sc_hd__o211a_1) |
| 0.08 0.17 3.90 v _12410_/X (sky130_fd_sc_hd__o211a_1) |
| 2 0.01 _00168_ (net) |
| 0.08 0.00 3.90 v _14208_/D (sky130_fd_sc_hd__dfxtp_1) |
| 3.90 data arrival time |
| |
| 0.00 0.00 clock clock (rise edge) |
| 0.00 0.00 clock source latency |
| 0.72 0.53 0.53 ^ clock (in) |
| 2 0.16 clock (net) |
| 0.72 0.00 0.53 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.07 0.30 0.83 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 4 0.32 clknet_0_clock (net) |
| 0.46 0.23 1.07 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.47 0.45 1.52 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.09 clknet_1_0_0_clock (net) |
| 0.47 0.00 1.52 ^ clkbuf_1_0_1_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 1.30 0.29 1.81 ^ clkbuf_1_0_1_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 4 0.32 clknet_1_0_1_clock (net) |
| 2.93 1.45 3.26 ^ clkbuf_2_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.71 0.85 4.11 ^ clkbuf_2_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 4 0.13 clknet_2_1_0_clock (net) |
| 0.71 0.01 4.13 ^ clkbuf_3_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 2.20 1.71 5.84 ^ clkbuf_3_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 36 0.41 clknet_3_2_0_clock (net) |
| 2.21 0.13 5.97 ^ clkbuf_leaf_44_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.12 0.46 6.43 ^ clkbuf_leaf_44_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 16 0.06 clknet_leaf_44_clock (net) |
| 0.12 0.00 6.43 ^ _14208_/CLK (sky130_fd_sc_hd__dfxtp_1) |
| 0.25 6.68 clock uncertainty |
| 0.00 6.68 clock reconvergence pessimism |
| -0.05 6.63 library hold time |
| 6.63 data required time |
| ----------------------------------------------------------------------------- |
| 6.63 data required time |
| -3.90 data arrival time |
| ----------------------------------------------------------------------------- |
| -2.74 slack (VIOLATED) |
| |
| |
| Startpoint: io_wbs_dat_i[13] (input port clocked by clock) |
| Endpoint: _14315_ (rising edge-triggered flip-flop clocked by clock) |
| Path Group: clock |
| Path Type: min |
| |
| Fanout Cap Slew Delay Time Description |
| ----------------------------------------------------------------------------- |
| 0.00 0.00 clock clock (rise edge) |
| 0.00 0.00 clock network delay (propagated) |
| 3.00 3.00 v input external delay |
| 0.03 0.02 3.02 v io_wbs_dat_i[13] (in) |
| 2 0.01 io_wbs_dat_i[13] (net) |
| 0.03 0.00 3.02 v input18/A (sky130_fd_sc_hd__buf_8) |
| 0.08 0.15 3.17 v input18/X (sky130_fd_sc_hd__buf_8) |
| 2 0.09 net18 (net) |
| 0.08 0.02 3.18 v _09457_/B (sky130_fd_sc_hd__nand2_2) |
| 0.18 0.17 3.36 ^ _09457_/Y (sky130_fd_sc_hd__nand2_2) |
| 4 0.03 _02875_ (net) |
| 0.18 0.00 3.36 ^ _12329_/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.23 0.26 3.62 ^ _12329_/X (sky130_fd_sc_hd__clkbuf_2) |
| 10 0.04 _05642_ (net) |
| 0.23 0.00 3.62 ^ _12717_/A (sky130_fd_sc_hd__nand2_1) |
| 0.09 0.13 3.75 v _12717_/Y (sky130_fd_sc_hd__nand2_1) |
| 2 0.01 _05902_ (net) |
| 0.09 0.00 3.75 v _12718_/B1 (sky130_fd_sc_hd__o211a_1) |
| 0.06 0.17 3.92 v _12718_/X (sky130_fd_sc_hd__o211a_1) |
| 2 0.01 _00275_ (net) |
| 0.06 0.00 3.92 v _14315_/D (sky130_fd_sc_hd__dfxtp_1) |
| 3.92 data arrival time |
| |
| 0.00 0.00 clock clock (rise edge) |
| 0.00 0.00 clock source latency |
| 0.72 0.53 0.53 ^ clock (in) |
| 2 0.16 clock (net) |
| 0.72 0.00 0.53 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.07 0.30 0.83 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 4 0.32 clknet_0_clock (net) |
| 0.46 0.23 1.07 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.47 0.45 1.52 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.09 clknet_1_0_0_clock (net) |
| 0.47 0.00 1.52 ^ clkbuf_1_0_1_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 1.30 0.29 1.81 ^ clkbuf_1_0_1_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 4 0.32 clknet_1_0_1_clock (net) |
| 2.93 1.45 3.26 ^ clkbuf_2_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.71 0.85 4.11 ^ clkbuf_2_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 4 0.13 clknet_2_1_0_clock (net) |
| 0.71 0.01 4.13 ^ clkbuf_3_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 2.20 1.71 5.84 ^ clkbuf_3_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 36 0.41 clknet_3_2_0_clock (net) |
| 2.20 0.10 5.94 ^ clkbuf_leaf_55_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.12 0.46 6.40 ^ clkbuf_leaf_55_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 18 0.06 clknet_leaf_55_clock (net) |
| 0.12 0.00 6.40 ^ _14315_/CLK (sky130_fd_sc_hd__dfxtp_1) |
| 0.25 6.65 clock uncertainty |
| 0.00 6.65 clock reconvergence pessimism |
| -0.04 6.61 library hold time |
| 6.61 data required time |
| ----------------------------------------------------------------------------- |
| 6.61 data required time |
| -3.92 data arrival time |
| ----------------------------------------------------------------------------- |
| -2.69 slack (VIOLATED) |
| |
| |
| Startpoint: io_wbs_dat_i[16] (input port clocked by clock) |
| Endpoint: _14190_ (rising edge-triggered flip-flop clocked by clock) |
| Path Group: clock |
| Path Type: min |
| |
| Fanout Cap Slew Delay Time Description |
| ----------------------------------------------------------------------------- |
| 0.00 0.00 clock clock (rise edge) |
| 0.00 0.00 clock network delay (propagated) |
| 3.00 3.00 v input external delay |
| 0.02 0.01 3.01 v io_wbs_dat_i[16] (in) |
| 2 0.01 io_wbs_dat_i[16] (net) |
| 0.02 0.00 3.01 v input21/A (sky130_fd_sc_hd__buf_6) |
| 0.07 0.14 3.15 v input21/X (sky130_fd_sc_hd__buf_6) |
| 2 0.08 net21 (net) |
| 0.11 0.04 3.19 v _09988_/B (sky130_fd_sc_hd__nand2_2) |
| 0.15 0.16 3.35 ^ _09988_/Y (sky130_fd_sc_hd__nand2_2) |
| 4 0.03 _03403_ (net) |
| 0.15 0.00 3.35 ^ _12340_/A (sky130_fd_sc_hd__buf_2) |
| 0.23 0.26 3.61 ^ _12340_/X (sky130_fd_sc_hd__buf_2) |
| 10 0.05 _05650_ (net) |
| 0.23 0.00 3.61 ^ _12343_/A1 (sky130_fd_sc_hd__a211oi_1) |
| 0.17 0.27 3.89 v _12343_/Y (sky130_fd_sc_hd__a211oi_1) |
| 2 0.04 _00150_ (net) |
| 0.17 0.00 3.89 v _14190_/D (sky130_fd_sc_hd__dfxtp_1) |
| 3.89 data arrival time |
| |
| 0.00 0.00 clock clock (rise edge) |
| 0.00 0.00 clock source latency |
| 0.72 0.53 0.53 ^ clock (in) |
| 2 0.16 clock (net) |
| 0.72 0.00 0.53 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.07 0.30 0.83 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 4 0.32 clknet_0_clock (net) |
| 0.46 0.23 1.07 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.47 0.45 1.52 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.09 clknet_1_0_0_clock (net) |
| 0.47 0.00 1.52 ^ clkbuf_1_0_1_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 1.30 0.29 1.81 ^ clkbuf_1_0_1_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 4 0.32 clknet_1_0_1_clock (net) |
| 2.93 1.45 3.26 ^ clkbuf_2_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.71 0.85 4.11 ^ clkbuf_2_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 4 0.13 clknet_2_1_0_clock (net) |
| 0.71 0.00 4.12 ^ clkbuf_3_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 2.09 1.66 5.78 ^ clkbuf_3_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 24 0.39 clknet_3_3_0_clock (net) |
| 2.09 0.05 5.82 ^ clkbuf_leaf_63_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.26 0.56 6.38 ^ clkbuf_leaf_63_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 14 0.23 clknet_leaf_63_clock (net) |
| 0.26 0.01 6.39 ^ _14190_/CLK (sky130_fd_sc_hd__dfxtp_1) |
| 0.25 6.64 clock uncertainty |
| 0.00 6.64 clock reconvergence pessimism |
| -0.06 6.58 library hold time |
| 6.58 data required time |
| ----------------------------------------------------------------------------- |
| 6.58 data required time |
| -3.89 data arrival time |
| ----------------------------------------------------------------------------- |
| -2.69 slack (VIOLATED) |
| |
| |
| Startpoint: io_wbs_dat_i[3] (input port clocked by clock) |
| Endpoint: _14241_ (rising edge-triggered flip-flop clocked by clock) |
| Path Group: clock |
| Path Type: min |
| |
| Fanout Cap Slew Delay Time Description |
| ----------------------------------------------------------------------------- |
| 0.00 0.00 clock clock (rise edge) |
| 0.00 0.00 clock network delay (propagated) |
| 3.00 3.00 v input external delay |
| 0.04 0.02 3.02 v io_wbs_dat_i[3] (in) |
| 2 0.02 io_wbs_dat_i[3] (net) |
| 0.04 0.00 3.02 v input39/A (sky130_fd_sc_hd__buf_12) |
| 0.08 0.15 3.18 v input39/X (sky130_fd_sc_hd__buf_12) |
| 2 0.15 net39 (net) |
| 0.12 0.04 3.22 v _08190_/B (sky130_fd_sc_hd__nand2_2) |
| 0.19 0.19 3.41 ^ _08190_/Y (sky130_fd_sc_hd__nand2_2) |
| 4 0.04 _01618_ (net) |
| 0.19 0.00 3.41 ^ _12293_/A (sky130_fd_sc_hd__buf_2) |
| 0.22 0.26 3.68 ^ _12293_/X (sky130_fd_sc_hd__buf_2) |
| 10 0.04 _05616_ (net) |
| 0.22 0.00 3.68 ^ _12499_/A (sky130_fd_sc_hd__nand2_1) |
| 0.08 0.11 3.79 v _12499_/Y (sky130_fd_sc_hd__nand2_1) |
| 2 0.01 _05758_ (net) |
| 0.08 0.00 3.79 v _12500_/B1 (sky130_fd_sc_hd__o211a_1) |
| 0.07 0.17 3.96 v _12500_/X (sky130_fd_sc_hd__o211a_1) |
| 2 0.01 _00201_ (net) |
| 0.07 0.00 3.96 v _14241_/D (sky130_fd_sc_hd__dfxtp_2) |
| 3.96 data arrival time |
| |
| 0.00 0.00 clock clock (rise edge) |
| 0.00 0.00 clock source latency |
| 0.72 0.53 0.53 ^ clock (in) |
| 2 0.16 clock (net) |
| 0.72 0.00 0.53 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.07 0.30 0.83 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 4 0.32 clknet_0_clock (net) |
| 0.46 0.23 1.07 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.47 0.45 1.52 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.09 clknet_1_0_0_clock (net) |
| 0.47 0.00 1.52 ^ clkbuf_1_0_1_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 1.30 0.29 1.81 ^ clkbuf_1_0_1_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 4 0.32 clknet_1_0_1_clock (net) |
| 2.93 1.45 3.26 ^ clkbuf_2_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.71 0.85 4.11 ^ clkbuf_2_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 4 0.13 clknet_2_1_0_clock (net) |
| 0.71 0.01 4.13 ^ clkbuf_3_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 2.20 1.71 5.84 ^ clkbuf_3_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 36 0.41 clknet_3_2_0_clock (net) |
| 2.21 0.13 5.97 ^ clkbuf_leaf_44_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.12 0.46 6.43 ^ clkbuf_leaf_44_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 16 0.06 clknet_leaf_44_clock (net) |
| 0.12 0.00 6.43 ^ _14241_/CLK (sky130_fd_sc_hd__dfxtp_2) |
| 0.25 6.68 clock uncertainty |
| 0.00 6.68 clock reconvergence pessimism |
| -0.04 6.64 library hold time |
| 6.64 data required time |
| ----------------------------------------------------------------------------- |
| 6.64 data required time |
| -3.96 data arrival time |
| ----------------------------------------------------------------------------- |
| -2.68 slack (VIOLATED) |
| |
| |
| min_report_end |
| max_report |
| |
| =========================================================================== |
| report_checks -path_delay max (Setup) |
| ============================================================================ |
| Startpoint: _14108_ (rising edge-triggered flip-flop clocked by clock) |
| Endpoint: _14458_ (rising edge-triggered flip-flop clocked by clock) |
| Path Group: clock |
| Path Type: max |
| |
| Fanout Cap Slew Delay Time Description |
| ----------------------------------------------------------------------------- |
| 0.00 0.00 clock clock (rise edge) |
| 0.00 0.00 clock source latency |
| 0.72 0.53 0.53 ^ clock (in) |
| 2 0.16 clock (net) |
| 0.72 0.00 0.53 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.07 0.30 0.83 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 4 0.32 clknet_0_clock (net) |
| 0.48 0.24 1.08 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.65 0.47 1.55 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.13 clknet_1_1_0_clock (net) |
| 0.65 0.01 1.56 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.80 0.76 2.32 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 4 0.15 clknet_1_1_1_clock (net) |
| 0.80 0.00 2.33 ^ clkbuf_2_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.74 0.68 3.00 ^ clkbuf_2_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 4 0.14 clknet_2_2_0_clock (net) |
| 0.74 0.01 3.01 ^ clkbuf_3_4_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 2.52 1.94 4.95 ^ clkbuf_3_4_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 26 0.48 clknet_3_4_0_clock (net) |
| 2.52 0.02 4.97 ^ clkbuf_leaf_7_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.18 0.55 5.51 ^ clkbuf_leaf_7_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 18 0.13 clknet_leaf_7_clock (net) |
| 0.18 0.00 5.52 ^ _14108_/CLK (sky130_fd_sc_hd__dfxtp_1) |
| 1.34 1.31 6.83 ^ _14108_/Q (sky130_fd_sc_hd__dfxtp_1) |
| 6 0.15 _T_1[84] (net) |
| 1.34 0.00 6.83 ^ _09666_/A (sky130_fd_sc_hd__clkinv_2) |
| 0.67 0.92 7.76 v _09666_/Y (sky130_fd_sc_hd__clkinv_2) |
| 4 0.14 _03081_ (net) |
| 0.67 0.00 7.76 v _09669_/A1 (sky130_fd_sc_hd__a221o_1) |
| 0.12 0.61 8.36 v _09669_/X (sky130_fd_sc_hd__a221o_1) |
| 2 0.02 _03084_ (net) |
| 0.12 0.00 8.36 v _09671_/B1 (sky130_fd_sc_hd__a22o_4) |
| 0.09 0.31 8.68 v _09671_/X (sky130_fd_sc_hd__a22o_4) |
| 8 0.04 _03086_ (net) |
| 0.09 0.01 8.68 v _09719_/B (sky130_fd_sc_hd__nand2_1) |
| 0.23 0.23 8.91 ^ _09719_/Y (sky130_fd_sc_hd__nand2_1) |
| 8 0.03 _03134_ (net) |
| 0.23 0.00 8.91 ^ _09768_/A (sky130_fd_sc_hd__or2_2) |
| 0.16 0.27 9.18 ^ _09768_/X (sky130_fd_sc_hd__or2_2) |
| 8 0.03 _03183_ (net) |
| 0.16 0.00 9.18 ^ _09769_/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.20 0.27 9.44 ^ _09769_/X (sky130_fd_sc_hd__clkbuf_2) |
| 10 0.04 _03184_ (net) |
| 0.20 0.00 9.45 ^ _10131_/A1 (sky130_fd_sc_hd__o22a_1) |
| 0.19 0.30 9.75 ^ _10131_/X (sky130_fd_sc_hd__o22a_1) |
| 4 0.02 _03544_ (net) |
| 0.19 0.00 9.75 ^ _10133_/B1 (sky130_fd_sc_hd__o2111a_1) |
| 0.10 0.27 10.02 ^ _10133_/X (sky130_fd_sc_hd__o2111a_1) |
| 2 0.01 _03546_ (net) |
| 0.10 0.00 10.02 ^ _10139_/B (sky130_fd_sc_hd__and4_1) |
| 0.11 0.25 10.27 ^ _10139_/X (sky130_fd_sc_hd__and4_1) |
| 2 0.01 _03552_ (net) |
| 0.11 0.00 10.27 ^ _10140_/C1 (sky130_fd_sc_hd__o211a_1) |
| 0.11 0.22 10.49 ^ _10140_/X (sky130_fd_sc_hd__o211a_1) |
| 2 0.01 _03553_ (net) |
| 0.11 0.00 10.49 ^ _10141_/C1 (sky130_fd_sc_hd__o211ai_2) |
| 0.11 0.14 10.62 v _10141_/Y (sky130_fd_sc_hd__o211ai_2) |
| 2 0.01 _03554_ (net) |
| 0.11 0.00 10.62 v _10142_/D (sky130_fd_sc_hd__or4_1) |
| 0.12 0.48 11.11 v _10142_/X (sky130_fd_sc_hd__or4_1) |
| 2 0.01 _03555_ (net) |
| 0.12 0.00 11.11 v _10144_/C (sky130_fd_sc_hd__or4_1) |
| 0.12 0.56 11.67 v _10144_/X (sky130_fd_sc_hd__or4_1) |
| 2 0.01 _03557_ (net) |
| 0.12 0.00 11.67 v _10145_/D (sky130_fd_sc_hd__or4_1) |
| 0.13 0.50 12.17 v _10145_/X (sky130_fd_sc_hd__or4_1) |
| 2 0.01 _03558_ (net) |
| 0.13 0.00 12.17 v _10148_/A2 (sky130_fd_sc_hd__o41a_1) |
| 0.09 0.48 12.65 v _10148_/X (sky130_fd_sc_hd__o41a_1) |
| 2 0.01 _03561_ (net) |
| 0.09 0.00 12.65 v _10151_/A (sky130_fd_sc_hd__or4b_1) |
| 0.12 0.61 13.27 v _10151_/X (sky130_fd_sc_hd__or4b_1) |
| 2 0.01 _03564_ (net) |
| 0.12 0.00 13.27 v _10154_/C (sky130_fd_sc_hd__or4b_1) |
| 0.15 0.61 13.88 v _10154_/X (sky130_fd_sc_hd__or4b_1) |
| 2 0.02 _03567_ (net) |
| 0.15 0.00 13.88 v _10155_/D (sky130_fd_sc_hd__or4_1) |
| 0.16 0.55 14.43 v _10155_/X (sky130_fd_sc_hd__or4_1) |
| 2 0.02 _03568_ (net) |
| 0.16 0.00 14.43 v _10156_/B1 (sky130_fd_sc_hd__a211o_1) |
| 0.10 0.38 14.81 v _10156_/X (sky130_fd_sc_hd__a211o_1) |
| 2 0.01 _03569_ (net) |
| 0.10 0.00 14.81 v _10159_/B (sky130_fd_sc_hd__or4_1) |
| 0.15 0.64 15.45 v _10159_/X (sky130_fd_sc_hd__or4_1) |
| 2 0.02 _03572_ (net) |
| 0.15 0.00 15.46 v _10161_/C (sky130_fd_sc_hd__or4b_1) |
| 0.13 0.59 16.04 v _10161_/X (sky130_fd_sc_hd__or4b_1) |
| 2 0.01 _03574_ (net) |
| 0.13 0.00 16.04 v _10164_/B (sky130_fd_sc_hd__or4b_1) |
| 0.14 0.64 16.68 v _10164_/X (sky130_fd_sc_hd__or4b_1) |
| 2 0.01 _03577_ (net) |
| 0.14 0.00 16.68 v _10166_/B2 (sky130_fd_sc_hd__o221a_1) |
| 0.09 0.30 16.99 v _10166_/X (sky130_fd_sc_hd__o221a_1) |
| 2 0.01 _03579_ (net) |
| 0.09 0.00 16.99 v _10168_/C (sky130_fd_sc_hd__or4_1) |
| 0.14 0.58 17.57 v _10168_/X (sky130_fd_sc_hd__or4_1) |
| 2 0.01 _03581_ (net) |
| 0.14 0.00 17.57 v _10169_/C1 (sky130_fd_sc_hd__o221a_1) |
| 0.11 0.22 17.80 v _10169_/X (sky130_fd_sc_hd__o221a_1) |
| 2 0.02 _03582_ (net) |
| 0.11 0.00 17.80 v _10182_/A_N (sky130_fd_sc_hd__and3b_2) |
| 0.20 0.40 18.20 ^ _10182_/X (sky130_fd_sc_hd__and3b_2) |
| 4 0.04 _03595_ (net) |
| 0.20 0.00 18.20 ^ _10198_/A (sky130_fd_sc_hd__buf_4) |
| 0.92 0.71 18.91 ^ _10198_/X (sky130_fd_sc_hd__buf_4) |
| 10 0.35 _03611_ (net) |
| 1.31 0.52 19.43 ^ _12905_/B (sky130_fd_sc_hd__xnor2_1) |
| 1.24 1.38 20.81 v _12905_/Y (sky130_fd_sc_hd__xnor2_1) |
| 4 0.15 _06044_ (net) |
| 1.24 0.00 20.81 v _13090_/B (sky130_fd_sc_hd__xnor2_2) |
| 0.81 1.11 21.92 ^ _13090_/Y (sky130_fd_sc_hd__xnor2_2) |
| 6 0.06 _06197_ (net) |
| 0.81 0.00 21.92 ^ _13261_/A2 (sky130_fd_sc_hd__o21ai_1) |
| 0.33 0.45 22.37 v _13261_/Y (sky130_fd_sc_hd__o21ai_1) |
| 2 0.04 _06336_ (net) |
| 0.33 0.00 22.37 v _13262_/B1 (sky130_fd_sc_hd__a21oi_1) |
| 1.18 1.06 23.43 ^ _13262_/Y (sky130_fd_sc_hd__a21oi_1) |
| 6 0.06 _06337_ (net) |
| 1.18 0.00 23.43 ^ _13387_/B (sky130_fd_sc_hd__nand2_1) |
| 0.76 0.88 24.30 v _13387_/Y (sky130_fd_sc_hd__nand2_1) |
| 2 0.10 _06430_ (net) |
| 0.76 0.00 24.30 v hold1015/A (sky130_fd_sc_hd__clkdlybuf4s25_1) |
| 0.65 0.93 25.23 v hold1015/X (sky130_fd_sc_hd__clkdlybuf4s25_1) |
| 2 0.09 net1100 (net) |
| 0.65 0.00 25.23 v _13389_/A2 (sky130_fd_sc_hd__a32o_1) |
| 0.56 0.94 26.17 v _13389_/X (sky130_fd_sc_hd__a32o_1) |
| 2 0.13 _00417_ (net) |
| 0.56 0.00 26.17 v hold1016/A (sky130_fd_sc_hd__clkdlybuf4s25_1) |
| 0.74 0.90 27.06 v hold1016/X (sky130_fd_sc_hd__clkdlybuf4s25_1) |
| 2 0.10 net1101 (net) |
| 0.74 0.00 27.07 v _14458_/D (sky130_fd_sc_hd__dfxtp_2) |
| 27.07 data arrival time |
| |
| 15.00 15.00 clock clock (rise edge) |
| 0.00 15.00 clock source latency |
| 0.72 0.48 15.48 ^ clock (in) |
| 2 0.16 clock (net) |
| 0.72 0.00 15.48 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.07 0.27 15.75 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 4 0.32 clknet_0_clock (net) |
| 0.46 0.21 15.97 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.47 0.41 16.37 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.09 clknet_1_0_0_clock (net) |
| 0.47 0.00 16.38 ^ clkbuf_1_0_1_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 1.30 0.26 16.64 ^ clkbuf_1_0_1_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 4 0.32 clknet_1_0_1_clock (net) |
| 2.93 1.32 17.95 ^ clkbuf_2_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.71 0.77 18.72 ^ clkbuf_2_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 4 0.13 clknet_2_1_0_clock (net) |
| 0.71 0.00 18.72 ^ clkbuf_3_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 2.09 1.50 20.23 ^ clkbuf_3_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 24 0.39 clknet_3_3_0_clock (net) |
| 2.09 0.00 20.23 ^ clkbuf_leaf_67_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.28 0.47 20.70 ^ clkbuf_leaf_67_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 16 0.28 clknet_leaf_67_clock (net) |
| 0.28 0.00 20.70 ^ _14458_/CLK (sky130_fd_sc_hd__dfxtp_2) |
| -0.25 20.45 clock uncertainty |
| 0.08 20.53 clock reconvergence pessimism |
| -0.33 20.21 library setup time |
| 20.21 data required time |
| ----------------------------------------------------------------------------- |
| 20.21 data required time |
| -27.07 data arrival time |
| ----------------------------------------------------------------------------- |
| -6.86 slack (VIOLATED) |
| |
| |
| Startpoint: _14528_ (rising edge-triggered flip-flop clocked by clock) |
| Endpoint: _14514_ (rising edge-triggered flip-flop clocked by clock) |
| Path Group: clock |
| Path Type: max |
| |
| Fanout Cap Slew Delay Time Description |
| ----------------------------------------------------------------------------- |
| 0.00 0.00 clock clock (rise edge) |
| 0.00 0.00 clock source latency |
| 0.72 0.53 0.53 ^ clock (in) |
| 2 0.16 clock (net) |
| 0.72 0.00 0.53 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.07 0.30 0.83 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 4 0.32 clknet_0_clock (net) |
| 0.46 0.23 1.07 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.47 0.45 1.52 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.09 clknet_1_0_0_clock (net) |
| 0.47 0.00 1.52 ^ clkbuf_1_0_1_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 1.30 0.29 1.81 ^ clkbuf_1_0_1_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 4 0.32 clknet_1_0_1_clock (net) |
| 2.94 1.47 3.28 ^ clkbuf_2_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.45 0.70 3.98 ^ clkbuf_2_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 4 0.08 clknet_2_0_0_clock (net) |
| 0.45 0.00 3.98 ^ clkbuf_3_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 1.35 1.14 5.12 ^ clkbuf_3_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 26 0.25 clknet_3_1_0_clock (net) |
| 1.35 0.01 5.13 ^ clkbuf_leaf_3_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.10 0.38 5.51 ^ clkbuf_leaf_3_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 12 0.06 clknet_leaf_3_clock (net) |
| 0.10 0.00 5.51 ^ _14528_/CLK (sky130_fd_sc_hd__dfxtp_1) |
| 0.50 0.68 6.19 ^ _14528_/Q (sky130_fd_sc_hd__dfxtp_1) |
| 10 0.05 _T_43 (net) |
| 0.50 0.00 6.19 ^ _07196_/A (sky130_fd_sc_hd__dlymetal6s2s_1) |
| 0.37 0.42 6.61 ^ _07196_/X (sky130_fd_sc_hd__dlymetal6s2s_1) |
| 10 0.04 _00630_ (net) |
| 0.37 0.00 6.61 ^ _07197_/A (sky130_fd_sc_hd__clkbuf_8) |
| 0.15 0.32 6.93 ^ _07197_/X (sky130_fd_sc_hd__clkbuf_8) |
| 10 0.08 _00631_ (net) |
| 0.15 0.00 6.93 ^ _07260_/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.23 0.28 7.22 ^ _07260_/X (sky130_fd_sc_hd__clkbuf_2) |
| 10 0.04 _00691_ (net) |
| 0.23 0.00 7.22 ^ _07296_/A (sky130_fd_sc_hd__clkbuf_4) |
| 0.19 0.32 7.54 ^ _07296_/X (sky130_fd_sc_hd__clkbuf_4) |
| 10 0.06 _00727_ (net) |
| 0.19 0.00 7.54 ^ _08632_/B (sky130_fd_sc_hd__nand3b_1) |
| 0.14 0.17 7.71 v _08632_/Y (sky130_fd_sc_hd__nand3b_1) |
| 2 0.01 _02055_ (net) |
| 0.14 0.00 7.71 v _08634_/B1 (sky130_fd_sc_hd__a221o_2) |
| 0.13 0.49 8.19 v _08634_/X (sky130_fd_sc_hd__a221o_2) |
| 4 0.03 _02057_ (net) |
| 0.13 0.00 8.20 v _08691_/A2_N (sky130_fd_sc_hd__o2bb2a_2) |
| 0.25 0.46 8.65 ^ _08691_/X (sky130_fd_sc_hd__o2bb2a_2) |
| 8 0.05 _02114_ (net) |
| 0.25 0.00 8.65 ^ _08740_/A (sky130_fd_sc_hd__nor2_1) |
| 0.18 0.24 8.90 v _08740_/Y (sky130_fd_sc_hd__nor2_1) |
| 6 0.04 _02163_ (net) |
| 0.18 0.00 8.90 v _08767_/B (sky130_fd_sc_hd__nand2_2) |
| 0.25 0.28 9.18 ^ _08767_/Y (sky130_fd_sc_hd__nand2_2) |
| 8 0.05 _02190_ (net) |
| 0.25 0.00 9.18 ^ _08768_/B1 (sky130_fd_sc_hd__o22a_1) |
| 0.56 0.58 9.76 ^ _08768_/X (sky130_fd_sc_hd__o22a_1) |
| 6 0.06 _02191_ (net) |
| 0.56 0.00 9.76 ^ _09272_/D_N (sky130_fd_sc_hd__or4b_1) |
| 0.19 0.65 10.41 v _09272_/X (sky130_fd_sc_hd__or4b_1) |
| 2 0.02 _02692_ (net) |
| 0.19 0.00 10.41 v _09274_/C (sky130_fd_sc_hd__or4b_1) |
| 0.15 0.63 11.04 v _09274_/X (sky130_fd_sc_hd__or4b_1) |
| 2 0.02 _02694_ (net) |
| 0.15 0.00 11.04 v _09276_/B (sky130_fd_sc_hd__or3_1) |
| 0.12 0.48 11.52 v _09276_/X (sky130_fd_sc_hd__or3_1) |
| 2 0.01 _02696_ (net) |
| 0.12 0.00 11.52 v _09277_/C (sky130_fd_sc_hd__or3_1) |
| 0.12 0.42 11.94 v _09277_/X (sky130_fd_sc_hd__or3_1) |
| 2 0.01 _02697_ (net) |
| 0.12 0.00 11.94 v _09281_/A (sky130_fd_sc_hd__or4bb_1) |
| 0.13 0.62 12.55 v _09281_/X (sky130_fd_sc_hd__or4bb_1) |
| 2 0.01 _02701_ (net) |
| 0.13 0.00 12.55 v _09287_/B (sky130_fd_sc_hd__or4_1) |
| 0.13 0.62 13.18 v _09287_/X (sky130_fd_sc_hd__or4_1) |
| 2 0.01 _02707_ (net) |
| 0.13 0.00 13.18 v _09288_/D (sky130_fd_sc_hd__or4_1) |
| 0.13 0.51 13.69 v _09288_/X (sky130_fd_sc_hd__or4_1) |
| 2 0.01 _02708_ (net) |
| 0.13 0.00 13.69 v _09289_/D (sky130_fd_sc_hd__or4_1) |
| 0.12 0.49 14.18 v _09289_/X (sky130_fd_sc_hd__or4_1) |
| 2 0.01 _02709_ (net) |
| 0.12 0.00 14.18 v _09290_/D (sky130_fd_sc_hd__or4_1) |
| 0.13 0.51 14.69 v _09290_/X (sky130_fd_sc_hd__or4_1) |
| 2 0.01 _02710_ (net) |
| 0.13 0.00 14.69 v _09291_/D (sky130_fd_sc_hd__or4_1) |
| 0.14 0.53 15.22 v _09291_/X (sky130_fd_sc_hd__or4_1) |
| 2 0.01 _02711_ (net) |
| 0.14 0.00 15.22 v _09292_/C (sky130_fd_sc_hd__or3_1) |
| 0.10 0.41 15.62 v _09292_/X (sky130_fd_sc_hd__or3_1) |
| 2 0.01 _02712_ (net) |
| 0.10 0.00 15.62 v _09293_/C1 (sky130_fd_sc_hd__a311o_1) |
| 0.10 0.36 15.98 v _09293_/X (sky130_fd_sc_hd__a311o_1) |
| 2 0.01 _02713_ (net) |
| 0.10 0.00 15.99 v _09295_/C (sky130_fd_sc_hd__or4_1) |
| 0.14 0.58 16.57 v _09295_/X (sky130_fd_sc_hd__or4_1) |
| 2 0.01 _02715_ (net) |
| 0.14 0.00 16.57 v _09296_/C1 (sky130_fd_sc_hd__a2111o_1) |
| 0.10 0.45 17.02 v _09296_/X (sky130_fd_sc_hd__a2111o_1) |
| 2 0.01 _02716_ (net) |
| 0.10 0.00 17.02 v _09297_/D (sky130_fd_sc_hd__or4_1) |
| 0.13 0.50 17.51 v _09297_/X (sky130_fd_sc_hd__or4_1) |
| 2 0.01 _02717_ (net) |
| 0.13 0.00 17.51 v _09298_/D (sky130_fd_sc_hd__or4_1) |
| 0.13 0.51 18.02 v _09298_/X (sky130_fd_sc_hd__or4_1) |
| 2 0.01 _02718_ (net) |
| 0.13 0.00 18.02 v _09300_/C (sky130_fd_sc_hd__or4_1) |
| 0.12 0.56 18.59 v _09300_/X (sky130_fd_sc_hd__or4_1) |
| 2 0.01 _02720_ (net) |
| 0.12 0.00 18.59 v _09301_/B1 (sky130_fd_sc_hd__o21ai_1) |
| 0.18 0.15 18.74 ^ _09301_/Y (sky130_fd_sc_hd__o21ai_1) |
| 2 0.01 _02721_ (net) |
| 0.18 0.00 18.74 ^ _09305_/A1 (sky130_fd_sc_hd__a311o_1) |
| 0.16 0.28 19.02 ^ _09305_/X (sky130_fd_sc_hd__a311o_1) |
| 2 0.01 _02725_ (net) |
| 0.16 0.00 19.02 ^ _09306_/B (sky130_fd_sc_hd__and2b_4) |
| 0.27 0.36 19.38 ^ _09306_/X (sky130_fd_sc_hd__and2b_4) |
| 4 0.08 _02726_ (net) |
| 0.27 0.00 19.38 ^ _09307_/A (sky130_fd_sc_hd__clkbuf_8) |
| 0.31 0.41 19.79 ^ _09307_/X (sky130_fd_sc_hd__clkbuf_8) |
| 10 0.18 _02727_ (net) |
| 0.31 0.01 19.80 ^ _09308_/B (sky130_fd_sc_hd__xnor2_4) |
| 1.23 0.42 20.21 ^ _09308_/Y (sky130_fd_sc_hd__xnor2_4) |
| 8 0.18 _02728_ (net) |
| 1.23 0.00 20.21 ^ _13930_/B1 (sky130_fd_sc_hd__a32o_1) |
| 1.07 1.00 21.22 ^ _13930_/X (sky130_fd_sc_hd__a32o_1) |
| 2 0.11 _06917_ (net) |
| 1.07 0.00 21.22 ^ _13938_/A2 (sky130_fd_sc_hd__a21o_1) |
| 1.07 0.98 22.20 ^ _13938_/X (sky130_fd_sc_hd__a21o_1) |
| 2 0.13 _06925_ (net) |
| 1.07 0.00 22.20 ^ _13942_/A2 (sky130_fd_sc_hd__a31o_1) |
| 0.97 0.95 23.16 ^ _13942_/X (sky130_fd_sc_hd__a31o_1) |
| 2 0.10 _06929_ (net) |
| 0.97 0.00 23.16 ^ _13943_/B1 (sky130_fd_sc_hd__o221a_1) |
| 1.35 0.86 24.02 ^ _13943_/X (sky130_fd_sc_hd__o221a_1) |
| 2 0.14 _00473_ (net) |
| 1.35 0.06 24.08 ^ hold81/A (sky130_fd_sc_hd__clkdlybuf4s25_1) |
| 0.94 1.09 25.17 ^ hold81/X (sky130_fd_sc_hd__clkdlybuf4s25_1) |
| 2 0.10 net166 (net) |
| 0.94 0.00 25.17 ^ hold1107/A (sky130_fd_sc_hd__clkdlybuf4s25_1) |
| 0.94 1.07 26.23 ^ hold1107/X (sky130_fd_sc_hd__clkdlybuf4s25_1) |
| 2 0.10 net1192 (net) |
| 0.94 0.00 26.24 ^ _14514_/D (sky130_fd_sc_hd__dfxtp_4) |
| 26.24 data arrival time |
| |
| 15.00 15.00 clock clock (rise edge) |
| 0.00 15.00 clock source latency |
| 0.72 0.48 15.48 ^ clock (in) |
| 2 0.16 clock (net) |
| 0.72 0.00 15.48 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.07 0.27 15.75 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 4 0.32 clknet_0_clock (net) |
| 0.48 0.22 15.98 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.65 0.43 16.40 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.13 clknet_1_1_0_clock (net) |
| 0.65 0.01 16.41 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.80 0.69 17.10 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 4 0.15 clknet_1_1_1_clock (net) |
| 0.80 0.00 17.10 ^ clkbuf_2_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.74 0.61 17.72 ^ clkbuf_2_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 4 0.14 clknet_2_2_0_clock (net) |
| 0.74 0.01 17.72 ^ clkbuf_3_4_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 2.52 1.75 19.48 ^ clkbuf_3_4_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 26 0.48 clknet_3_4_0_clock (net) |
| 2.52 0.01 19.49 ^ clkbuf_leaf_27_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.19 0.50 19.98 ^ clkbuf_leaf_27_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 8 0.15 clknet_leaf_27_clock (net) |
| 0.19 0.01 19.99 ^ _14514_/CLK (sky130_fd_sc_hd__dfxtp_4) |
| -0.25 19.74 clock uncertainty |
| 0.08 19.82 clock reconvergence pessimism |
| -0.18 19.64 library setup time |
| 19.64 data required time |
| ----------------------------------------------------------------------------- |
| 19.64 data required time |
| -26.24 data arrival time |
| ----------------------------------------------------------------------------- |
| -6.59 slack (VIOLATED) |
| |
| |
| Startpoint: _14108_ (rising edge-triggered flip-flop clocked by clock) |
| Endpoint: _14426_ (rising edge-triggered flip-flop clocked by clock) |
| Path Group: clock |
| Path Type: max |
| |
| Fanout Cap Slew Delay Time Description |
| ----------------------------------------------------------------------------- |
| 0.00 0.00 clock clock (rise edge) |
| 0.00 0.00 clock source latency |
| 0.72 0.53 0.53 ^ clock (in) |
| 2 0.16 clock (net) |
| 0.72 0.00 0.53 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.07 0.30 0.83 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 4 0.32 clknet_0_clock (net) |
| 0.48 0.24 1.08 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.65 0.47 1.55 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.13 clknet_1_1_0_clock (net) |
| 0.65 0.01 1.56 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.80 0.76 2.32 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 4 0.15 clknet_1_1_1_clock (net) |
| 0.80 0.00 2.33 ^ clkbuf_2_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.74 0.68 3.00 ^ clkbuf_2_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 4 0.14 clknet_2_2_0_clock (net) |
| 0.74 0.01 3.01 ^ clkbuf_3_4_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 2.52 1.94 4.95 ^ clkbuf_3_4_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 26 0.48 clknet_3_4_0_clock (net) |
| 2.52 0.02 4.97 ^ clkbuf_leaf_7_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.18 0.55 5.51 ^ clkbuf_leaf_7_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 18 0.13 clknet_leaf_7_clock (net) |
| 0.18 0.00 5.52 ^ _14108_/CLK (sky130_fd_sc_hd__dfxtp_1) |
| 1.34 1.31 6.83 ^ _14108_/Q (sky130_fd_sc_hd__dfxtp_1) |
| 6 0.15 _T_1[84] (net) |
| 1.34 0.00 6.83 ^ _09666_/A (sky130_fd_sc_hd__clkinv_2) |
| 0.67 0.92 7.76 v _09666_/Y (sky130_fd_sc_hd__clkinv_2) |
| 4 0.14 _03081_ (net) |
| 0.67 0.00 7.76 v _09669_/A1 (sky130_fd_sc_hd__a221o_1) |
| 0.12 0.61 8.36 v _09669_/X (sky130_fd_sc_hd__a221o_1) |
| 2 0.02 _03084_ (net) |
| 0.12 0.00 8.36 v _09671_/B1 (sky130_fd_sc_hd__a22o_4) |
| 0.09 0.31 8.68 v _09671_/X (sky130_fd_sc_hd__a22o_4) |
| 8 0.04 _03086_ (net) |
| 0.09 0.01 8.68 v _09719_/B (sky130_fd_sc_hd__nand2_1) |
| 0.23 0.23 8.91 ^ _09719_/Y (sky130_fd_sc_hd__nand2_1) |
| 8 0.03 _03134_ (net) |
| 0.23 0.00 8.91 ^ _09768_/A (sky130_fd_sc_hd__or2_2) |
| 0.16 0.27 9.18 ^ _09768_/X (sky130_fd_sc_hd__or2_2) |
| 8 0.03 _03183_ (net) |
| 0.16 0.00 9.18 ^ _09769_/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.20 0.27 9.44 ^ _09769_/X (sky130_fd_sc_hd__clkbuf_2) |
| 10 0.04 _03184_ (net) |
| 0.20 0.00 9.45 ^ _10131_/A1 (sky130_fd_sc_hd__o22a_1) |
| 0.19 0.30 9.75 ^ _10131_/X (sky130_fd_sc_hd__o22a_1) |
| 4 0.02 _03544_ (net) |
| 0.19 0.00 9.75 ^ _10133_/B1 (sky130_fd_sc_hd__o2111a_1) |
| 0.10 0.27 10.02 ^ _10133_/X (sky130_fd_sc_hd__o2111a_1) |
| 2 0.01 _03546_ (net) |
| 0.10 0.00 10.02 ^ _10139_/B (sky130_fd_sc_hd__and4_1) |
| 0.11 0.25 10.27 ^ _10139_/X (sky130_fd_sc_hd__and4_1) |
| 2 0.01 _03552_ (net) |
| 0.11 0.00 10.27 ^ _10140_/C1 (sky130_fd_sc_hd__o211a_1) |
| 0.11 0.22 10.49 ^ _10140_/X (sky130_fd_sc_hd__o211a_1) |
| 2 0.01 _03553_ (net) |
| 0.11 0.00 10.49 ^ _10141_/C1 (sky130_fd_sc_hd__o211ai_2) |
| 0.11 0.14 10.62 v _10141_/Y (sky130_fd_sc_hd__o211ai_2) |
| 2 0.01 _03554_ (net) |
| 0.11 0.00 10.62 v _10142_/D (sky130_fd_sc_hd__or4_1) |
| 0.12 0.48 11.11 v _10142_/X (sky130_fd_sc_hd__or4_1) |
| 2 0.01 _03555_ (net) |
| 0.12 0.00 11.11 v _10144_/C (sky130_fd_sc_hd__or4_1) |
| 0.12 0.56 11.67 v _10144_/X (sky130_fd_sc_hd__or4_1) |
| 2 0.01 _03557_ (net) |
| 0.12 0.00 11.67 v _10145_/D (sky130_fd_sc_hd__or4_1) |
| 0.13 0.50 12.17 v _10145_/X (sky130_fd_sc_hd__or4_1) |
| 2 0.01 _03558_ (net) |
| 0.13 0.00 12.17 v _10148_/A2 (sky130_fd_sc_hd__o41a_1) |
| 0.09 0.48 12.65 v _10148_/X (sky130_fd_sc_hd__o41a_1) |
| 2 0.01 _03561_ (net) |
| 0.09 0.00 12.65 v _10151_/A (sky130_fd_sc_hd__or4b_1) |
| 0.12 0.61 13.27 v _10151_/X (sky130_fd_sc_hd__or4b_1) |
| 2 0.01 _03564_ (net) |
| 0.12 0.00 13.27 v _10154_/C (sky130_fd_sc_hd__or4b_1) |
| 0.15 0.61 13.88 v _10154_/X (sky130_fd_sc_hd__or4b_1) |
| 2 0.02 _03567_ (net) |
| 0.15 0.00 13.88 v _10155_/D (sky130_fd_sc_hd__or4_1) |
| 0.16 0.55 14.43 v _10155_/X (sky130_fd_sc_hd__or4_1) |
| 2 0.02 _03568_ (net) |
| 0.16 0.00 14.43 v _10156_/B1 (sky130_fd_sc_hd__a211o_1) |
| 0.10 0.38 14.81 v _10156_/X (sky130_fd_sc_hd__a211o_1) |
| 2 0.01 _03569_ (net) |
| 0.10 0.00 14.81 v _10159_/B (sky130_fd_sc_hd__or4_1) |
| 0.15 0.64 15.45 v _10159_/X (sky130_fd_sc_hd__or4_1) |
| 2 0.02 _03572_ (net) |
| 0.15 0.00 15.46 v _10161_/C (sky130_fd_sc_hd__or4b_1) |
| 0.13 0.59 16.04 v _10161_/X (sky130_fd_sc_hd__or4b_1) |
| 2 0.01 _03574_ (net) |
| 0.13 0.00 16.04 v _10164_/B (sky130_fd_sc_hd__or4b_1) |
| 0.14 0.64 16.68 v _10164_/X (sky130_fd_sc_hd__or4b_1) |
| 2 0.01 _03577_ (net) |
| 0.14 0.00 16.68 v _10166_/B2 (sky130_fd_sc_hd__o221a_1) |
| 0.09 0.30 16.99 v _10166_/X (sky130_fd_sc_hd__o221a_1) |
| 2 0.01 _03579_ (net) |
| 0.09 0.00 16.99 v _10168_/C (sky130_fd_sc_hd__or4_1) |
| 0.14 0.58 17.57 v _10168_/X (sky130_fd_sc_hd__or4_1) |
| 2 0.01 _03581_ (net) |
| 0.14 0.00 17.57 v _10169_/C1 (sky130_fd_sc_hd__o221a_1) |
| 0.11 0.22 17.80 v _10169_/X (sky130_fd_sc_hd__o221a_1) |
| 2 0.02 _03582_ (net) |
| 0.11 0.00 17.80 v _10182_/A_N (sky130_fd_sc_hd__and3b_2) |
| 0.20 0.40 18.20 ^ _10182_/X (sky130_fd_sc_hd__and3b_2) |
| 4 0.04 _03595_ (net) |
| 0.20 0.00 18.20 ^ _10198_/A (sky130_fd_sc_hd__buf_4) |
| 0.92 0.71 18.91 ^ _10198_/X (sky130_fd_sc_hd__buf_4) |
| 10 0.35 _03611_ (net) |
| 1.31 0.52 19.43 ^ _12905_/B (sky130_fd_sc_hd__xnor2_1) |
| 1.24 1.38 20.81 v _12905_/Y (sky130_fd_sc_hd__xnor2_1) |
| 4 0.15 _06044_ (net) |
| 1.24 0.00 20.81 v _13090_/B (sky130_fd_sc_hd__xnor2_2) |
| 0.81 1.11 21.92 ^ _13090_/Y (sky130_fd_sc_hd__xnor2_2) |
| 6 0.06 _06197_ (net) |
| 0.81 0.00 21.92 ^ _13261_/A2 (sky130_fd_sc_hd__o21ai_1) |
| 0.33 0.45 22.37 v _13261_/Y (sky130_fd_sc_hd__o21ai_1) |
| 2 0.04 _06336_ (net) |
| 0.33 0.00 22.37 v _13262_/B1 (sky130_fd_sc_hd__a21oi_1) |
| 1.18 1.06 23.43 ^ _13262_/Y (sky130_fd_sc_hd__a21oi_1) |
| 6 0.06 _06337_ (net) |
| 1.18 0.00 23.43 ^ _13263_/B1 (sky130_fd_sc_hd__a21o_1) |
| 1.19 0.97 24.40 ^ _13263_/X (sky130_fd_sc_hd__a21o_1) |
| 2 0.14 _06338_ (net) |
| 1.19 0.00 24.40 ^ _13264_/B1 (sky130_fd_sc_hd__a22o_1) |
| 1.54 1.33 25.73 ^ _13264_/X (sky130_fd_sc_hd__a22o_1) |
| 2 0.16 _00385_ (net) |
| 1.54 0.00 25.73 ^ hold526/A (sky130_fd_sc_hd__clkdlybuf4s25_1) |
| 1.15 1.22 26.95 ^ hold526/X (sky130_fd_sc_hd__clkdlybuf4s25_1) |
| 2 0.12 net611 (net) |
| 1.15 0.00 26.95 ^ _14426_/D (sky130_fd_sc_hd__dfxtp_2) |
| 26.95 data arrival time |
| |
| 15.00 15.00 clock clock (rise edge) |
| 0.00 15.00 clock source latency |
| 0.72 0.48 15.48 ^ clock (in) |
| 2 0.16 clock (net) |
| 0.72 0.00 15.48 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.07 0.27 15.75 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 4 0.32 clknet_0_clock (net) |
| 0.46 0.21 15.97 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.47 0.41 16.37 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.09 clknet_1_0_0_clock (net) |
| 0.47 0.00 16.38 ^ clkbuf_1_0_1_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 1.30 0.26 16.64 ^ clkbuf_1_0_1_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 4 0.32 clknet_1_0_1_clock (net) |
| 2.93 1.32 17.95 ^ clkbuf_2_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.71 0.77 18.72 ^ clkbuf_2_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 4 0.13 clknet_2_1_0_clock (net) |
| 0.71 0.00 18.72 ^ clkbuf_3_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 2.09 1.50 20.23 ^ clkbuf_3_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 24 0.39 clknet_3_3_0_clock (net) |
| 2.09 0.03 20.25 ^ clkbuf_leaf_62_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.23 0.46 20.71 ^ clkbuf_leaf_62_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 12 0.22 clknet_leaf_62_clock (net) |
| 0.23 0.00 20.71 ^ _14426_/CLK (sky130_fd_sc_hd__dfxtp_2) |
| -0.25 20.46 clock uncertainty |
| 0.08 20.54 clock reconvergence pessimism |
| -0.18 20.36 library setup time |
| 20.36 data required time |
| ----------------------------------------------------------------------------- |
| 20.36 data required time |
| -26.95 data arrival time |
| ----------------------------------------------------------------------------- |
| -6.59 slack (VIOLATED) |
| |
| |
| Startpoint: _14528_ (rising edge-triggered flip-flop clocked by clock) |
| Endpoint: _14450_ (rising edge-triggered flip-flop clocked by clock) |
| Path Group: clock |
| Path Type: max |
| |
| Fanout Cap Slew Delay Time Description |
| ----------------------------------------------------------------------------- |
| 0.00 0.00 clock clock (rise edge) |
| 0.00 0.00 clock source latency |
| 0.72 0.53 0.53 ^ clock (in) |
| 2 0.16 clock (net) |
| 0.72 0.00 0.53 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.07 0.30 0.83 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 4 0.32 clknet_0_clock (net) |
| 0.46 0.23 1.07 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.47 0.45 1.52 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.09 clknet_1_0_0_clock (net) |
| 0.47 0.00 1.52 ^ clkbuf_1_0_1_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 1.30 0.29 1.81 ^ clkbuf_1_0_1_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 4 0.32 clknet_1_0_1_clock (net) |
| 2.94 1.47 3.28 ^ clkbuf_2_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.45 0.70 3.98 ^ clkbuf_2_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 4 0.08 clknet_2_0_0_clock (net) |
| 0.45 0.00 3.98 ^ clkbuf_3_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 1.35 1.14 5.12 ^ clkbuf_3_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 26 0.25 clknet_3_1_0_clock (net) |
| 1.35 0.01 5.13 ^ clkbuf_leaf_3_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.10 0.38 5.51 ^ clkbuf_leaf_3_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 12 0.06 clknet_leaf_3_clock (net) |
| 0.10 0.00 5.51 ^ _14528_/CLK (sky130_fd_sc_hd__dfxtp_1) |
| 0.50 0.68 6.19 ^ _14528_/Q (sky130_fd_sc_hd__dfxtp_1) |
| 10 0.05 _T_43 (net) |
| 0.50 0.00 6.19 ^ _07196_/A (sky130_fd_sc_hd__dlymetal6s2s_1) |
| 0.37 0.42 6.61 ^ _07196_/X (sky130_fd_sc_hd__dlymetal6s2s_1) |
| 10 0.04 _00630_ (net) |
| 0.37 0.00 6.61 ^ _07197_/A (sky130_fd_sc_hd__clkbuf_8) |
| 0.15 0.32 6.93 ^ _07197_/X (sky130_fd_sc_hd__clkbuf_8) |
| 10 0.08 _00631_ (net) |
| 0.15 0.00 6.93 ^ _07260_/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.23 0.28 7.22 ^ _07260_/X (sky130_fd_sc_hd__clkbuf_2) |
| 10 0.04 _00691_ (net) |
| 0.23 0.00 7.22 ^ _07296_/A (sky130_fd_sc_hd__clkbuf_4) |
| 0.19 0.32 7.54 ^ _07296_/X (sky130_fd_sc_hd__clkbuf_4) |
| 10 0.06 _00727_ (net) |
| 0.19 0.00 7.54 ^ _08632_/B (sky130_fd_sc_hd__nand3b_1) |
| 0.14 0.17 7.71 v _08632_/Y (sky130_fd_sc_hd__nand3b_1) |
| 2 0.01 _02055_ (net) |
| 0.14 0.00 7.71 v _08634_/B1 (sky130_fd_sc_hd__a221o_2) |
| 0.13 0.49 8.19 v _08634_/X (sky130_fd_sc_hd__a221o_2) |
| 4 0.03 _02057_ (net) |
| 0.13 0.00 8.20 v _08691_/A2_N (sky130_fd_sc_hd__o2bb2a_2) |
| 0.25 0.46 8.65 ^ _08691_/X (sky130_fd_sc_hd__o2bb2a_2) |
| 8 0.05 _02114_ (net) |
| 0.25 0.00 8.65 ^ _08693_/A (sky130_fd_sc_hd__nor2_2) |
| 0.15 0.21 8.86 v _08693_/Y (sky130_fd_sc_hd__nor2_2) |
| 10 0.06 _02116_ (net) |
| 0.15 0.00 8.86 v _08805_/A (sky130_fd_sc_hd__nand2_1) |
| 0.39 0.37 9.23 ^ _08805_/Y (sky130_fd_sc_hd__nand2_1) |
| 6 0.04 _02228_ (net) |
| 0.39 0.00 9.23 ^ _08806_/A (sky130_fd_sc_hd__buf_2) |
| 0.27 0.37 9.61 ^ _08806_/X (sky130_fd_sc_hd__buf_2) |
| 10 0.06 _02229_ (net) |
| 0.27 0.00 9.61 ^ _08807_/B (sky130_fd_sc_hd__and2_1) |
| 0.23 0.32 9.93 ^ _08807_/X (sky130_fd_sc_hd__and2_1) |
| 6 0.02 _02230_ (net) |
| 0.23 0.00 9.93 ^ _09153_/A1 (sky130_fd_sc_hd__a21oi_1) |
| 0.11 0.16 10.08 v _09153_/Y (sky130_fd_sc_hd__a21oi_1) |
| 2 0.01 _02574_ (net) |
| 0.11 0.00 10.08 v _09158_/B (sky130_fd_sc_hd__or4b_1) |
| 0.14 0.62 10.71 v _09158_/X (sky130_fd_sc_hd__or4b_1) |
| 2 0.01 _02579_ (net) |
| 0.14 0.00 10.71 v _09165_/A (sky130_fd_sc_hd__or3b_1) |
| 0.10 0.47 11.18 v _09165_/X (sky130_fd_sc_hd__or3b_1) |
| 2 0.01 _02586_ (net) |
| 0.10 0.00 11.18 v _09167_/C (sky130_fd_sc_hd__or4_1) |
| 0.13 0.58 11.76 v _09167_/X (sky130_fd_sc_hd__or4_1) |
| 2 0.01 _02588_ (net) |
| 0.13 0.00 11.76 v _09168_/D (sky130_fd_sc_hd__or4_1) |
| 0.11 0.48 12.23 v _09168_/X (sky130_fd_sc_hd__or4_1) |
| 2 0.01 _02589_ (net) |
| 0.11 0.00 12.23 v _09170_/C (sky130_fd_sc_hd__or4_1) |
| 0.12 0.56 12.79 v _09170_/X (sky130_fd_sc_hd__or4_1) |
| 2 0.01 _02591_ (net) |
| 0.12 0.00 12.79 v _09171_/D (sky130_fd_sc_hd__or4_1) |
| 0.12 0.50 13.29 v _09171_/X (sky130_fd_sc_hd__or4_1) |
| 2 0.01 _02592_ (net) |
| 0.12 0.00 13.29 v _09174_/A2 (sky130_fd_sc_hd__o41a_1) |
| 0.10 0.49 13.78 v _09174_/X (sky130_fd_sc_hd__o41a_1) |
| 2 0.01 _02595_ (net) |
| 0.10 0.00 13.79 v _09177_/B (sky130_fd_sc_hd__or4b_1) |
| 0.13 0.61 14.39 v _09177_/X (sky130_fd_sc_hd__or4b_1) |
| 2 0.01 _02598_ (net) |
| 0.13 0.00 14.39 v _09180_/C (sky130_fd_sc_hd__or4b_1) |
| 0.12 0.57 14.97 v _09180_/X (sky130_fd_sc_hd__or4b_1) |
| 2 0.01 _02601_ (net) |
| 0.12 0.00 14.97 v _09181_/D (sky130_fd_sc_hd__or4_1) |
| 0.14 0.52 15.48 v _09181_/X (sky130_fd_sc_hd__or4_1) |
| 2 0.01 _02602_ (net) |
| 0.14 0.00 15.48 v _09182_/B (sky130_fd_sc_hd__nor2_1) |
| 0.17 0.19 15.68 ^ _09182_/Y (sky130_fd_sc_hd__nor2_1) |
| 2 0.01 _02603_ (net) |
| 0.17 0.00 15.68 ^ _09183_/C1 (sky130_fd_sc_hd__o221a_1) |
| 0.12 0.27 15.94 ^ _09183_/X (sky130_fd_sc_hd__o221a_1) |
| 2 0.01 _02604_ (net) |
| 0.12 0.00 15.94 ^ _09184_/D_N (sky130_fd_sc_hd__or4b_1) |
| 0.13 0.54 16.48 v _09184_/X (sky130_fd_sc_hd__or4b_1) |
| 2 0.01 _02605_ (net) |
| 0.13 0.00 16.48 v _09186_/C (sky130_fd_sc_hd__or4b_1) |
| 0.12 0.56 17.04 v _09186_/X (sky130_fd_sc_hd__or4b_1) |
| 2 0.01 _02607_ (net) |
| 0.12 0.00 17.04 v _09189_/A (sky130_fd_sc_hd__or4bb_1) |
| 0.13 0.61 17.65 v _09189_/X (sky130_fd_sc_hd__or4bb_1) |
| 2 0.01 _02610_ (net) |
| 0.13 0.00 17.65 v _09190_/C1 (sky130_fd_sc_hd__o211a_1) |
| 0.06 0.17 17.82 v _09190_/X (sky130_fd_sc_hd__o211a_1) |
| 2 0.01 _02611_ (net) |
| 0.06 0.00 17.82 v _09192_/C (sky130_fd_sc_hd__or4_1) |
| 0.12 0.55 18.37 v _09192_/X (sky130_fd_sc_hd__or4_1) |
| 2 0.01 _02613_ (net) |
| 0.12 0.00 18.37 v _09193_/C1 (sky130_fd_sc_hd__o221a_1) |
| 0.09 0.20 18.57 v _09193_/X (sky130_fd_sc_hd__o221a_1) |
| 2 0.01 _02614_ (net) |
| 0.09 0.00 18.57 v _09206_/A (sky130_fd_sc_hd__nor2_2) |
| 0.31 0.31 18.88 ^ _09206_/Y (sky130_fd_sc_hd__nor2_2) |
| 4 0.03 _02627_ (net) |
| 0.31 0.00 18.88 ^ _09216_/A (sky130_fd_sc_hd__buf_4) |
| 0.52 0.54 19.42 ^ _09216_/X (sky130_fd_sc_hd__buf_4) |
| 10 0.19 _02637_ (net) |
| 0.52 0.01 19.43 ^ _12865_/B (sky130_fd_sc_hd__xnor2_2) |
| 0.24 0.30 19.73 v _12865_/Y (sky130_fd_sc_hd__xnor2_2) |
| 4 0.04 _06012_ (net) |
| 0.24 0.00 19.73 v _13051_/B (sky130_fd_sc_hd__xnor2_2) |
| 0.67 0.63 20.37 ^ _13051_/Y (sky130_fd_sc_hd__xnor2_2) |
| 6 0.05 _06166_ (net) |
| 0.67 0.00 20.37 ^ _13216_/A2 (sky130_fd_sc_hd__a21oi_1) |
| 0.33 0.42 20.78 v _13216_/Y (sky130_fd_sc_hd__a21oi_1) |
| 2 0.03 _06299_ (net) |
| 0.33 0.00 20.78 v _13217_/B1 (sky130_fd_sc_hd__o21a_1) |
| 0.25 0.42 21.20 v _13217_/X (sky130_fd_sc_hd__o21a_1) |
| 6 0.05 _06300_ (net) |
| 0.25 0.00 21.20 v _13361_/B (sky130_fd_sc_hd__nand2_1) |
| 0.88 0.61 21.81 ^ _13361_/Y (sky130_fd_sc_hd__nand2_1) |
| 2 0.10 _06412_ (net) |
| 0.88 0.00 21.81 ^ hold850/A (sky130_fd_sc_hd__clkdlybuf4s25_1) |
| 0.99 1.04 22.84 ^ hold850/X (sky130_fd_sc_hd__clkdlybuf4s25_1) |
| 2 0.10 net935 (net) |
| 0.99 0.00 22.84 ^ _13363_/B1 (sky130_fd_sc_hd__a22o_1) |
| 1.01 0.96 23.81 ^ _13363_/X (sky130_fd_sc_hd__a22o_1) |
| 2 0.11 _00409_ (net) |
| 1.01 0.00 23.81 ^ hold851/A (sky130_fd_sc_hd__clkdlybuf4s25_1) |
| 1.00 1.10 24.91 ^ hold851/X (sky130_fd_sc_hd__clkdlybuf4s25_1) |
| 2 0.10 net936 (net) |
| 1.00 0.00 24.91 ^ _14450_/D (sky130_fd_sc_hd__dfxtp_1) |
| 24.91 data arrival time |
| |
| 15.00 15.00 clock clock (rise edge) |
| 0.00 15.00 clock source latency |
| 0.72 0.48 15.48 ^ clock (in) |
| 2 0.16 clock (net) |
| 0.72 0.00 15.48 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.07 0.27 15.75 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 4 0.32 clknet_0_clock (net) |
| 0.48 0.22 15.98 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.65 0.43 16.40 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.13 clknet_1_1_0_clock (net) |
| 0.65 0.01 16.41 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.80 0.69 17.10 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 4 0.15 clknet_1_1_1_clock (net) |
| 0.80 0.00 17.10 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.47 0.50 17.60 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 4 0.09 clknet_2_3_0_clock (net) |
| 0.47 0.00 17.60 ^ clkbuf_3_6_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 1.07 0.86 18.46 ^ clkbuf_3_6_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 20 0.20 clknet_3_6_0_clock (net) |
| 1.07 0.01 18.46 ^ clkbuf_leaf_32_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.10 0.32 18.78 ^ clkbuf_leaf_32_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 8 0.06 clknet_leaf_32_clock (net) |
| 0.10 0.00 18.78 ^ _14450_/CLK (sky130_fd_sc_hd__dfxtp_1) |
| -0.25 18.53 clock uncertainty |
| 0.08 18.61 clock reconvergence pessimism |
| -0.19 18.42 library setup time |
| 18.42 data required time |
| ----------------------------------------------------------------------------- |
| 18.42 data required time |
| -24.91 data arrival time |
| ----------------------------------------------------------------------------- |
| -6.48 slack (VIOLATED) |
| |
| |
| Startpoint: _14528_ (rising edge-triggered flip-flop clocked by clock) |
| Endpoint: _14414_ (rising edge-triggered flip-flop clocked by clock) |
| Path Group: clock |
| Path Type: max |
| |
| Fanout Cap Slew Delay Time Description |
| ----------------------------------------------------------------------------- |
| 0.00 0.00 clock clock (rise edge) |
| 0.00 0.00 clock source latency |
| 0.72 0.53 0.53 ^ clock (in) |
| 2 0.16 clock (net) |
| 0.72 0.00 0.53 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.07 0.30 0.83 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 4 0.32 clknet_0_clock (net) |
| 0.46 0.23 1.07 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.47 0.45 1.52 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.09 clknet_1_0_0_clock (net) |
| 0.47 0.00 1.52 ^ clkbuf_1_0_1_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 1.30 0.29 1.81 ^ clkbuf_1_0_1_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 4 0.32 clknet_1_0_1_clock (net) |
| 2.94 1.47 3.28 ^ clkbuf_2_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.45 0.70 3.98 ^ clkbuf_2_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 4 0.08 clknet_2_0_0_clock (net) |
| 0.45 0.00 3.98 ^ clkbuf_3_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 1.35 1.14 5.12 ^ clkbuf_3_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 26 0.25 clknet_3_1_0_clock (net) |
| 1.35 0.01 5.13 ^ clkbuf_leaf_3_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.10 0.38 5.51 ^ clkbuf_leaf_3_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 12 0.06 clknet_leaf_3_clock (net) |
| 0.10 0.00 5.51 ^ _14528_/CLK (sky130_fd_sc_hd__dfxtp_1) |
| 0.50 0.68 6.19 ^ _14528_/Q (sky130_fd_sc_hd__dfxtp_1) |
| 10 0.05 _T_43 (net) |
| 0.50 0.00 6.19 ^ _07196_/A (sky130_fd_sc_hd__dlymetal6s2s_1) |
| 0.37 0.42 6.61 ^ _07196_/X (sky130_fd_sc_hd__dlymetal6s2s_1) |
| 10 0.04 _00630_ (net) |
| 0.37 0.00 6.61 ^ _07197_/A (sky130_fd_sc_hd__clkbuf_8) |
| 0.15 0.32 6.93 ^ _07197_/X (sky130_fd_sc_hd__clkbuf_8) |
| 10 0.08 _00631_ (net) |
| 0.15 0.00 6.93 ^ _07260_/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.23 0.28 7.22 ^ _07260_/X (sky130_fd_sc_hd__clkbuf_2) |
| 10 0.04 _00691_ (net) |
| 0.23 0.00 7.22 ^ _07296_/A (sky130_fd_sc_hd__clkbuf_4) |
| 0.19 0.32 7.54 ^ _07296_/X (sky130_fd_sc_hd__clkbuf_4) |
| 10 0.06 _00727_ (net) |
| 0.19 0.01 7.54 ^ _07297_/B (sky130_fd_sc_hd__nand3b_1) |
| 0.12 0.15 7.70 v _07297_/Y (sky130_fd_sc_hd__nand3b_1) |
| 2 0.01 _00728_ (net) |
| 0.12 0.00 7.70 v _07302_/B1 (sky130_fd_sc_hd__a221o_4) |
| 0.12 0.48 8.17 v _07302_/X (sky130_fd_sc_hd__a221o_4) |
| 4 0.05 _00733_ (net) |
| 0.12 0.01 8.18 v _07367_/A2_N (sky130_fd_sc_hd__o2bb2a_1) |
| 0.34 0.49 8.67 ^ _07367_/X (sky130_fd_sc_hd__o2bb2a_1) |
| 10 0.03 _00798_ (net) |
| 0.34 0.00 8.67 ^ _07413_/A (sky130_fd_sc_hd__or3b_4) |
| 0.13 0.28 8.96 ^ _07413_/X (sky130_fd_sc_hd__or3b_4) |
| 8 0.04 _00844_ (net) |
| 0.13 0.00 8.96 ^ _07415_/A (sky130_fd_sc_hd__dlymetal6s2s_1) |
| 0.43 0.41 9.37 ^ _07415_/X (sky130_fd_sc_hd__dlymetal6s2s_1) |
| 10 0.04 _00846_ (net) |
| 0.43 0.00 9.37 ^ _08325_/B1 (sky130_fd_sc_hd__a21oi_1) |
| 0.21 0.25 9.61 v _08325_/Y (sky130_fd_sc_hd__a21oi_1) |
| 4 0.03 _01751_ (net) |
| 0.21 0.00 9.61 v _08435_/A_N (sky130_fd_sc_hd__and4b_1) |
| 0.27 0.50 10.12 ^ _08435_/X (sky130_fd_sc_hd__and4b_1) |
| 2 0.03 _01860_ (net) |
| 0.27 0.00 10.12 ^ _08436_/D1 (sky130_fd_sc_hd__o2111a_1) |
| 0.57 0.63 10.75 ^ _08436_/X (sky130_fd_sc_hd__o2111a_1) |
| 2 0.06 _01861_ (net) |
| 0.57 0.00 10.75 ^ _08438_/A3 (sky130_fd_sc_hd__a31o_1) |
| 0.17 0.33 11.08 ^ _08438_/X (sky130_fd_sc_hd__a31o_1) |
| 2 0.02 _01863_ (net) |
| 0.17 0.00 11.08 ^ _08440_/C1 (sky130_fd_sc_hd__o2111a_1) |
| 0.10 0.26 11.34 ^ _08440_/X (sky130_fd_sc_hd__o2111a_1) |
| 2 0.01 _01865_ (net) |
| 0.10 0.00 11.34 ^ _08442_/C (sky130_fd_sc_hd__and4bb_1) |
| 0.11 0.26 11.59 ^ _08442_/X (sky130_fd_sc_hd__and4bb_1) |
| 2 0.01 _01867_ (net) |
| 0.11 0.00 11.59 ^ _08443_/D1 (sky130_fd_sc_hd__o2111a_1) |
| 0.13 0.26 11.85 ^ _08443_/X (sky130_fd_sc_hd__o2111a_1) |
| 2 0.01 _01868_ (net) |
| 0.13 0.00 11.85 ^ _08444_/C1 (sky130_fd_sc_hd__o221a_1) |
| 0.18 0.30 12.15 ^ _08444_/X (sky130_fd_sc_hd__o221a_1) |
| 2 0.02 _01869_ (net) |
| 0.18 0.00 12.15 ^ _08445_/D_N (sky130_fd_sc_hd__or4b_1) |
| 0.18 0.60 12.75 v _08445_/X (sky130_fd_sc_hd__or4b_1) |
| 2 0.02 _01870_ (net) |
| 0.18 0.00 12.75 v _08447_/C (sky130_fd_sc_hd__or4_1) |
| 0.13 0.61 13.36 v _08447_/X (sky130_fd_sc_hd__or4_1) |
| 2 0.01 _01872_ (net) |
| 0.13 0.00 13.36 v _08448_/D (sky130_fd_sc_hd__or4_1) |
| 0.15 0.54 13.90 v _08448_/X (sky130_fd_sc_hd__or4_1) |
| 2 0.01 _01873_ (net) |
| 0.15 0.00 13.90 v _08449_/D (sky130_fd_sc_hd__or4_1) |
| 0.20 0.61 14.52 v _08449_/X (sky130_fd_sc_hd__or4_1) |
| 2 0.03 _01874_ (net) |
| 0.20 0.00 14.52 v _08451_/C (sky130_fd_sc_hd__or4b_1) |
| 0.16 0.65 15.17 v _08451_/X (sky130_fd_sc_hd__or4b_1) |
| 2 0.02 _01876_ (net) |
| 0.16 0.00 15.17 v _08453_/C (sky130_fd_sc_hd__or4b_1) |
| 0.15 0.62 15.79 v _08453_/X (sky130_fd_sc_hd__or4b_1) |
| 2 0.01 _01878_ (net) |
| 0.15 0.00 15.79 v _08454_/D (sky130_fd_sc_hd__or4_1) |
| 0.16 0.56 16.35 v _08454_/X (sky130_fd_sc_hd__or4_1) |
| 2 0.02 _01879_ (net) |
| 0.16 0.00 16.35 v _08456_/B (sky130_fd_sc_hd__or3_1) |
| 0.10 0.45 16.80 v _08456_/X (sky130_fd_sc_hd__or3_1) |
| 2 0.01 _01881_ (net) |
| 0.10 0.00 16.80 v _08457_/C (sky130_fd_sc_hd__or3_1) |
| 0.09 0.37 17.17 v _08457_/X (sky130_fd_sc_hd__or3_1) |
| 2 0.01 _01882_ (net) |
| 0.09 0.00 17.17 v _08458_/D (sky130_fd_sc_hd__or4_1) |
| 0.13 0.50 17.68 v _08458_/X (sky130_fd_sc_hd__or4_1) |
| 2 0.01 _01883_ (net) |
| 0.13 0.00 17.68 v _08460_/C (sky130_fd_sc_hd__or4_1) |
| 0.12 0.58 18.25 v _08460_/X (sky130_fd_sc_hd__or4_1) |
| 2 0.01 _01885_ (net) |
| 0.12 0.00 18.25 v _08461_/A2 (sky130_fd_sc_hd__o21a_1) |
| 0.08 0.26 18.51 v _08461_/X (sky130_fd_sc_hd__o21a_1) |
| 2 0.01 _01886_ (net) |
| 0.08 0.00 18.51 v _08467_/A (sky130_fd_sc_hd__or2_4) |
| 0.09 0.33 18.84 v _08467_/X (sky130_fd_sc_hd__or2_4) |
| 4 0.04 _01892_ (net) |
| 0.09 0.00 18.84 v _08502_/A (sky130_fd_sc_hd__buf_4) |
| 0.25 0.33 19.17 v _08502_/X (sky130_fd_sc_hd__buf_4) |
| 10 0.21 _01927_ (net) |
| 0.25 0.01 19.18 v _12847_/B (sky130_fd_sc_hd__xnor2_4) |
| 0.18 0.29 19.47 v _12847_/Y (sky130_fd_sc_hd__xnor2_4) |
| 4 0.05 _05998_ (net) |
| 0.18 0.00 19.47 v _13029_/B (sky130_fd_sc_hd__xnor2_2) |
| 0.60 0.55 20.03 ^ _13029_/Y (sky130_fd_sc_hd__xnor2_2) |
| 6 0.05 _06148_ (net) |
| 0.60 0.00 20.03 ^ _13193_/A2 (sky130_fd_sc_hd__o21ai_1) |
| 0.38 0.32 20.34 v _13193_/Y (sky130_fd_sc_hd__o21ai_1) |
| 2 0.02 _06280_ (net) |
| 0.38 0.00 20.34 v _13194_/B1 (sky130_fd_sc_hd__a21oi_1) |
| 0.83 0.82 21.16 ^ _13194_/Y (sky130_fd_sc_hd__a21oi_1) |
| 6 0.04 _06281_ (net) |
| 0.83 0.00 21.16 ^ _13195_/B1 (sky130_fd_sc_hd__a21o_1) |
| 0.91 0.79 21.95 ^ _13195_/X (sky130_fd_sc_hd__a21o_1) |
| 2 0.11 _06282_ (net) |
| 0.91 0.00 21.95 ^ hold627/A (sky130_fd_sc_hd__clkdlybuf4s25_1) |
| 0.27 0.57 22.52 ^ hold627/X (sky130_fd_sc_hd__clkdlybuf4s25_1) |
| 2 0.03 net712 (net) |
| 0.27 0.00 22.52 ^ _13196_/B1 (sky130_fd_sc_hd__a22o_1) |
| 0.98 0.87 23.39 ^ _13196_/X (sky130_fd_sc_hd__a22o_1) |
| 2 0.10 _00373_ (net) |
| 0.98 0.00 23.39 ^ hold626/A (sky130_fd_sc_hd__clkdlybuf4s25_1) |
| 0.99 1.09 24.48 ^ hold626/X (sky130_fd_sc_hd__clkdlybuf4s25_1) |
| 2 0.10 net711 (net) |
| 0.99 0.00 24.48 ^ _14414_/D (sky130_fd_sc_hd__dfxtp_2) |
| 24.48 data arrival time |
| |
| 15.00 15.00 clock clock (rise edge) |
| 0.00 15.00 clock source latency |
| 0.72 0.48 15.48 ^ clock (in) |
| 2 0.16 clock (net) |
| 0.72 0.00 15.48 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.07 0.27 15.75 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 4 0.32 clknet_0_clock (net) |
| 0.48 0.22 15.98 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.65 0.43 16.40 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.13 clknet_1_1_0_clock (net) |
| 0.65 0.01 16.41 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.80 0.69 17.10 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 4 0.15 clknet_1_1_1_clock (net) |
| 0.80 0.00 17.10 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.47 0.50 17.60 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 4 0.09 clknet_2_3_0_clock (net) |
| 0.47 0.00 17.60 ^ clkbuf_3_6_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 1.07 0.86 18.46 ^ clkbuf_3_6_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 20 0.20 clknet_3_6_0_clock (net) |
| 1.07 0.01 18.46 ^ clkbuf_leaf_40_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.10 0.32 18.79 ^ clkbuf_leaf_40_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 12 0.07 clknet_leaf_40_clock (net) |
| 0.10 0.00 18.79 ^ _14414_/CLK (sky130_fd_sc_hd__dfxtp_2) |
| -0.25 18.54 clock uncertainty |
| 0.08 18.62 clock reconvergence pessimism |
| -0.20 18.42 library setup time |
| 18.42 data required time |
| ----------------------------------------------------------------------------- |
| 18.42 data required time |
| -24.48 data arrival time |
| ----------------------------------------------------------------------------- |
| -6.05 slack (VIOLATED) |
| |
| |
| max_report_end |
| check_report |
| |
| =========================================================================== |
| report_checks -unconstrained |
| ============================================================================ |
| Startpoint: _14108_ (rising edge-triggered flip-flop clocked by clock) |
| Endpoint: _14458_ (rising edge-triggered flip-flop clocked by clock) |
| Path Group: clock |
| Path Type: max |
| |
| Fanout Cap Slew Delay Time Description |
| ----------------------------------------------------------------------------- |
| 0.00 0.00 clock clock (rise edge) |
| 0.00 0.00 clock source latency |
| 0.72 0.53 0.53 ^ clock (in) |
| 2 0.16 clock (net) |
| 0.72 0.00 0.53 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.07 0.30 0.83 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 4 0.32 clknet_0_clock (net) |
| 0.48 0.24 1.08 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.65 0.47 1.55 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.13 clknet_1_1_0_clock (net) |
| 0.65 0.01 1.56 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.80 0.76 2.32 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 4 0.15 clknet_1_1_1_clock (net) |
| 0.80 0.00 2.33 ^ clkbuf_2_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.74 0.68 3.00 ^ clkbuf_2_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 4 0.14 clknet_2_2_0_clock (net) |
| 0.74 0.01 3.01 ^ clkbuf_3_4_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 2.52 1.94 4.95 ^ clkbuf_3_4_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 26 0.48 clknet_3_4_0_clock (net) |
| 2.52 0.02 4.97 ^ clkbuf_leaf_7_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.18 0.55 5.51 ^ clkbuf_leaf_7_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 18 0.13 clknet_leaf_7_clock (net) |
| 0.18 0.00 5.52 ^ _14108_/CLK (sky130_fd_sc_hd__dfxtp_1) |
| 1.34 1.31 6.83 ^ _14108_/Q (sky130_fd_sc_hd__dfxtp_1) |
| 6 0.15 _T_1[84] (net) |
| 1.34 0.00 6.83 ^ _09666_/A (sky130_fd_sc_hd__clkinv_2) |
| 0.67 0.92 7.76 v _09666_/Y (sky130_fd_sc_hd__clkinv_2) |
| 4 0.14 _03081_ (net) |
| 0.67 0.00 7.76 v _09669_/A1 (sky130_fd_sc_hd__a221o_1) |
| 0.12 0.61 8.36 v _09669_/X (sky130_fd_sc_hd__a221o_1) |
| 2 0.02 _03084_ (net) |
| 0.12 0.00 8.36 v _09671_/B1 (sky130_fd_sc_hd__a22o_4) |
| 0.09 0.31 8.68 v _09671_/X (sky130_fd_sc_hd__a22o_4) |
| 8 0.04 _03086_ (net) |
| 0.09 0.01 8.68 v _09719_/B (sky130_fd_sc_hd__nand2_1) |
| 0.23 0.23 8.91 ^ _09719_/Y (sky130_fd_sc_hd__nand2_1) |
| 8 0.03 _03134_ (net) |
| 0.23 0.00 8.91 ^ _09768_/A (sky130_fd_sc_hd__or2_2) |
| 0.16 0.27 9.18 ^ _09768_/X (sky130_fd_sc_hd__or2_2) |
| 8 0.03 _03183_ (net) |
| 0.16 0.00 9.18 ^ _09769_/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.20 0.27 9.44 ^ _09769_/X (sky130_fd_sc_hd__clkbuf_2) |
| 10 0.04 _03184_ (net) |
| 0.20 0.00 9.45 ^ _10131_/A1 (sky130_fd_sc_hd__o22a_1) |
| 0.19 0.30 9.75 ^ _10131_/X (sky130_fd_sc_hd__o22a_1) |
| 4 0.02 _03544_ (net) |
| 0.19 0.00 9.75 ^ _10133_/B1 (sky130_fd_sc_hd__o2111a_1) |
| 0.10 0.27 10.02 ^ _10133_/X (sky130_fd_sc_hd__o2111a_1) |
| 2 0.01 _03546_ (net) |
| 0.10 0.00 10.02 ^ _10139_/B (sky130_fd_sc_hd__and4_1) |
| 0.11 0.25 10.27 ^ _10139_/X (sky130_fd_sc_hd__and4_1) |
| 2 0.01 _03552_ (net) |
| 0.11 0.00 10.27 ^ _10140_/C1 (sky130_fd_sc_hd__o211a_1) |
| 0.11 0.22 10.49 ^ _10140_/X (sky130_fd_sc_hd__o211a_1) |
| 2 0.01 _03553_ (net) |
| 0.11 0.00 10.49 ^ _10141_/C1 (sky130_fd_sc_hd__o211ai_2) |
| 0.11 0.14 10.62 v _10141_/Y (sky130_fd_sc_hd__o211ai_2) |
| 2 0.01 _03554_ (net) |
| 0.11 0.00 10.62 v _10142_/D (sky130_fd_sc_hd__or4_1) |
| 0.12 0.48 11.11 v _10142_/X (sky130_fd_sc_hd__or4_1) |
| 2 0.01 _03555_ (net) |
| 0.12 0.00 11.11 v _10144_/C (sky130_fd_sc_hd__or4_1) |
| 0.12 0.56 11.67 v _10144_/X (sky130_fd_sc_hd__or4_1) |
| 2 0.01 _03557_ (net) |
| 0.12 0.00 11.67 v _10145_/D (sky130_fd_sc_hd__or4_1) |
| 0.13 0.50 12.17 v _10145_/X (sky130_fd_sc_hd__or4_1) |
| 2 0.01 _03558_ (net) |
| 0.13 0.00 12.17 v _10148_/A2 (sky130_fd_sc_hd__o41a_1) |
| 0.09 0.48 12.65 v _10148_/X (sky130_fd_sc_hd__o41a_1) |
| 2 0.01 _03561_ (net) |
| 0.09 0.00 12.65 v _10151_/A (sky130_fd_sc_hd__or4b_1) |
| 0.12 0.61 13.27 v _10151_/X (sky130_fd_sc_hd__or4b_1) |
| 2 0.01 _03564_ (net) |
| 0.12 0.00 13.27 v _10154_/C (sky130_fd_sc_hd__or4b_1) |
| 0.15 0.61 13.88 v _10154_/X (sky130_fd_sc_hd__or4b_1) |
| 2 0.02 _03567_ (net) |
| 0.15 0.00 13.88 v _10155_/D (sky130_fd_sc_hd__or4_1) |
| 0.16 0.55 14.43 v _10155_/X (sky130_fd_sc_hd__or4_1) |
| 2 0.02 _03568_ (net) |
| 0.16 0.00 14.43 v _10156_/B1 (sky130_fd_sc_hd__a211o_1) |
| 0.10 0.38 14.81 v _10156_/X (sky130_fd_sc_hd__a211o_1) |
| 2 0.01 _03569_ (net) |
| 0.10 0.00 14.81 v _10159_/B (sky130_fd_sc_hd__or4_1) |
| 0.15 0.64 15.45 v _10159_/X (sky130_fd_sc_hd__or4_1) |
| 2 0.02 _03572_ (net) |
| 0.15 0.00 15.46 v _10161_/C (sky130_fd_sc_hd__or4b_1) |
| 0.13 0.59 16.04 v _10161_/X (sky130_fd_sc_hd__or4b_1) |
| 2 0.01 _03574_ (net) |
| 0.13 0.00 16.04 v _10164_/B (sky130_fd_sc_hd__or4b_1) |
| 0.14 0.64 16.68 v _10164_/X (sky130_fd_sc_hd__or4b_1) |
| 2 0.01 _03577_ (net) |
| 0.14 0.00 16.68 v _10166_/B2 (sky130_fd_sc_hd__o221a_1) |
| 0.09 0.30 16.99 v _10166_/X (sky130_fd_sc_hd__o221a_1) |
| 2 0.01 _03579_ (net) |
| 0.09 0.00 16.99 v _10168_/C (sky130_fd_sc_hd__or4_1) |
| 0.14 0.58 17.57 v _10168_/X (sky130_fd_sc_hd__or4_1) |
| 2 0.01 _03581_ (net) |
| 0.14 0.00 17.57 v _10169_/C1 (sky130_fd_sc_hd__o221a_1) |
| 0.11 0.22 17.80 v _10169_/X (sky130_fd_sc_hd__o221a_1) |
| 2 0.02 _03582_ (net) |
| 0.11 0.00 17.80 v _10182_/A_N (sky130_fd_sc_hd__and3b_2) |
| 0.20 0.40 18.20 ^ _10182_/X (sky130_fd_sc_hd__and3b_2) |
| 4 0.04 _03595_ (net) |
| 0.20 0.00 18.20 ^ _10198_/A (sky130_fd_sc_hd__buf_4) |
| 0.92 0.71 18.91 ^ _10198_/X (sky130_fd_sc_hd__buf_4) |
| 10 0.35 _03611_ (net) |
| 1.31 0.52 19.43 ^ _12905_/B (sky130_fd_sc_hd__xnor2_1) |
| 1.24 1.38 20.81 v _12905_/Y (sky130_fd_sc_hd__xnor2_1) |
| 4 0.15 _06044_ (net) |
| 1.24 0.00 20.81 v _13090_/B (sky130_fd_sc_hd__xnor2_2) |
| 0.81 1.11 21.92 ^ _13090_/Y (sky130_fd_sc_hd__xnor2_2) |
| 6 0.06 _06197_ (net) |
| 0.81 0.00 21.92 ^ _13261_/A2 (sky130_fd_sc_hd__o21ai_1) |
| 0.33 0.45 22.37 v _13261_/Y (sky130_fd_sc_hd__o21ai_1) |
| 2 0.04 _06336_ (net) |
| 0.33 0.00 22.37 v _13262_/B1 (sky130_fd_sc_hd__a21oi_1) |
| 1.18 1.06 23.43 ^ _13262_/Y (sky130_fd_sc_hd__a21oi_1) |
| 6 0.06 _06337_ (net) |
| 1.18 0.00 23.43 ^ _13387_/B (sky130_fd_sc_hd__nand2_1) |
| 0.76 0.88 24.30 v _13387_/Y (sky130_fd_sc_hd__nand2_1) |
| 2 0.10 _06430_ (net) |
| 0.76 0.00 24.30 v hold1015/A (sky130_fd_sc_hd__clkdlybuf4s25_1) |
| 0.65 0.93 25.23 v hold1015/X (sky130_fd_sc_hd__clkdlybuf4s25_1) |
| 2 0.09 net1100 (net) |
| 0.65 0.00 25.23 v _13389_/A2 (sky130_fd_sc_hd__a32o_1) |
| 0.56 0.94 26.17 v _13389_/X (sky130_fd_sc_hd__a32o_1) |
| 2 0.13 _00417_ (net) |
| 0.56 0.00 26.17 v hold1016/A (sky130_fd_sc_hd__clkdlybuf4s25_1) |
| 0.74 0.90 27.06 v hold1016/X (sky130_fd_sc_hd__clkdlybuf4s25_1) |
| 2 0.10 net1101 (net) |
| 0.74 0.00 27.07 v _14458_/D (sky130_fd_sc_hd__dfxtp_2) |
| 27.07 data arrival time |
| |
| 15.00 15.00 clock clock (rise edge) |
| 0.00 15.00 clock source latency |
| 0.72 0.48 15.48 ^ clock (in) |
| 2 0.16 clock (net) |
| 0.72 0.00 15.48 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.07 0.27 15.75 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 4 0.32 clknet_0_clock (net) |
| 0.46 0.21 15.97 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.47 0.41 16.37 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.09 clknet_1_0_0_clock (net) |
| 0.47 0.00 16.38 ^ clkbuf_1_0_1_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 1.30 0.26 16.64 ^ clkbuf_1_0_1_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 4 0.32 clknet_1_0_1_clock (net) |
| 2.93 1.32 17.95 ^ clkbuf_2_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.71 0.77 18.72 ^ clkbuf_2_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 4 0.13 clknet_2_1_0_clock (net) |
| 0.71 0.00 18.72 ^ clkbuf_3_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 2.09 1.50 20.23 ^ clkbuf_3_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 24 0.39 clknet_3_3_0_clock (net) |
| 2.09 0.00 20.23 ^ clkbuf_leaf_67_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.28 0.47 20.70 ^ clkbuf_leaf_67_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 16 0.28 clknet_leaf_67_clock (net) |
| 0.28 0.00 20.70 ^ _14458_/CLK (sky130_fd_sc_hd__dfxtp_2) |
| -0.25 20.45 clock uncertainty |
| 0.08 20.53 clock reconvergence pessimism |
| -0.33 20.21 library setup time |
| 20.21 data required time |
| ----------------------------------------------------------------------------- |
| 20.21 data required time |
| -27.07 data arrival time |
| ----------------------------------------------------------------------------- |
| -6.86 slack (VIOLATED) |
| |
| |
| |
| =========================================================================== |
| report_checks --slack_max -0.01 |
| ============================================================================ |
| Startpoint: _14108_ (rising edge-triggered flip-flop clocked by clock) |
| Endpoint: _14458_ (rising edge-triggered flip-flop clocked by clock) |
| Path Group: clock |
| Path Type: max |
| |
| Fanout Cap Slew Delay Time Description |
| ----------------------------------------------------------------------------- |
| 0.00 0.00 clock clock (rise edge) |
| 0.00 0.00 clock source latency |
| 0.72 0.53 0.53 ^ clock (in) |
| 2 0.16 clock (net) |
| 0.72 0.00 0.53 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.07 0.30 0.83 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 4 0.32 clknet_0_clock (net) |
| 0.48 0.24 1.08 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.65 0.47 1.55 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.13 clknet_1_1_0_clock (net) |
| 0.65 0.01 1.56 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.80 0.76 2.32 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 4 0.15 clknet_1_1_1_clock (net) |
| 0.80 0.00 2.33 ^ clkbuf_2_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.74 0.68 3.00 ^ clkbuf_2_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 4 0.14 clknet_2_2_0_clock (net) |
| 0.74 0.01 3.01 ^ clkbuf_3_4_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 2.52 1.94 4.95 ^ clkbuf_3_4_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 26 0.48 clknet_3_4_0_clock (net) |
| 2.52 0.02 4.97 ^ clkbuf_leaf_7_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.18 0.55 5.51 ^ clkbuf_leaf_7_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 18 0.13 clknet_leaf_7_clock (net) |
| 0.18 0.00 5.52 ^ _14108_/CLK (sky130_fd_sc_hd__dfxtp_1) |
| 1.34 1.31 6.83 ^ _14108_/Q (sky130_fd_sc_hd__dfxtp_1) |
| 6 0.15 _T_1[84] (net) |
| 1.34 0.00 6.83 ^ _09666_/A (sky130_fd_sc_hd__clkinv_2) |
| 0.67 0.92 7.76 v _09666_/Y (sky130_fd_sc_hd__clkinv_2) |
| 4 0.14 _03081_ (net) |
| 0.67 0.00 7.76 v _09669_/A1 (sky130_fd_sc_hd__a221o_1) |
| 0.12 0.61 8.36 v _09669_/X (sky130_fd_sc_hd__a221o_1) |
| 2 0.02 _03084_ (net) |
| 0.12 0.00 8.36 v _09671_/B1 (sky130_fd_sc_hd__a22o_4) |
| 0.09 0.31 8.68 v _09671_/X (sky130_fd_sc_hd__a22o_4) |
| 8 0.04 _03086_ (net) |
| 0.09 0.01 8.68 v _09719_/B (sky130_fd_sc_hd__nand2_1) |
| 0.23 0.23 8.91 ^ _09719_/Y (sky130_fd_sc_hd__nand2_1) |
| 8 0.03 _03134_ (net) |
| 0.23 0.00 8.91 ^ _09768_/A (sky130_fd_sc_hd__or2_2) |
| 0.16 0.27 9.18 ^ _09768_/X (sky130_fd_sc_hd__or2_2) |
| 8 0.03 _03183_ (net) |
| 0.16 0.00 9.18 ^ _09769_/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.20 0.27 9.44 ^ _09769_/X (sky130_fd_sc_hd__clkbuf_2) |
| 10 0.04 _03184_ (net) |
| 0.20 0.00 9.45 ^ _10131_/A1 (sky130_fd_sc_hd__o22a_1) |
| 0.19 0.30 9.75 ^ _10131_/X (sky130_fd_sc_hd__o22a_1) |
| 4 0.02 _03544_ (net) |
| 0.19 0.00 9.75 ^ _10133_/B1 (sky130_fd_sc_hd__o2111a_1) |
| 0.10 0.27 10.02 ^ _10133_/X (sky130_fd_sc_hd__o2111a_1) |
| 2 0.01 _03546_ (net) |
| 0.10 0.00 10.02 ^ _10139_/B (sky130_fd_sc_hd__and4_1) |
| 0.11 0.25 10.27 ^ _10139_/X (sky130_fd_sc_hd__and4_1) |
| 2 0.01 _03552_ (net) |
| 0.11 0.00 10.27 ^ _10140_/C1 (sky130_fd_sc_hd__o211a_1) |
| 0.11 0.22 10.49 ^ _10140_/X (sky130_fd_sc_hd__o211a_1) |
| 2 0.01 _03553_ (net) |
| 0.11 0.00 10.49 ^ _10141_/C1 (sky130_fd_sc_hd__o211ai_2) |
| 0.11 0.14 10.62 v _10141_/Y (sky130_fd_sc_hd__o211ai_2) |
| 2 0.01 _03554_ (net) |
| 0.11 0.00 10.62 v _10142_/D (sky130_fd_sc_hd__or4_1) |
| 0.12 0.48 11.11 v _10142_/X (sky130_fd_sc_hd__or4_1) |
| 2 0.01 _03555_ (net) |
| 0.12 0.00 11.11 v _10144_/C (sky130_fd_sc_hd__or4_1) |
| 0.12 0.56 11.67 v _10144_/X (sky130_fd_sc_hd__or4_1) |
| 2 0.01 _03557_ (net) |
| 0.12 0.00 11.67 v _10145_/D (sky130_fd_sc_hd__or4_1) |
| 0.13 0.50 12.17 v _10145_/X (sky130_fd_sc_hd__or4_1) |
| 2 0.01 _03558_ (net) |
| 0.13 0.00 12.17 v _10148_/A2 (sky130_fd_sc_hd__o41a_1) |
| 0.09 0.48 12.65 v _10148_/X (sky130_fd_sc_hd__o41a_1) |
| 2 0.01 _03561_ (net) |
| 0.09 0.00 12.65 v _10151_/A (sky130_fd_sc_hd__or4b_1) |
| 0.12 0.61 13.27 v _10151_/X (sky130_fd_sc_hd__or4b_1) |
| 2 0.01 _03564_ (net) |
| 0.12 0.00 13.27 v _10154_/C (sky130_fd_sc_hd__or4b_1) |
| 0.15 0.61 13.88 v _10154_/X (sky130_fd_sc_hd__or4b_1) |
| 2 0.02 _03567_ (net) |
| 0.15 0.00 13.88 v _10155_/D (sky130_fd_sc_hd__or4_1) |
| 0.16 0.55 14.43 v _10155_/X (sky130_fd_sc_hd__or4_1) |
| 2 0.02 _03568_ (net) |
| 0.16 0.00 14.43 v _10156_/B1 (sky130_fd_sc_hd__a211o_1) |
| 0.10 0.38 14.81 v _10156_/X (sky130_fd_sc_hd__a211o_1) |
| 2 0.01 _03569_ (net) |
| 0.10 0.00 14.81 v _10159_/B (sky130_fd_sc_hd__or4_1) |
| 0.15 0.64 15.45 v _10159_/X (sky130_fd_sc_hd__or4_1) |
| 2 0.02 _03572_ (net) |
| 0.15 0.00 15.46 v _10161_/C (sky130_fd_sc_hd__or4b_1) |
| 0.13 0.59 16.04 v _10161_/X (sky130_fd_sc_hd__or4b_1) |
| 2 0.01 _03574_ (net) |
| 0.13 0.00 16.04 v _10164_/B (sky130_fd_sc_hd__or4b_1) |
| 0.14 0.64 16.68 v _10164_/X (sky130_fd_sc_hd__or4b_1) |
| 2 0.01 _03577_ (net) |
| 0.14 0.00 16.68 v _10166_/B2 (sky130_fd_sc_hd__o221a_1) |
| 0.09 0.30 16.99 v _10166_/X (sky130_fd_sc_hd__o221a_1) |
| 2 0.01 _03579_ (net) |
| 0.09 0.00 16.99 v _10168_/C (sky130_fd_sc_hd__or4_1) |
| 0.14 0.58 17.57 v _10168_/X (sky130_fd_sc_hd__or4_1) |
| 2 0.01 _03581_ (net) |
| 0.14 0.00 17.57 v _10169_/C1 (sky130_fd_sc_hd__o221a_1) |
| 0.11 0.22 17.80 v _10169_/X (sky130_fd_sc_hd__o221a_1) |
| 2 0.02 _03582_ (net) |
| 0.11 0.00 17.80 v _10182_/A_N (sky130_fd_sc_hd__and3b_2) |
| 0.20 0.40 18.20 ^ _10182_/X (sky130_fd_sc_hd__and3b_2) |
| 4 0.04 _03595_ (net) |
| 0.20 0.00 18.20 ^ _10198_/A (sky130_fd_sc_hd__buf_4) |
| 0.92 0.71 18.91 ^ _10198_/X (sky130_fd_sc_hd__buf_4) |
| 10 0.35 _03611_ (net) |
| 1.31 0.52 19.43 ^ _12905_/B (sky130_fd_sc_hd__xnor2_1) |
| 1.24 1.38 20.81 v _12905_/Y (sky130_fd_sc_hd__xnor2_1) |
| 4 0.15 _06044_ (net) |
| 1.24 0.00 20.81 v _13090_/B (sky130_fd_sc_hd__xnor2_2) |
| 0.81 1.11 21.92 ^ _13090_/Y (sky130_fd_sc_hd__xnor2_2) |
| 6 0.06 _06197_ (net) |
| 0.81 0.00 21.92 ^ _13261_/A2 (sky130_fd_sc_hd__o21ai_1) |
| 0.33 0.45 22.37 v _13261_/Y (sky130_fd_sc_hd__o21ai_1) |
| 2 0.04 _06336_ (net) |
| 0.33 0.00 22.37 v _13262_/B1 (sky130_fd_sc_hd__a21oi_1) |
| 1.18 1.06 23.43 ^ _13262_/Y (sky130_fd_sc_hd__a21oi_1) |
| 6 0.06 _06337_ (net) |
| 1.18 0.00 23.43 ^ _13387_/B (sky130_fd_sc_hd__nand2_1) |
| 0.76 0.88 24.30 v _13387_/Y (sky130_fd_sc_hd__nand2_1) |
| 2 0.10 _06430_ (net) |
| 0.76 0.00 24.30 v hold1015/A (sky130_fd_sc_hd__clkdlybuf4s25_1) |
| 0.65 0.93 25.23 v hold1015/X (sky130_fd_sc_hd__clkdlybuf4s25_1) |
| 2 0.09 net1100 (net) |
| 0.65 0.00 25.23 v _13389_/A2 (sky130_fd_sc_hd__a32o_1) |
| 0.56 0.94 26.17 v _13389_/X (sky130_fd_sc_hd__a32o_1) |
| 2 0.13 _00417_ (net) |
| 0.56 0.00 26.17 v hold1016/A (sky130_fd_sc_hd__clkdlybuf4s25_1) |
| 0.74 0.90 27.06 v hold1016/X (sky130_fd_sc_hd__clkdlybuf4s25_1) |
| 2 0.10 net1101 (net) |
| 0.74 0.00 27.07 v _14458_/D (sky130_fd_sc_hd__dfxtp_2) |
| 27.07 data arrival time |
| |
| 15.00 15.00 clock clock (rise edge) |
| 0.00 15.00 clock source latency |
| 0.72 0.48 15.48 ^ clock (in) |
| 2 0.16 clock (net) |
| 0.72 0.00 15.48 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.07 0.27 15.75 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 4 0.32 clknet_0_clock (net) |
| 0.46 0.21 15.97 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.47 0.41 16.37 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 2 0.09 clknet_1_0_0_clock (net) |
| 0.47 0.00 16.38 ^ clkbuf_1_0_1_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 1.30 0.26 16.64 ^ clkbuf_1_0_1_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 4 0.32 clknet_1_0_1_clock (net) |
| 2.93 1.32 17.95 ^ clkbuf_2_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 0.71 0.77 18.72 ^ clkbuf_2_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 4 0.13 clknet_2_1_0_clock (net) |
| 0.71 0.00 18.72 ^ clkbuf_3_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2) |
| 2.09 1.50 20.23 ^ clkbuf_3_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2) |
| 24 0.39 clknet_3_3_0_clock (net) |
| 2.09 0.00 20.23 ^ clkbuf_leaf_67_clock/A (sky130_fd_sc_hd__clkbuf_16) |
| 0.28 0.47 20.70 ^ clkbuf_leaf_67_clock/X (sky130_fd_sc_hd__clkbuf_16) |
| 16 0.28 clknet_leaf_67_clock (net) |
| 0.28 0.00 20.70 ^ _14458_/CLK (sky130_fd_sc_hd__dfxtp_2) |
| -0.25 20.45 clock uncertainty |
| 0.08 20.53 clock reconvergence pessimism |
| -0.33 20.21 library setup time |
| 20.21 data required time |
| ----------------------------------------------------------------------------- |
| 20.21 data required time |
| -27.07 data arrival time |
| ----------------------------------------------------------------------------- |
| -6.86 slack (VIOLATED) |
| |
| |
| check_report_end |
| check_slew |
| |
| =========================================================================== |
| report_check_types -max_slew -max_cap -max_fanout -violators |
| ============================================================================ |
| max slew |
| |
| Pin Limit Slew Slack |
| ------------------------------------------------------------ |
| ANTENNA__13381__B/DIODE 1.50 7.27 -5.77 (VIOLATED) |
| ANTENNA__13382__B/DIODE 1.50 7.26 -5.76 (VIOLATED) |
| ANTENNA__13253__A2/DIODE 1.50 7.25 -5.75 (VIOLATED) |
| ANTENNA_clkbuf_1_0_0_clock_A/DIODE 1.50 7.09 -5.59 (VIOLATED) |
| ANTENNA_clkbuf_1_1_0_clock_A/DIODE 1.50 6.75 -5.25 (VIOLATED) |
| ANTENNA__13902__C1/DIODE 1.50 5.45 -3.95 (VIOLATED) |
| ANTENNA__13943__C1/DIODE 1.50 5.45 -3.95 (VIOLATED) |
| ANTENNA__12185__C1/DIODE 1.50 5.37 -3.87 (VIOLATED) |
| ANTENNA__12193__C1/DIODE 1.50 5.37 -3.87 (VIOLATED) |
| ANTENNA__13670__C1/DIODE 1.50 5.35 -3.85 (VIOLATED) |
| ANTENNA__13562__C1/DIODE 1.50 5.34 -3.84 (VIOLATED) |
| ANTENNA__13620__C1/DIODE 1.50 5.34 -3.84 (VIOLATED) |
| ANTENNA__13548__C1/DIODE 1.50 5.34 -3.84 (VIOLATED) |
| ANTENNA__13537__C1/DIODE 1.50 5.34 -3.84 (VIOLATED) |
| ANTENNA__07171__A1/DIODE 1.50 5.31 -3.81 (VIOLATED) |
| _07171_/A1 1.50 5.31 -3.81 (VIOLATED) |
| ANTENNA__13880__A1/DIODE 1.50 5.22 -3.72 (VIOLATED) |
| ANTENNA__13879__A/DIODE 1.50 5.22 -3.72 (VIOLATED) |
| ANTENNA__13834__A/DIODE 1.50 5.22 -3.72 (VIOLATED) |
| ANTENNA__13835__A1/DIODE 1.50 5.22 -3.72 (VIOLATED) |
| ANTENNA__13378__B/DIODE 1.50 5.16 -3.66 (VIOLATED) |
| ANTENNA__13379__B/DIODE 1.50 5.16 -3.66 (VIOLATED) |
| ANTENNA__13248__B1/DIODE 1.50 5.15 -3.65 (VIOLATED) |
| ANTENNA__14029__A1/DIODE 1.50 5.03 -3.53 (VIOLATED) |
| _12901_/Y 1.49 4.96 -3.47 (VIOLATED) |
| ANTENNA__13084__B/DIODE 1.50 4.97 -3.47 (VIOLATED) |
| ANTENNA_hold456_A/DIODE 1.50 4.97 -3.47 (VIOLATED) |
| _13084_/B 1.50 4.96 -3.46 (VIOLATED) |
| hold456/A 1.50 4.96 -3.46 (VIOLATED) |
| ANTENNA__13946__C1/DIODE 1.50 4.89 -3.39 (VIOLATED) |
| ANTENNA__13910__C1/DIODE 1.50 4.89 -3.39 (VIOLATED) |
| ANTENNA__13921__C1/DIODE 1.50 4.89 -3.39 (VIOLATED) |
| ANTENNA__13898__A1/DIODE 1.50 4.89 -3.39 (VIOLATED) |
| ANTENNA__14028__A1/DIODE 1.50 4.79 -3.29 (VIOLATED) |
| _13381_/B 1.50 4.72 -3.22 (VIOLATED) |
| _13382_/B 1.50 4.72 -3.22 (VIOLATED) |
| _13253_/A2 1.50 4.72 -3.22 (VIOLATED) |
| _13251_/Y 1.50 4.72 -3.21 (VIOLATED) |
| ANTENNA__12183__A1/DIODE 1.50 4.68 -3.18 (VIOLATED) |
| ANTENNA__12192__A1/DIODE 1.50 4.68 -3.18 (VIOLATED) |
| ANTENNA__12200__A1/DIODE 1.50 4.68 -3.18 (VIOLATED) |
| ANTENNA__13984__A1/DIODE 1.50 4.67 -3.17 (VIOLATED) |
| ANTENNA__11810__S/DIODE 1.50 4.60 -3.10 (VIOLATED) |
| ANTENNA__13619__B1/DIODE 1.50 4.49 -2.99 (VIOLATED) |
| ANTENNA_hold847_A/DIODE 1.50 4.47 -2.97 (VIOLATED) |
| ANTENNA_hold878_A/DIODE 1.50 4.40 -2.90 (VIOLATED) |
| ANTENNA__13920__B1/DIODE 1.50 4.26 -2.76 (VIOLATED) |
| ANTENNA__13909__B1/DIODE 1.50 4.26 -2.76 (VIOLATED) |
| ANTENNA__14022__A1/DIODE 1.50 4.26 -2.76 (VIOLATED) |
| ANTENNA__13945__B1/DIODE 1.50 4.26 -2.76 (VIOLATED) |
| ANTENNA__13971__A1/DIODE 1.50 4.26 -2.76 (VIOLATED) |
| ANTENNA__13323__B1/DIODE 1.50 4.25 -2.75 (VIOLATED) |
| ANTENNA__13942__A1/DIODE 1.50 4.17 -2.67 (VIOLATED) |
| ANTENNA__13163__A2/DIODE 1.50 4.16 -2.66 (VIOLATED) |
| ANTENNA__13167__A2/DIODE 1.50 4.16 -2.66 (VIOLATED) |
| ANTENNA__12773__C1/DIODE 1.50 4.10 -2.60 (VIOLATED) |
| ANTENNA__14029__B1/DIODE 1.50 4.08 -2.58 (VIOLATED) |
| ANTENNA__12186__A/DIODE 1.50 4.06 -2.56 (VIOLATED) |
| ANTENNA_hold1293_A/DIODE 1.50 4.05 -2.55 (VIOLATED) |
| ANTENNA__13990__C1/DIODE 1.50 4.03 -2.53 (VIOLATED) |
| ANTENNA__10842__B1/DIODE 1.50 3.86 -2.36 (VIOLATED) |
| _12339_/Y 1.49 3.81 -2.32 (VIOLATED) |
| _12332_/Y 1.49 3.81 -2.32 (VIOLATED) |
| _14029_/B1 1.50 3.82 -2.32 (VIOLATED) |
| _10503_/B2 1.50 3.82 -2.32 (VIOLATED) |
| _10842_/B1 1.50 3.82 -2.32 (VIOLATED) |
| _12186_/A 1.50 3.82 -2.32 (VIOLATED) |
| ANTENNA_hold142_A/DIODE 1.50 3.82 -2.32 (VIOLATED) |
| ANTENNA_hold221_A/DIODE 1.50 3.82 -2.32 (VIOLATED) |
| hold142/A 1.50 3.81 -2.31 (VIOLATED) |
| hold221/A 1.50 3.81 -2.31 (VIOLATED) |
| ANTENNA__10503__B2/DIODE 1.50 3.81 -2.31 (VIOLATED) |
| ANTENNA_hold842_A/DIODE 1.50 3.81 -2.31 (VIOLATED) |
| ANTENNA__14043__C1/DIODE 1.50 3.79 -2.29 (VIOLATED) |
| ANTENNA__13268__B2/DIODE 1.50 3.79 -2.29 (VIOLATED) |
| ANTENNA__13249__B2/DIODE 1.50 3.79 -2.29 (VIOLATED) |
| ANTENNA__14020__A3/DIODE 1.50 3.74 -2.24 (VIOLATED) |
| ANTENNA__13969__A3/DIODE 1.50 3.74 -2.24 (VIOLATED) |
| ANTENNA__13960__A3/DIODE 1.50 3.73 -2.23 (VIOLATED) |
| ANTENNA__13952__A3/DIODE 1.50 3.73 -2.23 (VIOLATED) |
| _12325_/Y 1.49 3.72 -2.23 (VIOLATED) |
| ANTENNA__13225__B2/DIODE 1.50 3.73 -2.23 (VIOLATED) |
| ANTENNA__13209__B2/DIODE 1.50 3.73 -2.23 (VIOLATED) |
| ANTENNA__14185__D/DIODE 1.50 3.73 -2.23 (VIOLATED) |
| _14185_/D 1.50 3.72 -2.22 (VIOLATED) |
| _13936_/Y 1.49 3.62 -2.13 (VIOLATED) |
| ANTENNA__13937__B1/DIODE 1.50 3.62 -2.12 (VIOLATED) |
| _13937_/B1 1.50 3.62 -2.12 (VIOLATED) |
| _13379_/B 1.50 3.61 -2.11 (VIOLATED) |
| _13248_/B1 1.50 3.61 -2.11 (VIOLATED) |
| _13378_/B 1.50 3.61 -2.11 (VIOLATED) |
| _13247_/Y 1.50 3.61 -2.11 (VIOLATED) |
| ANTENNA__14030__B1/DIODE 1.50 3.57 -2.07 (VIOLATED) |
| ANTENNA_hold1233_A/DIODE 1.50 3.53 -2.03 (VIOLATED) |
| ANTENNA__13576__A2/DIODE 1.50 3.50 -2.00 (VIOLATED) |
| ANTENNA__13588__A2/DIODE 1.50 3.50 -2.00 (VIOLATED) |
| ANTENNA__13598__A2/DIODE 1.50 3.50 -2.00 (VIOLATED) |
| ANTENNA__13609__A2/DIODE 1.50 3.50 -2.00 (VIOLATED) |
| ANTENNA__13560__A/DIODE 1.50 3.49 -1.99 (VIOLATED) |
| ANTENNA__13524__C1/DIODE 1.50 3.49 -1.99 (VIOLATED) |
| ANTENNA__13511__C1/DIODE 1.50 3.49 -1.99 (VIOLATED) |
| ANTENNA__13669__C1/DIODE 1.50 3.48 -1.98 (VIOLATED) |
| ANTENNA__13619__C1/DIODE 1.50 3.48 -1.98 (VIOLATED) |
| ANTENNA__13635__A2/DIODE 1.50 3.48 -1.98 (VIOLATED) |
| ANTENNA__13633__A/DIODE 1.50 3.47 -1.97 (VIOLATED) |
| ANTENNA__10652__A/DIODE 1.50 3.46 -1.96 (VIOLATED) |
| ANTENNA__13247__A1/DIODE 1.50 3.44 -1.94 (VIOLATED) |
| _10707_/A1 1.50 3.43 -1.93 (VIOLATED) |
| _10672_/A1 1.50 3.43 -1.93 (VIOLATED) |
| _10652_/A 1.50 3.43 -1.93 (VIOLATED) |
| ANTENNA__11900__A1/DIODE 1.50 3.42 -1.92 (VIOLATED) |
| ANTENNA__13248__A1/DIODE 1.50 3.42 -1.92 (VIOLATED) |
| ANTENNA__11998__A/DIODE 1.50 3.42 -1.92 (VIOLATED) |
| ANTENNA__11910__A1/DIODE 1.50 3.42 -1.92 (VIOLATED) |
| ANTENNA__14105__D/DIODE 1.50 3.39 -1.89 (VIOLATED) |
| _13990_/Y 1.49 3.36 -1.87 (VIOLATED) |
| ANTENNA__13991__B1/DIODE 1.50 3.36 -1.86 (VIOLATED) |
| _13991_/B1 1.50 3.36 -1.86 (VIOLATED) |
| ANTENNA__14015__B1/DIODE 1.50 3.30 -1.80 (VIOLATED) |
| _14015_/B1 1.50 3.30 -1.80 (VIOLATED) |
| _14014_/Y 1.49 3.29 -1.79 (VIOLATED) |
| ANTENNA_hold554_A/DIODE 1.50 3.26 -1.76 (VIOLATED) |
| ANTENNA_clkbuf_2_0_0_clock_A/DIODE 1.50 3.26 -1.76 (VIOLATED) |
| ANTENNA_hold1314_A/DIODE 1.50 3.26 -1.76 (VIOLATED) |
| ANTENNA__13535__C1/DIODE 1.50 3.25 -1.75 (VIOLATED) |
| ANTENNA__13547__C1/DIODE 1.50 3.25 -1.75 (VIOLATED) |
| _12773_/C1 1.50 3.23 -1.73 (VIOLATED) |
| ANTENNA__14007__B1/DIODE 1.50 3.21 -1.71 (VIOLATED) |
| _14006_/Y 1.49 3.20 -1.71 (VIOLATED) |
| ANTENNA__10707__A2/DIODE 1.50 3.20 -1.70 (VIOLATED) |
| _14007_/B1 1.50 3.20 -1.70 (VIOLATED) |
| ANTENNA_clkbuf_2_1_0_clock_A/DIODE 1.50 3.20 -1.70 (VIOLATED) |
| ANTENNA__11999__B2/DIODE 1.50 3.18 -1.68 (VIOLATED) |
| ANTENNA__14020__B2/DIODE 1.50 3.14 -1.64 (VIOLATED) |
| _12905_/Y 1.49 3.10 -1.61 (VIOLATED) |
| ANTENNA__13906__A/DIODE 1.50 3.11 -1.61 (VIOLATED) |
| ANTENNA__13969__B2/DIODE 1.50 3.11 -1.61 (VIOLATED) |
| ANTENNA_hold982_A/DIODE 1.50 3.10 -1.60 (VIOLATED) |
| ANTENNA__10759__B1/DIODE 1.50 3.10 -1.60 (VIOLATED) |
| ANTENNA__13090__B/DIODE 1.50 3.10 -1.60 (VIOLATED) |
| ANTENNA__12906__C/DIODE 1.50 3.10 -1.60 (VIOLATED) |
| _12906_/C 1.50 3.10 -1.60 (VIOLATED) |
| _13090_/B 1.50 3.10 -1.60 (VIOLATED) |
| ANTENNA__10875__A/DIODE 1.50 3.10 -1.60 (VIOLATED) |
| _10875_/A 1.50 3.10 -1.60 (VIOLATED) |
| _13906_/A 1.50 3.10 -1.60 (VIOLATED) |
| _13969_/B2 1.50 3.10 -1.60 (VIOLATED) |
| _14020_/B2 1.50 3.10 -1.60 (VIOLATED) |
| ANTENNA__13898__A2/DIODE 1.50 3.09 -1.59 (VIOLATED) |
| _10874_/X 1.51 3.10 -1.58 (VIOLATED) |
| ANTENNA__13958__B1/DIODE 1.50 3.08 -1.58 (VIOLATED) |
| ANTENNA__10726__B1/DIODE 1.50 3.07 -1.57 (VIOLATED) |
| _12349_/Y 1.49 3.01 -1.52 (VIOLATED) |
| ANTENNA__14192__D/DIODE 1.50 3.01 -1.51 (VIOLATED) |
| _14192_/D 1.50 3.01 -1.51 (VIOLATED) |
| _12328_/Y 1.49 2.98 -1.49 (VIOLATED) |
| ANTENNA_hold201_A/DIODE 1.50 2.98 -1.48 (VIOLATED) |
| hold201/A 1.50 2.98 -1.48 (VIOLATED) |
| clkbuf_2_0_0_clock/A 1.50 2.94 -1.44 (VIOLATED) |
| clkbuf_2_1_0_clock/A 1.50 2.93 -1.43 (VIOLATED) |
| ANTENNA__11541__A/DIODE 1.50 2.91 -1.41 (VIOLATED) |
| ANTENNA__13889__C1/DIODE 1.50 2.91 -1.41 (VIOLATED) |
| _14038_/Y 1.49 2.88 -1.39 (VIOLATED) |
| ANTENNA__14039__B1/DIODE 1.50 2.89 -1.39 (VIOLATED) |
| _14039_/B1 1.50 2.88 -1.38 (VIOLATED) |
| ANTENNA__10701__A1/DIODE 1.50 2.84 -1.34 (VIOLATED) |
| ANTENNA__13939__A/DIODE 1.50 2.82 -1.32 (VIOLATED) |
| ANTENNA_hold523_A/DIODE 1.50 2.82 -1.32 (VIOLATED) |
| ANTENNA_hold125_A/DIODE 1.50 2.82 -1.32 (VIOLATED) |
| ANTENNA__13868__A2/DIODE 1.50 2.78 -1.28 (VIOLATED) |
| _13970_/Y 1.49 2.75 -1.26 (VIOLATED) |
| ANTENNA__13971__B1/DIODE 1.50 2.75 -1.25 (VIOLATED) |
| _13971_/B1 1.50 2.75 -1.25 (VIOLATED) |
| ANTENNA_hold1027_A/DIODE 1.50 2.73 -1.23 (VIOLATED) |
| ANTENNA__11233__A/DIODE 1.50 2.73 -1.23 (VIOLATED) |
| ANTENNA__13891__B1/DIODE 1.50 2.72 -1.22 (VIOLATED) |
| ANTENNA_hold567_A/DIODE 1.50 2.71 -1.21 (VIOLATED) |
| ANTENNA__12201__C1/DIODE 1.50 2.69 -1.19 (VIOLATED) |
| hold125/A 1.50 2.67 -1.17 (VIOLATED) |
| ANTENNA__13973__B/DIODE 1.50 2.67 -1.17 (VIOLATED) |
| _13280_/Y 1.50 2.67 -1.16 (VIOLATED) |
| ANTENNA__10777__A1/DIODE 1.50 2.66 -1.16 (VIOLATED) |
| ANTENNA_hold745_A/DIODE 1.50 2.65 -1.15 (VIOLATED) |
| ANTENNA_hold127_A/DIODE 1.50 2.65 -1.15 (VIOLATED) |
| _09588_/Y 1.49 2.63 -1.14 (VIOLATED) |
| ANTENNA__13618__A/DIODE 1.50 2.64 -1.14 (VIOLATED) |
| _10777_/A1 1.50 2.64 -1.14 (VIOLATED) |
| _08594_/Y 1.49 2.63 -1.14 (VIOLATED) |
| _13973_/B 1.50 2.64 -1.14 (VIOLATED) |
| ANTENNA__09589__B/DIODE 1.50 2.64 -1.14 (VIOLATED) |
| _09589_/B 1.50 2.64 -1.14 (VIOLATED) |
| ANTENNA_hold1300_A/DIODE 1.50 2.64 -1.14 (VIOLATED) |
| ANTENNA__13078__B/DIODE 1.50 2.64 -1.14 (VIOLATED) |
| ANTENNA__13888__A/DIODE 1.50 2.63 -1.13 (VIOLATED) |
| ANTENNA__10696__A1/DIODE 1.50 2.63 -1.13 (VIOLATED) |
| ANTENNA__08595__A1/DIODE 1.50 2.63 -1.13 (VIOLATED) |
| _08595_/A1 1.50 2.63 -1.13 (VIOLATED) |
| _10696_/A1 1.50 2.63 -1.13 (VIOLATED) |
| _13888_/A 1.50 2.63 -1.13 (VIOLATED) |
| ANTENNA__13935__A/DIODE 1.50 2.63 -1.13 (VIOLATED) |
| ANTENNA__13972__A/DIODE 1.50 2.63 -1.13 (VIOLATED) |
| ANTENNA__13828__B/DIODE 1.50 2.63 -1.13 (VIOLATED) |
| ANTENNA__11227__A/DIODE 1.50 2.63 -1.13 (VIOLATED) |
| ANTENNA__13897__C/DIODE 1.50 2.63 -1.13 (VIOLATED) |
| _07158_/X 1.50 2.63 -1.13 (VIOLATED) |
| _13897_/C 1.50 2.63 -1.13 (VIOLATED) |
| _11227_/A 1.50 2.63 -1.13 (VIOLATED) |
| _13828_/B 1.50 2.63 -1.13 (VIOLATED) |
| _13935_/A 1.50 2.63 -1.13 (VIOLATED) |
| ANTENNA__07159__A/DIODE 1.50 2.63 -1.13 (VIOLATED) |
| _07159_/A 1.50 2.63 -1.13 (VIOLATED) |
| _13972_/A 1.50 2.63 -1.13 (VIOLATED) |
| _13896_/Y 1.50 2.63 -1.12 (VIOLATED) |
| ANTENNA_hold376_A/DIODE 1.50 2.61 -1.11 (VIOLATED) |
| ANTENNA__10752__A2/DIODE 1.50 2.60 -1.10 (VIOLATED) |
| ANTENNA_hold639_A/DIODE 1.50 2.60 -1.10 (VIOLATED) |
| ANTENNA__12143__B2/DIODE 1.50 2.58 -1.08 (VIOLATED) |
| ANTENNA_hold549_A/DIODE 1.50 2.58 -1.08 (VIOLATED) |
| ANTENNA__12159__B2/DIODE 1.50 2.58 -1.08 (VIOLATED) |
| ANTENNA__12898__B/DIODE 1.50 2.58 -1.08 (VIOLATED) |
| ANTENNA__13980__A2_N/DIODE 1.50 2.58 -1.08 (VIOLATED) |
| ANTENNA__10439__B/DIODE 1.50 2.56 -1.06 (VIOLATED) |
| _10834_/A 1.50 2.56 -1.06 (VIOLATED) |
| _14023_/B 1.50 2.56 -1.06 (VIOLATED) |
| _13078_/B 1.50 2.56 -1.06 (VIOLATED) |
| _12898_/B 1.50 2.56 -1.06 (VIOLATED) |
| ANTENNA_clkbuf_leaf_27_clock_A/DIODE 1.50 2.56 -1.06 (VIOLATED) |
| _10438_/Y 1.49 2.55 -1.06 (VIOLATED) |
| ANTENNA__14068__CLK/DIODE 1.50 2.56 -1.06 (VIOLATED) |
| ANTENNA_clkbuf_leaf_29_clock_A/DIODE 1.50 2.56 -1.06 (VIOLATED) |
| ANTENNA_clkbuf_leaf_18_clock_A/DIODE 1.50 2.56 -1.06 (VIOLATED) |
| ANTENNA_clkbuf_leaf_20_clock_A/DIODE 1.50 2.56 -1.06 (VIOLATED) |
| ANTENNA_clkbuf_leaf_28_clock_A/DIODE 1.50 2.56 -1.06 (VIOLATED) |
| _10439_/B 1.50 2.56 -1.06 (VIOLATED) |
| ANTENNA__14023__B/DIODE 1.50 2.55 -1.05 (VIOLATED) |
| ANTENNA__10834__A/DIODE 1.50 2.55 -1.05 (VIOLATED) |
| ANTENNA_clkbuf_leaf_30_clock_A/DIODE 1.50 2.52 -1.02 (VIOLATED) |
| ANTENNA_clkbuf_leaf_7_clock_A/DIODE 1.50 2.52 -1.02 (VIOLATED) |
| ANTENNA_clkbuf_leaf_9_clock_A/DIODE 1.50 2.52 -1.02 (VIOLATED) |
| ANTENNA_clkbuf_opt_2_0_clock_A/DIODE 1.50 2.52 -1.02 (VIOLATED) |
| ANTENNA_clkbuf_leaf_8_clock_A/DIODE 1.50 2.52 -1.02 (VIOLATED) |
| ANTENNA_clkbuf_leaf_14_clock_A/DIODE 1.50 2.52 -1.02 (VIOLATED) |
| ANTENNA_clkbuf_leaf_13_clock_A/DIODE 1.50 2.52 -1.02 (VIOLATED) |
| clkbuf_leaf_9_clock/A 1.50 2.52 -1.02 (VIOLATED) |
| clkbuf_opt_2_0_clock/A 1.50 2.52 -1.02 (VIOLATED) |
| clkbuf_leaf_8_clock/A 1.50 2.52 -1.02 (VIOLATED) |
| clkbuf_leaf_13_clock/A 1.50 2.52 -1.02 (VIOLATED) |
| clkbuf_leaf_7_clock/A 1.50 2.52 -1.02 (VIOLATED) |
| clkbuf_leaf_30_clock/A 1.50 2.52 -1.02 (VIOLATED) |
| clkbuf_leaf_27_clock/A 1.50 2.52 -1.02 (VIOLATED) |
| clkbuf_leaf_29_clock/A 1.50 2.52 -1.02 (VIOLATED) |
| clkbuf_leaf_18_clock/A 1.50 2.52 -1.02 (VIOLATED) |
| clkbuf_leaf_20_clock/A 1.50 2.52 -1.02 (VIOLATED) |
| _14068_/CLK 1.50 2.52 -1.02 (VIOLATED) |
| clkbuf_leaf_28_clock/A 1.50 2.52 -1.02 (VIOLATED) |
| clkbuf_leaf_14_clock/A 1.50 2.52 -1.02 (VIOLATED) |
| clkbuf_3_4_0_clock/X 1.51 2.52 -1.01 (VIOLATED) |
| ANTENNA__12022__A/DIODE 1.50 2.50 -1.00 (VIOLATED) |
| ANTENNA__12031__A/DIODE 1.50 2.50 -1.00 (VIOLATED) |
| ANTENNA__12011__A/DIODE 1.50 2.50 -1.00 (VIOLATED) |
| ANTENNA__13238__B2/DIODE 1.50 2.49 -0.99 (VIOLATED) |
| ANTENNA__13233__B2/DIODE 1.50 2.49 -0.99 (VIOLATED) |
| ANTENNA__13244__B2/DIODE 1.50 2.49 -0.99 (VIOLATED) |
| ANTENNA__13258__B2/DIODE 1.50 2.49 -0.99 (VIOLATED) |
| ANTENNA__13264__B2/DIODE 1.50 2.49 -0.99 (VIOLATED) |
| ANTENNA__11994__A/DIODE 1.50 2.49 -0.99 (VIOLATED) |
| _10725_/Y 1.49 2.47 -0.98 (VIOLATED) |
| _10726_/B1 1.50 2.47 -0.97 (VIOLATED) |
| _13981_/Y 1.49 2.45 -0.96 (VIOLATED) |
| ANTENNA__13982__B1/DIODE 1.50 2.46 -0.96 (VIOLATED) |
| _13982_/B1 1.50 2.45 -0.95 (VIOLATED) |
| ANTENNA__12167__B2/DIODE 1.50 2.45 -0.95 (VIOLATED) |
| ANTENNA_hold553_A/DIODE 1.50 2.45 -0.95 (VIOLATED) |
| _14021_/Y 1.49 2.43 -0.94 (VIOLATED) |
| ANTENNA__14022__B1/DIODE 1.50 2.43 -0.93 (VIOLATED) |
| _14022_/B1 1.50 2.43 -0.93 (VIOLATED) |
| ANTENNA__14011__A1/DIODE 1.50 2.42 -0.92 (VIOLATED) |
| ANTENNA__12019__B1/DIODE 1.50 2.42 -0.92 (VIOLATED) |
| ANTENNA__14038__A2/DIODE 1.50 2.39 -0.89 (VIOLATED) |
| _09469_/Y 1.49 2.37 -0.88 (VIOLATED) |
| ANTENNA__09521__A2/DIODE 1.50 2.38 -0.88 (VIOLATED) |
| _13963_/B 1.50 2.38 -0.88 (VIOLATED) |
| _09521_/A2 1.50 2.38 -0.88 (VIOLATED) |
| _09329_/Y 1.49 2.37 -0.88 (VIOLATED) |
| _10767_/B 1.50 2.38 -0.88 (VIOLATED) |
| ANTENNA__10767__B/DIODE 1.50 2.38 -0.88 (VIOLATED) |
| ANTENNA__13963__B/DIODE 1.50 2.37 -0.87 (VIOLATED) |
| ANTENNA__09330__B/DIODE 1.50 2.37 -0.87 (VIOLATED) |
| _09330_/B 1.50 2.37 -0.87 (VIOLATED) |
| _13947_/B 1.50 2.37 -0.87 (VIOLATED) |
| _10746_/B 1.50 2.37 -0.87 (VIOLATED) |
| ANTENNA__13947__B/DIODE 1.50 2.37 -0.87 (VIOLATED) |
| ANTENNA__10746__B/DIODE 1.50 2.37 -0.87 (VIOLATED) |
| _08231_/A 1.50 2.35 -0.85 (VIOLATED) |
| ANTENNA__08231__A/DIODE 1.50 2.35 -0.85 (VIOLATED) |
| ANTENNA__13945__A1/DIODE 1.50 2.31 -0.81 (VIOLATED) |
| ANTENNA__13944__A1/DIODE 1.50 2.31 -0.81 (VIOLATED) |
| ANTENNA_hold1062_A/DIODE 1.50 2.31 -0.81 (VIOLATED) |
| ANTENNA__11863__A/DIODE 1.50 2.29 -0.79 (VIOLATED) |
| ANTENNA__11823__A/DIODE 1.50 2.29 -0.79 (VIOLATED) |
| ANTENNA_hold55_A/DIODE 1.50 2.29 -0.79 (VIOLATED) |
| _09452_/Y 1.49 2.28 -0.79 (VIOLATED) |
| ANTENNA__14001__A/DIODE 1.50 2.29 -0.79 (VIOLATED) |
| ANTENNA__13507__A/DIODE 1.50 2.28 -0.78 (VIOLATED) |
| ANTENNA__13728__A/DIODE 1.50 2.28 -0.78 (VIOLATED) |
| ANTENNA__13762__A/DIODE 1.50 2.28 -0.78 (VIOLATED) |
| _09453_/B 1.50 2.28 -0.78 (VIOLATED) |
| _13955_/B 1.50 2.28 -0.78 (VIOLATED) |
| _10756_/B 1.50 2.28 -0.78 (VIOLATED) |
| ANTENNA__10756__B/DIODE 1.50 2.28 -0.78 (VIOLATED) |
| ANTENNA__13955__B/DIODE 1.50 2.28 -0.78 (VIOLATED) |
| ANTENNA__09453__B/DIODE 1.50 2.28 -0.78 (VIOLATED) |
| ANTENNA_hold969_A/DIODE 1.50 2.28 -0.78 (VIOLATED) |
| ANTENNA__12009__B1/DIODE 1.50 2.27 -0.77 (VIOLATED) |
| ANTENNA__09459__B1/DIODE 1.50 2.26 -0.76 (VIOLATED) |
| ANTENNA__11852__A/DIODE 1.50 2.26 -0.76 (VIOLATED) |
| ANTENNA__10703__B1/DIODE 1.50 2.24 -0.74 (VIOLATED) |
| _12892_/Y 1.49 2.23 -0.73 (VIOLATED) |
| _12897_/Y 1.49 2.22 -0.73 (VIOLATED) |
| ANTENNA__13074__B/DIODE 1.50 2.23 -0.73 (VIOLATED) |
| ANTENNA__12893__B/DIODE 1.50 2.23 -0.73 (VIOLATED) |
| _12893_/B 1.50 2.23 -0.73 (VIOLATED) |
| _13074_/B 1.50 2.23 -0.73 (VIOLATED) |
| ANTENNA__10781__A1/DIODE 1.50 2.21 -0.71 (VIOLATED) |
| ANTENNA_clkbuf_leaf_79_clock_A/DIODE 1.50 2.21 -0.71 (VIOLATED) |
| ANTENNA_clkbuf_leaf_60_clock_A/DIODE 1.50 2.21 -0.71 (VIOLATED) |
| ANTENNA_clkbuf_leaf_51_clock_A/DIODE 1.50 2.21 -0.71 (VIOLATED) |
| ANTENNA_clkbuf_leaf_53_clock_A/DIODE 1.50 2.21 -0.71 (VIOLATED) |
| ANTENNA_clkbuf_leaf_44_clock_A/DIODE 1.50 2.21 -0.71 (VIOLATED) |
| ANTENNA_clkbuf_leaf_50_clock_A/DIODE 1.50 2.21 -0.71 (VIOLATED) |
| ANTENNA_clkbuf_leaf_81_clock_A/DIODE 1.50 2.21 -0.71 (VIOLATED) |
| clkbuf_leaf_45_clock/A 1.50 2.21 -0.71 (VIOLATED) |
| ANTENNA_clkbuf_leaf_46_clock_A/DIODE 1.50 2.21 -0.71 (VIOLATED) |
| ANTENNA_clkbuf_leaf_47_clock_A/DIODE 1.50 2.21 -0.71 (VIOLATED) |
| ANTENNA_clkbuf_leaf_45_clock_A/DIODE 1.50 2.21 -0.71 (VIOLATED) |
| ANTENNA_clkbuf_leaf_49_clock_A/DIODE 1.50 2.21 -0.71 (VIOLATED) |
| ANTENNA_clkbuf_leaf_59_clock_A/DIODE 1.50 2.21 -0.71 (VIOLATED) |
| ANTENNA_clkbuf_leaf_58_clock_A/DIODE 1.50 2.21 -0.71 (VIOLATED) |
| clkbuf_leaf_44_clock/A 1.50 2.21 -0.71 (VIOLATED) |
| ANTENNA_clkbuf_leaf_57_clock_A/DIODE 1.50 2.21 -0.71 (VIOLATED) |
| clkbuf_leaf_47_clock/A 1.50 2.21 -0.71 (VIOLATED) |
| clkbuf_leaf_46_clock/A 1.50 2.21 -0.71 (VIOLATED) |
| ANTENNA_clkbuf_leaf_56_clock_A/DIODE 1.50 2.21 -0.71 (VIOLATED) |
| ANTENNA__13976__B1/DIODE 1.50 2.21 -0.71 (VIOLATED) |
| ANTENNA_clkbuf_leaf_55_clock_A/DIODE 1.50 2.21 -0.71 (VIOLATED) |
| ANTENNA_clkbuf_leaf_54_clock_A/DIODE 1.50 2.21 -0.71 (VIOLATED) |
| ANTENNA_clkbuf_leaf_48_clock_A/DIODE 1.50 2.20 -0.70 (VIOLATED) |
| clkbuf_leaf_48_clock/A 1.50 2.20 -0.70 (VIOLATED) |
| clkbuf_leaf_55_clock/A 1.50 2.20 -0.70 (VIOLATED) |
| clkbuf_leaf_58_clock/A 1.50 2.20 -0.70 (VIOLATED) |
| clkbuf_leaf_81_clock/A 1.50 2.20 -0.70 (VIOLATED) |
| clkbuf_leaf_57_clock/A 1.50 2.20 -0.70 (VIOLATED) |
| clkbuf_leaf_56_clock/A 1.50 2.20 -0.70 (VIOLATED) |
| clkbuf_leaf_49_clock/A 1.50 2.20 -0.70 (VIOLATED) |
| clkbuf_leaf_54_clock/A 1.50 2.20 -0.70 (VIOLATED) |
| clkbuf_leaf_59_clock/A 1.50 2.20 -0.70 (VIOLATED) |
| clkbuf_leaf_51_clock/A 1.50 2.20 -0.70 (VIOLATED) |
| clkbuf_leaf_50_clock/A 1.50 2.20 -0.70 (VIOLATED) |
| clkbuf_leaf_53_clock/A 1.50 2.20 -0.70 (VIOLATED) |
| ANTENNA__12162__B2/DIODE 1.50 2.20 -0.70 (VIOLATED) |
| clkbuf_leaf_60_clock/A 1.50 2.20 -0.70 (VIOLATED) |
| clkbuf_leaf_79_clock/A 1.50 2.20 -0.70 (VIOLATED) |
| clkbuf_3_2_0_clock/X 1.51 2.20 -0.69 (VIOLATED) |
| _14044_/Y 1.49 2.17 -0.67 (VIOLATED) |
| ANTENNA__14527__D/DIODE 1.50 2.17 -0.67 (VIOLATED) |
| _14527_/D 1.50 2.17 -0.67 (VIOLATED) |
| ANTENNA__13440__A/DIODE 1.50 2.17 -0.67 (VIOLATED) |
| ANTENNA__13979__B1/DIODE 1.50 2.17 -0.67 (VIOLATED) |
| _11899_/Y 1.49 2.16 -0.66 (VIOLATED) |
| ANTENNA__10809__B1/DIODE 1.50 2.16 -0.66 (VIOLATED) |
| ANTENNA__11910__A3/DIODE 1.50 2.16 -0.66 (VIOLATED) |
| ANTENNA__11900__A3/DIODE 1.50 2.16 -0.66 (VIOLATED) |
| _11900_/A3 1.50 2.16 -0.66 (VIOLATED) |
| _11910_/A3 1.50 2.16 -0.66 (VIOLATED) |
| ANTENNA__09595__B1/DIODE 1.50 2.16 -0.66 (VIOLATED) |
| _13368_/Y 1.49 2.13 -0.64 (VIOLATED) |
| _13201_/Y 1.49 2.13 -0.64 (VIOLATED) |
| ANTENNA_hold59_A/DIODE 1.50 2.14 -0.64 (VIOLATED) |
| ANTENNA_hold618_A/DIODE 1.50 2.13 -0.63 (VIOLATED) |
| hold618/A 1.50 2.13 -0.63 (VIOLATED) |
| ANTENNA_hold659_A/DIODE 1.50 2.13 -0.63 (VIOLATED) |
| hold659/A 1.50 2.13 -0.63 (VIOLATED) |
| ANTENNA__13895__B1/DIODE 1.50 2.13 -0.63 (VIOLATED) |
| ANTENNA__14430__D/DIODE 1.50 2.11 -0.61 (VIOLATED) |
| _13254_/Y 1.49 2.10 -0.61 (VIOLATED) |
| ANTENNA_clkbuf_leaf_69_clock_A/DIODE 1.50 2.10 -0.60 (VIOLATED) |
| ANTENNA_clkbuf_leaf_68_clock_A/DIODE 1.50 2.10 -0.60 (VIOLATED) |
| ANTENNA_clkbuf_leaf_67_clock_A/DIODE 1.50 2.10 -0.60 (VIOLATED) |
| ANTENNA_clkbuf_leaf_62_clock_A/DIODE 1.50 2.10 -0.60 (VIOLATED) |
| ANTENNA_clkbuf_leaf_78_clock_A/DIODE 1.50 2.10 -0.60 (VIOLATED) |
| ANTENNA_clkbuf_leaf_61_clock_A/DIODE 1.50 2.10 -0.60 (VIOLATED) |
| ANTENNA_hold562_A/DIODE 1.50 2.10 -0.60 (VIOLATED) |
| hold562/A 1.50 2.10 -0.60 (VIOLATED) |
| ANTENNA_hold990_A/DIODE 1.50 2.10 -0.60 (VIOLATED) |
| ANTENNA_hold883_A/DIODE 1.50 2.10 -0.60 (VIOLATED) |
| ANTENNA_clkbuf_leaf_66_clock_A/DIODE 1.50 2.10 -0.60 (VIOLATED) |
| ANTENNA_clkbuf_leaf_65_clock_A/DIODE 1.50 2.10 -0.60 (VIOLATED) |
| ANTENNA__14410__CLK/DIODE 1.50 2.10 -0.60 (VIOLATED) |
| ANTENNA_clkbuf_leaf_64_clock_A/DIODE 1.50 2.10 -0.60 (VIOLATED) |
| ANTENNA_clkbuf_leaf_52_clock_A/DIODE 1.50 2.10 -0.60 (VIOLATED) |
| ANTENNA_clkbuf_leaf_63_clock_A/DIODE 1.50 2.10 -0.60 (VIOLATED) |
| ANTENNA__14008__B1/DIODE 1.50 2.09 -0.59 (VIOLATED) |
| _14410_/CLK 1.50 2.09 -0.59 (VIOLATED) |
| clkbuf_leaf_52_clock/A 1.50 2.09 -0.59 (VIOLATED) |
| clkbuf_leaf_63_clock/A 1.50 2.09 -0.59 (VIOLATED) |
| clkbuf_leaf_61_clock/A 1.50 2.09 -0.59 (VIOLATED) |
| clkbuf_leaf_78_clock/A 1.50 2.09 -0.59 (VIOLATED) |
| clkbuf_leaf_62_clock/A 1.50 2.09 -0.59 (VIOLATED) |
| clkbuf_leaf_64_clock/A 1.50 2.09 -0.59 (VIOLATED) |
| clkbuf_leaf_69_clock/A 1.50 2.09 -0.59 (VIOLATED) |
| clkbuf_leaf_66_clock/A 1.50 2.09 -0.59 (VIOLATED) |
| clkbuf_leaf_67_clock/A 1.50 2.09 -0.59 (VIOLATED) |
| clkbuf_leaf_68_clock/A 1.50 2.09 -0.59 (VIOLATED) |
| clkbuf_leaf_65_clock/A 1.50 2.09 -0.59 (VIOLATED) |
| ANTENNA__13899__C1/DIODE 1.50 2.08 -0.58 (VIOLATED) |
| _13899_/C1 1.50 2.08 -0.58 (VIOLATED) |
| clkbuf_3_3_0_clock/X 1.51 2.09 -0.58 (VIOLATED) |
| ANTENNA_hold251_A/DIODE 1.50 2.08 -0.58 (VIOLATED) |
| ANTENNA__12142__B2/DIODE 1.50 2.08 -0.58 (VIOLATED) |
| ANTENNA__12158__B2/DIODE 1.50 2.08 -0.58 (VIOLATED) |
| _13898_/Y 1.50 2.08 -0.58 (VIOLATED) |
| ANTENNA_hold881_A/DIODE 1.50 2.08 -0.58 (VIOLATED) |
| _07043_/Y 1.50 2.07 -0.58 (VIOLATED) |
| _13887_/Y 1.49 2.07 -0.58 (VIOLATED) |
| ANTENNA__07109__A/DIODE 1.50 2.07 -0.57 (VIOLATED) |
| _07109_/A 1.50 2.07 -0.57 (VIOLATED) |
| _12056_/Y 1.50 2.07 -0.57 (VIOLATED) |
| ANTENNA__07719__A/DIODE 1.50 2.07 -0.57 (VIOLATED) |
| _07719_/A 1.50 2.07 -0.57 (VIOLATED) |
| hold251/A 1.50 2.07 -0.57 (VIOLATED) |
| ANTENNA__12069__B2/DIODE 1.50 2.07 -0.57 (VIOLATED) |
| ANTENNA__12057__A/DIODE 1.50 2.07 -0.57 (VIOLATED) |
| _12069_/B2 1.50 2.07 -0.57 (VIOLATED) |
| _12057_/A 1.50 2.07 -0.57 (VIOLATED) |
| _12142_/B2 1.50 2.07 -0.57 (VIOLATED) |
| _12158_/B2 1.50 2.07 -0.57 (VIOLATED) |
| ANTENNA__13889__A2/DIODE 1.50 2.07 -0.57 (VIOLATED) |
| _13889_/A2 1.50 2.07 -0.57 (VIOLATED) |
| ANTENNA__12142__B1/DIODE 1.50 2.07 -0.57 (VIOLATED) |
| _13412_/Y 1.49 2.04 -0.55 (VIOLATED) |
| ANTENNA__13413__B1/DIODE 1.50 2.04 -0.54 (VIOLATED) |
| _13413_/B1 1.50 2.04 -0.54 (VIOLATED) |
| ANTENNA_hold1235_A/DIODE 1.50 2.03 -0.53 (VIOLATED) |
| ANTENNA__13868__A1/DIODE 1.50 2.01 -0.51 (VIOLATED) |
| ANTENNA_hold654_A/DIODE 1.50 2.00 -0.50 (VIOLATED) |
| ANTENNA__13950__B1/DIODE 1.50 1.98 -0.48 (VIOLATED) |
| _12363_/Y 1.49 1.97 -0.48 (VIOLATED) |
| ANTENNA__10749__B1/DIODE 1.50 1.97 -0.47 (VIOLATED) |
| ANTENNA__14196__D/DIODE 1.50 1.97 -0.47 (VIOLATED) |
| _14196_/D 1.50 1.97 -0.47 (VIOLATED) |
| _11890_/Y 1.49 1.95 -0.45 (VIOLATED) |
| ANTENNA__11891__A3/DIODE 1.50 1.95 -0.45 (VIOLATED) |
| _11891_/A3 1.50 1.95 -0.45 (VIOLATED) |
| _13209_/B2 1.50 1.94 -0.44 (VIOLATED) |
| _13225_/B2 1.50 1.94 -0.44 (VIOLATED) |
| _09975_/X 1.50 1.93 -0.43 (VIOLATED) |
| ANTENNA__10784__B1/DIODE 1.50 1.93 -0.43 (VIOLATED) |
| ANTENNA__14021__A2/DIODE 1.50 1.93 -0.43 (VIOLATED) |
| _12142_/B1 1.50 1.93 -0.43 (VIOLATED) |
| _10784_/B1 1.50 1.93 -0.43 (VIOLATED) |
| _09976_/B2 1.50 1.93 -0.43 (VIOLATED) |
| ANTENNA__09976__B2/DIODE 1.50 1.93 -0.43 (VIOLATED) |
| _13979_/B1 1.50 1.93 -0.43 (VIOLATED) |
| _10749_/B1 1.50 1.92 -0.42 (VIOLATED) |
| _13249_/B2 1.50 1.92 -0.42 (VIOLATED) |
| ANTENNA_hold599_A/DIODE 1.50 1.92 -0.42 (VIOLATED) |
| ANTENNA__14020__A1/DIODE 1.50 1.91 -0.41 (VIOLATED) |
| ANTENNA__14021__A1/DIODE 1.50 1.91 -0.41 (VIOLATED) |
| ANTENNA_hold684_A/DIODE 1.50 1.91 -0.41 (VIOLATED) |
| _10225_/Y 1.49 1.90 -0.40 (VIOLATED) |
| _13268_/B2 1.50 1.90 -0.40 (VIOLATED) |
| ANTENNA__10420__C/DIODE 1.50 1.90 -0.40 (VIOLATED) |
| ANTENNA__10553__A2/DIODE 1.50 1.90 -0.40 (VIOLATED) |
| ANTENNA__10260__C/DIODE 1.50 1.90 -0.40 (VIOLATED) |
| _10260_/C 1.50 1.90 -0.40 (VIOLATED) |
| _10420_/C 1.50 1.90 -0.40 (VIOLATED) |
| _10553_/A2 1.50 1.90 -0.40 (VIOLATED) |
| ANTENNA_hold899_A/DIODE 1.50 1.89 -0.39 (VIOLATED) |
| ANTENNA__14108__D/DIODE 1.50 1.88 -0.38 (VIOLATED) |
| ANTENNA__13911__A/DIODE 1.50 1.88 -0.38 (VIOLATED) |
| ANTENNA__13660__A1/DIODE 1.50 1.87 -0.37 (VIOLATED) |
| _13888_/Y 1.49 1.84 -0.35 (VIOLATED) |
| ANTENNA__11368__A/DIODE 1.50 1.85 -0.35 (VIOLATED) |
| ANTENNA_hold1057_A/DIODE 1.50 1.85 -0.35 (VIOLATED) |
| ANTENNA__13889__B1/DIODE 1.50 1.84 -0.34 (VIOLATED) |
| _13889_/B1 1.50 1.84 -0.34 (VIOLATED) |
| _13220_/Y 1.49 1.83 -0.33 (VIOLATED) |
| ANTENNA__11744__A1/DIODE 1.50 1.83 -0.33 (VIOLATED) |
| ANTENNA__13929__A/DIODE 1.50 1.83 -0.33 (VIOLATED) |
| hold1057/A 1.50 1.83 -0.33 (VIOLATED) |
| ANTENNA__12226__A1/DIODE 1.50 1.82 -0.32 (VIOLATED) |
| ANTENNA__14028__B1/DIODE 1.50 1.82 -0.32 (VIOLATED) |
| _09389_/B1 1.50 1.82 -0.32 (VIOLATED) |
| ANTENNA__13867__B1/DIODE 1.50 1.82 -0.32 (VIOLATED) |
| ANTENNA__14525__D/DIODE 1.50 1.82 -0.32 (VIOLATED) |
| ANTENNA__13998__B2/DIODE 1.50 1.82 -0.32 (VIOLATED) |
| ANTENNA_hold977_A/DIODE 1.50 1.82 -0.32 (VIOLATED) |
| ANTENNA__12143__B1/DIODE 1.50 1.81 -0.31 (VIOLATED) |
| ANTENNA__11846__B2/DIODE 1.50 1.81 -0.31 (VIOLATED) |
| ANTENNA__13689__B/DIODE 1.50 1.80 -0.30 (VIOLATED) |
| ANTENNA__13646__B/DIODE 1.50 1.80 -0.30 (VIOLATED) |
| ANTENNA__13632__B/DIODE 1.50 1.80 -0.30 (VIOLATED) |
| ANTENNA__13677__B/DIODE 1.50 1.80 -0.30 (VIOLATED) |
| ANTENNA__13658__B/DIODE 1.50 1.80 -0.30 (VIOLATED) |
| _09595_/B1 1.50 1.80 -0.30 (VIOLATED) |
| _13632_/B 1.50 1.80 -0.30 (VIOLATED) |
| _13646_/B 1.50 1.80 -0.30 (VIOLATED) |
| _13658_/B 1.50 1.80 -0.30 (VIOLATED) |
| _13677_/B 1.50 1.80 -0.30 (VIOLATED) |
| _13689_/B 1.50 1.80 -0.30 (VIOLATED) |
| ANTENNA__11973__S/DIODE 1.50 1.80 -0.30 (VIOLATED) |
| _13394_/Y 1.49 1.78 -0.29 (VIOLATED) |
| ANTENNA__13896__B1/DIODE 1.50 1.79 -0.29 (VIOLATED) |
| ANTENNA__13978__B1/DIODE 1.50 1.79 -0.29 (VIOLATED) |
| ANTENNA__13828__A/DIODE 1.50 1.79 -0.29 (VIOLATED) |
| _13631_/X 1.51 1.80 -0.29 (VIOLATED) |
| _12142_/X 1.50 1.78 -0.28 (VIOLATED) |
| ANTENNA_hold746_A/DIODE 1.50 1.78 -0.28 (VIOLATED) |
| hold746/A 1.50 1.78 -0.28 (VIOLATED) |
| ANTENNA__13970__A2/DIODE 1.50 1.78 -0.28 (VIOLATED) |
| ANTENNA__13961__A2/DIODE 1.50 1.78 -0.28 (VIOLATED) |
| ANTENNA__13953__A2/DIODE 1.50 1.78 -0.28 (VIOLATED) |
| _12143_/B1 1.50 1.78 -0.28 (VIOLATED) |
| ANTENNA__11445__A/DIODE 1.50 1.78 -0.28 (VIOLATED) |
| ANTENNA_hold768_A/DIODE 1.50 1.78 -0.28 (VIOLATED) |
| _10502_/X 1.50 1.77 -0.27 (VIOLATED) |
| ANTENNA_hold766_A/DIODE 1.50 1.77 -0.27 (VIOLATED) |
| _10809_/B1 1.50 1.76 -0.26 (VIOLATED) |
| ANTENNA__11250__A/DIODE 1.50 1.76 -0.26 (VIOLATED) |
| hold768/A 1.50 1.76 -0.26 (VIOLATED) |
| ANTENNA__10647__B1/DIODE 1.50 1.76 -0.26 (VIOLATED) |
| ANTENNA__10602__B1/DIODE 1.50 1.76 -0.26 (VIOLATED) |
| ANTENNA__10621__B1/DIODE 1.50 1.76 -0.26 (VIOLATED) |
| ANTENNA__10658__B1/DIODE 1.50 1.76 -0.26 (VIOLATED) |
| ANTENNA_hold563_A/DIODE 1.50 1.75 -0.25 (VIOLATED) |
| _12162_/X 1.50 1.76 -0.25 (VIOLATED) |
| ANTENNA__10733__A2/DIODE 1.50 1.75 -0.25 (VIOLATED) |
| hold563/A 1.50 1.75 -0.25 (VIOLATED) |
| ANTENNA__10876__A/DIODE 1.50 1.75 -0.25 (VIOLATED) |
| ANTENNA__10734__A/DIODE 1.50 1.75 -0.25 (VIOLATED) |
| ANTENNA_hold192_A/DIODE 1.50 1.75 -0.25 (VIOLATED) |
| _13253_/Y 1.50 1.75 -0.24 (VIOLATED) |
| ANTENNA__13621__A/DIODE 1.50 1.74 -0.24 (VIOLATED) |
| ANTENNA__12895__A1/DIODE 1.50 1.74 -0.24 (VIOLATED) |
| _12338_/A 1.50 1.74 -0.24 (VIOLATED) |
| _13621_/A 1.50 1.74 -0.24 (VIOLATED) |
| ANTENNA__12338__A/DIODE 1.50 1.74 -0.24 (VIOLATED) |
| _12895_/A1 1.50 1.74 -0.24 (VIOLATED) |
| ANTENNA_hold1227_A/DIODE 1.50 1.73 -0.23 (VIOLATED) |
| _11752_/Y 1.49 1.73 -0.23 (VIOLATED) |
| hold136/X 1.51 1.74 -0.23 (VIOLATED) |
| ANTENNA__11830__A1/DIODE 1.50 1.73 -0.23 (VIOLATED) |
| ANTENNA__11804__A3/DIODE 1.50 1.73 -0.23 (VIOLATED) |
| _11804_/A3 1.50 1.73 -0.23 (VIOLATED) |
| _11845_/Y 1.49 1.72 -0.23 (VIOLATED) |
| ANTENNA__13704__A/DIODE 1.50 1.73 -0.23 (VIOLATED) |
| ANTENNA__13765__A/DIODE 1.50 1.73 -0.23 (VIOLATED) |
| _08289_/A2 1.50 1.73 -0.23 (VIOLATED) |
| ANTENNA__13878__A1/DIODE 1.50 1.72 -0.22 (VIOLATED) |
| _13877_/Y 1.49 1.71 -0.22 (VIOLATED) |
| ANTENNA__11846__A3/DIODE 1.50 1.72 -0.22 (VIOLATED) |
| _11846_/A3 1.50 1.72 -0.22 (VIOLATED) |
| ANTENNA__08289__A2/DIODE 1.50 1.72 -0.22 (VIOLATED) |
| ANTENNA_hold769_A/DIODE 1.50 1.71 -0.21 (VIOLATED) |
| _13878_/A1 1.50 1.71 -0.21 (VIOLATED) |
| _13953_/A2 1.50 1.71 -0.21 (VIOLATED) |
| _12394_/Y 1.49 1.70 -0.21 (VIOLATED) |
| ANTENNA__10802__A2/DIODE 1.50 1.70 -0.20 (VIOLATED) |
| ANTENNA__10801__A2/DIODE 1.50 1.70 -0.20 (VIOLATED) |
| ANTENNA_hold145_A/DIODE 1.50 1.70 -0.20 (VIOLATED) |
| hold145/A 1.50 1.70 -0.20 (VIOLATED) |
| ANTENNA_hold81_A/DIODE 1.50 1.70 -0.20 (VIOLATED) |
| _14001_/Y 1.49 1.69 -0.20 (VIOLATED) |
| _10801_/A2 1.50 1.69 -0.19 (VIOLATED) |
| _14031_/Y 1.49 1.69 -0.19 (VIOLATED) |
| ANTENNA__14002__B1/DIODE 1.50 1.69 -0.19 (VIOLATED) |
| _14002_/B1 1.50 1.69 -0.19 (VIOLATED) |
| ANTENNA__12134__B2/DIODE 1.50 1.69 -0.19 (VIOLATED) |
| ANTENNA__12149__B2/DIODE 1.50 1.69 -0.19 (VIOLATED) |
| _08990_/X 1.50 1.69 -0.19 (VIOLATED) |
| _08991_/A 1.50 1.69 -0.19 (VIOLATED) |
| ANTENNA__08991__A/DIODE 1.50 1.69 -0.19 (VIOLATED) |
| _13895_/B1 1.50 1.69 -0.19 (VIOLATED) |
| _10703_/B1 1.50 1.69 -0.19 (VIOLATED) |
| ANTENNA__14032__B1/DIODE 1.50 1.69 -0.19 (VIOLATED) |
| _14032_/B1 1.50 1.69 -0.19 (VIOLATED) |
| _14485_/D 1.50 1.69 -0.19 (VIOLATED) |
| ANTENNA_hold960_A/DIODE 1.50 1.69 -0.19 (VIOLATED) |
| ANTENNA__10765__A1/DIODE 1.50 1.69 -0.19 (VIOLATED) |
| ANTENNA__13810__A/DIODE 1.50 1.68 -0.18 (VIOLATED) |
| ANTENNA__13927__A/DIODE 1.50 1.68 -0.18 (VIOLATED) |
| _10759_/B1 1.50 1.67 -0.17 (VIOLATED) |
| _13937_/A2 1.50 1.67 -0.17 (VIOLATED) |
| _10736_/A2 1.50 1.67 -0.17 (VIOLATED) |
| _09310_/A0 1.50 1.67 -0.17 (VIOLATED) |
| ANTENNA__09310__A0/DIODE 1.50 1.67 -0.17 (VIOLATED) |
| _09459_/B1 1.50 1.67 -0.17 (VIOLATED) |
| ANTENNA__13937__A2/DIODE 1.50 1.67 -0.17 (VIOLATED) |
| ANTENNA__10736__A2/DIODE 1.50 1.67 -0.17 (VIOLATED) |
| _13958_/B1 1.50 1.66 -0.16 (VIOLATED) |
| _09235_/Y 1.50 1.66 -0.16 (VIOLATED) |
| ANTENNA__13445__A/DIODE 1.50 1.65 -0.15 (VIOLATED) |
| ANTENNA__13634__A2/DIODE 1.50 1.64 -0.14 (VIOLATED) |
| ANTENNA__13987__A/DIODE 1.50 1.64 -0.14 (VIOLATED) |
| _12352_/Y 1.49 1.63 -0.14 (VIOLATED) |
| _13634_/A2 1.50 1.63 -0.13 (VIOLATED) |
| ANTENNA__12111__B2/DIODE 1.50 1.63 -0.13 (VIOLATED) |
| ANTENNA__12118__B2/DIODE 1.50 1.63 -0.13 (VIOLATED) |
| _11830_/A1 1.50 1.63 -0.13 (VIOLATED) |
| _13630_/X 1.50 1.63 -0.13 (VIOLATED) |
| ANTENNA_hold265_A/DIODE 1.50 1.63 -0.13 (VIOLATED) |
| hold265/A 1.50 1.63 -0.13 (VIOLATED) |
| _10735_/Y 1.49 1.62 -0.13 (VIOLATED) |
| _08394_/Y 1.49 1.61 -0.12 (VIOLATED) |
| _12123_/B2 1.50 1.62 -0.12 (VIOLATED) |
| ANTENNA__12177__A/DIODE 1.50 1.62 -0.12 (VIOLATED) |
| ANTENNA__10736__B1/DIODE 1.50 1.62 -0.12 (VIOLATED) |
| _10736_/B1 1.50 1.62 -0.12 (VIOLATED) |
| ANTENNA__13869__A/DIODE 1.50 1.61 -0.11 (VIOLATED) |
| ANTENNA__10672__A2/DIODE 1.50 1.61 -0.11 (VIOLATED) |
| _13869_/A 1.50 1.61 -0.11 (VIOLATED) |
| _10672_/A2 1.50 1.61 -0.11 (VIOLATED) |
| ANTENNA__08395__A1/DIODE 1.50 1.61 -0.11 (VIOLATED) |
| _08395_/A1 1.50 1.61 -0.11 (VIOLATED) |
| ANTENNA__14031__B/DIODE 1.50 1.61 -0.11 (VIOLATED) |
| _13950_/B1 1.50 1.61 -0.11 (VIOLATED) |
| ANTENNA__14007__C1/DIODE 1.50 1.61 -0.11 (VIOLATED) |
| ANTENNA__13991__C1/DIODE 1.50 1.61 -0.11 (VIOLATED) |
| ANTENNA__09389__B1/DIODE 1.50 1.60 -0.10 (VIOLATED) |
| ANTENNA__13207__B2/DIODE 1.50 1.60 -0.10 (VIOLATED) |
| _13961_/A2 1.50 1.60 -0.10 (VIOLATED) |
| ANTENNA__12125__B2/DIODE 1.50 1.60 -0.10 (VIOLATED) |
| _14021_/A2 1.50 1.60 -0.10 (VIOLATED) |
| _13970_/A2 1.50 1.60 -0.10 (VIOLATED) |
| ANTENNA_hold1215_A/DIODE 1.50 1.60 -0.10 (VIOLATED) |
| ANTENNA__13841__B2/DIODE 1.50 1.60 -0.10 (VIOLATED) |
| ANTENNA__13820__A2/DIODE 1.50 1.60 -0.10 (VIOLATED) |
| ANTENNA__13861__A2/DIODE 1.50 1.60 -0.10 (VIOLATED) |
| ANTENNA__13853__A2/DIODE 1.50 1.60 -0.10 (VIOLATED) |
| _12117_/B2 1.50 1.59 -0.09 (VIOLATED) |
| _13660_/A1 1.50 1.59 -0.09 (VIOLATED) |
| _10802_/A2 1.50 1.59 -0.09 (VIOLATED) |
| ANTENNA__13937__C1/DIODE 1.50 1.59 -0.09 (VIOLATED) |
| ANTENNA__11680__A/DIODE 1.50 1.59 -0.09 (VIOLATED) |
| ANTENNA__13870__A/DIODE 1.50 1.59 -0.09 (VIOLATED) |
| ANTENNA__13321__B1/DIODE 1.50 1.59 -0.09 (VIOLATED) |
| _10112_/Y 1.49 1.58 -0.09 (VIOLATED) |
| _13320_/Y 1.49 1.58 -0.09 (VIOLATED) |
| _13248_/A1 1.50 1.59 -0.09 (VIOLATED) |
| _13247_/A1 1.50 1.58 -0.08 (VIOLATED) |
| ANTENNA__10420__A/DIODE 1.50 1.58 -0.08 (VIOLATED) |
| ANTENNA__14115__D/DIODE 1.50 1.58 -0.08 (VIOLATED) |
| ANTENNA__10159__A/DIODE 1.50 1.58 -0.08 (VIOLATED) |
| _10159_/A 1.50 1.58 -0.08 (VIOLATED) |
| _10420_/A 1.50 1.58 -0.08 (VIOLATED) |
| ANTENNA_hold924_A/DIODE 1.50 1.58 -0.08 (VIOLATED) |
| _13878_/A0 1.50 1.58 -0.08 (VIOLATED) |
| _10685_/A2 1.50 1.58 -0.08 (VIOLATED) |
| ANTENNA__13571__A/DIODE 1.50 1.58 -0.08 (VIOLATED) |
| ANTENNA__13526__A2/DIODE 1.50 1.58 -0.08 (VIOLATED) |
| ANTENNA__13512__A2/DIODE 1.50 1.58 -0.08 (VIOLATED) |
| ANTENNA__13532__A/DIODE 1.50 1.58 -0.08 (VIOLATED) |
| _13321_/B1 1.50 1.58 -0.08 (VIOLATED) |
| _09212_/Y 1.49 1.57 -0.08 (VIOLATED) |
| ANTENNA__13942__A3/DIODE 1.50 1.58 -0.08 (VIOLATED) |
| _08501_/A1 1.50 1.57 -0.07 (VIOLATED) |
| hold333/X 1.50 1.57 -0.07 (VIOLATED) |
| ANTENNA__13650__A1/DIODE 1.50 1.57 -0.07 (VIOLATED) |
| ANTENNA_hold1170_A/DIODE 1.50 1.57 -0.07 (VIOLATED) |
| ANTENNA__12903__A1/DIODE 1.50 1.57 -0.07 (VIOLATED) |
| ANTENNA__08501__A1/DIODE 1.50 1.57 -0.07 (VIOLATED) |
| _12903_/A1 1.50 1.57 -0.07 (VIOLATED) |
| _13650_/A1 1.50 1.57 -0.07 (VIOLATED) |
| hold1170/A 1.50 1.57 -0.07 (VIOLATED) |
| ANTENNA__13922__B/DIODE 1.50 1.57 -0.07 (VIOLATED) |
| ANTENNA__10727__B/DIODE 1.50 1.57 -0.07 (VIOLATED) |
| _13861_/A2 1.50 1.57 -0.07 (VIOLATED) |
| _13820_/A2 1.50 1.57 -0.07 (VIOLATED) |
| _13841_/B2 1.50 1.57 -0.07 (VIOLATED) |
| _13853_/A2 1.50 1.57 -0.07 (VIOLATED) |
| ANTENNA__13644__A/DIODE 1.50 1.57 -0.07 (VIOLATED) |
| _09213_/B 1.50 1.57 -0.07 (VIOLATED) |
| _13922_/B 1.50 1.57 -0.07 (VIOLATED) |
| ANTENNA__09213__B/DIODE 1.50 1.57 -0.07 (VIOLATED) |
| _10727_/B 1.50 1.57 -0.07 (VIOLATED) |
| ANTENNA__13253__A1/DIODE 1.50 1.57 -0.07 (VIOLATED) |
| ANTENNA__13322__B2/DIODE 1.50 1.57 -0.07 (VIOLATED) |
| ANTENNA__13878__A0/DIODE 1.50 1.57 -0.07 (VIOLATED) |
| ANTENNA__10714__A2/DIODE 1.50 1.57 -0.07 (VIOLATED) |
| ANTENNA__13701__A1/DIODE 1.50 1.57 -0.07 (VIOLATED) |
| _12118_/B2 1.50 1.57 -0.07 (VIOLATED) |
| _12111_/B2 1.50 1.57 -0.07 (VIOLATED) |
| _12125_/B2 1.50 1.57 -0.07 (VIOLATED) |
| _12134_/B2 1.50 1.57 -0.07 (VIOLATED) |
| _12149_/B2 1.50 1.57 -0.07 (VIOLATED) |
| hold924/A 1.50 1.56 -0.06 (VIOLATED) |
| ANTENNA__14038__B1/DIODE 1.50 1.56 -0.06 (VIOLATED) |
| ANTENNA__14006__B1/DIODE 1.50 1.56 -0.06 (VIOLATED) |
| ANTENNA__10685__A2/DIODE 1.50 1.56 -0.06 (VIOLATED) |
| ANTENNA__14014__B1/DIODE 1.50 1.56 -0.06 (VIOLATED) |
| ANTENNA__13990__B1/DIODE 1.50 1.56 -0.06 (VIOLATED) |
| hold990/A 1.50 1.56 -0.06 (VIOLATED) |
| _08500_/Y 1.50 1.56 -0.06 (VIOLATED) |
| ANTENNA__12159__B1/DIODE 1.50 1.56 -0.06 (VIOLATED) |
| _08230_/Y 1.49 1.55 -0.06 (VIOLATED) |
| _10203_/B 1.50 1.56 -0.06 (VIOLATED) |
| _12170_/X 1.50 1.56 -0.06 (VIOLATED) |
| _12158_/X 1.50 1.56 -0.06 (VIOLATED) |
| _12159_/B1 1.50 1.56 -0.06 (VIOLATED) |
| _13870_/A 1.50 1.56 -0.06 (VIOLATED) |
| _12110_/X 1.51 1.57 -0.06 (VIOLATED) |
| _12279_/X 1.51 1.56 -0.06 (VIOLATED) |
| ANTENNA__13858__A/DIODE 1.50 1.55 -0.05 (VIOLATED) |
| ANTENNA__10652__B/DIODE 1.50 1.55 -0.05 (VIOLATED) |
| ANTENNA__13952__B1/DIODE 1.50 1.55 -0.05 (VIOLATED) |
| ANTENNA_hold1148_A/DIODE 1.50 1.55 -0.05 (VIOLATED) |
| _13512_/A2 1.50 1.55 -0.05 (VIOLATED) |
| _13526_/A2 1.50 1.55 -0.05 (VIOLATED) |
| _10652_/B 1.50 1.55 -0.05 (VIOLATED) |
| _13858_/A 1.50 1.55 -0.05 (VIOLATED) |
| ANTENNA__08231__B/DIODE 1.50 1.55 -0.05 (VIOLATED) |
| _08231_/B 1.50 1.55 -0.05 (VIOLATED) |
| _13532_/A 1.50 1.55 -0.05 (VIOLATED) |
| ANTENNA__13998__B1/DIODE 1.50 1.55 -0.05 (VIOLATED) |
| ANTENNA__13651__A1/DIODE 1.50 1.55 -0.05 (VIOLATED) |
| ANTENNA__10752__B1/DIODE 1.50 1.55 -0.05 (VIOLATED) |
| ANTENNA__12118__B1/DIODE 1.50 1.55 -0.05 (VIOLATED) |
| ANTENNA__09448__B2/DIODE 1.50 1.55 -0.05 (VIOLATED) |
| _09448_/B2 1.50 1.55 -0.05 (VIOLATED) |
| _12118_/B1 1.50 1.55 -0.05 (VIOLATED) |
| _13952_/B1 1.50 1.55 -0.05 (VIOLATED) |
| _10752_/B1 1.50 1.55 -0.05 (VIOLATED) |
| _09447_/Y 1.50 1.55 -0.05 (VIOLATED) |
| ANTENNA_hold867_A/DIODE 1.50 1.55 -0.05 (VIOLATED) |
| _14448_/Q 1.50 1.55 -0.05 (VIOLATED) |
| ANTENNA_hold526_A/DIODE 1.50 1.55 -0.05 (VIOLATED) |
| ANTENNA__09606__C/DIODE 1.50 1.55 -0.05 (VIOLATED) |
| _09606_/C 1.50 1.55 -0.05 (VIOLATED) |
| hold867/A 1.50 1.55 -0.05 (VIOLATED) |
| ANTENNA_hold1076_A/DIODE 1.50 1.54 -0.04 (VIOLATED) |
| _13701_/A1 1.50 1.54 -0.04 (VIOLATED) |
| _08476_/A1 1.50 1.54 -0.04 (VIOLATED) |
| ANTENNA__13634__B1/DIODE 1.50 1.54 -0.04 (VIOLATED) |
| ANTENNA__08476__A1/DIODE 1.50 1.54 -0.04 (VIOLATED) |
| ANTENNA__14029__A2/DIODE 1.50 1.54 -0.04 (VIOLATED) |
| ANTENNA__14030__A1_N/DIODE 1.50 1.54 -0.04 (VIOLATED) |
| hold526/A 1.50 1.54 -0.04 (VIOLATED) |
| _11835_/Y 1.49 1.53 -0.04 (VIOLATED) |
| _14029_/A2 1.50 1.54 -0.04 (VIOLATED) |
| _14030_/A1_N 1.50 1.54 -0.04 (VIOLATED) |
| ANTENNA__14038__A1/DIODE 1.50 1.54 -0.04 (VIOLATED) |
| ANTENNA__14014__A1/DIODE 1.50 1.54 -0.04 (VIOLATED) |
| hold960/A 1.50 1.54 -0.04 (VIOLATED) |
| ANTENNA__14006__A1/DIODE 1.50 1.54 -0.04 (VIOLATED) |
| ANTENNA__14007__A1/DIODE 1.50 1.54 -0.04 (VIOLATED) |
| ANTENNA__14015__A1/DIODE 1.50 1.54 -0.04 (VIOLATED) |
| _13264_/X 1.50 1.54 -0.04 (VIOLATED) |
| hold1076/A 1.50 1.54 -0.04 (VIOLATED) |
| _08288_/X 1.51 1.55 -0.03 (VIOLATED) |
| ANTENNA__13620__B1/DIODE 1.50 1.53 -0.03 (VIOLATED) |
| ANTENNA__11836__A3/DIODE 1.50 1.53 -0.03 (VIOLATED) |
| _11836_/A3 1.50 1.53 -0.03 (VIOLATED) |
| ANTENNA_hold1030_A/DIODE 1.50 1.53 -0.03 (VIOLATED) |
| _12155_/X 1.50 1.54 -0.03 (VIOLATED) |
| ANTENNA__13990__A1/DIODE 1.50 1.53 -0.03 (VIOLATED) |
| _11680_/A 1.50 1.53 -0.03 (VIOLATED) |
| _13937_/C1 1.50 1.53 -0.03 (VIOLATED) |
| _13991_/C1 1.50 1.53 -0.03 (VIOLATED) |
| _14007_/C1 1.50 1.53 -0.03 (VIOLATED) |
| _09314_/A 1.50 1.53 -0.03 (VIOLATED) |
| _13634_/B1 1.50 1.53 -0.03 (VIOLATED) |
| ANTENNA__14039__A1/DIODE 1.50 1.53 -0.03 (VIOLATED) |
| _13571_/A 1.50 1.53 -0.03 (VIOLATED) |
| _13998_/B1 1.50 1.53 -0.03 (VIOLATED) |
| _13644_/A 1.50 1.53 -0.03 (VIOLATED) |
| hold766/A 1.50 1.53 -0.03 (VIOLATED) |
| ANTENNA__12148__A/DIODE 1.50 1.53 -0.03 (VIOLATED) |
| ANTENNA__13937__A1/DIODE 1.50 1.53 -0.03 (VIOLATED) |
| ANTENNA__13991__A1/DIODE 1.50 1.53 -0.03 (VIOLATED) |
| _13632_/X 1.50 1.53 -0.03 (VIOLATED) |
| ANTENNA__13605__A/DIODE 1.50 1.53 -0.03 (VIOLATED) |
| _11679_/X 1.51 1.53 -0.03 (VIOLATED) |
| _08475_/X 1.51 1.54 -0.02 (VIOLATED) |
| _13937_/A1 1.50 1.52 -0.02 (VIOLATED) |
| _13991_/A1 1.50 1.52 -0.02 (VIOLATED) |
| _14015_/A1 1.50 1.52 -0.02 (VIOLATED) |
| _14039_/A1 1.50 1.52 -0.02 (VIOLATED) |
| _14007_/A1 1.50 1.52 -0.02 (VIOLATED) |
| _13506_/X 1.51 1.53 -0.02 (VIOLATED) |
| _13976_/B1 1.50 1.52 -0.02 (VIOLATED) |
| _10781_/A1 1.50 1.52 -0.02 (VIOLATED) |
| _09001_/A 1.50 1.52 -0.02 (VIOLATED) |
| _13997_/X 1.50 1.52 -0.02 (VIOLATED) |
| ANTENNA__13936__B1/DIODE 1.50 1.52 -0.02 (VIOLATED) |
| _13931_/X 1.51 1.52 -0.02 (VIOLATED) |
| ANTENNA__12099__A/DIODE 1.50 1.52 -0.02 (VIOLATED) |
| _14038_/B1 1.50 1.52 -0.02 (VIOLATED) |
| _14006_/B1 1.50 1.52 -0.02 (VIOLATED) |
| _14014_/B1 1.50 1.52 -0.02 (VIOLATED) |
| _13990_/B1 1.50 1.52 -0.02 (VIOLATED) |
| _13936_/B1 1.50 1.52 -0.02 (VIOLATED) |
| _12139_/B2 1.50 1.52 -0.02 (VIOLATED) |
| ANTENNA__13544__A/DIODE 1.50 1.51 -0.01 (VIOLATED) |
| ANTENNA__13881__B/DIODE 1.50 1.51 -0.01 (VIOLATED) |
| ANTENNA__12134__A3/DIODE 1.50 1.51 -0.01 (VIOLATED) |
| ANTENNA__13508__S/DIODE 1.50 1.51 -0.01 (VIOLATED) |
| ANTENNA__13494__S/DIODE 1.50 1.51 -0.01 (VIOLATED) |
| ANTENNA__13522__S/DIODE 1.50 1.51 -0.01 (VIOLATED) |
| ANTENNA__13894__A/DIODE 1.50 1.51 -0.01 (VIOLATED) |
| _08052_/B2 1.50 1.51 -0.01 (VIOLATED) |
| ANTENNA__08052__B2/DIODE 1.50 1.51 -0.01 (VIOLATED) |
| _13935_/X 1.51 1.52 -0.01 (VIOLATED) |
| ANTENNA__13669__A1/DIODE 1.50 1.51 -0.01 (VIOLATED) |
| ANTENNA__14029__B2/DIODE 1.50 1.51 -0.01 (VIOLATED) |
| ANTENNA__13997__B2/DIODE 1.50 1.51 -0.01 (VIOLATED) |
| _13186_/Y 1.49 1.50 -0.01 (VIOLATED) |
| _08051_/X 1.51 1.51 -0.00 (VIOLATED) |
| ANTENNA_hold619_A/DIODE 1.50 1.50 -0.00 (VIOLATED) |
| ANTENNA__12139__B2/DIODE 1.50 1.50 -0.00 (VIOLATED) |
| hold619/A 1.50 1.50 -0.00 (VIOLATED) |
| ANTENNA__13813__A/DIODE 1.50 1.50 -0.00 (VIOLATED) |
| ANTENNA__13676__S/DIODE 1.50 1.50 -0.00 (VIOLATED) |
| ANTENNA__13645__S/DIODE 1.50 1.50 -0.00 (VIOLATED) |
| ANTENNA__13657__S/DIODE 1.50 1.50 -0.00 (VIOLATED) |
| ANTENNA__13630__S/DIODE 1.50 1.50 -0.00 (VIOLATED) |
| ANTENNA_hold740_A/DIODE 1.50 1.50 -0.00 (VIOLATED) |
| hold740/A 1.50 1.50 -0.00 (VIOLATED) |
| |
| max capacitance |
| |
| Pin Limit Cap Slack |
| ------------------------------------------------------------ |
| clkbuf_3_4_0_clock/X 0.28 0.48 -0.19 (VIOLATED) |
| _13251_/Y 0.07 0.25 -0.18 (VIOLATED) |
| _12901_/Y 0.07 0.24 -0.17 (VIOLATED) |
| _10874_/X 0.16 0.33 -0.17 (VIOLATED) |
| clkbuf_3_2_0_clock/X 0.28 0.41 -0.13 (VIOLATED) |
| _07158_/X 0.16 0.28 -0.12 (VIOLATED) |
| _13247_/Y 0.07 0.19 -0.12 (VIOLATED) |
| clkbuf_3_3_0_clock/X 0.28 0.39 -0.11 (VIOLATED) |
| _08594_/Y 0.12 0.22 -0.10 (VIOLATED) |
| _09588_/Y 0.12 0.22 -0.10 (VIOLATED) |
| _10502_/X 0.22 0.32 -0.10 (VIOLATED) |
| _10438_/Y 0.12 0.22 -0.09 (VIOLATED) |
| _12332_/Y 0.05 0.13 -0.08 (VIOLATED) |
| _12339_/Y 0.05 0.13 -0.08 (VIOLATED) |
| _12905_/Y 0.07 0.15 -0.08 (VIOLATED) |
| _09469_/Y 0.12 0.20 -0.08 (VIOLATED) |
| _09329_/Y 0.12 0.20 -0.08 (VIOLATED) |
| _12325_/Y 0.05 0.13 -0.08 (VIOLATED) |
| _13936_/Y 0.05 0.12 -0.08 (VIOLATED) |
| _13970_/Y 0.08 0.15 -0.07 (VIOLATED) |
| _09452_/Y 0.12 0.19 -0.07 (VIOLATED) |
| _12056_/Y 0.17 0.24 -0.07 (VIOLATED) |
| _12892_/Y 0.12 0.19 -0.07 (VIOLATED) |
| _07043_/Y 0.15 0.22 -0.07 (VIOLATED) |
| _13990_/Y 0.05 0.11 -0.07 (VIOLATED) |
| _09975_/X 0.22 0.28 -0.06 (VIOLATED) |
| _12897_/Y 0.12 0.19 -0.06 (VIOLATED) |
| _14014_/Y 0.05 0.11 -0.06 (VIOLATED) |
| _14006_/Y 0.05 0.11 -0.06 (VIOLATED) |
| _13896_/Y 0.07 0.13 -0.06 (VIOLATED) |
| _13280_/Y 0.07 0.13 -0.06 (VIOLATED) |
| _13981_/Y 0.09 0.14 -0.06 (VIOLATED) |
| _10725_/Y 0.08 0.14 -0.06 (VIOLATED) |
| _14021_/Y 0.08 0.13 -0.05 (VIOLATED) |
| _12349_/Y 0.05 0.10 -0.05 (VIOLATED) |
| _12328_/Y 0.05 0.10 -0.05 (VIOLATED) |
| _14038_/Y 0.05 0.10 -0.05 (VIOLATED) |
| _14044_/Y 0.09 0.13 -0.04 (VIOLATED) |
| _11899_/Y 0.09 0.13 -0.04 (VIOLATED) |
| _13201_/Y 0.09 0.12 -0.04 (VIOLATED) |
| _12147_/X 0.15 0.19 -0.04 (VIOLATED) |
| _13254_/Y 0.09 0.12 -0.04 (VIOLATED) |
| clkbuf_1_0_1_clock/X 0.28 0.32 -0.04 (VIOLATED) |
| _08990_/X 0.22 0.25 -0.03 (VIOLATED) |
| _13887_/Y 0.08 0.11 -0.03 (VIOLATED) |
| hold1287/X 0.16 0.19 -0.03 (VIOLATED) |
| _13368_/Y 0.07 0.10 -0.03 (VIOLATED) |
| _13898_/Y 0.07 0.11 -0.03 (VIOLATED) |
| _08614_/Y 0.21 0.24 -0.03 (VIOLATED) |
| _09235_/Y 0.21 0.24 -0.03 (VIOLATED) |
| _13631_/X 0.13 0.16 -0.03 (VIOLATED) |
| _11890_/Y 0.09 0.11 -0.03 (VIOLATED) |
| _13412_/Y 0.07 0.10 -0.03 (VIOLATED) |
| _12142_/X 0.15 0.18 -0.03 (VIOLATED) |
| _12162_/X 0.15 0.18 -0.03 (VIOLATED) |
| _10225_/Y 0.09 0.11 -0.03 (VIOLATED) |
| _08363_/X 0.28 0.30 -0.02 (VIOLATED) |
| _12185_/X 0.15 0.18 -0.02 (VIOLATED) |
| _13888_/Y 0.09 0.11 -0.02 (VIOLATED) |
| hold136/X 0.13 0.15 -0.02 (VIOLATED) |
| _13220_/Y 0.09 0.11 -0.02 (VIOLATED) |
| _12363_/Y 0.05 0.07 -0.02 (VIOLATED) |
| _10202_/Y 0.25 0.27 -0.02 (VIOLATED) |
| _13630_/X 0.17 0.19 -0.02 (VIOLATED) |
| _13394_/Y 0.07 0.08 -0.02 (VIOLATED) |
| _11752_/Y 0.09 0.10 -0.02 (VIOLATED) |
| _11845_/Y 0.09 0.10 -0.01 (VIOLATED) |
| _13908_/X 0.28 0.30 -0.01 (VIOLATED) |
| _08500_/Y 0.21 0.23 -0.01 (VIOLATED) |
| _09447_/Y 0.21 0.23 -0.01 (VIOLATED) |
| _08394_/Y 0.12 0.14 -0.01 (VIOLATED) |
| _13877_/Y 0.08 0.09 -0.01 (VIOLATED) |
| _14001_/Y 0.09 0.10 -0.01 (VIOLATED) |
| _13253_/Y 0.07 0.09 -0.01 (VIOLATED) |
| _14031_/Y 0.09 0.10 -0.01 (VIOLATED) |
| _09594_/Y 0.25 0.26 -0.01 (VIOLATED) |
| _12110_/X 0.32 0.33 -0.01 (VIOLATED) |
| _12279_/X 0.13 0.14 -0.01 (VIOLATED) |
| _09458_/Y 0.25 0.26 -0.01 (VIOLATED) |
| hold333/X 0.16 0.17 -0.01 (VIOLATED) |
| _09212_/Y 0.12 0.13 -0.01 (VIOLATED) |
| _13571_/X 0.28 0.29 -0.01 (VIOLATED) |
| _08230_/Y 0.12 0.13 -0.01 (VIOLATED) |
| _12394_/Y 0.05 0.06 -0.01 (VIOLATED) |
| _08051_/X 0.28 0.29 -0.01 (VIOLATED) |
| _08288_/X 0.32 0.32 -0.01 (VIOLATED) |
| _14448_/Q 0.16 0.17 -0.01 (VIOLATED) |
| _13618_/X 0.16 0.17 -0.01 (VIOLATED) |
| _13935_/X 0.28 0.29 -0.01 (VIOLATED) |
| _10112_/Y 0.09 0.09 -0.01 (VIOLATED) |
| _13931_/X 0.28 0.29 -0.01 (VIOLATED) |
| _12352_/Y 0.05 0.05 -0.01 (VIOLATED) |
| _11679_/X 0.28 0.29 -0.01 (VIOLATED) |
| _12158_/X 0.15 0.16 -0.01 (VIOLATED) |
| _13320_/Y 0.08 0.09 -0.01 (VIOLATED) |
| _12170_/X 0.15 0.16 -0.01 (VIOLATED) |
| _10735_/Y 0.05 0.05 -0.01 (VIOLATED) |
| _13506_/X 0.28 0.29 -0.00 (VIOLATED) |
| _13264_/X 0.16 0.16 -0.00 (VIOLATED) |
| _13331_/Y 0.09 0.09 -0.00 (VIOLATED) |
| _10800_/X 0.16 0.16 -0.00 (VIOLATED) |
| _13632_/X 0.16 0.17 -0.00 (VIOLATED) |
| _12155_/X 0.15 0.16 -0.00 (VIOLATED) |
| _08475_/X 0.32 0.32 -0.00 (VIOLATED) |
| _11835_/Y 0.09 0.09 -0.00 (VIOLATED) |
| _13402_/X 0.15 0.15 -0.00 (VIOLATED) |
| _13186_/Y 0.09 0.09 -0.00 (VIOLATED) |
| _13200_/Y 0.07 0.08 -0.00 (VIOLATED) |
| _14002_/Y 0.08 0.08 -0.00 (VIOLATED) |
| _13997_/X 0.15 0.15 -0.00 (VIOLATED) |
| _11997_/X 0.32 0.32 -0.00 (VIOLATED) |
| |
| |
| =========================================================================== |
| max slew violation count 827 |
| max fanout violation count 0 |
| max cap violation count 111 |
| ============================================================================ |
| check_slew_end |
| tns_report |
| |
| =========================================================================== |
| report_tns |
| ============================================================================ |
| tns -374.52 |
| tns_report_end |
| wns_report |
| |
| =========================================================================== |
| report_wns |
| ============================================================================ |
| wns -6.86 |
| wns_report_end |
| worst_slack |
| |
| =========================================================================== |
| report_worst_slack -max (Setup) |
| ============================================================================ |
| worst slack -6.86 |
| |
| =========================================================================== |
| report_worst_slack -min (Hold) |
| ============================================================================ |
| worst slack -2.74 |
| worst_slack_end |
| clock_skew |
| |
| =========================================================================== |
| report_clock_skew |
| ============================================================================ |
| Clock clock |
| Latency CRPR Skew |
| _14243_/CLK ^ |
| 6.45 |
| _14413_/CLK ^ |
| 3.77 -0.08 2.59 |
| |
| clock_skew_end |
| power_report |
| |
| =========================================================================== |
| report_power |
| ============================================================================ |
| Group Internal Switching Leakage Total |
| Power Power Power Power |
| ---------------------------------------------------------------- |
| Sequential 1.39e-03 4.22e-04 4.15e-09 1.82e-03 10.4% |
| Combinational 3.24e-03 1.24e-02 2.05e-07 1.56e-02 89.6% |
| Macro 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0% |
| Pad 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0% |
| ---------------------------------------------------------------- |
| Total 4.63e-03 1.28e-02 2.09e-07 1.74e-02 100.0% |
| 26.6% 73.4% 0.0% |
| power_report_end |
| area_report |
| |
| =========================================================================== |
| report_design_area |
| ============================================================================ |
| Design area 600296 u^2 98% utilization. |
| area_report_end |
| [INFO]: Changing layout from /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/tmp/routing/18-fill.def to /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/tmp/routing/19-global.def |
| [INFO]: Changing layout from 0 to /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/tmp/routing/19-global.guide |
| [INFO]: Current Def is /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/tmp/routing/19-global.def |
| [INFO]: Current Guide is /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/tmp/routing/19-global.guide |
| [INFO]: Incremented step index to 19. |
| [INFO]: Writing Verilog... |
| OpenROAD 8d53e9b018dec98fa63e907ddeb6c5406f035361 |
| This program is licensed under the BSD-3 license. See the LICENSE file for details. |
| Components of this program may be licensed under more restrictive licenses which must be honored. |
| [INFO ODB-0222] Reading LEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/tmp/merged_unpadded.lef |
| [INFO ODB-0223] Created 13 technology layers |
| [INFO ODB-0224] Created 25 technology vias |
| [INFO ODB-0225] Created 441 library cells |
| [INFO ODB-0226] Finished LEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/tmp/merged_unpadded.lef |
| [INFO ODB-0127] Reading DEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/tmp/routing/19-global.def |
| [INFO ODB-0128] Design: aes |
| [INFO ODB-0094] Created 100000 Insts |
| [INFO ODB-0130] Created 108 pins. |
| [INFO ODB-0131] Created 100849 components and 435692 component-terminals. |
| [INFO ODB-0132] Created 2 special nets and 385828 connections. |
| [INFO ODB-0133] Created 9121 nets and 49864 connections. |
| [INFO ODB-0134] Finished DEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/tmp/routing/19-global.def |
| [INFO]: Changing netlist from /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/results/synthesis/aes_diodes.v to /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/tmp/routing/19-global.v |
| [INFO]: Incremented step index to 20. |
| [INFO]: Running Detailed Routing... |
| OpenROAD 8d53e9b018dec98fa63e907ddeb6c5406f035361 |
| This program is licensed under the BSD-3 license. See the LICENSE file for details. |
| Components of this program may be licensed under more restrictive licenses which must be honored. |
| [INFO ODB-0222] Reading LEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/tmp/merged_unpadded.lef |
| [INFO ODB-0223] Created 13 technology layers |
| [INFO ODB-0224] Created 25 technology vias |
| [INFO ODB-0225] Created 441 library cells |
| [INFO ODB-0226] Finished LEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/tmp/merged_unpadded.lef |
| [INFO ODB-0127] Reading DEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/tmp/routing/19-global.def |
| [INFO ODB-0128] Design: aes |
| [INFO ODB-0094] Created 100000 Insts |
| [INFO ODB-0130] Created 108 pins. |
| [INFO ODB-0131] Created 100849 components and 435692 component-terminals. |
| [INFO ODB-0132] Created 2 special nets and 385828 connections. |
| [INFO ODB-0133] Created 9121 nets and 49864 connections. |
| [INFO ODB-0134] Finished DEF file: /home/askartos/sandbox/caravel_tutorial/fossiAES/openlane/aes/runs/aes/tmp/routing/19-global.def |
| [INFO ORD-0030] Using 2 thread(s). |
| [INFO DRT-0149] Reading tech and libs. |
| |
| Units: 1000 |
| Number of layers: 13 |
| Number of macros: 441 |
| Number of vias: 25 |
| Number of viarulegen: 25 |
| |
| [INFO DRT-0150] Reading design. |
| |
| Design: aes |
| Die area: ( 0 0 ) ( 800000 800000 ) |
| Number of track patterns: 12 |
| Number of DEF vias: 3 |
| Number of components: 100849 |
| Number of terminals: 108 |
| Number of snets: 2 |
| Number of nets: 9121 |
| |
| [INFO DRT-0167] List of default vias: |
| Layer mcon |
| default via: L1M1_PR |
| Layer via |
| default via: M1M2_PR |
| Layer via2 |
| default via: M2M3_PR |
| Layer via3 |
| default via: M3M4_PR |
| Layer via4 |
| default via: M4M5_PR |
| [INFO DRT-0162] Library cell analysis. |
| [INFO DRT-0163] Instance analysis. |
| Complete 10000 instances. |
| Complete 20000 instances. |
| Complete 30000 instances. |
| Complete 40000 instances. |
| Complete 50000 instances. |
| Complete 60000 instances. |
| Complete 70000 instances. |
| Complete 80000 instances. |
| Complete 90000 instances. |
| Complete 100000 instances. |
| [INFO DRT-0164] Number of unique instances = 483. |
| [INFO DRT-0168] Init region query. |
| [INFO DRT-0018] Complete 10000 insts. |
| [INFO DRT-0018] Complete 20000 insts. |
| [INFO DRT-0018] Complete 30000 insts. |
| [INFO DRT-0018] Complete 40000 insts. |
| [INFO DRT-0018] Complete 50000 insts. |
| [INFO DRT-0018] Complete 60000 insts. |
| [INFO DRT-0018] Complete 70000 insts. |
| [INFO DRT-0018] Complete 80000 insts. |
| [INFO DRT-0018] Complete 90000 insts. |
| [INFO DRT-0019] Complete 100000 insts. |
| [INFO DRT-0024] Complete FR_MASTERSLICE. |
| [INFO DRT-0024] Complete FR_VIA. |
| [INFO DRT-0024] Complete li1. |
| [INFO DRT-0024] Complete mcon. |
| [INFO DRT-0024] Complete met1. |
| [INFO DRT-0024] Complete via. |
| [INFO DRT-0024] Complete met2. |
| [INFO DRT-0024] Complete via2. |
| [INFO DRT-0024] Complete met3. |
| [INFO DRT-0024] Complete via3. |
| [INFO DRT-0024] Complete met4. |
| [INFO DRT-0024] Complete via4. |
| [INFO DRT-0024] Complete met5. |
| [INFO DRT-0033] FR_MASTERSLICE shape region query size = 0. |
| [INFO DRT-0033] FR_VIA shape region query size = 0. |
| [INFO DRT-0033] li1 shape region query size = 667573. |
| [INFO DRT-0033] mcon shape region query size = 984452. |
| [INFO DRT-0033] met1 shape region query size = 211855. |
| [INFO DRT-0033] via shape region query size = 7890. |
| [INFO DRT-0033] met2 shape region query size = 3212. |
| [INFO DRT-0033] via2 shape region query size = 6312. |
| [INFO DRT-0033] met3 shape region query size = 3206. |
| [INFO DRT-0033] via3 shape region query size = 6312. |
| [INFO DRT-0033] met4 shape region query size = 1600. |
| [INFO DRT-0033] via4 shape region query size = 0. |
| [INFO DRT-0033] met5 shape region query size = 0. |
| [INFO DRT-0165] Start pin access. |
| [INFO DRT-0076] Complete 100 pins. |
| [INFO DRT-0076] Complete 200 pins. |
| [INFO DRT-0076] Complete 300 pins. |
| [INFO DRT-0076] Complete 400 pins. |
| [INFO DRT-0076] Complete 500 pins. |
| [INFO DRT-0076] Complete 600 pins. |
| [INFO DRT-0076] Complete 700 pins. |
| [INFO DRT-0076] Complete 800 pins. |
| [INFO DRT-0076] Complete 900 pins. |
| [INFO DRT-0077] Complete 1000 pins. |
| [INFO DRT-0078] Complete 1876 pins. |
| [INFO DRT-0079] Complete 100 unique inst patterns. |
| [INFO DRT-0079] Complete 200 unique inst patterns. |
| [INFO DRT-0079] Complete 300 unique inst patterns. |
| [INFO DRT-0079] Complete 400 unique inst patterns. |
| [INFO DRT-0081] Complete 477 unique inst patterns. |
| [INFO DRT-0082] Complete 1000 groups. |
| [INFO DRT-0082] Complete 2000 groups. |
| [INFO DRT-0082] Complete 3000 groups. |
| [INFO DRT-0082] Complete 4000 groups. |
| [INFO DRT-0082] Complete 5000 groups. |
| [INFO DRT-0082] Complete 6000 groups. |
| [INFO DRT-0082] Complete 7000 groups. |
| [INFO DRT-0082] Complete 8000 groups. |
| [INFO DRT-0082] Complete 9000 groups. |
| [INFO DRT-0083] Complete 10000 groups. |
| [INFO DRT-0083] Complete 20000 groups. |
| [INFO DRT-0084] Complete 29456 groups. |
| #scanned instances = 100849 |
| #unique instances = 483 |
| #stdCellGenAp = 14014 |
| #stdCellValidPlanarAp = 86 |
| #stdCellValidViaAp = 10829 |
| #stdCellPinNoAp = 0 |
| #stdCellPinCnt = 49864 |
| #instTermValidViaApCnt = 0 |
| #macroGenAp = 0 |
| #macroValidPlanarAp = 0 |
| #macroValidViaAp = 0 |
| #macroNoAp = 0 |
| [INFO DRT-0166] Complete pin access. |
| [INFO DRT-0267] cpu time = 00:00:20, elapsed time = 00:00:10, memory = 422.88 (MB), peak = 447.93 (MB) |
| [INFO DRT-0151] Reading guide. |
| [INFO DRT-0156] guideIn read 100000 guides. |
| |
| Number of guides: 198970 |
| |
| [INFO DRT-0169] Post process guides. |
| [INFO DRT-0176] GCELLGRID X 0 DO 115 STEP 6900 ; |
| [INFO DRT-0177] GCELLGRID Y 0 DO 115 STEP 6900 ; |
| [INFO DRT-0026] Complete 10000 origin guides. |
| [INFO DRT-0026] Complete 20000 origin guides. |
| [INFO DRT-0026] Complete 30000 origin guides. |
| [INFO DRT-0026] Complete 40000 origin guides. |
| [INFO DRT-0026] Complete 50000 origin guides. |
| [INFO DRT-0026] Complete 60000 origin guides. |
| [INFO DRT-0026] Complete 70000 origin guides. |
| [INFO DRT-0026] Complete 80000 origin guides. |
| [INFO DRT-0026] Complete 90000 origin guides. |
| [INFO DRT-0027] Complete 100000 origin guides. |
| [INFO DRT-0028] Complete FR_MASTERSLICE. |
| [INFO DRT-0028] Complete FR_VIA. |
| [INFO DRT-0028] Complete li1. |
| [INFO DRT-0028] Complete mcon. |
| [INFO DRT-0028] Complete met1. |
| [INFO DRT-0028] Complete via. |
| [INFO DRT-0028] Complete met2. |
| [INFO DRT-0028] Complete via2. |
| [INFO DRT-0028] Complete met3. |
| [INFO DRT-0028] Complete via3. |
| [INFO DRT-0028] Complete met4. |
| [INFO DRT-0028] Complete via4. |
| [INFO DRT-0028] Complete met5. |
| [WARNING DRT-0225] _00070_ 1 pin not visited, fall back to feedthrough mode. |
| [WARNING DRT-0225] _00085_ 1 pin not visited, fall back to feedthrough mode. |
| [WARNING DRT-0225] _00086_ 1 pin not visited, fall back to feedthrough mode. |
| [WARNING DRT-0225] _00123_ 1 pin not visited, fall back to feedthrough mode. |
| [WARNING DRT-0225] _00483_ 1 pin not visited, fall back to feedthrough mode. |
| [WARNING DRT-0225] _00485_ 1 pin not visited, fall back to feedthrough mode. |
| [WARNING DRT-0225] _00580_ 3 pin not visited, fall back to feedthrough mode. |
| [WARNING DRT-0225] _00600_ 4 pin not visited, fall back to feedthrough mode. |
| [WARNING DRT-0225] _00615_ 5 pin not visited, fall back to feedthrough mode. |
| [WARNING DRT-0225] _00946_ 2 pin not visited, fall back to feedthrough mode. |
| [WARNING DRT-0225] _01175_ 9 pin not visited, fall back to feedthrough mode. |
| [WARNING DRT-0225] _01480_ 7 pin not visited, fall back to feedthrough mode. |
| [WARNING DRT-0225] _01925_ 2 pin not visited, fall back to feedthrough mode. |
| [WARNING DRT-0225] _02037_ 2 pin not visited, fall back to feedthrough mode. |
| [WARNING DRT-0225] _02413_ 3 pin not visited, fall back to feedthrough mode. |
| [WARNING DRT-0225] _02421_ 2 pin not visited, fall back to feedthrough mode. |
| [WARNING DRT-0225] _02748_ 4 pin not visited, fall back to feedthrough mode. |
| [WARNING DRT-0225] _02804_ 1 pin not visited, fall back to feedthrough mode. |
| [WARNING DRT-0225] _02807_ 4 pin not visited, fall back to feedthrough mode. |
| [WARNING DRT-0225] _02870_ 2 pin not visited, fall back to feedthrough mode. |
| [WARNING DRT-0225] _02886_ 4 pin not visited, fall back to feedthrough mode. |
| [WARNING DRT-0225] _03004_ 4 pin not visited, fall back to feedthrough mode. |
| [WARNING DRT-0225] _03010_ 5 pin not visited, fall back to feedthrough mode. |
| [WARNING DRT-0225] _03598_ 4 pin not visited, fall back to feedthrough mode. |
| [WARNING DRT-0225] _03611_ 7 pin not visited, fall back to feedthrough mode. |
| [WARNING DRT-0225] _03615_ 4 pin not visited, fall back to feedthrough mode. |
| [WARNING DRT-0225] _03859_ 2 pin not visited, fall back to feedthrough mode. |
| [WARNING DRT-0225] _04008_ 5 pin not visited, fall back to feedthrough mode. |
| [WARNING DRT-0225] _04059_ 1 pin not visited, fall back to feedthrough mode. |
| [WARNING DRT-0225] _04114_ 1 pin not visited, fall back to feedthrough mode. |
| [WARNING DRT-0225] _04147_ 1 pin not visited, fall back to feedthrough mode. |
| [WARNING DRT-0225] _04157_ 2 pin not visited, fall back to feedthrough mode. |
| [WARNING DRT-0225] _04920_ 3 pin not visited, fall back to feedthrough mode. |
| [WARNING DRT-0225] _05128_ 2 pin not visited, fall back to feedthrough mode. |
| [WARNING DRT-0225] _05355_ 5 pin not visited, fall back to feedthrough mode. |
| [WARNING DRT-0225] _05365_ 6 pin not visited, fall back to feedthrough mode. |
| [WARNING DRT-0225] _05521_ 6 pin not visited, fall back to feedthrough mode. |
| [WARNING DRT-0225] _05571_ 3 pin not visited, fall back to feedthrough mode. |
| [WARNING DRT-0225] _05966_ 5 pin not visited, fall back to feedthrough mode. |
| [WARNING DRT-0225] _05978_ 1 pin not visited, fall back to feedthrough mode. |
| [WARNING DRT-0225] _06254_ 2 pin not visited, fall back to feedthrough mode. |
| [WARNING DRT-0225] _06309_ 3 pin not visited, fall back to feedthrough mode. |
| [WARNING DRT-0225] _06328_ 2 pin not visited, fall back to feedthrough mode. |
| [WARNING DRT-0225] _06391_ 1 pin not visited, fall back to feedthrough mode. |
| [WARNING DRT-0225] _06518_ 5 pin not visited, fall back to feedthrough mode. |
| [WARNING DRT-0225] _06834_ 3 pin not visited, fall back to feedthrough mode. |
| [WARNING DRT-0225] _06918_ 1 pin not visited, fall back to feedthrough mode. |
| [WARNING DRT-0225] _06926_ 3 pin not visited, fall back to feedthrough mode. |
| [WARNING DRT-0225] _06986_ 1 pin not visited, fall back to feedthrough mode. |
| [WARNING DRT-0225] _07020_ 5 pin not visited, fall back to feedthrough mode. |
| [WARNING DRT-0225] _T_1\[128\] 4 pin not visited, fall back to feedthrough mode. |
| [WARNING DRT-0225] _T_1\[21\] 5 pin not visited, fall back to feedthrough mode. |
| [WARNING DRT-0225] _T_1\[79\] 2 pin not visited, fall back to feedthrough mode. |
| [WARNING DRT-0225] _T_46\[2\] 6 pin not visited, fall back to feedthrough mode. |
| [WARNING DRT-0225] _T_82 2 pin not visited, fall back to feedthrough mode. |
| [WARNING DRT-0225] net1025 2 pin not visited, fall back to feedthrough mode. |
| [WARNING DRT-0225] net1052 1 pin not visited, fall back to feedthrough mode. |
| [WARNING DRT-0225] net1109 1 pin not visited, fall back to feedthrough mode. |
| [WARNING DRT-0225] net1186 2 pin not visited, fall back to feedthrough mode. |
| [WARNING DRT-0225] net1200 1 pin not visited, fall back to feedthrough mode. |
| [WARNING DRT-0225] net1214 1 pin not visited, fall back to feedthrough mode. |
| [WARNING DRT-0225] net1219 3 pin not visited, fall back to feedthrough mode. |
| [WARNING DRT-0225] net1251 3 pin not visited, fall back to feedthrough mode. |
| [WARNING DRT-0225] net1271 2 pin not visited, fall back to feedthrough mode. |
| [WARNING DRT-0225] net1276 2 pin not visited, fall back to feedthrough mode. |
| [WARNING DRT-0225] net1295 2 pin not visited, fall back to feedthrough mode. |
| [WARNING DRT-0225] net1372 1 pin not visited, fall back to feedthrough mode. |
| [WARNING DRT-0225] net146 3 pin not visited, fall back to feedthrough mode. |
| [WARNING DRT-0225] net265 1 pin not visited, fall back to feedthrough mode. |
| [WARNING DRT-0225] net430 2 pin not visited, fall back to feedthrough mode. |
| [WARNING DRT-0225] net493 3 pin not visited, fall back to feedthrough mode. |
| [WARNING DRT-0225] net503 3 pin not visited, fall back to feedthrough mode. |
| [WARNING DRT-0225] net645 5 pin not visited, fall back to feedthrough mode. |
| [WARNING DRT-0225] net848 4 pin not visited, fall back to feedthrough mode. |
| [WARNING DRT-0225] reg1K1\[21\] 4 pin not visited, fall back to feedthrough mode. |
| [INFO DRT-0178] Init guide query. |
| [INFO DRT-0035] Complete FR_MASTERSLICE (guide). |
| [INFO DRT-0035] Complete FR_VIA (guide). |
| [INFO DRT-0035] Complete li1 (guide). |
| [INFO DRT-0035] Complete mcon (guide). |
| [INFO DRT-0035] Complete met1 (guide). |
| [INFO DRT-0035] Complete via (guide). |
| [INFO DRT-0035] Complete met2 (guide). |
| [INFO DRT-0035] Complete via2 (guide). |
| [INFO DRT-0035] Complete met3 (guide). |
| [INFO DRT-0035] Complete via3 (guide). |
| [INFO DRT-0035] Complete met4 (guide). |
| [INFO DRT-0035] Complete via4 (guide). |
| [INFO DRT-0035] Complete met5 (guide). |
| [INFO DRT-0036] FR_MASTERSLICE guide region query size = 0. |
| [INFO DRT-0036] FR_VIA guide region query size = 0. |
| [INFO DRT-0036] li1 guide region query size = 45089. |
| [INFO DRT-0036] mcon guide region query size = 0. |
| [INFO DRT-0036] met1 guide region query size = 56851. |
| [INFO DRT-0036] via guide region query size = 0. |
| [INFO DRT-0036] met2 guide region query size = 48752. |
| [INFO DRT-0036] via2 guide region query size = 0. |
| [INFO DRT-0036] met3 guide region query size = 19106. |
| [INFO DRT-0036] via3 guide region query size = 0. |
| [INFO DRT-0036] met4 guide region query size = 6097. |
| [INFO DRT-0036] via4 guide region query size = 0. |
| [INFO DRT-0036] met5 guide region query size = 0. |
| [INFO DRT-0179] Init gr pin query. |
| [INFO DRT-0185] Post process initialize RPin region query. |
| [INFO DRT-0181] Start track assignment. |
| [INFO DRT-0184] Done with 99938 vertical wires in 3 frboxes and 75957 horizontal wires in 3 frboxes. |
| [INFO DRT-0186] Done with 31248 vertical wires in 3 frboxes and 35564 horizontal wires in 3 frboxes. |
| [INFO DRT-0182] Complete track assignment. |
| [INFO DRT-0267] cpu time = 00:00:26, elapsed time = 00:00:15, memory = 686.44 (MB), peak = 877.57 (MB) |
| [INFO DRT-0187] Start routing data preparation. |
| [INFO DRT-0267] cpu time = 00:00:00, elapsed time = 00:00:00, memory = 686.44 (MB), peak = 877.57 (MB) |
| [INFO DRT-0194] Start detail routing. |
| [INFO DRT-0195] Start 0th optimization iteration. |
| Completing 10% with 0 violations. |
| elapsed time = 00:01:47, memory = 1491.05 (MB). |
| Completing 20% with 0 violations. |
| elapsed time = 00:04:47, memory = 2588.16 (MB). |
| Completing 30% with 39169 violations. |
| elapsed time = 00:05:33, memory = 3055.32 (MB). |
| Completing 40% with 39169 violations. |
| elapsed time = 00:09:13, memory = 3055.90 (MB). |
| Completing 50% with 39169 violations. |
| elapsed time = 00:11:53, memory = 3088.10 (MB). |
| Completing 60% with 82960 violations. |
| elapsed time = 00:13:59, memory = 3146.07 (MB). |
| Completing 70% with 82960 violations. |
| elapsed time = 00:17:33, memory = 3146.27 (MB). |
| Completing 80% with 123817 violations. |
| elapsed time = 00:18:41, memory = 3252.74 (MB). |
| Completing 90% with 123817 violations. |
| elapsed time = 00:23:26, memory = 3252.74 (MB). |
| Completing 100% with 165876 violations. |
| elapsed time = 00:25:40, memory = 3252.75 (MB). |
| [INFO DRT-0199] Number of violations = 178750. |
| [INFO DRT-0267] cpu time = 00:51:08, elapsed time = 00:25:41, memory = 3255.58 (MB), peak = 3255.58 (MB) |
| Total wire length = 2620429 um. |
| Total wire length on LAYER li1 = 0 um. |
| Total wire length on LAYER met1 = 817541 um. |
| Total wire length on LAYER met2 = 899020 um. |
| Total wire length on LAYER met3 = 568859 um. |
| Total wire length on LAYER met4 = 335008 um. |
| Total wire length on LAYER met5 = 0 um. |
| Total number of vias = 194062. |
| Up-via summary (total 194062):. |
| |
| ------------------------- |
| FR_MASTERSLICE 0 |
| li1 49808 |
| met1 100781 |
| met2 29128 |
| met3 14345 |
| met4 0 |
| ------------------------- |
| 194062 |
| |
| |
| [INFO DRT-0195] Start 1st optimization iteration. |
| Completing 10% with 178750 violations. |
| elapsed time = 00:02:20, memory = 3255.75 (MB). |
| Completing 20% with 178750 violations. |
| elapsed time = 00:05:46, memory = 3279.82 (MB). |
| Completing 30% with 183675 violations. |
| elapsed time = 00:06:42, memory = 3280.02 (MB). |
| Completing 40% with 183675 violations. |
| elapsed time = 00:10:34, memory = 3294.45 (MB). |
| Completing 50% with 183675 violations. |
| elapsed time = 00:13:19, memory = 3352.20 (MB). |
| Completing 60% with 186425 violations. |
| elapsed time = 00:15:22, memory = 3285.60 (MB). |
| Completing 70% with 186425 violations. |
| elapsed time = 00:19:41, memory = 3287.64 (MB). |
| Completing 80% with 193730 violations. |
| elapsed time = 00:20:59, memory = 3323.21 (MB). |
| Completing 90% with 193730 violations. |
| elapsed time = 00:25:54, memory = 3323.37 (MB). |
| Completing 100% with 198096 violations. |
| elapsed time = 00:28:14, memory = 3323.61 (MB). |
| [INFO DRT-0199] Number of violations = 198110. |
| [INFO DRT-0267] cpu time = 00:56:12, elapsed time = 00:28:15, memory = 3323.62 (MB), peak = 3352.45 (MB) |
| Total wire length = 2625629 um. |
| Total wire length on LAYER li1 = 0 um. |
| Total wire length on LAYER met1 = 821494 um. |
| Total wire length on LAYER met2 = 896980 um. |
| Total wire length on LAYER met3 = 565256 um. |
| Total wire length on LAYER met4 = 341898 um. |
| Total wire length on LAYER met5 = 0 um. |
| Total number of vias = 195173. |
| Up-via summary (total 195173):. |
| |
| ------------------------- |
| FR_MASTERSLICE 0 |
| li1 49789 |
| met1 100346 |
| met2 30083 |
| met3 14955 |
| met4 0 |
| ------------------------- |
| 195173 |
| |
| |
| [INFO DRT-0195] Start 2nd optimization iteration. |
| Completing 10% with 198110 violations. |
| elapsed time = 00:02:59, memory = 3323.62 (MB). |
| Completing 20% with 198110 violations. |
| elapsed time = 00:06:27, memory = 3324.39 (MB). |
| Completing 30% with 200250 violations. |
| elapsed time = 00:07:32, memory = 3324.50 (MB). |
| Completing 40% with 200250 violations. |
| elapsed time = 00:12:05, memory = 3327.07 (MB). |
| Completing 50% with 200250 violations. |
| elapsed time = 00:14:07, memory = 3327.19 (MB). |
| Completing 60% with 202638 violations. |
| elapsed time = 00:18:00, memory = 3327.20 (MB). |
| Completing 70% with 202638 violations. |
| elapsed time = 00:21:55, memory = 3327.20 (MB). |
| Completing 80% with 204196 violations. |
| elapsed time = 00:22:45, memory = 3327.22 (MB). |
| Completing 90% with 204196 violations. |
| elapsed time = 00:27:50, memory = 3327.22 (MB). |
| Completing 100% with 204546 violations. |
| elapsed time = 00:29:08, memory = 3327.23 (MB). |
| [INFO DRT-0199] Number of violations = 204569. |
| [INFO DRT-0267] cpu time = 00:57:36, elapsed time = 00:29:09, memory = 3327.24 (MB), peak = 3352.45 (MB) |
| Total wire length = 2626061 um. |
| Total wire length on LAYER li1 = 0 um. |
| Total wire length on LAYER met1 = 821868 um. |
| Total wire length on LAYER met2 = 895162 um. |
| Total wire length on LAYER met3 = 564601 um. |
| Total wire length on LAYER met4 = 344427 um. |
| Total wire length on LAYER met5 = 0 um. |
| Total number of vias = 195741. |
| Up-via summary (total 195741):. |
| |
| ------------------------- |
| FR_MASTERSLICE 0 |
| li1 49788 |
| met1 99992 |
| met2 30739 |
| met3 15222 |
| met4 0 |
| ------------------------- |
| 195741 |
| |
| |
| [INFO DRT-0195] Start 3rd optimization iteration. |
| Completing 10% with 204569 violations. |
| elapsed time = 00:19:34, memory = 3327.50 (MB). |
| Completing 20% with 204569 violations. |
| elapsed time = 00:41:00, memory = 3328.03 (MB). |
| Completing 30% with 204160 violations. |
| elapsed time = 00:44:56, memory = 3328.03 (MB). |
| Completing 40% with 204160 violations. |
| elapsed time = 01:15:56, memory = 3328.03 (MB). |
| Completing 50% with 204160 violations. |
| elapsed time = 01:34:12, memory = 3328.03 (MB). |
| Completing 60% with 203995 violations. |
| elapsed time = 01:53:57, memory = 3328.03 (MB). |
| Completing 70% with 203995 violations. |
| elapsed time = 02:17:41, memory = 3328.19 (MB). |
| Completing 80% with 203858 violations. |
| elapsed time = 02:22:31, memory = 3328.19 (MB). |
| Completing 90% with 203858 violations. |
| elapsed time = 02:54:09, memory = 3328.19 (MB). |
| Completing 100% with 203776 violations. |
| elapsed time = 03:08:40, memory = 3328.19 (MB). |
| [INFO DRT-0199] Number of violations = 203776. |
| [INFO DRT-0267] cpu time = 06:14:25, elapsed time = 03:08:41, memory = 3328.21 (MB), peak = 3352.45 (MB) |
| Total wire length = 2626476 um. |
| Total wire length on LAYER li1 = 0 um. |
| Total wire length on LAYER met1 = 821306 um. |
| Total wire length on LAYER met2 = 893382 um. |
| Total wire length on LAYER met3 = 565444 um. |
| Total wire length on LAYER met4 = 346342 um. |
| Total wire length on LAYER met5 = 0 um. |
| Total number of vias = 196680. |
| Up-via summary (total 196680):. |
| |
| ------------------------- |
| FR_MASTERSLICE 0 |
| li1 49788 |
| met1 100480 |
| met2 31010 |
| met3 15402 |
| met4 0 |
| ------------------------- |
| 196680 |
| |
| |
| [INFO DRT-0195] Start 4th optimization iteration. |
| Completing 10% with 203776 violations. |
| elapsed time = 00:18:26, memory = 3328.21 (MB). |
| Completing 20% with 203776 violations. |
| elapsed time = 00:41:09, memory = 3328.21 (MB). |
| Completing 30% with 203682 violations. |
| elapsed time = 00:45:42, memory = 3328.21 (MB). |
| Completing 40% with 203682 violations. |
| elapsed time = 01:16:28, memory = 3328.24 (MB). |
| Completing 50% with 203682 violations. |
| elapsed time = 01:34:52, memory = 3328.25 (MB). |
| Completing 60% with 203598 violations. |
| elapsed time = 01:53:29, memory = 3328.25 (MB). |
| Completing 70% with 203598 violations. |
| elapsed time = 02:21:15, memory = 3328.25 (MB). |
| Completing 80% with 203458 violations. |
| elapsed time = 02:26:08, memory = 3328.25 (MB). |
| Completing 90% with 203458 violations. |
| elapsed time = 03:02:53, memory = 3328.27 (MB). |
| Completing 100% with 203415 violations. |
| elapsed time = 03:19:20, memory = 3328.27 (MB). |
| [INFO DRT-0199] Number of violations = 203415. |
| [INFO DRT-0267] cpu time = 06:31:58, elapsed time = 03:19:22, memory = 3328.27 (MB), peak = 3352.45 (MB) |
| Total wire length = 2626647 um. |
| Total wire length on LAYER li1 = 0 um. |
| Total wire length on LAYER met1 = 821077 um. |
| Total wire length on LAYER met2 = 893073 um. |
| Total wire length on LAYER met3 = 565717 um. |
| Total wire length on LAYER met4 = 346778 um. |
| Total wire length on LAYER met5 = 0 um. |
| Total number of vias = 196932. |
| Up-via summary (total 196932):. |
| |
| ------------------------- |
| FR_MASTERSLICE 0 |
| li1 49788 |
| met1 100586 |
| met2 31088 |
| met3 15470 |
| met4 0 |
| ------------------------- |
| 196932 |
| |
| |
| [INFO DRT-0195] Start 5th optimization iteration. |