blob: fe4aa64122d215f8ad3b26c950b6922394aa1ae0 [file] [log] [blame]
[debug] 
[debug] Initial source changes: 
[debug]  removed:Set()
[debug]  added: Set()
[debug]  modified: Set(/home/askartos/sandbox/caravel_tutorial/fossiAES/verilog/rtl/aes/src/main/scala/aes.scala)
[debug] Invalidated products: Set()
[debug] External API changes: API Changes: Set()
[debug] Modified binary dependencies: Set()
[debug] Initial directly invalidated classes: Set(fossiAES.aes, fossiAES.aesMain)
[debug] 
[debug] Sources indirectly invalidated by:
[debug]  product: Set()
[debug]  binary dep: Set()
[debug]  external source: Set()
[debug] All initially invalidated classes: Set(fossiAES.aes, fossiAES.aesMain)
[debug] All initially invalidated sources:Set(/home/askartos/sandbox/caravel_tutorial/fossiAES/verilog/rtl/aes/src/main/scala/aes.scala)
[info] Compiling 1 Scala source to /home/askartos/sandbox/caravel_tutorial/fossiAES/verilog/rtl/aes/target/scala-2.12/classes ...
[debug] Getting org.scala-sbt:compiler-bridge_2.12:1.1.1:compile for Scala 2.12.6
[debug] Getting org.scala-sbt:compiler-bridge_2.12:1.1.1:compile for Scala 2.12.6
[debug] [zinc] Running cached compiler 700041dc for Scala compiler version 2.12.6
[debug] [zinc] The Scala compiler is invoked with:
[debug]  -Xsource:2.11
[debug]  -language:reflectiveCalls
[debug]  -language:implicitConversions
[debug]  -unchecked
[debug]  -deprecation
[debug]  -feature
[debug]  -Xplugin:/home/askartos/.ivy2/cache/org.scalamacros/paradise_2.12.6/jars/paradise_2.12.6-2.1.0.jar
[debug]  -bootclasspath
[debug]  /usr/lib/jvm/java-8-oracle/jre/lib/resources.jar:/usr/lib/jvm/java-8-oracle/jre/lib/rt.jar:/usr/lib/jvm/java-8-oracle/jre/lib/sunrsasign.jar:/usr/lib/jvm/java-8-oracle/jre/lib/jsse.jar:/usr/lib/jvm/java-8-oracle/jre/lib/jce.jar:/usr/lib/jvm/java-8-oracle/jre/lib/charsets.jar:/usr/lib/jvm/java-8-oracle/jre/lib/jfr.jar:/usr/lib/jvm/java-8-oracle/jre/classes:/home/askartos/.ivy2/cache/org.scala-lang/scala-library/jars/scala-library-2.12.6.jar
[debug]  -classpath
[debug]  /home/askartos/sandbox/caravel_tutorial/fossiAES/verilog/rtl/aes/target/scala-2.12/classes:/home/askartos/.ivy2/cache/edu.berkeley.cs/chisel3_2.12/jars/chisel3_2.12-3.4.4.jar:/home/askartos/.ivy2/cache/edu.berkeley.cs/chisel3-macros_2.12/jars/chisel3-macros_2.12-3.4.4.jar:/home/askartos/.ivy2/cache/org.scala-lang/scala-reflect/jars/scala-reflect-2.12.6.jar:/home/askartos/.ivy2/cache/edu.berkeley.cs/chisel3-core_2.12/jars/chisel3-core_2.12-3.4.4.jar:/home/askartos/.ivy2/cache/edu.berkeley.cs/firrtl_2.12/jars/firrtl_2.12-1.4.4.jar:/home/askartos/.ivy2/cache/org.antlr/antlr4-runtime/jars/antlr4-runtime-4.7.1.jar:/home/askartos/.ivy2/cache/com.google.protobuf/protobuf-java/bundles/protobuf-java-3.9.0.jar:/home/askartos/.ivy2/cache/com.github.scopt/scopt_2.12/jars/scopt_2.12-3.7.1.jar:/home/askartos/.ivy2/cache/net.jcazevedo/moultingyaml_2.12/jars/moultingyaml_2.12-0.4.2.jar:/home/askartos/.ivy2/cache/com.github.nscala-time/nscala-time_2.12/jars/nscala-time_2.12-2.22.0.jar:/home/askartos/.ivy2/cache/joda-time/joda-time/jars/joda-time-2.10.1.jar:/home/askartos/.ivy2/cache/org.joda/joda-convert/jars/joda-convert-2.2.0.jar:/home/askartos/.ivy2/cache/org.yaml/snakeyaml/bundles/snakeyaml-1.26.jar:/home/askartos/.ivy2/cache/org.json4s/json4s-native_2.12/jars/json4s-native_2.12-3.6.9.jar:/home/askartos/.ivy2/cache/org.json4s/json4s-core_2.12/jars/json4s-core_2.12-3.6.9.jar:/home/askartos/.ivy2/cache/org.json4s/json4s-ast_2.12/jars/json4s-ast_2.12-3.6.9.jar:/home/askartos/.ivy2/cache/org.json4s/json4s-scalap_2.12/jars/json4s-scalap_2.12-3.6.9.jar:/home/askartos/.ivy2/cache/com.thoughtworks.paranamer/paranamer/bundles/paranamer-2.8.jar:/home/askartos/.ivy2/cache/org.apache.commons/commons-text/jars/commons-text-1.8.jar:/home/askartos/.ivy2/cache/org.apache.commons/commons-lang3/jars/commons-lang3-3.9.jar
[debug] Scala compilation took 7.722830117 s
[info] Done compiling.
[debug] Invalidating (transitively) by inheritance from fossiAES.aes...
[debug] Initial set of included nodes: Set(fossiAES.aes)
[debug] Invalidated by transitive inheritance dependency: Set(fossiAES.aes)
[debug] Change NamesChange(fossiAES.aes,ModifiedNames(changes = UsedName(cumbia,[Default]))) invalidates 1 classes due to The fossiAES.aes has the following regular definitions changed:
[debug]  UsedName(cumbia,[Default]).
[debug]  > by transitive inheritance: Set(fossiAES.aes)
[debug]  > 
[debug]  > 
[debug]  
[debug] New invalidations:
[debug]  Set()
[debug] Initial set of included nodes: Set()
[debug] Previously invalidated, but (transitively) depend on new invalidations:
[debug]  Set()
[debug] All newly invalidated classes after taking into account (previously) recompiled classes:Set()