blob: c7540a7b4129eea45561bfe4995b69f219e3157b [file] [log] [blame]
OpenROAD 8d53e9b018dec98fa63e907ddeb6c5406f035361
This program is licensed under the BSD-3 license. See the LICENSE file for details.
Components of this program may be licensed under more restrictive licenses which must be honored.
[INFO ODB-0222] Reading LEF file: /home/ali11-2000/FYP/mpw/UETRV-ECORE/openlane/Motor_Top/runs/Motor_Top/tmp/merged_unpadded.lef
[INFO ODB-0223] Created 13 technology layers
[INFO ODB-0224] Created 25 technology vias
[INFO ODB-0225] Created 441 library cells
[INFO ODB-0226] Finished LEF file: /home/ali11-2000/FYP/mpw/UETRV-ECORE/openlane/Motor_Top/runs/Motor_Top/tmp/merged_unpadded.lef
[INFO ODB-0127] Reading DEF file: /home/ali11-2000/FYP/mpw/UETRV-ECORE/openlane/Motor_Top/runs/Motor_Top/tmp/routing/18-fill.def
[INFO ODB-0128] Design: Motor_Top
[INFO ODB-0130] Created 97 pins.
[INFO ODB-0131] Created 32552 components and 145377 component-terminals.
[INFO ODB-0132] Created 2 special nets and 123660 connections.
[INFO ODB-0133] Created 5997 nets and 21717 connections.
[INFO ODB-0134] Finished DEF file: /home/ali11-2000/FYP/mpw/UETRV-ECORE/openlane/Motor_Top/runs/Motor_Top/tmp/routing/18-fill.def
###############################################################################
# Created by write_sdc
# Sun Mar 20 08:55:29 2022
###############################################################################
current_design Motor_Top
###############################################################################
# Timing Constraints
###############################################################################
create_clock -name clock -period 20.0000 [get_ports {clock}]
set_clock_transition 0.1500 [get_clocks {clock}]
set_clock_uncertainty 0.2500 clock
set_propagated_clock [get_clocks {clock}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_ba_match}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_qei_ch_a}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_qei_ch_b}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_addr[0]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_addr[10]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_addr[11]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_addr[12]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_addr[13]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_addr[14]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_addr[15]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_addr[1]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_addr[2]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_addr[3]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_addr[4]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_addr[5]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_addr[6]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_addr[7]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_addr[8]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_addr[9]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[0]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[10]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[11]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[12]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[13]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[14]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[15]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[16]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[17]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[18]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[19]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[1]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[20]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[21]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[22]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[23]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[24]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[25]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[26]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[27]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[28]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[29]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[2]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[30]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[31]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[3]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[4]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[5]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[6]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[7]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[8]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[9]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_sel[0]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_sel[1]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_sel[2]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_sel[3]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_stb}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_we}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {reset}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_motor_irq}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_pwm_high}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_pwm_low}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_ack_o}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[0]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[10]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[11]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[12]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[13]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[14]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[15]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[16]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[17]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[18]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[19]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[1]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[20]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[21]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[22]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[23]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[24]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[25]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[26]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[27]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[28]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[29]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[2]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[30]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[31]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[3]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[4]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[5]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[6]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[7]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[8]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[9]}]
###############################################################################
# Environment
###############################################################################
set_load -pin_load 0.0334 [get_ports {io_motor_irq}]
set_load -pin_load 0.0334 [get_ports {io_pwm_high}]
set_load -pin_load 0.0334 [get_ports {io_pwm_low}]
set_load -pin_load 0.0334 [get_ports {io_wbs_ack_o}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[31]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[30]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[29]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[28]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[27]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[26]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[25]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[24]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[23]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[22]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[21]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[20]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[19]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[18]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[17]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[16]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[15]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[14]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[13]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[12]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[11]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[10]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[9]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[8]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[7]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[6]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[5]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[4]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[3]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[2]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[1]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[0]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {clock}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_ba_match}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_qei_ch_a}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_qei_ch_b}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_stb}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_we}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {reset}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_addr[15]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_addr[14]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_addr[13]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_addr[12]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_addr[11]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_addr[10]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_addr[9]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_addr[8]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_addr[7]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_addr[6]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_addr[5]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_addr[4]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_addr[3]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_addr[2]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_addr[1]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_addr[0]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[31]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[30]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[29]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[28]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[27]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[26]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[25]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[24]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[23]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[22]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[21]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[20]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[19]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[18]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[17]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[16]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[15]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[14]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[13]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[12]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[11]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[10]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[9]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[8]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[7]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[6]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[5]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[4]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[3]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[2]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[1]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[0]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_sel[3]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_sel[2]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_sel[1]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_sel[0]}]
set_timing_derate -early 0.9500
set_timing_derate -late 1.0500
###############################################################################
# Design Rules
###############################################################################
set_max_fanout 5.0000 [current_design]
[INFO]: Setting signal min routing layer to: met1 and clock min routing layer to met1.
[INFO]: Setting signal max routing layer to: met4 and clock max routing layer to met4.
-congestion_iterations 50 -verbose
[INFO GRT-0020] Min routing layer: met1
[INFO GRT-0021] Max routing layer: met4
[INFO GRT-0022] Global adjustment: 30%
[INFO GRT-0023] Grid origin: (0, 0)
[WARNING GRT-0043] No OR_DEFAULT vias defined.
[INFO GRT-0088] Layer li1 Track-Pitch = 0.4600 line-2-Via Pitch: 0.3400
[INFO GRT-0088] Layer met1 Track-Pitch = 0.3400 line-2-Via Pitch: 0.3400
[INFO GRT-0088] Layer met2 Track-Pitch = 0.4600 line-2-Via Pitch: 0.3500
[INFO GRT-0088] Layer met3 Track-Pitch = 0.6800 line-2-Via Pitch: 0.6150
[INFO GRT-0088] Layer met4 Track-Pitch = 0.9200 line-2-Via Pitch: 0.6150
[INFO GRT-0019] Found 109 clock nets.
[INFO GRT-0001] Minimum degree: 2
[INFO GRT-0002] Maximum degree: 33
[INFO GRT-0003] Macros: 0
[INFO GRT-0004] Blockages: 7302
[INFO GRT-0053] Routing resources analysis:
Routing Original Derated Resource
Layer Direction Resources Resources Reduction (%)
---------------------------------------------------------------
li1 Vertical 0 0 0.00%
met1 Horizontal 103680 43310 58.23%
met2 Vertical 77760 51830 33.35%
met3 Horizontal 51840 36210 30.15%
met4 Vertical 36288 20874 42.48%
---------------------------------------------------------------
[INFO GRT-0101] Running extra iterations to remove overflow.
[INFO GRT-0197] Via related to pin nodes: 27071
[INFO GRT-0198] Via related Steiner nodes: 543
[INFO GRT-0199] Via filling finished.
[INFO GRT-0111] Final number of vias: 30479
[INFO GRT-0112] Final usage 3D: 123227
[INFO GRT-0096] Final congestion report:
Layer Resource Demand Usage (%) Max H / Max V / Total Overflow
---------------------------------------------------------------------------------------
li1 0 0 0.00% 0 / 0 / 0
met1 43310 13665 31.55% 0 / 0 / 0
met2 51830 14077 27.16% 0 / 0 / 0
met3 36210 2872 7.93% 0 / 0 / 0
met4 20874 1176 5.63% 0 / 0 / 0
---------------------------------------------------------------------------------------
Total 152224 31790 20.88% 0 / 0 / 0
[INFO GRT-0018] Total wirelength: 324679 um
[INFO GRT-0014] Routed nets: 5990
[INFO]: Setting RC values...
min_report
===========================================================================
report_checks -path_delay min (Hold)
============================================================================
Startpoint: _10727_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _10946_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.36 0.24 0.24 ^ clock (in)
2 0.08 clock (net)
0.36 0.00 0.24 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.21 0.45 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 clknet_0_clock (net)
0.05 0.00 0.45 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.11 0.56 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_0_0_clock (net)
0.05 0.00 0.56 ^ clkbuf_1_0_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.19 0.74 ^ clkbuf_1_0_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_1_0_1_clock (net)
0.17 0.00 0.74 ^ clkbuf_2_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.22 0.96 ^ clkbuf_2_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_2_1_0_clock (net)
0.16 0.00 0.96 ^ clkbuf_3_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.82 0.64 1.61 ^ clkbuf_3_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
22 0.15 clknet_3_2_0_clock (net)
0.82 0.01 1.61 ^ clkbuf_leaf_10_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.28 1.89 ^ clkbuf_leaf_10_clock/X (sky130_fd_sc_hd__clkbuf_16)
8 0.03 clknet_leaf_10_clock (net)
0.07 0.00 1.89 ^ _10727_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.14 0.38 2.27 ^ _10727_/Q (sky130_fd_sc_hd__dfxtp_2)
4 0.03 interlink._T_236[15] (net)
0.14 0.00 2.27 ^ hold4/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.17 0.20 2.47 ^ hold4/X (sky130_fd_sc_hd__dlymetal6s2s_1)
1 0.01 net91 (net)
0.17 0.00 2.47 ^ _10429_/A2 (sky130_fd_sc_hd__o221a_1)
0.06 0.19 2.66 ^ _10429_/X (sky130_fd_sc_hd__o221a_1)
1 0.00 _00405_ (net)
0.06 0.00 2.66 ^ _10946_/D (sky130_fd_sc_hd__dfxtp_1)
2.66 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.36 0.27 0.27 ^ clock (in)
2 0.08 clock (net)
0.36 0.00 0.27 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 0.50 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 clknet_0_clock (net)
0.05 0.00 0.50 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.12 0.62 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.05 0.00 0.62 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.17 0.78 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_1_1_1_clock (net)
0.12 0.00 0.78 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.25 1.03 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_2_3_0_clock (net)
0.19 0.00 1.03 ^ clkbuf_3_6_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.20 0.99 2.02 ^ clkbuf_3_6_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
32 0.23 clknet_3_6_0_clock (net)
1.20 0.01 2.03 ^ clkbuf_leaf_34_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.34 2.37 ^ clkbuf_leaf_34_clock/X (sky130_fd_sc_hd__clkbuf_16)
8 0.03 clknet_leaf_34_clock (net)
0.08 0.00 2.37 ^ _10946_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 2.62 clock uncertainty
-0.05 2.57 clock reconvergence pessimism
-0.03 2.54 library hold time
2.54 data required time
-----------------------------------------------------------------------------
2.54 data required time
-2.66 data arrival time
-----------------------------------------------------------------------------
0.12 slack (MET)
Startpoint: _10881_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _10628_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.36 0.24 0.24 ^ clock (in)
2 0.08 clock (net)
0.36 0.00 0.24 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.21 0.45 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 clknet_0_clock (net)
0.05 0.00 0.45 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.11 0.56 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.05 0.00 0.56 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.15 0.71 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_1_1_1_clock (net)
0.12 0.00 0.71 ^ clkbuf_2_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.20 0.23 0.94 ^ clkbuf_2_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_2_2_0_clock (net)
0.20 0.00 0.94 ^ clkbuf_3_5_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.74 0.60 1.54 ^ clkbuf_3_5_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
18 0.14 clknet_3_5_0_clock (net)
0.74 0.00 1.54 ^ _10881_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.11 0.48 2.02 ^ _10881_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 pid._T_110 (net)
0.11 0.00 2.02 ^ _07806_/C1 (sky130_fd_sc_hd__a211o_4)
0.12 0.17 2.19 ^ _07806_/X (sky130_fd_sc_hd__a211o_4)
6 0.04 _02822_ (net)
0.12 0.00 2.19 ^ _07850_/A (sky130_fd_sc_hd__buf_4)
0.15 0.21 2.40 ^ _07850_/X (sky130_fd_sc_hd__buf_4)
5 0.05 _02856_ (net)
0.15 0.00 2.40 ^ _07860_/B1 (sky130_fd_sc_hd__o221ai_4)
0.08 0.13 2.52 v _07860_/Y (sky130_fd_sc_hd__o221ai_4)
1 0.01 _02864_ (net)
0.08 0.00 2.52 v _07861_/C1 (sky130_fd_sc_hd__o211a_1)
0.03 0.11 2.64 v _07861_/X (sky130_fd_sc_hd__o211a_1)
1 0.00 _00088_ (net)
0.03 0.00 2.64 v _10628_/D (sky130_fd_sc_hd__dfxtp_1)
2.64 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.36 0.27 0.27 ^ clock (in)
2 0.08 clock (net)
0.36 0.00 0.27 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 0.50 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 clknet_0_clock (net)
0.05 0.00 0.50 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.12 0.62 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.05 0.00 0.62 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.17 0.78 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_1_1_1_clock (net)
0.12 0.00 0.78 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.25 1.03 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_2_3_0_clock (net)
0.19 0.00 1.03 ^ clkbuf_3_6_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.20 0.99 2.02 ^ clkbuf_3_6_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
32 0.23 clknet_3_6_0_clock (net)
1.20 0.01 2.03 ^ clkbuf_leaf_38_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.35 2.37 ^ clkbuf_leaf_38_clock/X (sky130_fd_sc_hd__clkbuf_16)
10 0.04 clknet_leaf_38_clock (net)
0.08 0.00 2.38 ^ _10628_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 2.63 clock uncertainty
-0.07 2.55 clock reconvergence pessimism
-0.04 2.51 library hold time
2.51 data required time
-----------------------------------------------------------------------------
2.51 data required time
-2.64 data arrival time
-----------------------------------------------------------------------------
0.12 slack (MET)
Startpoint: _10881_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _10627_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.36 0.24 0.24 ^ clock (in)
2 0.08 clock (net)
0.36 0.00 0.24 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.21 0.45 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 clknet_0_clock (net)
0.05 0.00 0.45 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.11 0.56 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.05 0.00 0.56 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.15 0.71 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_1_1_1_clock (net)
0.12 0.00 0.71 ^ clkbuf_2_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.20 0.23 0.94 ^ clkbuf_2_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_2_2_0_clock (net)
0.20 0.00 0.94 ^ clkbuf_3_5_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.74 0.60 1.54 ^ clkbuf_3_5_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
18 0.14 clknet_3_5_0_clock (net)
0.74 0.00 1.54 ^ _10881_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.11 0.48 2.02 ^ _10881_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 pid._T_110 (net)
0.11 0.00 2.02 ^ _07806_/C1 (sky130_fd_sc_hd__a211o_4)
0.12 0.17 2.19 ^ _07806_/X (sky130_fd_sc_hd__a211o_4)
6 0.04 _02822_ (net)
0.12 0.00 2.19 ^ _07850_/A (sky130_fd_sc_hd__buf_4)
0.15 0.21 2.40 ^ _07850_/X (sky130_fd_sc_hd__buf_4)
5 0.05 _02856_ (net)
0.15 0.00 2.40 ^ _07857_/B1 (sky130_fd_sc_hd__o221ai_4)
0.08 0.13 2.53 v _07857_/Y (sky130_fd_sc_hd__o221ai_4)
1 0.01 _02862_ (net)
0.08 0.00 2.53 v _07858_/C1 (sky130_fd_sc_hd__o211a_1)
0.03 0.12 2.65 v _07858_/X (sky130_fd_sc_hd__o211a_1)
1 0.00 _00087_ (net)
0.03 0.00 2.65 v _10627_/D (sky130_fd_sc_hd__dfxtp_1)
2.65 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.36 0.27 0.27 ^ clock (in)
2 0.08 clock (net)
0.36 0.00 0.27 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 0.50 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 clknet_0_clock (net)
0.05 0.00 0.50 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.12 0.62 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.05 0.00 0.62 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.17 0.78 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_1_1_1_clock (net)
0.12 0.00 0.78 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.25 1.03 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_2_3_0_clock (net)
0.19 0.00 1.03 ^ clkbuf_3_6_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.20 0.99 2.02 ^ clkbuf_3_6_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
32 0.23 clknet_3_6_0_clock (net)
1.20 0.01 2.03 ^ clkbuf_leaf_38_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.35 2.37 ^ clkbuf_leaf_38_clock/X (sky130_fd_sc_hd__clkbuf_16)
10 0.04 clknet_leaf_38_clock (net)
0.08 0.00 2.38 ^ _10627_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 2.63 clock uncertainty
-0.07 2.55 clock reconvergence pessimism
-0.04 2.51 library hold time
2.51 data required time
-----------------------------------------------------------------------------
2.51 data required time
-2.65 data arrival time
-----------------------------------------------------------------------------
0.13 slack (MET)
Startpoint: _10881_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _10630_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.36 0.24 0.24 ^ clock (in)
2 0.08 clock (net)
0.36 0.00 0.24 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.21 0.45 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 clknet_0_clock (net)
0.05 0.00 0.45 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.11 0.56 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.05 0.00 0.56 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.15 0.71 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_1_1_1_clock (net)
0.12 0.00 0.71 ^ clkbuf_2_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.20 0.23 0.94 ^ clkbuf_2_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_2_2_0_clock (net)
0.20 0.00 0.94 ^ clkbuf_3_5_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.74 0.60 1.54 ^ clkbuf_3_5_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
18 0.14 clknet_3_5_0_clock (net)
0.74 0.00 1.54 ^ _10881_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.11 0.48 2.02 ^ _10881_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 pid._T_110 (net)
0.11 0.00 2.02 ^ _07806_/C1 (sky130_fd_sc_hd__a211o_4)
0.12 0.17 2.19 ^ _07806_/X (sky130_fd_sc_hd__a211o_4)
6 0.04 _02822_ (net)
0.12 0.00 2.19 ^ _07850_/A (sky130_fd_sc_hd__buf_4)
0.15 0.21 2.40 ^ _07850_/X (sky130_fd_sc_hd__buf_4)
5 0.05 _02856_ (net)
0.15 0.00 2.40 ^ _07867_/B1 (sky130_fd_sc_hd__o221ai_4)
0.07 0.13 2.52 v _07867_/Y (sky130_fd_sc_hd__o221ai_4)
1 0.01 _02869_ (net)
0.07 0.00 2.52 v _07868_/C1 (sky130_fd_sc_hd__o211a_1)
0.04 0.12 2.64 v _07868_/X (sky130_fd_sc_hd__o211a_1)
1 0.01 _00090_ (net)
0.04 0.00 2.64 v _10630_/D (sky130_fd_sc_hd__dfxtp_1)
2.64 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.36 0.27 0.27 ^ clock (in)
2 0.08 clock (net)
0.36 0.00 0.27 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 0.50 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 clknet_0_clock (net)
0.05 0.00 0.50 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.12 0.62 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.05 0.00 0.62 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.17 0.78 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_1_1_1_clock (net)
0.12 0.00 0.78 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.25 1.03 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_2_3_0_clock (net)
0.19 0.00 1.03 ^ clkbuf_3_6_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.20 0.99 2.02 ^ clkbuf_3_6_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
32 0.23 clknet_3_6_0_clock (net)
1.20 0.01 2.03 ^ clkbuf_leaf_38_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.35 2.37 ^ clkbuf_leaf_38_clock/X (sky130_fd_sc_hd__clkbuf_16)
10 0.04 clknet_leaf_38_clock (net)
0.08 0.00 2.38 ^ _10630_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 2.63 clock uncertainty
-0.07 2.55 clock reconvergence pessimism
-0.04 2.51 library hold time
2.51 data required time
-----------------------------------------------------------------------------
2.51 data required time
-2.64 data arrival time
-----------------------------------------------------------------------------
0.14 slack (MET)
Startpoint: _10881_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _10626_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.36 0.24 0.24 ^ clock (in)
2 0.08 clock (net)
0.36 0.00 0.24 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.21 0.45 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 clknet_0_clock (net)
0.05 0.00 0.45 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.11 0.56 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.05 0.00 0.56 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.15 0.71 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_1_1_1_clock (net)
0.12 0.00 0.71 ^ clkbuf_2_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.20 0.23 0.94 ^ clkbuf_2_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_2_2_0_clock (net)
0.20 0.00 0.94 ^ clkbuf_3_5_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.74 0.60 1.54 ^ clkbuf_3_5_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
18 0.14 clknet_3_5_0_clock (net)
0.74 0.00 1.54 ^ _10881_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.11 0.48 2.02 ^ _10881_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 pid._T_110 (net)
0.11 0.00 2.02 ^ _07806_/C1 (sky130_fd_sc_hd__a211o_4)
0.12 0.17 2.19 ^ _07806_/X (sky130_fd_sc_hd__a211o_4)
6 0.04 _02822_ (net)
0.12 0.00 2.19 ^ _07850_/A (sky130_fd_sc_hd__buf_4)
0.15 0.21 2.40 ^ _07850_/X (sky130_fd_sc_hd__buf_4)
5 0.05 _02856_ (net)
0.15 0.00 2.40 ^ _07854_/B1 (sky130_fd_sc_hd__o221ai_4)
0.08 0.13 2.53 v _07854_/Y (sky130_fd_sc_hd__o221ai_4)
1 0.01 _02860_ (net)
0.08 0.00 2.53 v _07855_/C1 (sky130_fd_sc_hd__o211a_1)
0.03 0.12 2.65 v _07855_/X (sky130_fd_sc_hd__o211a_1)
1 0.00 _00086_ (net)
0.03 0.00 2.65 v _10626_/D (sky130_fd_sc_hd__dfxtp_1)
2.65 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.36 0.27 0.27 ^ clock (in)
2 0.08 clock (net)
0.36 0.00 0.27 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 0.50 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 clknet_0_clock (net)
0.05 0.00 0.50 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.12 0.62 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.05 0.00 0.62 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.17 0.78 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_1_1_1_clock (net)
0.12 0.00 0.78 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.25 1.03 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_2_3_0_clock (net)
0.19 0.00 1.03 ^ clkbuf_3_6_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.20 0.99 2.02 ^ clkbuf_3_6_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
32 0.23 clknet_3_6_0_clock (net)
1.20 0.01 2.03 ^ clkbuf_leaf_35_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.33 2.36 ^ clkbuf_leaf_35_clock/X (sky130_fd_sc_hd__clkbuf_16)
6 0.02 clknet_leaf_35_clock (net)
0.07 0.00 2.36 ^ _10626_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 2.61 clock uncertainty
-0.07 2.54 clock reconvergence pessimism
-0.04 2.49 library hold time
2.49 data required time
-----------------------------------------------------------------------------
2.49 data required time
-2.65 data arrival time
-----------------------------------------------------------------------------
0.16 slack (MET)
min_report_end
max_report
===========================================================================
report_checks -path_delay max (Setup)
============================================================================
Startpoint: _10921_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _10619_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.36 0.27 0.27 ^ clock (in)
2 0.08 clock (net)
0.36 0.00 0.27 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 0.50 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 clknet_0_clock (net)
0.05 0.00 0.50 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.12 0.62 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.05 0.00 0.62 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.17 0.78 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_1_1_1_clock (net)
0.12 0.00 0.78 ^ clkbuf_2_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.20 0.25 1.04 ^ clkbuf_2_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_2_2_0_clock (net)
0.20 0.00 1.04 ^ clkbuf_3_4_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.08 0.91 1.95 ^ clkbuf_3_4_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
28 0.20 clknet_3_4_0_clock (net)
1.08 0.01 1.95 ^ clkbuf_leaf_81_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.33 2.28 ^ clkbuf_leaf_81_clock/X (sky130_fd_sc_hd__clkbuf_16)
6 0.03 clknet_leaf_81_clock (net)
0.07 0.00 2.28 ^ _10921_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.08 0.39 2.67 v _10921_/Q (sky130_fd_sc_hd__dfxtp_2)
4 0.03 pid.sigma_old[6] (net)
0.08 0.00 2.67 v _06301_/A (sky130_fd_sc_hd__xnor2_4)
0.09 0.19 2.86 v _06301_/Y (sky130_fd_sc_hd__xnor2_4)
3 0.02 _01317_ (net)
0.09 0.00 2.86 v _06302_/A (sky130_fd_sc_hd__or3b_1)
0.08 0.43 3.29 v _06302_/X (sky130_fd_sc_hd__or3b_1)
2 0.01 _01318_ (net)
0.08 0.00 3.29 v _06305_/C (sky130_fd_sc_hd__or3_1)
0.08 0.35 3.64 v _06305_/X (sky130_fd_sc_hd__or3_1)
1 0.01 _01321_ (net)
0.08 0.00 3.64 v _06306_/B1 (sky130_fd_sc_hd__a311o_1)
0.10 0.40 4.03 v _06306_/X (sky130_fd_sc_hd__a311o_1)
2 0.01 _01322_ (net)
0.10 0.00 4.03 v _06310_/A3 (sky130_fd_sc_hd__a31o_2)
0.08 0.33 4.36 v _06310_/X (sky130_fd_sc_hd__a31o_2)
3 0.02 _01326_ (net)
0.08 0.00 4.36 v _06325_/A1 (sky130_fd_sc_hd__o311ai_4)
0.41 0.47 4.83 ^ _06325_/Y (sky130_fd_sc_hd__o311ai_4)
3 0.03 _01341_ (net)
0.41 0.00 4.84 ^ _06326_/B2 (sky130_fd_sc_hd__a221o_1)
0.09 0.26 5.09 ^ _06326_/X (sky130_fd_sc_hd__a221o_1)
2 0.01 _01342_ (net)
0.09 0.00 5.09 ^ _06328_/A3 (sky130_fd_sc_hd__a31o_2)
0.14 0.25 5.34 ^ _06328_/X (sky130_fd_sc_hd__a31o_2)
2 0.02 _01344_ (net)
0.14 0.00 5.34 ^ _06342_/A (sky130_fd_sc_hd__xor2_2)
0.11 0.13 5.47 v _06342_/X (sky130_fd_sc_hd__xor2_2)
4 0.02 _01358_ (net)
0.11 0.00 5.47 v _06344_/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.10 0.23 5.71 v _06344_/X (sky130_fd_sc_hd__dlymetal6s2s_1)
5 0.02 _01360_ (net)
0.10 0.00 5.71 v _06360_/C (sky130_fd_sc_hd__or3_1)
0.10 0.39 6.10 v _06360_/X (sky130_fd_sc_hd__or3_1)
2 0.01 _01376_ (net)
0.10 0.00 6.10 v _06559_/B1 (sky130_fd_sc_hd__o2111a_1)
0.07 0.20 6.30 v _06559_/X (sky130_fd_sc_hd__o2111a_1)
2 0.01 _01575_ (net)
0.07 0.00 6.30 v _06560_/B1 (sky130_fd_sc_hd__a31o_1)
0.11 0.27 6.57 v _06560_/X (sky130_fd_sc_hd__a31o_1)
4 0.02 _01576_ (net)
0.11 0.00 6.57 v _06562_/B (sky130_fd_sc_hd__and3_1)
0.05 0.21 6.77 v _06562_/X (sky130_fd_sc_hd__and3_1)
2 0.00 _01578_ (net)
0.05 0.00 6.77 v _06564_/B (sky130_fd_sc_hd__or3_1)
0.11 0.42 7.19 v _06564_/X (sky130_fd_sc_hd__or3_1)
3 0.01 _01580_ (net)
0.11 0.00 7.19 v _06571_/C (sky130_fd_sc_hd__and3_1)
0.05 0.22 7.42 v _06571_/X (sky130_fd_sc_hd__and3_1)
2 0.01 _01587_ (net)
0.05 0.00 7.42 v _06594_/A (sky130_fd_sc_hd__or3b_1)
0.11 0.45 7.86 v _06594_/X (sky130_fd_sc_hd__or3b_1)
3 0.01 _01610_ (net)
0.11 0.00 7.86 v _06595_/B1_N (sky130_fd_sc_hd__a21boi_1)
0.11 0.18 8.04 v _06595_/Y (sky130_fd_sc_hd__a21boi_1)
2 0.01 _01611_ (net)
0.11 0.00 8.05 v _06599_/B (sky130_fd_sc_hd__xnor2_1)
0.27 0.28 8.33 ^ _06599_/Y (sky130_fd_sc_hd__xnor2_1)
2 0.01 _01615_ (net)
0.27 0.00 8.33 ^ _06603_/A (sky130_fd_sc_hd__xor2_1)
0.22 0.26 8.59 ^ _06603_/X (sky130_fd_sc_hd__xor2_1)
2 0.01 _01619_ (net)
0.22 0.00 8.59 ^ _06617_/A1 (sky130_fd_sc_hd__a21o_1)
0.10 0.20 8.79 ^ _06617_/X (sky130_fd_sc_hd__a21o_1)
2 0.01 _01633_ (net)
0.10 0.00 8.79 ^ _06619_/A2 (sky130_fd_sc_hd__a21o_1)
0.19 0.25 9.04 ^ _06619_/X (sky130_fd_sc_hd__a21o_1)
4 0.02 _01635_ (net)
0.19 0.00 9.04 ^ _06662_/A1 (sky130_fd_sc_hd__a21oi_1)
0.10 0.13 9.17 v _06662_/Y (sky130_fd_sc_hd__a21oi_1)
1 0.01 _01678_ (net)
0.10 0.00 9.17 v _06663_/B (sky130_fd_sc_hd__xnor2_1)
0.31 0.31 9.48 ^ _06663_/Y (sky130_fd_sc_hd__xnor2_1)
2 0.01 _01679_ (net)
0.31 0.00 9.48 ^ _06695_/B (sky130_fd_sc_hd__xor2_1)
0.22 0.26 9.74 ^ _06695_/X (sky130_fd_sc_hd__xor2_1)
2 0.01 _01711_ (net)
0.22 0.00 9.74 ^ _06697_/A (sky130_fd_sc_hd__xnor2_1)
0.30 0.22 9.96 ^ _06697_/Y (sky130_fd_sc_hd__xnor2_1)
2 0.01 _01713_ (net)
0.30 0.00 9.96 ^ _06699_/A2 (sky130_fd_sc_hd__a21o_1)
0.14 0.25 10.21 ^ _06699_/X (sky130_fd_sc_hd__a21o_1)
2 0.01 _01715_ (net)
0.14 0.00 10.21 ^ _06701_/A2 (sky130_fd_sc_hd__a21o_1)
0.20 0.26 10.47 ^ _06701_/X (sky130_fd_sc_hd__a21o_1)
2 0.02 _01717_ (net)
0.20 0.00 10.48 ^ _06893_/B (sky130_fd_sc_hd__xor2_4)
0.27 0.30 10.78 ^ _06893_/X (sky130_fd_sc_hd__xor2_4)
2 0.03 _01909_ (net)
0.27 0.00 10.78 ^ _06894_/B (sky130_fd_sc_hd__xor2_4)
0.24 0.28 11.06 ^ _06894_/X (sky130_fd_sc_hd__xor2_4)
2 0.03 _01910_ (net)
0.24 0.00 11.06 ^ _06899_/A (sky130_fd_sc_hd__xnor2_4)
0.09 0.14 11.21 v _06899_/Y (sky130_fd_sc_hd__xnor2_4)
2 0.02 _01915_ (net)
0.09 0.00 11.21 v _06908_/A (sky130_fd_sc_hd__xor2_4)
0.11 0.23 11.44 v _06908_/X (sky130_fd_sc_hd__xor2_4)
2 0.02 _01924_ (net)
0.11 0.00 11.44 v _06929_/A1 (sky130_fd_sc_hd__a21o_2)
0.08 0.28 11.71 v _06929_/X (sky130_fd_sc_hd__a21o_2)
2 0.02 _01945_ (net)
0.08 0.00 11.71 v _06931_/A2 (sky130_fd_sc_hd__a21o_2)
0.08 0.29 12.00 v _06931_/X (sky130_fd_sc_hd__a21o_2)
2 0.02 _01947_ (net)
0.08 0.00 12.00 v _06933_/A2 (sky130_fd_sc_hd__a21o_1)
0.10 0.27 12.27 v _06933_/X (sky130_fd_sc_hd__a21o_1)
2 0.02 _01949_ (net)
0.10 0.00 12.27 v _06934_/B (sky130_fd_sc_hd__xor2_4)
0.09 0.20 12.48 v _06934_/X (sky130_fd_sc_hd__xor2_4)
2 0.02 _01950_ (net)
0.09 0.00 12.48 v _06966_/A (sky130_fd_sc_hd__xnor2_2)
0.24 0.27 12.75 ^ _06966_/Y (sky130_fd_sc_hd__xnor2_2)
2 0.02 _01982_ (net)
0.24 0.00 12.75 ^ _07605_/A (sky130_fd_sc_hd__xor2_2)
0.12 0.15 12.90 v _07605_/X (sky130_fd_sc_hd__xor2_2)
2 0.01 _02621_ (net)
0.12 0.00 12.90 v _07709_/A1 (sky130_fd_sc_hd__o21ai_2)
0.22 0.27 13.17 ^ _07709_/Y (sky130_fd_sc_hd__o21ai_2)
3 0.02 _02725_ (net)
0.22 0.00 13.17 ^ _07805_/A_N (sky130_fd_sc_hd__and3b_1)
0.05 0.23 13.40 v _07805_/X (sky130_fd_sc_hd__and3b_1)
1 0.01 _02821_ (net)
0.05 0.00 13.40 v _07806_/B1 (sky130_fd_sc_hd__a211o_4)
0.10 0.39 13.79 v _07806_/X (sky130_fd_sc_hd__a211o_4)
6 0.04 _02822_ (net)
0.10 0.00 13.80 v _07807_/A (sky130_fd_sc_hd__buf_4)
0.08 0.22 14.02 v _07807_/X (sky130_fd_sc_hd__buf_4)
5 0.05 _02823_ (net)
0.08 0.00 14.02 v _07821_/B1 (sky130_fd_sc_hd__o221ai_4)
0.30 0.29 14.31 ^ _07821_/Y (sky130_fd_sc_hd__o221ai_4)
2 0.03 _02834_ (net)
0.30 0.00 14.31 ^ _07822_/C1 (sky130_fd_sc_hd__o211a_1)
0.09 0.25 14.56 ^ _07822_/X (sky130_fd_sc_hd__o211a_1)
1 0.01 _00079_ (net)
0.09 0.00 14.56 ^ _10619_/D (sky130_fd_sc_hd__dfxtp_1)
14.56 data arrival time
20.00 20.00 clock clock (rise edge)
0.00 20.00 clock source latency
0.36 0.24 20.24 ^ clock (in)
2 0.08 clock (net)
0.36 0.00 20.24 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.21 20.45 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 clknet_0_clock (net)
0.05 0.00 20.45 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.11 20.56 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_0_0_clock (net)
0.05 0.00 20.56 ^ clkbuf_1_0_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.19 20.74 ^ clkbuf_1_0_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_1_0_1_clock (net)
0.17 0.00 20.74 ^ clkbuf_2_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.22 20.96 ^ clkbuf_2_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_2_1_0_clock (net)
0.16 0.00 20.96 ^ clkbuf_3_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.82 0.64 21.61 ^ clkbuf_3_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
22 0.15 clknet_3_2_0_clock (net)
0.82 0.01 21.61 ^ clkbuf_leaf_10_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.28 21.89 ^ clkbuf_leaf_10_clock/X (sky130_fd_sc_hd__clkbuf_16)
8 0.03 clknet_leaf_10_clock (net)
0.07 0.00 21.89 ^ _10619_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 21.64 clock uncertainty
0.05 21.69 clock reconvergence pessimism
-0.06 21.63 library setup time
21.63 data required time
-----------------------------------------------------------------------------
21.63 data required time
-14.56 data arrival time
-----------------------------------------------------------------------------
7.07 slack (MET)
Startpoint: _10921_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _10617_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.36 0.27 0.27 ^ clock (in)
2 0.08 clock (net)
0.36 0.00 0.27 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 0.50 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 clknet_0_clock (net)
0.05 0.00 0.50 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.12 0.62 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.05 0.00 0.62 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.17 0.78 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_1_1_1_clock (net)
0.12 0.00 0.78 ^ clkbuf_2_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.20 0.25 1.04 ^ clkbuf_2_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_2_2_0_clock (net)
0.20 0.00 1.04 ^ clkbuf_3_4_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.08 0.91 1.95 ^ clkbuf_3_4_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
28 0.20 clknet_3_4_0_clock (net)
1.08 0.01 1.95 ^ clkbuf_leaf_81_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.33 2.28 ^ clkbuf_leaf_81_clock/X (sky130_fd_sc_hd__clkbuf_16)
6 0.03 clknet_leaf_81_clock (net)
0.07 0.00 2.28 ^ _10921_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.08 0.39 2.67 v _10921_/Q (sky130_fd_sc_hd__dfxtp_2)
4 0.03 pid.sigma_old[6] (net)
0.08 0.00 2.67 v _06301_/A (sky130_fd_sc_hd__xnor2_4)
0.09 0.19 2.86 v _06301_/Y (sky130_fd_sc_hd__xnor2_4)
3 0.02 _01317_ (net)
0.09 0.00 2.86 v _06302_/A (sky130_fd_sc_hd__or3b_1)
0.08 0.43 3.29 v _06302_/X (sky130_fd_sc_hd__or3b_1)
2 0.01 _01318_ (net)
0.08 0.00 3.29 v _06305_/C (sky130_fd_sc_hd__or3_1)
0.08 0.35 3.64 v _06305_/X (sky130_fd_sc_hd__or3_1)
1 0.01 _01321_ (net)
0.08 0.00 3.64 v _06306_/B1 (sky130_fd_sc_hd__a311o_1)
0.10 0.40 4.03 v _06306_/X (sky130_fd_sc_hd__a311o_1)
2 0.01 _01322_ (net)
0.10 0.00 4.03 v _06310_/A3 (sky130_fd_sc_hd__a31o_2)
0.08 0.33 4.36 v _06310_/X (sky130_fd_sc_hd__a31o_2)
3 0.02 _01326_ (net)
0.08 0.00 4.36 v _06325_/A1 (sky130_fd_sc_hd__o311ai_4)
0.41 0.47 4.83 ^ _06325_/Y (sky130_fd_sc_hd__o311ai_4)
3 0.03 _01341_ (net)
0.41 0.00 4.84 ^ _06326_/B2 (sky130_fd_sc_hd__a221o_1)
0.09 0.26 5.09 ^ _06326_/X (sky130_fd_sc_hd__a221o_1)
2 0.01 _01342_ (net)
0.09 0.00 5.09 ^ _06328_/A3 (sky130_fd_sc_hd__a31o_2)
0.14 0.25 5.34 ^ _06328_/X (sky130_fd_sc_hd__a31o_2)
2 0.02 _01344_ (net)
0.14 0.00 5.34 ^ _06342_/A (sky130_fd_sc_hd__xor2_2)
0.11 0.13 5.47 v _06342_/X (sky130_fd_sc_hd__xor2_2)
4 0.02 _01358_ (net)
0.11 0.00 5.47 v _06344_/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.10 0.23 5.71 v _06344_/X (sky130_fd_sc_hd__dlymetal6s2s_1)
5 0.02 _01360_ (net)
0.10 0.00 5.71 v _06360_/C (sky130_fd_sc_hd__or3_1)
0.10 0.39 6.10 v _06360_/X (sky130_fd_sc_hd__or3_1)
2 0.01 _01376_ (net)
0.10 0.00 6.10 v _06559_/B1 (sky130_fd_sc_hd__o2111a_1)
0.07 0.20 6.30 v _06559_/X (sky130_fd_sc_hd__o2111a_1)
2 0.01 _01575_ (net)
0.07 0.00 6.30 v _06560_/B1 (sky130_fd_sc_hd__a31o_1)
0.11 0.27 6.57 v _06560_/X (sky130_fd_sc_hd__a31o_1)
4 0.02 _01576_ (net)
0.11 0.00 6.57 v _06562_/B (sky130_fd_sc_hd__and3_1)
0.05 0.21 6.77 v _06562_/X (sky130_fd_sc_hd__and3_1)
2 0.00 _01578_ (net)
0.05 0.00 6.77 v _06564_/B (sky130_fd_sc_hd__or3_1)
0.11 0.42 7.19 v _06564_/X (sky130_fd_sc_hd__or3_1)
3 0.01 _01580_ (net)
0.11 0.00 7.19 v _06571_/C (sky130_fd_sc_hd__and3_1)
0.05 0.22 7.42 v _06571_/X (sky130_fd_sc_hd__and3_1)
2 0.01 _01587_ (net)
0.05 0.00 7.42 v _06594_/A (sky130_fd_sc_hd__or3b_1)
0.11 0.45 7.86 v _06594_/X (sky130_fd_sc_hd__or3b_1)
3 0.01 _01610_ (net)
0.11 0.00 7.86 v _06595_/B1_N (sky130_fd_sc_hd__a21boi_1)
0.11 0.18 8.04 v _06595_/Y (sky130_fd_sc_hd__a21boi_1)
2 0.01 _01611_ (net)
0.11 0.00 8.05 v _06599_/B (sky130_fd_sc_hd__xnor2_1)
0.27 0.28 8.33 ^ _06599_/Y (sky130_fd_sc_hd__xnor2_1)
2 0.01 _01615_ (net)
0.27 0.00 8.33 ^ _06603_/A (sky130_fd_sc_hd__xor2_1)
0.22 0.26 8.59 ^ _06603_/X (sky130_fd_sc_hd__xor2_1)
2 0.01 _01619_ (net)
0.22 0.00 8.59 ^ _06617_/A1 (sky130_fd_sc_hd__a21o_1)
0.10 0.20 8.79 ^ _06617_/X (sky130_fd_sc_hd__a21o_1)
2 0.01 _01633_ (net)
0.10 0.00 8.79 ^ _06619_/A2 (sky130_fd_sc_hd__a21o_1)
0.19 0.25 9.04 ^ _06619_/X (sky130_fd_sc_hd__a21o_1)
4 0.02 _01635_ (net)
0.19 0.00 9.04 ^ _06662_/A1 (sky130_fd_sc_hd__a21oi_1)
0.10 0.13 9.17 v _06662_/Y (sky130_fd_sc_hd__a21oi_1)
1 0.01 _01678_ (net)
0.10 0.00 9.17 v _06663_/B (sky130_fd_sc_hd__xnor2_1)
0.31 0.31 9.48 ^ _06663_/Y (sky130_fd_sc_hd__xnor2_1)
2 0.01 _01679_ (net)
0.31 0.00 9.48 ^ _06695_/B (sky130_fd_sc_hd__xor2_1)
0.22 0.26 9.74 ^ _06695_/X (sky130_fd_sc_hd__xor2_1)
2 0.01 _01711_ (net)
0.22 0.00 9.74 ^ _06697_/A (sky130_fd_sc_hd__xnor2_1)
0.30 0.22 9.96 ^ _06697_/Y (sky130_fd_sc_hd__xnor2_1)
2 0.01 _01713_ (net)
0.30 0.00 9.96 ^ _06699_/A2 (sky130_fd_sc_hd__a21o_1)
0.14 0.25 10.21 ^ _06699_/X (sky130_fd_sc_hd__a21o_1)
2 0.01 _01715_ (net)
0.14 0.00 10.21 ^ _06701_/A2 (sky130_fd_sc_hd__a21o_1)
0.20 0.26 10.47 ^ _06701_/X (sky130_fd_sc_hd__a21o_1)
2 0.02 _01717_ (net)
0.20 0.00 10.48 ^ _06893_/B (sky130_fd_sc_hd__xor2_4)
0.27 0.30 10.78 ^ _06893_/X (sky130_fd_sc_hd__xor2_4)
2 0.03 _01909_ (net)
0.27 0.00 10.78 ^ _06894_/B (sky130_fd_sc_hd__xor2_4)
0.24 0.28 11.06 ^ _06894_/X (sky130_fd_sc_hd__xor2_4)
2 0.03 _01910_ (net)
0.24 0.00 11.06 ^ _06899_/A (sky130_fd_sc_hd__xnor2_4)
0.09 0.14 11.21 v _06899_/Y (sky130_fd_sc_hd__xnor2_4)
2 0.02 _01915_ (net)
0.09 0.00 11.21 v _06908_/A (sky130_fd_sc_hd__xor2_4)
0.11 0.23 11.44 v _06908_/X (sky130_fd_sc_hd__xor2_4)
2 0.02 _01924_ (net)
0.11 0.00 11.44 v _06929_/A1 (sky130_fd_sc_hd__a21o_2)
0.08 0.28 11.71 v _06929_/X (sky130_fd_sc_hd__a21o_2)
2 0.02 _01945_ (net)
0.08 0.00 11.71 v _06931_/A2 (sky130_fd_sc_hd__a21o_2)
0.08 0.29 12.00 v _06931_/X (sky130_fd_sc_hd__a21o_2)
2 0.02 _01947_ (net)
0.08 0.00 12.00 v _06933_/A2 (sky130_fd_sc_hd__a21o_1)
0.10 0.27 12.27 v _06933_/X (sky130_fd_sc_hd__a21o_1)
2 0.02 _01949_ (net)
0.10 0.00 12.27 v _06934_/B (sky130_fd_sc_hd__xor2_4)
0.09 0.20 12.48 v _06934_/X (sky130_fd_sc_hd__xor2_4)
2 0.02 _01950_ (net)
0.09 0.00 12.48 v _06966_/A (sky130_fd_sc_hd__xnor2_2)
0.24 0.27 12.75 ^ _06966_/Y (sky130_fd_sc_hd__xnor2_2)
2 0.02 _01982_ (net)
0.24 0.00 12.75 ^ _07605_/A (sky130_fd_sc_hd__xor2_2)
0.12 0.15 12.90 v _07605_/X (sky130_fd_sc_hd__xor2_2)
2 0.01 _02621_ (net)
0.12 0.00 12.90 v _07709_/A1 (sky130_fd_sc_hd__o21ai_2)
0.22 0.27 13.17 ^ _07709_/Y (sky130_fd_sc_hd__o21ai_2)
3 0.02 _02725_ (net)
0.22 0.00 13.17 ^ _07805_/A_N (sky130_fd_sc_hd__and3b_1)
0.05 0.23 13.40 v _07805_/X (sky130_fd_sc_hd__and3b_1)
1 0.01 _02821_ (net)
0.05 0.00 13.40 v _07806_/B1 (sky130_fd_sc_hd__a211o_4)
0.10 0.39 13.79 v _07806_/X (sky130_fd_sc_hd__a211o_4)
6 0.04 _02822_ (net)
0.10 0.00 13.80 v _07807_/A (sky130_fd_sc_hd__buf_4)
0.08 0.22 14.02 v _07807_/X (sky130_fd_sc_hd__buf_4)
5 0.05 _02823_ (net)
0.08 0.00 14.02 v _07815_/B1 (sky130_fd_sc_hd__o221ai_4)
0.28 0.27 14.29 ^ _07815_/Y (sky130_fd_sc_hd__o221ai_4)
2 0.02 _02830_ (net)
0.28 0.00 14.30 ^ _07816_/C1 (sky130_fd_sc_hd__o211a_1)
0.10 0.25 14.55 ^ _07816_/X (sky130_fd_sc_hd__o211a_1)
1 0.01 _00077_ (net)
0.10 0.00 14.55 ^ _10617_/D (sky130_fd_sc_hd__dfxtp_1)
14.55 data arrival time
20.00 20.00 clock clock (rise edge)
0.00 20.00 clock source latency
0.36 0.24 20.24 ^ clock (in)
2 0.08 clock (net)
0.36 0.00 20.24 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.21 20.45 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 clknet_0_clock (net)
0.05 0.00 20.45 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.11 20.56 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_0_0_clock (net)
0.05 0.00 20.56 ^ clkbuf_1_0_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.19 20.74 ^ clkbuf_1_0_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_1_0_1_clock (net)
0.17 0.00 20.74 ^ clkbuf_2_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.22 20.96 ^ clkbuf_2_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_2_1_0_clock (net)
0.16 0.00 20.96 ^ clkbuf_3_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.82 0.64 21.61 ^ clkbuf_3_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
22 0.15 clknet_3_2_0_clock (net)
0.82 0.00 21.61 ^ clkbuf_leaf_13_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.29 21.90 ^ clkbuf_leaf_13_clock/X (sky130_fd_sc_hd__clkbuf_16)
11 0.04 clknet_leaf_13_clock (net)
0.08 0.00 21.90 ^ _10617_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 21.65 clock uncertainty
0.05 21.70 clock reconvergence pessimism
-0.06 21.63 library setup time
21.63 data required time
-----------------------------------------------------------------------------
21.63 data required time
-14.55 data arrival time
-----------------------------------------------------------------------------
7.08 slack (MET)
Startpoint: _10921_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _10620_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.36 0.27 0.27 ^ clock (in)
2 0.08 clock (net)
0.36 0.00 0.27 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 0.50 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 clknet_0_clock (net)
0.05 0.00 0.50 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.12 0.62 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.05 0.00 0.62 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.17 0.78 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_1_1_1_clock (net)
0.12 0.00 0.78 ^ clkbuf_2_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.20 0.25 1.04 ^ clkbuf_2_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_2_2_0_clock (net)
0.20 0.00 1.04 ^ clkbuf_3_4_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.08 0.91 1.95 ^ clkbuf_3_4_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
28 0.20 clknet_3_4_0_clock (net)
1.08 0.01 1.95 ^ clkbuf_leaf_81_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.33 2.28 ^ clkbuf_leaf_81_clock/X (sky130_fd_sc_hd__clkbuf_16)
6 0.03 clknet_leaf_81_clock (net)
0.07 0.00 2.28 ^ _10921_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.08 0.39 2.67 v _10921_/Q (sky130_fd_sc_hd__dfxtp_2)
4 0.03 pid.sigma_old[6] (net)
0.08 0.00 2.67 v _06301_/A (sky130_fd_sc_hd__xnor2_4)
0.09 0.19 2.86 v _06301_/Y (sky130_fd_sc_hd__xnor2_4)
3 0.02 _01317_ (net)
0.09 0.00 2.86 v _06302_/A (sky130_fd_sc_hd__or3b_1)
0.08 0.43 3.29 v _06302_/X (sky130_fd_sc_hd__or3b_1)
2 0.01 _01318_ (net)
0.08 0.00 3.29 v _06305_/C (sky130_fd_sc_hd__or3_1)
0.08 0.35 3.64 v _06305_/X (sky130_fd_sc_hd__or3_1)
1 0.01 _01321_ (net)
0.08 0.00 3.64 v _06306_/B1 (sky130_fd_sc_hd__a311o_1)
0.10 0.40 4.03 v _06306_/X (sky130_fd_sc_hd__a311o_1)
2 0.01 _01322_ (net)
0.10 0.00 4.03 v _06310_/A3 (sky130_fd_sc_hd__a31o_2)
0.08 0.33 4.36 v _06310_/X (sky130_fd_sc_hd__a31o_2)
3 0.02 _01326_ (net)
0.08 0.00 4.36 v _06325_/A1 (sky130_fd_sc_hd__o311ai_4)
0.41 0.47 4.83 ^ _06325_/Y (sky130_fd_sc_hd__o311ai_4)
3 0.03 _01341_ (net)
0.41 0.00 4.84 ^ _06326_/B2 (sky130_fd_sc_hd__a221o_1)
0.09 0.26 5.09 ^ _06326_/X (sky130_fd_sc_hd__a221o_1)
2 0.01 _01342_ (net)
0.09 0.00 5.09 ^ _06328_/A3 (sky130_fd_sc_hd__a31o_2)
0.14 0.25 5.34 ^ _06328_/X (sky130_fd_sc_hd__a31o_2)
2 0.02 _01344_ (net)
0.14 0.00 5.34 ^ _06342_/A (sky130_fd_sc_hd__xor2_2)
0.11 0.13 5.47 v _06342_/X (sky130_fd_sc_hd__xor2_2)
4 0.02 _01358_ (net)
0.11 0.00 5.47 v _06344_/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.10 0.23 5.71 v _06344_/X (sky130_fd_sc_hd__dlymetal6s2s_1)
5 0.02 _01360_ (net)
0.10 0.00 5.71 v _06360_/C (sky130_fd_sc_hd__or3_1)
0.10 0.39 6.10 v _06360_/X (sky130_fd_sc_hd__or3_1)
2 0.01 _01376_ (net)
0.10 0.00 6.10 v _06559_/B1 (sky130_fd_sc_hd__o2111a_1)
0.07 0.20 6.30 v _06559_/X (sky130_fd_sc_hd__o2111a_1)
2 0.01 _01575_ (net)
0.07 0.00 6.30 v _06560_/B1 (sky130_fd_sc_hd__a31o_1)
0.11 0.27 6.57 v _06560_/X (sky130_fd_sc_hd__a31o_1)
4 0.02 _01576_ (net)
0.11 0.00 6.57 v _06562_/B (sky130_fd_sc_hd__and3_1)
0.05 0.21 6.77 v _06562_/X (sky130_fd_sc_hd__and3_1)
2 0.00 _01578_ (net)
0.05 0.00 6.77 v _06564_/B (sky130_fd_sc_hd__or3_1)
0.11 0.42 7.19 v _06564_/X (sky130_fd_sc_hd__or3_1)
3 0.01 _01580_ (net)
0.11 0.00 7.19 v _06571_/C (sky130_fd_sc_hd__and3_1)
0.05 0.22 7.42 v _06571_/X (sky130_fd_sc_hd__and3_1)
2 0.01 _01587_ (net)
0.05 0.00 7.42 v _06594_/A (sky130_fd_sc_hd__or3b_1)
0.11 0.45 7.86 v _06594_/X (sky130_fd_sc_hd__or3b_1)
3 0.01 _01610_ (net)
0.11 0.00 7.86 v _06595_/B1_N (sky130_fd_sc_hd__a21boi_1)
0.11 0.18 8.04 v _06595_/Y (sky130_fd_sc_hd__a21boi_1)
2 0.01 _01611_ (net)
0.11 0.00 8.05 v _06599_/B (sky130_fd_sc_hd__xnor2_1)
0.27 0.28 8.33 ^ _06599_/Y (sky130_fd_sc_hd__xnor2_1)
2 0.01 _01615_ (net)
0.27 0.00 8.33 ^ _06603_/A (sky130_fd_sc_hd__xor2_1)
0.22 0.26 8.59 ^ _06603_/X (sky130_fd_sc_hd__xor2_1)
2 0.01 _01619_ (net)
0.22 0.00 8.59 ^ _06617_/A1 (sky130_fd_sc_hd__a21o_1)
0.10 0.20 8.79 ^ _06617_/X (sky130_fd_sc_hd__a21o_1)
2 0.01 _01633_ (net)
0.10 0.00 8.79 ^ _06619_/A2 (sky130_fd_sc_hd__a21o_1)
0.19 0.25 9.04 ^ _06619_/X (sky130_fd_sc_hd__a21o_1)
4 0.02 _01635_ (net)
0.19 0.00 9.04 ^ _06662_/A1 (sky130_fd_sc_hd__a21oi_1)
0.10 0.13 9.17 v _06662_/Y (sky130_fd_sc_hd__a21oi_1)
1 0.01 _01678_ (net)
0.10 0.00 9.17 v _06663_/B (sky130_fd_sc_hd__xnor2_1)
0.31 0.31 9.48 ^ _06663_/Y (sky130_fd_sc_hd__xnor2_1)
2 0.01 _01679_ (net)
0.31 0.00 9.48 ^ _06695_/B (sky130_fd_sc_hd__xor2_1)
0.22 0.26 9.74 ^ _06695_/X (sky130_fd_sc_hd__xor2_1)
2 0.01 _01711_ (net)
0.22 0.00 9.74 ^ _06697_/A (sky130_fd_sc_hd__xnor2_1)
0.30 0.22 9.96 ^ _06697_/Y (sky130_fd_sc_hd__xnor2_1)
2 0.01 _01713_ (net)
0.30 0.00 9.96 ^ _06699_/A2 (sky130_fd_sc_hd__a21o_1)
0.14 0.25 10.21 ^ _06699_/X (sky130_fd_sc_hd__a21o_1)
2 0.01 _01715_ (net)
0.14 0.00 10.21 ^ _06701_/A2 (sky130_fd_sc_hd__a21o_1)
0.20 0.26 10.47 ^ _06701_/X (sky130_fd_sc_hd__a21o_1)
2 0.02 _01717_ (net)
0.20 0.00 10.48 ^ _06893_/B (sky130_fd_sc_hd__xor2_4)
0.27 0.30 10.78 ^ _06893_/X (sky130_fd_sc_hd__xor2_4)
2 0.03 _01909_ (net)
0.27 0.00 10.78 ^ _06894_/B (sky130_fd_sc_hd__xor2_4)
0.24 0.28 11.06 ^ _06894_/X (sky130_fd_sc_hd__xor2_4)
2 0.03 _01910_ (net)
0.24 0.00 11.06 ^ _06899_/A (sky130_fd_sc_hd__xnor2_4)
0.09 0.14 11.21 v _06899_/Y (sky130_fd_sc_hd__xnor2_4)
2 0.02 _01915_ (net)
0.09 0.00 11.21 v _06908_/A (sky130_fd_sc_hd__xor2_4)
0.11 0.23 11.44 v _06908_/X (sky130_fd_sc_hd__xor2_4)
2 0.02 _01924_ (net)
0.11 0.00 11.44 v _06929_/A1 (sky130_fd_sc_hd__a21o_2)
0.08 0.28 11.71 v _06929_/X (sky130_fd_sc_hd__a21o_2)
2 0.02 _01945_ (net)
0.08 0.00 11.71 v _06931_/A2 (sky130_fd_sc_hd__a21o_2)
0.08 0.29 12.00 v _06931_/X (sky130_fd_sc_hd__a21o_2)
2 0.02 _01947_ (net)
0.08 0.00 12.00 v _06933_/A2 (sky130_fd_sc_hd__a21o_1)
0.10 0.27 12.27 v _06933_/X (sky130_fd_sc_hd__a21o_1)
2 0.02 _01949_ (net)
0.10 0.00 12.27 v _06934_/B (sky130_fd_sc_hd__xor2_4)
0.09 0.20 12.48 v _06934_/X (sky130_fd_sc_hd__xor2_4)
2 0.02 _01950_ (net)
0.09 0.00 12.48 v _06966_/A (sky130_fd_sc_hd__xnor2_2)
0.24 0.27 12.75 ^ _06966_/Y (sky130_fd_sc_hd__xnor2_2)
2 0.02 _01982_ (net)
0.24 0.00 12.75 ^ _07605_/A (sky130_fd_sc_hd__xor2_2)
0.12 0.15 12.90 v _07605_/X (sky130_fd_sc_hd__xor2_2)
2 0.01 _02621_ (net)
0.12 0.00 12.90 v _07709_/A1 (sky130_fd_sc_hd__o21ai_2)
0.22 0.27 13.17 ^ _07709_/Y (sky130_fd_sc_hd__o21ai_2)
3 0.02 _02725_ (net)
0.22 0.00 13.17 ^ _07805_/A_N (sky130_fd_sc_hd__and3b_1)
0.05 0.23 13.40 v _07805_/X (sky130_fd_sc_hd__and3b_1)
1 0.01 _02821_ (net)
0.05 0.00 13.40 v _07806_/B1 (sky130_fd_sc_hd__a211o_4)
0.10 0.39 13.79 v _07806_/X (sky130_fd_sc_hd__a211o_4)
6 0.04 _02822_ (net)
0.10 0.00 13.80 v _07807_/A (sky130_fd_sc_hd__buf_4)
0.08 0.22 14.02 v _07807_/X (sky130_fd_sc_hd__buf_4)
5 0.05 _02823_ (net)
0.08 0.00 14.02 v _07825_/B1 (sky130_fd_sc_hd__o221ai_4)
0.28 0.28 14.30 ^ _07825_/Y (sky130_fd_sc_hd__o221ai_4)
2 0.03 _02837_ (net)
0.28 0.00 14.30 ^ _07826_/C1 (sky130_fd_sc_hd__o211a_1)
0.08 0.23 14.53 ^ _07826_/X (sky130_fd_sc_hd__o211a_1)
1 0.01 _00080_ (net)
0.08 0.00 14.53 ^ _10620_/D (sky130_fd_sc_hd__dfxtp_1)
14.53 data arrival time
20.00 20.00 clock clock (rise edge)
0.00 20.00 clock source latency
0.36 0.24 20.24 ^ clock (in)
2 0.08 clock (net)
0.36 0.00 20.24 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.21 20.45 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 clknet_0_clock (net)
0.05 0.00 20.45 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.11 20.56 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_0_0_clock (net)
0.05 0.00 20.56 ^ clkbuf_1_0_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.19 20.74 ^ clkbuf_1_0_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_1_0_1_clock (net)
0.17 0.00 20.74 ^ clkbuf_2_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.22 20.96 ^ clkbuf_2_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_2_1_0_clock (net)
0.16 0.00 20.96 ^ clkbuf_3_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.82 0.64 21.61 ^ clkbuf_3_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
22 0.15 clknet_3_2_0_clock (net)
0.82 0.00 21.61 ^ clkbuf_leaf_11_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.27 21.89 ^ clkbuf_leaf_11_clock/X (sky130_fd_sc_hd__clkbuf_16)
7 0.03 clknet_leaf_11_clock (net)
0.07 0.00 21.89 ^ _10620_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 21.64 clock uncertainty
0.05 21.69 clock reconvergence pessimism
-0.06 21.63 library setup time
21.63 data required time
-----------------------------------------------------------------------------
21.63 data required time
-14.53 data arrival time
-----------------------------------------------------------------------------
7.10 slack (MET)
Startpoint: _10921_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _10618_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.36 0.27 0.27 ^ clock (in)
2 0.08 clock (net)
0.36 0.00 0.27 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 0.50 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 clknet_0_clock (net)
0.05 0.00 0.50 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.12 0.62 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.05 0.00 0.62 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.17 0.78 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_1_1_1_clock (net)
0.12 0.00 0.78 ^ clkbuf_2_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.20 0.25 1.04 ^ clkbuf_2_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_2_2_0_clock (net)
0.20 0.00 1.04 ^ clkbuf_3_4_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.08 0.91 1.95 ^ clkbuf_3_4_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
28 0.20 clknet_3_4_0_clock (net)
1.08 0.01 1.95 ^ clkbuf_leaf_81_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.33 2.28 ^ clkbuf_leaf_81_clock/X (sky130_fd_sc_hd__clkbuf_16)
6 0.03 clknet_leaf_81_clock (net)
0.07 0.00 2.28 ^ _10921_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.08 0.39 2.67 v _10921_/Q (sky130_fd_sc_hd__dfxtp_2)
4 0.03 pid.sigma_old[6] (net)
0.08 0.00 2.67 v _06301_/A (sky130_fd_sc_hd__xnor2_4)
0.09 0.19 2.86 v _06301_/Y (sky130_fd_sc_hd__xnor2_4)
3 0.02 _01317_ (net)
0.09 0.00 2.86 v _06302_/A (sky130_fd_sc_hd__or3b_1)
0.08 0.43 3.29 v _06302_/X (sky130_fd_sc_hd__or3b_1)
2 0.01 _01318_ (net)
0.08 0.00 3.29 v _06305_/C (sky130_fd_sc_hd__or3_1)
0.08 0.35 3.64 v _06305_/X (sky130_fd_sc_hd__or3_1)
1 0.01 _01321_ (net)
0.08 0.00 3.64 v _06306_/B1 (sky130_fd_sc_hd__a311o_1)
0.10 0.40 4.03 v _06306_/X (sky130_fd_sc_hd__a311o_1)
2 0.01 _01322_ (net)
0.10 0.00 4.03 v _06310_/A3 (sky130_fd_sc_hd__a31o_2)
0.08 0.33 4.36 v _06310_/X (sky130_fd_sc_hd__a31o_2)
3 0.02 _01326_ (net)
0.08 0.00 4.36 v _06325_/A1 (sky130_fd_sc_hd__o311ai_4)
0.41 0.47 4.83 ^ _06325_/Y (sky130_fd_sc_hd__o311ai_4)
3 0.03 _01341_ (net)
0.41 0.00 4.84 ^ _06326_/B2 (sky130_fd_sc_hd__a221o_1)
0.09 0.26 5.09 ^ _06326_/X (sky130_fd_sc_hd__a221o_1)
2 0.01 _01342_ (net)
0.09 0.00 5.09 ^ _06328_/A3 (sky130_fd_sc_hd__a31o_2)
0.14 0.25 5.34 ^ _06328_/X (sky130_fd_sc_hd__a31o_2)
2 0.02 _01344_ (net)
0.14 0.00 5.34 ^ _06342_/A (sky130_fd_sc_hd__xor2_2)
0.11 0.13 5.47 v _06342_/X (sky130_fd_sc_hd__xor2_2)
4 0.02 _01358_ (net)
0.11 0.00 5.47 v _06344_/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.10 0.23 5.71 v _06344_/X (sky130_fd_sc_hd__dlymetal6s2s_1)
5 0.02 _01360_ (net)
0.10 0.00 5.71 v _06360_/C (sky130_fd_sc_hd__or3_1)
0.10 0.39 6.10 v _06360_/X (sky130_fd_sc_hd__or3_1)
2 0.01 _01376_ (net)
0.10 0.00 6.10 v _06559_/B1 (sky130_fd_sc_hd__o2111a_1)
0.07 0.20 6.30 v _06559_/X (sky130_fd_sc_hd__o2111a_1)
2 0.01 _01575_ (net)
0.07 0.00 6.30 v _06560_/B1 (sky130_fd_sc_hd__a31o_1)
0.11 0.27 6.57 v _06560_/X (sky130_fd_sc_hd__a31o_1)
4 0.02 _01576_ (net)
0.11 0.00 6.57 v _06562_/B (sky130_fd_sc_hd__and3_1)
0.05 0.21 6.77 v _06562_/X (sky130_fd_sc_hd__and3_1)
2 0.00 _01578_ (net)
0.05 0.00 6.77 v _06564_/B (sky130_fd_sc_hd__or3_1)
0.11 0.42 7.19 v _06564_/X (sky130_fd_sc_hd__or3_1)
3 0.01 _01580_ (net)
0.11 0.00 7.19 v _06571_/C (sky130_fd_sc_hd__and3_1)
0.05 0.22 7.42 v _06571_/X (sky130_fd_sc_hd__and3_1)
2 0.01 _01587_ (net)
0.05 0.00 7.42 v _06594_/A (sky130_fd_sc_hd__or3b_1)
0.11 0.45 7.86 v _06594_/X (sky130_fd_sc_hd__or3b_1)
3 0.01 _01610_ (net)
0.11 0.00 7.86 v _06595_/B1_N (sky130_fd_sc_hd__a21boi_1)
0.11 0.18 8.04 v _06595_/Y (sky130_fd_sc_hd__a21boi_1)
2 0.01 _01611_ (net)
0.11 0.00 8.05 v _06599_/B (sky130_fd_sc_hd__xnor2_1)
0.27 0.28 8.33 ^ _06599_/Y (sky130_fd_sc_hd__xnor2_1)
2 0.01 _01615_ (net)
0.27 0.00 8.33 ^ _06603_/A (sky130_fd_sc_hd__xor2_1)
0.22 0.26 8.59 ^ _06603_/X (sky130_fd_sc_hd__xor2_1)
2 0.01 _01619_ (net)
0.22 0.00 8.59 ^ _06617_/A1 (sky130_fd_sc_hd__a21o_1)
0.10 0.20 8.79 ^ _06617_/X (sky130_fd_sc_hd__a21o_1)
2 0.01 _01633_ (net)
0.10 0.00 8.79 ^ _06619_/A2 (sky130_fd_sc_hd__a21o_1)
0.19 0.25 9.04 ^ _06619_/X (sky130_fd_sc_hd__a21o_1)
4 0.02 _01635_ (net)
0.19 0.00 9.04 ^ _06662_/A1 (sky130_fd_sc_hd__a21oi_1)
0.10 0.13 9.17 v _06662_/Y (sky130_fd_sc_hd__a21oi_1)
1 0.01 _01678_ (net)
0.10 0.00 9.17 v _06663_/B (sky130_fd_sc_hd__xnor2_1)
0.31 0.31 9.48 ^ _06663_/Y (sky130_fd_sc_hd__xnor2_1)
2 0.01 _01679_ (net)
0.31 0.00 9.48 ^ _06695_/B (sky130_fd_sc_hd__xor2_1)
0.22 0.26 9.74 ^ _06695_/X (sky130_fd_sc_hd__xor2_1)
2 0.01 _01711_ (net)
0.22 0.00 9.74 ^ _06697_/A (sky130_fd_sc_hd__xnor2_1)
0.30 0.22 9.96 ^ _06697_/Y (sky130_fd_sc_hd__xnor2_1)
2 0.01 _01713_ (net)
0.30 0.00 9.96 ^ _06699_/A2 (sky130_fd_sc_hd__a21o_1)
0.14 0.25 10.21 ^ _06699_/X (sky130_fd_sc_hd__a21o_1)
2 0.01 _01715_ (net)
0.14 0.00 10.21 ^ _06701_/A2 (sky130_fd_sc_hd__a21o_1)
0.20 0.26 10.47 ^ _06701_/X (sky130_fd_sc_hd__a21o_1)
2 0.02 _01717_ (net)
0.20 0.00 10.48 ^ _06893_/B (sky130_fd_sc_hd__xor2_4)
0.27 0.30 10.78 ^ _06893_/X (sky130_fd_sc_hd__xor2_4)
2 0.03 _01909_ (net)
0.27 0.00 10.78 ^ _06894_/B (sky130_fd_sc_hd__xor2_4)
0.24 0.28 11.06 ^ _06894_/X (sky130_fd_sc_hd__xor2_4)
2 0.03 _01910_ (net)
0.24 0.00 11.06 ^ _06899_/A (sky130_fd_sc_hd__xnor2_4)
0.09 0.14 11.21 v _06899_/Y (sky130_fd_sc_hd__xnor2_4)
2 0.02 _01915_ (net)
0.09 0.00 11.21 v _06908_/A (sky130_fd_sc_hd__xor2_4)
0.11 0.23 11.44 v _06908_/X (sky130_fd_sc_hd__xor2_4)
2 0.02 _01924_ (net)
0.11 0.00 11.44 v _06929_/A1 (sky130_fd_sc_hd__a21o_2)
0.08 0.28 11.71 v _06929_/X (sky130_fd_sc_hd__a21o_2)
2 0.02 _01945_ (net)
0.08 0.00 11.71 v _06931_/A2 (sky130_fd_sc_hd__a21o_2)
0.08 0.29 12.00 v _06931_/X (sky130_fd_sc_hd__a21o_2)
2 0.02 _01947_ (net)
0.08 0.00 12.00 v _06933_/A2 (sky130_fd_sc_hd__a21o_1)
0.10 0.27 12.27 v _06933_/X (sky130_fd_sc_hd__a21o_1)
2 0.02 _01949_ (net)
0.10 0.00 12.27 v _06934_/B (sky130_fd_sc_hd__xor2_4)
0.09 0.20 12.48 v _06934_/X (sky130_fd_sc_hd__xor2_4)
2 0.02 _01950_ (net)
0.09 0.00 12.48 v _06966_/A (sky130_fd_sc_hd__xnor2_2)
0.24 0.27 12.75 ^ _06966_/Y (sky130_fd_sc_hd__xnor2_2)
2 0.02 _01982_ (net)
0.24 0.00 12.75 ^ _07605_/A (sky130_fd_sc_hd__xor2_2)
0.12 0.15 12.90 v _07605_/X (sky130_fd_sc_hd__xor2_2)
2 0.01 _02621_ (net)
0.12 0.00 12.90 v _07709_/A1 (sky130_fd_sc_hd__o21ai_2)
0.22 0.27 13.17 ^ _07709_/Y (sky130_fd_sc_hd__o21ai_2)
3 0.02 _02725_ (net)
0.22 0.00 13.17 ^ _07805_/A_N (sky130_fd_sc_hd__and3b_1)
0.05 0.23 13.40 v _07805_/X (sky130_fd_sc_hd__and3b_1)
1 0.01 _02821_ (net)
0.05 0.00 13.40 v _07806_/B1 (sky130_fd_sc_hd__a211o_4)
0.10 0.39 13.79 v _07806_/X (sky130_fd_sc_hd__a211o_4)
6 0.04 _02822_ (net)
0.10 0.00 13.80 v _07807_/A (sky130_fd_sc_hd__buf_4)
0.08 0.22 14.02 v _07807_/X (sky130_fd_sc_hd__buf_4)
5 0.05 _02823_ (net)
0.08 0.00 14.02 v _07818_/B1 (sky130_fd_sc_hd__o221ai_4)
0.27 0.27 14.29 ^ _07818_/Y (sky130_fd_sc_hd__o221ai_4)
2 0.02 _02832_ (net)
0.27 0.00 14.29 ^ _07819_/C1 (sky130_fd_sc_hd__o211a_1)
0.09 0.24 14.53 ^ _07819_/X (sky130_fd_sc_hd__o211a_1)
1 0.01 _00078_ (net)
0.09 0.00 14.53 ^ _10618_/D (sky130_fd_sc_hd__dfxtp_1)
14.53 data arrival time
20.00 20.00 clock clock (rise edge)
0.00 20.00 clock source latency
0.36 0.24 20.24 ^ clock (in)
2 0.08 clock (net)
0.36 0.00 20.24 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.21 20.45 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 clknet_0_clock (net)
0.05 0.00 20.45 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.11 20.56 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_0_0_clock (net)
0.05 0.00 20.56 ^ clkbuf_1_0_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.19 20.74 ^ clkbuf_1_0_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_1_0_1_clock (net)
0.17 0.00 20.74 ^ clkbuf_2_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.22 20.96 ^ clkbuf_2_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_2_1_0_clock (net)
0.16 0.00 20.96 ^ clkbuf_3_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.82 0.64 21.61 ^ clkbuf_3_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
22 0.15 clknet_3_2_0_clock (net)
0.82 0.00 21.61 ^ clkbuf_leaf_13_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.29 21.90 ^ clkbuf_leaf_13_clock/X (sky130_fd_sc_hd__clkbuf_16)
11 0.04 clknet_leaf_13_clock (net)
0.08 0.00 21.90 ^ _10618_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 21.65 clock uncertainty
0.05 21.70 clock reconvergence pessimism
-0.06 21.64 library setup time
21.64 data required time
-----------------------------------------------------------------------------
21.64 data required time
-14.53 data arrival time
-----------------------------------------------------------------------------
7.10 slack (MET)
Startpoint: _10921_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _10616_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.36 0.27 0.27 ^ clock (in)
2 0.08 clock (net)
0.36 0.00 0.27 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 0.50 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 clknet_0_clock (net)
0.05 0.00 0.50 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.12 0.62 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.05 0.00 0.62 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.17 0.78 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_1_1_1_clock (net)
0.12 0.00 0.78 ^ clkbuf_2_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.20 0.25 1.04 ^ clkbuf_2_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_2_2_0_clock (net)
0.20 0.00 1.04 ^ clkbuf_3_4_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.08 0.91 1.95 ^ clkbuf_3_4_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
28 0.20 clknet_3_4_0_clock (net)
1.08 0.01 1.95 ^ clkbuf_leaf_81_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.33 2.28 ^ clkbuf_leaf_81_clock/X (sky130_fd_sc_hd__clkbuf_16)
6 0.03 clknet_leaf_81_clock (net)
0.07 0.00 2.28 ^ _10921_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.08 0.39 2.67 v _10921_/Q (sky130_fd_sc_hd__dfxtp_2)
4 0.03 pid.sigma_old[6] (net)
0.08 0.00 2.67 v _06301_/A (sky130_fd_sc_hd__xnor2_4)
0.09 0.19 2.86 v _06301_/Y (sky130_fd_sc_hd__xnor2_4)
3 0.02 _01317_ (net)
0.09 0.00 2.86 v _06302_/A (sky130_fd_sc_hd__or3b_1)
0.08 0.43 3.29 v _06302_/X (sky130_fd_sc_hd__or3b_1)
2 0.01 _01318_ (net)
0.08 0.00 3.29 v _06305_/C (sky130_fd_sc_hd__or3_1)
0.08 0.35 3.64 v _06305_/X (sky130_fd_sc_hd__or3_1)
1 0.01 _01321_ (net)
0.08 0.00 3.64 v _06306_/B1 (sky130_fd_sc_hd__a311o_1)
0.10 0.40 4.03 v _06306_/X (sky130_fd_sc_hd__a311o_1)
2 0.01 _01322_ (net)
0.10 0.00 4.03 v _06310_/A3 (sky130_fd_sc_hd__a31o_2)
0.08 0.33 4.36 v _06310_/X (sky130_fd_sc_hd__a31o_2)
3 0.02 _01326_ (net)
0.08 0.00 4.36 v _06325_/A1 (sky130_fd_sc_hd__o311ai_4)
0.41 0.47 4.83 ^ _06325_/Y (sky130_fd_sc_hd__o311ai_4)
3 0.03 _01341_ (net)
0.41 0.00 4.84 ^ _06326_/B2 (sky130_fd_sc_hd__a221o_1)
0.09 0.26 5.09 ^ _06326_/X (sky130_fd_sc_hd__a221o_1)
2 0.01 _01342_ (net)
0.09 0.00 5.09 ^ _06328_/A3 (sky130_fd_sc_hd__a31o_2)
0.14 0.25 5.34 ^ _06328_/X (sky130_fd_sc_hd__a31o_2)
2 0.02 _01344_ (net)
0.14 0.00 5.34 ^ _06342_/A (sky130_fd_sc_hd__xor2_2)
0.11 0.13 5.47 v _06342_/X (sky130_fd_sc_hd__xor2_2)
4 0.02 _01358_ (net)
0.11 0.00 5.47 v _06344_/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.10 0.23 5.71 v _06344_/X (sky130_fd_sc_hd__dlymetal6s2s_1)
5 0.02 _01360_ (net)
0.10 0.00 5.71 v _06360_/C (sky130_fd_sc_hd__or3_1)
0.10 0.39 6.10 v _06360_/X (sky130_fd_sc_hd__or3_1)
2 0.01 _01376_ (net)
0.10 0.00 6.10 v _06559_/B1 (sky130_fd_sc_hd__o2111a_1)
0.07 0.20 6.30 v _06559_/X (sky130_fd_sc_hd__o2111a_1)
2 0.01 _01575_ (net)
0.07 0.00 6.30 v _06560_/B1 (sky130_fd_sc_hd__a31o_1)
0.11 0.27 6.57 v _06560_/X (sky130_fd_sc_hd__a31o_1)
4 0.02 _01576_ (net)
0.11 0.00 6.57 v _06562_/B (sky130_fd_sc_hd__and3_1)
0.05 0.21 6.77 v _06562_/X (sky130_fd_sc_hd__and3_1)
2 0.00 _01578_ (net)
0.05 0.00 6.77 v _06564_/B (sky130_fd_sc_hd__or3_1)
0.11 0.42 7.19 v _06564_/X (sky130_fd_sc_hd__or3_1)
3 0.01 _01580_ (net)
0.11 0.00 7.19 v _06571_/C (sky130_fd_sc_hd__and3_1)
0.05 0.22 7.42 v _06571_/X (sky130_fd_sc_hd__and3_1)
2 0.01 _01587_ (net)
0.05 0.00 7.42 v _06594_/A (sky130_fd_sc_hd__or3b_1)
0.11 0.45 7.86 v _06594_/X (sky130_fd_sc_hd__or3b_1)
3 0.01 _01610_ (net)
0.11 0.00 7.86 v _06595_/B1_N (sky130_fd_sc_hd__a21boi_1)
0.11 0.18 8.04 v _06595_/Y (sky130_fd_sc_hd__a21boi_1)
2 0.01 _01611_ (net)
0.11 0.00 8.05 v _06599_/B (sky130_fd_sc_hd__xnor2_1)
0.27 0.28 8.33 ^ _06599_/Y (sky130_fd_sc_hd__xnor2_1)
2 0.01 _01615_ (net)
0.27 0.00 8.33 ^ _06603_/A (sky130_fd_sc_hd__xor2_1)
0.22 0.26 8.59 ^ _06603_/X (sky130_fd_sc_hd__xor2_1)
2 0.01 _01619_ (net)
0.22 0.00 8.59 ^ _06617_/A1 (sky130_fd_sc_hd__a21o_1)
0.10 0.20 8.79 ^ _06617_/X (sky130_fd_sc_hd__a21o_1)
2 0.01 _01633_ (net)
0.10 0.00 8.79 ^ _06619_/A2 (sky130_fd_sc_hd__a21o_1)
0.19 0.25 9.04 ^ _06619_/X (sky130_fd_sc_hd__a21o_1)
4 0.02 _01635_ (net)
0.19 0.00 9.04 ^ _06662_/A1 (sky130_fd_sc_hd__a21oi_1)
0.10 0.13 9.17 v _06662_/Y (sky130_fd_sc_hd__a21oi_1)
1 0.01 _01678_ (net)
0.10 0.00 9.17 v _06663_/B (sky130_fd_sc_hd__xnor2_1)
0.31 0.31 9.48 ^ _06663_/Y (sky130_fd_sc_hd__xnor2_1)
2 0.01 _01679_ (net)
0.31 0.00 9.48 ^ _06695_/B (sky130_fd_sc_hd__xor2_1)
0.22 0.26 9.74 ^ _06695_/X (sky130_fd_sc_hd__xor2_1)
2 0.01 _01711_ (net)
0.22 0.00 9.74 ^ _06697_/A (sky130_fd_sc_hd__xnor2_1)
0.30 0.22 9.96 ^ _06697_/Y (sky130_fd_sc_hd__xnor2_1)
2 0.01 _01713_ (net)
0.30 0.00 9.96 ^ _06699_/A2 (sky130_fd_sc_hd__a21o_1)
0.14 0.25 10.21 ^ _06699_/X (sky130_fd_sc_hd__a21o_1)
2 0.01 _01715_ (net)
0.14 0.00 10.21 ^ _06701_/A2 (sky130_fd_sc_hd__a21o_1)
0.20 0.26 10.47 ^ _06701_/X (sky130_fd_sc_hd__a21o_1)
2 0.02 _01717_ (net)
0.20 0.00 10.48 ^ _06893_/B (sky130_fd_sc_hd__xor2_4)
0.27 0.30 10.78 ^ _06893_/X (sky130_fd_sc_hd__xor2_4)
2 0.03 _01909_ (net)
0.27 0.00 10.78 ^ _06894_/B (sky130_fd_sc_hd__xor2_4)
0.24 0.28 11.06 ^ _06894_/X (sky130_fd_sc_hd__xor2_4)
2 0.03 _01910_ (net)
0.24 0.00 11.06 ^ _06899_/A (sky130_fd_sc_hd__xnor2_4)
0.09 0.14 11.21 v _06899_/Y (sky130_fd_sc_hd__xnor2_4)
2 0.02 _01915_ (net)
0.09 0.00 11.21 v _06908_/A (sky130_fd_sc_hd__xor2_4)
0.11 0.23 11.44 v _06908_/X (sky130_fd_sc_hd__xor2_4)
2 0.02 _01924_ (net)
0.11 0.00 11.44 v _06929_/A1 (sky130_fd_sc_hd__a21o_2)
0.08 0.28 11.71 v _06929_/X (sky130_fd_sc_hd__a21o_2)
2 0.02 _01945_ (net)
0.08 0.00 11.71 v _06931_/A2 (sky130_fd_sc_hd__a21o_2)
0.08 0.29 12.00 v _06931_/X (sky130_fd_sc_hd__a21o_2)
2 0.02 _01947_ (net)
0.08 0.00 12.00 v _06933_/A2 (sky130_fd_sc_hd__a21o_1)
0.10 0.27 12.27 v _06933_/X (sky130_fd_sc_hd__a21o_1)
2 0.02 _01949_ (net)
0.10 0.00 12.27 v _06934_/B (sky130_fd_sc_hd__xor2_4)
0.09 0.20 12.48 v _06934_/X (sky130_fd_sc_hd__xor2_4)
2 0.02 _01950_ (net)
0.09 0.00 12.48 v _06966_/A (sky130_fd_sc_hd__xnor2_2)
0.24 0.27 12.75 ^ _06966_/Y (sky130_fd_sc_hd__xnor2_2)
2 0.02 _01982_ (net)
0.24 0.00 12.75 ^ _07605_/A (sky130_fd_sc_hd__xor2_2)
0.12 0.15 12.90 v _07605_/X (sky130_fd_sc_hd__xor2_2)
2 0.01 _02621_ (net)
0.12 0.00 12.90 v _07709_/A1 (sky130_fd_sc_hd__o21ai_2)
0.22 0.27 13.17 ^ _07709_/Y (sky130_fd_sc_hd__o21ai_2)
3 0.02 _02725_ (net)
0.22 0.00 13.17 ^ _07805_/A_N (sky130_fd_sc_hd__and3b_1)
0.05 0.23 13.40 v _07805_/X (sky130_fd_sc_hd__and3b_1)
1 0.01 _02821_ (net)
0.05 0.00 13.40 v _07806_/B1 (sky130_fd_sc_hd__a211o_4)
0.10 0.39 13.79 v _07806_/X (sky130_fd_sc_hd__a211o_4)
6 0.04 _02822_ (net)
0.10 0.00 13.80 v _07807_/A (sky130_fd_sc_hd__buf_4)
0.08 0.22 14.02 v _07807_/X (sky130_fd_sc_hd__buf_4)
5 0.05 _02823_ (net)
0.08 0.00 14.02 v _07812_/B1 (sky130_fd_sc_hd__o221ai_4)
0.27 0.27 14.29 ^ _07812_/Y (sky130_fd_sc_hd__o221ai_4)
2 0.02 _02828_ (net)
0.27 0.00 14.29 ^ _07813_/C1 (sky130_fd_sc_hd__o211a_1)
0.05 0.21 14.50 ^ _07813_/X (sky130_fd_sc_hd__o211a_1)
1 0.00 _00076_ (net)
0.05 0.00 14.50 ^ _10616_/D (sky130_fd_sc_hd__dfxtp_2)
14.50 data arrival time
20.00 20.00 clock clock (rise edge)
0.00 20.00 clock source latency
0.36 0.24 20.24 ^ clock (in)
2 0.08 clock (net)
0.36 0.00 20.24 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.21 20.45 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 clknet_0_clock (net)
0.05 0.00 20.45 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.11 20.56 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_0_0_clock (net)
0.05 0.00 20.56 ^ clkbuf_1_0_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.19 20.74 ^ clkbuf_1_0_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_1_0_1_clock (net)
0.17 0.00 20.74 ^ clkbuf_2_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.22 20.96 ^ clkbuf_2_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_2_1_0_clock (net)
0.16 0.00 20.96 ^ clkbuf_3_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.82 0.64 21.61 ^ clkbuf_3_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
22 0.15 clknet_3_2_0_clock (net)
0.82 0.00 21.61 ^ clkbuf_leaf_11_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.27 21.89 ^ clkbuf_leaf_11_clock/X (sky130_fd_sc_hd__clkbuf_16)
7 0.03 clknet_leaf_11_clock (net)
0.07 0.00 21.89 ^ _10616_/CLK (sky130_fd_sc_hd__dfxtp_2)
-0.25 21.64 clock uncertainty
0.05 21.69 clock reconvergence pessimism
-0.06 21.63 library setup time
21.63 data required time
-----------------------------------------------------------------------------
21.63 data required time
-14.50 data arrival time
-----------------------------------------------------------------------------
7.13 slack (MET)
max_report_end
check_report
===========================================================================
report_checks -unconstrained
============================================================================
Startpoint: _10921_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _10619_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.36 0.27 0.27 ^ clock (in)
2 0.08 clock (net)
0.36 0.00 0.27 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 0.50 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 clknet_0_clock (net)
0.05 0.00 0.50 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.12 0.62 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.05 0.00 0.62 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.17 0.78 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_1_1_1_clock (net)
0.12 0.00 0.78 ^ clkbuf_2_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.20 0.25 1.04 ^ clkbuf_2_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_2_2_0_clock (net)
0.20 0.00 1.04 ^ clkbuf_3_4_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.08 0.91 1.95 ^ clkbuf_3_4_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
28 0.20 clknet_3_4_0_clock (net)
1.08 0.01 1.95 ^ clkbuf_leaf_81_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.33 2.28 ^ clkbuf_leaf_81_clock/X (sky130_fd_sc_hd__clkbuf_16)
6 0.03 clknet_leaf_81_clock (net)
0.07 0.00 2.28 ^ _10921_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.08 0.39 2.67 v _10921_/Q (sky130_fd_sc_hd__dfxtp_2)
4 0.03 pid.sigma_old[6] (net)
0.08 0.00 2.67 v _06301_/A (sky130_fd_sc_hd__xnor2_4)
0.09 0.19 2.86 v _06301_/Y (sky130_fd_sc_hd__xnor2_4)
3 0.02 _01317_ (net)
0.09 0.00 2.86 v _06302_/A (sky130_fd_sc_hd__or3b_1)
0.08 0.43 3.29 v _06302_/X (sky130_fd_sc_hd__or3b_1)
2 0.01 _01318_ (net)
0.08 0.00 3.29 v _06305_/C (sky130_fd_sc_hd__or3_1)
0.08 0.35 3.64 v _06305_/X (sky130_fd_sc_hd__or3_1)
1 0.01 _01321_ (net)
0.08 0.00 3.64 v _06306_/B1 (sky130_fd_sc_hd__a311o_1)
0.10 0.40 4.03 v _06306_/X (sky130_fd_sc_hd__a311o_1)
2 0.01 _01322_ (net)
0.10 0.00 4.03 v _06310_/A3 (sky130_fd_sc_hd__a31o_2)
0.08 0.33 4.36 v _06310_/X (sky130_fd_sc_hd__a31o_2)
3 0.02 _01326_ (net)
0.08 0.00 4.36 v _06325_/A1 (sky130_fd_sc_hd__o311ai_4)
0.41 0.47 4.83 ^ _06325_/Y (sky130_fd_sc_hd__o311ai_4)
3 0.03 _01341_ (net)
0.41 0.00 4.84 ^ _06326_/B2 (sky130_fd_sc_hd__a221o_1)
0.09 0.26 5.09 ^ _06326_/X (sky130_fd_sc_hd__a221o_1)
2 0.01 _01342_ (net)
0.09 0.00 5.09 ^ _06328_/A3 (sky130_fd_sc_hd__a31o_2)
0.14 0.25 5.34 ^ _06328_/X (sky130_fd_sc_hd__a31o_2)
2 0.02 _01344_ (net)
0.14 0.00 5.34 ^ _06342_/A (sky130_fd_sc_hd__xor2_2)
0.11 0.13 5.47 v _06342_/X (sky130_fd_sc_hd__xor2_2)
4 0.02 _01358_ (net)
0.11 0.00 5.47 v _06344_/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.10 0.23 5.71 v _06344_/X (sky130_fd_sc_hd__dlymetal6s2s_1)
5 0.02 _01360_ (net)
0.10 0.00 5.71 v _06360_/C (sky130_fd_sc_hd__or3_1)
0.10 0.39 6.10 v _06360_/X (sky130_fd_sc_hd__or3_1)
2 0.01 _01376_ (net)
0.10 0.00 6.10 v _06559_/B1 (sky130_fd_sc_hd__o2111a_1)
0.07 0.20 6.30 v _06559_/X (sky130_fd_sc_hd__o2111a_1)
2 0.01 _01575_ (net)
0.07 0.00 6.30 v _06560_/B1 (sky130_fd_sc_hd__a31o_1)
0.11 0.27 6.57 v _06560_/X (sky130_fd_sc_hd__a31o_1)
4 0.02 _01576_ (net)
0.11 0.00 6.57 v _06562_/B (sky130_fd_sc_hd__and3_1)
0.05 0.21 6.77 v _06562_/X (sky130_fd_sc_hd__and3_1)
2 0.00 _01578_ (net)
0.05 0.00 6.77 v _06564_/B (sky130_fd_sc_hd__or3_1)
0.11 0.42 7.19 v _06564_/X (sky130_fd_sc_hd__or3_1)
3 0.01 _01580_ (net)
0.11 0.00 7.19 v _06571_/C (sky130_fd_sc_hd__and3_1)
0.05 0.22 7.42 v _06571_/X (sky130_fd_sc_hd__and3_1)
2 0.01 _01587_ (net)
0.05 0.00 7.42 v _06594_/A (sky130_fd_sc_hd__or3b_1)
0.11 0.45 7.86 v _06594_/X (sky130_fd_sc_hd__or3b_1)
3 0.01 _01610_ (net)
0.11 0.00 7.86 v _06595_/B1_N (sky130_fd_sc_hd__a21boi_1)
0.11 0.18 8.04 v _06595_/Y (sky130_fd_sc_hd__a21boi_1)
2 0.01 _01611_ (net)
0.11 0.00 8.05 v _06599_/B (sky130_fd_sc_hd__xnor2_1)
0.27 0.28 8.33 ^ _06599_/Y (sky130_fd_sc_hd__xnor2_1)
2 0.01 _01615_ (net)
0.27 0.00 8.33 ^ _06603_/A (sky130_fd_sc_hd__xor2_1)
0.22 0.26 8.59 ^ _06603_/X (sky130_fd_sc_hd__xor2_1)
2 0.01 _01619_ (net)
0.22 0.00 8.59 ^ _06617_/A1 (sky130_fd_sc_hd__a21o_1)
0.10 0.20 8.79 ^ _06617_/X (sky130_fd_sc_hd__a21o_1)
2 0.01 _01633_ (net)
0.10 0.00 8.79 ^ _06619_/A2 (sky130_fd_sc_hd__a21o_1)
0.19 0.25 9.04 ^ _06619_/X (sky130_fd_sc_hd__a21o_1)
4 0.02 _01635_ (net)
0.19 0.00 9.04 ^ _06662_/A1 (sky130_fd_sc_hd__a21oi_1)
0.10 0.13 9.17 v _06662_/Y (sky130_fd_sc_hd__a21oi_1)
1 0.01 _01678_ (net)
0.10 0.00 9.17 v _06663_/B (sky130_fd_sc_hd__xnor2_1)
0.31 0.31 9.48 ^ _06663_/Y (sky130_fd_sc_hd__xnor2_1)
2 0.01 _01679_ (net)
0.31 0.00 9.48 ^ _06695_/B (sky130_fd_sc_hd__xor2_1)
0.22 0.26 9.74 ^ _06695_/X (sky130_fd_sc_hd__xor2_1)
2 0.01 _01711_ (net)
0.22 0.00 9.74 ^ _06697_/A (sky130_fd_sc_hd__xnor2_1)
0.30 0.22 9.96 ^ _06697_/Y (sky130_fd_sc_hd__xnor2_1)
2 0.01 _01713_ (net)
0.30 0.00 9.96 ^ _06699_/A2 (sky130_fd_sc_hd__a21o_1)
0.14 0.25 10.21 ^ _06699_/X (sky130_fd_sc_hd__a21o_1)
2 0.01 _01715_ (net)
0.14 0.00 10.21 ^ _06701_/A2 (sky130_fd_sc_hd__a21o_1)
0.20 0.26 10.47 ^ _06701_/X (sky130_fd_sc_hd__a21o_1)
2 0.02 _01717_ (net)
0.20 0.00 10.48 ^ _06893_/B (sky130_fd_sc_hd__xor2_4)
0.27 0.30 10.78 ^ _06893_/X (sky130_fd_sc_hd__xor2_4)
2 0.03 _01909_ (net)
0.27 0.00 10.78 ^ _06894_/B (sky130_fd_sc_hd__xor2_4)
0.24 0.28 11.06 ^ _06894_/X (sky130_fd_sc_hd__xor2_4)
2 0.03 _01910_ (net)
0.24 0.00 11.06 ^ _06899_/A (sky130_fd_sc_hd__xnor2_4)
0.09 0.14 11.21 v _06899_/Y (sky130_fd_sc_hd__xnor2_4)
2 0.02 _01915_ (net)
0.09 0.00 11.21 v _06908_/A (sky130_fd_sc_hd__xor2_4)
0.11 0.23 11.44 v _06908_/X (sky130_fd_sc_hd__xor2_4)
2 0.02 _01924_ (net)
0.11 0.00 11.44 v _06929_/A1 (sky130_fd_sc_hd__a21o_2)
0.08 0.28 11.71 v _06929_/X (sky130_fd_sc_hd__a21o_2)
2 0.02 _01945_ (net)
0.08 0.00 11.71 v _06931_/A2 (sky130_fd_sc_hd__a21o_2)
0.08 0.29 12.00 v _06931_/X (sky130_fd_sc_hd__a21o_2)
2 0.02 _01947_ (net)
0.08 0.00 12.00 v _06933_/A2 (sky130_fd_sc_hd__a21o_1)
0.10 0.27 12.27 v _06933_/X (sky130_fd_sc_hd__a21o_1)
2 0.02 _01949_ (net)
0.10 0.00 12.27 v _06934_/B (sky130_fd_sc_hd__xor2_4)
0.09 0.20 12.48 v _06934_/X (sky130_fd_sc_hd__xor2_4)
2 0.02 _01950_ (net)
0.09 0.00 12.48 v _06966_/A (sky130_fd_sc_hd__xnor2_2)
0.24 0.27 12.75 ^ _06966_/Y (sky130_fd_sc_hd__xnor2_2)
2 0.02 _01982_ (net)
0.24 0.00 12.75 ^ _07605_/A (sky130_fd_sc_hd__xor2_2)
0.12 0.15 12.90 v _07605_/X (sky130_fd_sc_hd__xor2_2)
2 0.01 _02621_ (net)
0.12 0.00 12.90 v _07709_/A1 (sky130_fd_sc_hd__o21ai_2)
0.22 0.27 13.17 ^ _07709_/Y (sky130_fd_sc_hd__o21ai_2)
3 0.02 _02725_ (net)
0.22 0.00 13.17 ^ _07805_/A_N (sky130_fd_sc_hd__and3b_1)
0.05 0.23 13.40 v _07805_/X (sky130_fd_sc_hd__and3b_1)
1 0.01 _02821_ (net)
0.05 0.00 13.40 v _07806_/B1 (sky130_fd_sc_hd__a211o_4)
0.10 0.39 13.79 v _07806_/X (sky130_fd_sc_hd__a211o_4)
6 0.04 _02822_ (net)
0.10 0.00 13.80 v _07807_/A (sky130_fd_sc_hd__buf_4)
0.08 0.22 14.02 v _07807_/X (sky130_fd_sc_hd__buf_4)
5 0.05 _02823_ (net)
0.08 0.00 14.02 v _07821_/B1 (sky130_fd_sc_hd__o221ai_4)
0.30 0.29 14.31 ^ _07821_/Y (sky130_fd_sc_hd__o221ai_4)
2 0.03 _02834_ (net)
0.30 0.00 14.31 ^ _07822_/C1 (sky130_fd_sc_hd__o211a_1)
0.09 0.25 14.56 ^ _07822_/X (sky130_fd_sc_hd__o211a_1)
1 0.01 _00079_ (net)
0.09 0.00 14.56 ^ _10619_/D (sky130_fd_sc_hd__dfxtp_1)
14.56 data arrival time
20.00 20.00 clock clock (rise edge)
0.00 20.00 clock source latency
0.36 0.24 20.24 ^ clock (in)
2 0.08 clock (net)
0.36 0.00 20.24 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.21 20.45 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 clknet_0_clock (net)
0.05 0.00 20.45 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.11 20.56 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_0_0_clock (net)
0.05 0.00 20.56 ^ clkbuf_1_0_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.17 0.19 20.74 ^ clkbuf_1_0_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_1_0_1_clock (net)
0.17 0.00 20.74 ^ clkbuf_2_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.22 20.96 ^ clkbuf_2_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_2_1_0_clock (net)
0.16 0.00 20.96 ^ clkbuf_3_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.82 0.64 21.61 ^ clkbuf_3_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
22 0.15 clknet_3_2_0_clock (net)
0.82 0.01 21.61 ^ clkbuf_leaf_10_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.28 21.89 ^ clkbuf_leaf_10_clock/X (sky130_fd_sc_hd__clkbuf_16)
8 0.03 clknet_leaf_10_clock (net)
0.07 0.00 21.89 ^ _10619_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 21.64 clock uncertainty
0.05 21.69 clock reconvergence pessimism
-0.06 21.63 library setup time
21.63 data required time
-----------------------------------------------------------------------------
21.63 data required time
-14.56 data arrival time
-----------------------------------------------------------------------------
7.07 slack (MET)
===========================================================================
report_checks --slack_max -0.01
============================================================================
No paths found.
check_report_end
check_slew
===========================================================================
report_check_types -max_slew -max_cap -max_fanout -violators
============================================================================
===========================================================================
max slew violation count 0
max fanout violation count 0
max cap violation count 0
============================================================================
check_slew_end
tns_report
===========================================================================
report_tns
============================================================================
tns 0.00
tns_report_end
wns_report
===========================================================================
report_wns
============================================================================
wns 0.00
wns_report_end
worst_slack
===========================================================================
report_worst_slack -max (Setup)
============================================================================
worst slack 7.07
===========================================================================
report_worst_slack -min (Hold)
============================================================================
worst slack 0.12
worst_slack_end
clock_skew
===========================================================================
report_clock_skew
============================================================================
Clock clock
Latency CRPR Skew
_10701_/CLK ^
2.36
_10855_/CLK ^
1.61 -0.05 0.69
clock_skew_end
power_report
===========================================================================
report_power
============================================================================
Group Internal Switching Leakage Total
Power Power Power Power
----------------------------------------------------------------
Sequential 9.59e-04 7.64e-05 3.78e-09 1.04e-03 17.1%
Combinational 2.31e-03 2.69e-03 6.66e-08 5.01e-03 82.9%
Macro 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
Pad 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
----------------------------------------------------------------
Total 3.27e-03 2.77e-03 7.04e-08 6.04e-03 100.0%
54.1% 45.9% 0.0%
power_report_end
area_report
===========================================================================
report_design_area
============================================================================
Design area 217156 u^2 93% utilization.
area_report_end