blob: 340a42b0963905f4bd247ef875a2e3d39893b2a3 [file] [log] [blame]
OpenROAD 8d53e9b018dec98fa63e907ddeb6c5406f035361
This program is licensed under the BSD-3 license. See the LICENSE file for details.
Components of this program may be licensed under more restrictive licenses which must be honored.
[INFO ODB-0222] Reading LEF file: /home/ali11-2000/FYP/mpw/UETRV-ECORE/openlane/Motor_Top/runs/Motor_Top/tmp/merged_unpadded.lef
[INFO ODB-0223] Created 13 technology layers
[INFO ODB-0224] Created 25 technology vias
[INFO ODB-0225] Created 441 library cells
[INFO ODB-0226] Finished LEF file: /home/ali11-2000/FYP/mpw/UETRV-ECORE/openlane/Motor_Top/runs/Motor_Top/tmp/merged_unpadded.lef
[INFO ODB-0127] Reading DEF file: /home/ali11-2000/FYP/mpw/UETRV-ECORE/openlane/Motor_Top/runs/Motor_Top/results/cts/Motor_Top.def
[INFO ODB-0128] Design: Motor_Top
[INFO ODB-0130] Created 97 pins.
[INFO ODB-0131] Created 9538 components and 51320 component-terminals.
[INFO ODB-0132] Created 2 special nets and 31604 connections.
[INFO ODB-0133] Created 5973 nets and 19716 connections.
[INFO ODB-0134] Finished DEF file: /home/ali11-2000/FYP/mpw/UETRV-ECORE/openlane/Motor_Top/runs/Motor_Top/results/cts/Motor_Top.def
###############################################################################
# Created by write_sdc
# Sun Mar 20 08:55:25 2022
###############################################################################
current_design Motor_Top
###############################################################################
# Timing Constraints
###############################################################################
create_clock -name clock -period 20.0000 [get_ports {clock}]
set_clock_transition 0.1500 [get_clocks {clock}]
set_clock_uncertainty 0.2500 clock
set_propagated_clock [get_clocks {clock}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_ba_match}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_qei_ch_a}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_qei_ch_b}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_addr[0]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_addr[10]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_addr[11]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_addr[12]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_addr[13]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_addr[14]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_addr[15]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_addr[1]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_addr[2]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_addr[3]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_addr[4]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_addr[5]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_addr[6]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_addr[7]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_addr[8]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_addr[9]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[0]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[10]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[11]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[12]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[13]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[14]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[15]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[16]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[17]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[18]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[19]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[1]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[20]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[21]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[22]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[23]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[24]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[25]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[26]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[27]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[28]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[29]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[2]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[30]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[31]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[3]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[4]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[5]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[6]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[7]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[8]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[9]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_sel[0]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_sel[1]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_sel[2]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_sel[3]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_stb}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_we}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {reset}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_motor_irq}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_pwm_high}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_pwm_low}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_ack_o}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[0]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[10]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[11]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[12]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[13]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[14]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[15]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[16]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[17]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[18]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[19]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[1]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[20]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[21]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[22]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[23]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[24]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[25]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[26]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[27]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[28]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[29]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[2]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[30]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[31]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[3]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[4]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[5]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[6]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[7]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[8]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[9]}]
###############################################################################
# Environment
###############################################################################
set_load -pin_load 0.0334 [get_ports {io_motor_irq}]
set_load -pin_load 0.0334 [get_ports {io_pwm_high}]
set_load -pin_load 0.0334 [get_ports {io_pwm_low}]
set_load -pin_load 0.0334 [get_ports {io_wbs_ack_o}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[31]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[30]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[29]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[28]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[27]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[26]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[25]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[24]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[23]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[22]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[21]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[20]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[19]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[18]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[17]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[16]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[15]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[14]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[13]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[12]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[11]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[10]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[9]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[8]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[7]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[6]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[5]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[4]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[3]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[2]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[1]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[0]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {clock}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_ba_match}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_qei_ch_a}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_qei_ch_b}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_stb}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_we}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {reset}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_addr[15]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_addr[14]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_addr[13]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_addr[12]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_addr[11]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_addr[10]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_addr[9]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_addr[8]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_addr[7]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_addr[6]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_addr[5]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_addr[4]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_addr[3]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_addr[2]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_addr[1]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_addr[0]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[31]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[30]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[29]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[28]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[27]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[26]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[25]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[24]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[23]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[22]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[21]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[20]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[19]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[18]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[17]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[16]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[15]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[14]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[13]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[12]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[11]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[10]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[9]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[8]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[7]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[6]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[5]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[4]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[3]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[2]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[1]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[0]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_sel[3]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_sel[2]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_sel[1]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_sel[0]}]
set_timing_derate -early 0.9500
set_timing_derate -late 1.0500
###############################################################################
# Design Rules
###############################################################################
set_max_fanout 5.0000 [current_design]
[INFO]: Setting RC values...
[INFO RSZ-0046] Found 17 endpoints with hold violations.
[INFO RSZ-0032] Inserted 24 hold buffers.
Placement Analysis
---------------------------------
total displacement 476.1 u
average displacement 0.0 u
max displacement 8.7 u
original HPWL 198911.9 u
legalized HPWL 203851.6 u
delta HPWL 2 %
[INFO DPL-0020] Mirrored 2406 instances
[INFO DPL-0021] HPWL before 203851.6 u
[INFO DPL-0022] HPWL after 199231.5 u
[INFO DPL-0023] HPWL delta -2.3 %
min_report
===========================================================================
report_checks -path_delay min (Hold)
============================================================================
Startpoint: _10727_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _10946_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.36 0.24 0.24 ^ clock (in)
1 0.08 clock (net)
0.36 0.00 0.24 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 0.45 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_clock (net)
0.05 0.00 0.45 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 0.55 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_0_0_clock (net)
0.04 0.00 0.55 ^ clkbuf_1_0_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.17 0.72 ^ clkbuf_1_0_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 clknet_1_0_1_clock (net)
0.15 0.00 0.72 ^ clkbuf_2_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.20 0.92 ^ clkbuf_2_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 clknet_2_1_0_clock (net)
0.15 0.00 0.92 ^ clkbuf_3_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.77 0.61 1.53 ^ clkbuf_3_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
11 0.14 clknet_3_2_0_clock (net)
0.77 0.00 1.53 ^ clkbuf_leaf_10_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.27 1.80 ^ clkbuf_leaf_10_clock/X (sky130_fd_sc_hd__clkbuf_16)
8 0.03 clknet_leaf_10_clock (net)
0.06 0.00 1.80 ^ _10727_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.12 0.37 2.17 ^ _10727_/Q (sky130_fd_sc_hd__dfxtp_2)
2 0.02 interlink._T_236[15] (net)
0.12 0.00 2.17 ^ hold4/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.15 0.18 2.35 ^ hold4/X (sky130_fd_sc_hd__dlymetal6s2s_1)
1 0.01 net91 (net)
0.15 0.00 2.35 ^ _10429_/A2 (sky130_fd_sc_hd__o221a_1)
0.05 0.18 2.53 ^ _10429_/X (sky130_fd_sc_hd__o221a_1)
1 0.00 _00405_ (net)
0.05 0.00 2.53 ^ _10946_/D (sky130_fd_sc_hd__dfxtp_1)
2.53 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.36 0.27 0.27 ^ clock (in)
1 0.08 clock (net)
0.36 0.00 0.27 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 0.50 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_clock (net)
0.05 0.00 0.50 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.11 0.61 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.04 0.00 0.61 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.10 0.15 0.76 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 clknet_1_1_1_clock (net)
0.10 0.00 0.76 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.24 1.00 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 clknet_2_3_0_clock (net)
0.19 0.00 1.00 ^ clkbuf_3_6_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.09 0.91 1.91 ^ clkbuf_3_6_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
16 0.21 clknet_3_6_0_clock (net)
1.09 0.00 1.92 ^ clkbuf_leaf_34_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.33 2.25 ^ clkbuf_leaf_34_clock/X (sky130_fd_sc_hd__clkbuf_16)
8 0.03 clknet_leaf_34_clock (net)
0.08 0.00 2.25 ^ _10946_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 2.50 clock uncertainty
-0.05 2.45 clock reconvergence pessimism
-0.03 2.43 library hold time
2.43 data required time
-----------------------------------------------------------------------------
2.43 data required time
-2.53 data arrival time
-----------------------------------------------------------------------------
0.11 slack (MET)
Startpoint: _10722_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _10941_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.36 0.24 0.24 ^ clock (in)
1 0.08 clock (net)
0.36 0.00 0.24 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 0.45 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_clock (net)
0.05 0.00 0.45 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 0.55 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_0_0_clock (net)
0.04 0.00 0.55 ^ clkbuf_1_0_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.17 0.72 ^ clkbuf_1_0_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 clknet_1_0_1_clock (net)
0.15 0.00 0.72 ^ clkbuf_2_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.21 0.93 ^ clkbuf_2_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 clknet_2_0_0_clock (net)
0.16 0.00 0.93 ^ clkbuf_3_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.86 0.67 1.59 ^ clkbuf_3_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
13 0.16 clknet_3_0_0_clock (net)
0.86 0.00 1.59 ^ clkbuf_leaf_5_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.27 1.86 ^ clkbuf_leaf_5_clock/X (sky130_fd_sc_hd__clkbuf_16)
6 0.02 clknet_leaf_5_clock (net)
0.06 0.00 1.86 ^ _10722_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.13 0.37 2.23 ^ _10722_/Q (sky130_fd_sc_hd__dfxtp_2)
2 0.02 interlink._T_236[10] (net)
0.13 0.00 2.23 ^ hold15/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.17 2.40 ^ hold15/X (sky130_fd_sc_hd__clkbuf_2)
1 0.02 net102 (net)
0.11 0.00 2.40 ^ _10413_/A2 (sky130_fd_sc_hd__o221a_1)
0.05 0.17 2.57 ^ _10413_/X (sky130_fd_sc_hd__o221a_1)
1 0.00 _00400_ (net)
0.05 0.00 2.57 ^ _10941_/D (sky130_fd_sc_hd__dfxtp_1)
2.57 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.36 0.27 0.27 ^ clock (in)
1 0.08 clock (net)
0.36 0.00 0.27 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 0.50 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_clock (net)
0.05 0.00 0.50 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.11 0.61 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.04 0.00 0.61 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.10 0.15 0.76 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 clknet_1_1_1_clock (net)
0.10 0.00 0.76 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.24 1.00 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 clknet_2_3_0_clock (net)
0.19 0.00 1.00 ^ clkbuf_3_6_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.09 0.91 1.91 ^ clkbuf_3_6_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
16 0.21 clknet_3_6_0_clock (net)
1.09 0.00 1.92 ^ clkbuf_leaf_34_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.33 2.25 ^ clkbuf_leaf_34_clock/X (sky130_fd_sc_hd__clkbuf_16)
8 0.03 clknet_leaf_34_clock (net)
0.08 0.00 2.25 ^ _10941_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 2.50 clock uncertainty
-0.05 2.45 clock reconvergence pessimism
-0.03 2.43 library hold time
2.43 data required time
-----------------------------------------------------------------------------
2.43 data required time
-2.57 data arrival time
-----------------------------------------------------------------------------
0.14 slack (MET)
Startpoint: _10881_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _10628_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.36 0.24 0.24 ^ clock (in)
1 0.08 clock (net)
0.36 0.00 0.24 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 0.45 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_clock (net)
0.05 0.00 0.45 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 0.55 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.04 0.00 0.55 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.10 0.14 0.69 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 clknet_1_1_1_clock (net)
0.10 0.00 0.69 ^ clkbuf_2_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.22 0.90 ^ clkbuf_2_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 clknet_2_2_0_clock (net)
0.19 0.00 0.90 ^ clkbuf_3_5_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.69 0.56 1.47 ^ clkbuf_3_5_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
9 0.13 clknet_3_5_0_clock (net)
0.69 0.00 1.47 ^ _10881_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.10 0.47 1.94 ^ _10881_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 pid._T_110 (net)
0.10 0.00 1.94 ^ _07806_/C1 (sky130_fd_sc_hd__a211o_4)
0.10 0.15 2.09 ^ _07806_/X (sky130_fd_sc_hd__a211o_4)
3 0.03 _02822_ (net)
0.10 0.00 2.10 ^ _07850_/A (sky130_fd_sc_hd__buf_4)
0.14 0.20 2.29 ^ _07850_/X (sky130_fd_sc_hd__buf_4)
5 0.05 _02856_ (net)
0.14 0.00 2.29 ^ _07860_/B1 (sky130_fd_sc_hd__o221ai_4)
0.08 0.13 2.42 v _07860_/Y (sky130_fd_sc_hd__o221ai_4)
1 0.01 _02864_ (net)
0.08 0.00 2.42 v _07861_/C1 (sky130_fd_sc_hd__o211a_1)
0.03 0.11 2.53 v _07861_/X (sky130_fd_sc_hd__o211a_1)
1 0.00 _00088_ (net)
0.03 0.00 2.53 v _10628_/D (sky130_fd_sc_hd__dfxtp_1)
2.53 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.36 0.27 0.27 ^ clock (in)
1 0.08 clock (net)
0.36 0.00 0.27 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 0.50 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_clock (net)
0.05 0.00 0.50 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.11 0.61 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.04 0.00 0.61 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.10 0.15 0.76 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 clknet_1_1_1_clock (net)
0.10 0.00 0.76 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.24 1.00 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 clknet_2_3_0_clock (net)
0.19 0.00 1.00 ^ clkbuf_3_6_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.09 0.91 1.91 ^ clkbuf_3_6_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
16 0.21 clknet_3_6_0_clock (net)
1.09 0.00 1.92 ^ clkbuf_leaf_38_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.33 2.25 ^ clkbuf_leaf_38_clock/X (sky130_fd_sc_hd__clkbuf_16)
10 0.03 clknet_leaf_38_clock (net)
0.08 0.00 2.25 ^ _10628_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 2.50 clock uncertainty
-0.07 2.43 clock reconvergence pessimism
-0.04 2.39 library hold time
2.39 data required time
-----------------------------------------------------------------------------
2.39 data required time
-2.53 data arrival time
-----------------------------------------------------------------------------
0.14 slack (MET)
Startpoint: _10591_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _10623_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.36 0.24 0.24 ^ clock (in)
1 0.08 clock (net)
0.36 0.00 0.24 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 0.45 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_clock (net)
0.05 0.00 0.45 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 0.55 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.04 0.00 0.55 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.10 0.14 0.69 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 clknet_1_1_1_clock (net)
0.10 0.00 0.69 ^ clkbuf_2_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.22 0.90 ^ clkbuf_2_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 clknet_2_2_0_clock (net)
0.19 0.00 0.90 ^ clkbuf_3_4_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.95 0.73 1.64 ^ clkbuf_3_4_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
14 0.18 clknet_3_4_0_clock (net)
0.95 0.00 1.64 ^ clkbuf_leaf_65_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.27 1.91 ^ clkbuf_leaf_65_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 clknet_leaf_65_clock (net)
0.06 0.00 1.91 ^ _10591_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.04 0.29 2.20 v _10591_/Q (sky130_fd_sc_hd__dfxtp_1)
1 0.00 pwm.reg_duty[7] (net)
0.04 0.00 2.20 v _06169_/A (sky130_fd_sc_hd__inv_2)
0.07 0.07 2.27 ^ _06169_/Y (sky130_fd_sc_hd__inv_2)
2 0.01 _01210_ (net)
0.07 0.00 2.27 ^ _07839_/A1 (sky130_fd_sc_hd__o221ai_4)
0.11 0.14 2.41 v _07839_/Y (sky130_fd_sc_hd__o221ai_4)
1 0.02 _02848_ (net)
0.11 0.00 2.41 v _07840_/C1 (sky130_fd_sc_hd__o211a_1)
0.03 0.13 2.54 v _07840_/X (sky130_fd_sc_hd__o211a_1)
1 0.00 _00083_ (net)
0.03 0.00 2.54 v _10623_/D (sky130_fd_sc_hd__dfxtp_1)
2.54 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.36 0.27 0.27 ^ clock (in)
1 0.08 clock (net)
0.36 0.00 0.27 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 0.50 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_clock (net)
0.05 0.00 0.50 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.11 0.61 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.04 0.00 0.61 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.10 0.15 0.76 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 clknet_1_1_1_clock (net)
0.10 0.00 0.76 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.24 1.00 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 clknet_2_3_0_clock (net)
0.19 0.00 1.00 ^ clkbuf_3_6_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.09 0.91 1.91 ^ clkbuf_3_6_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
16 0.21 clknet_3_6_0_clock (net)
1.09 0.00 1.92 ^ clkbuf_leaf_34_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.33 2.25 ^ clkbuf_leaf_34_clock/X (sky130_fd_sc_hd__clkbuf_16)
8 0.03 clknet_leaf_34_clock (net)
0.08 0.00 2.25 ^ _10623_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 2.50 clock uncertainty
-0.07 2.43 clock reconvergence pessimism
-0.04 2.39 library hold time
2.39 data required time
-----------------------------------------------------------------------------
2.39 data required time
-2.54 data arrival time
-----------------------------------------------------------------------------
0.15 slack (MET)
Startpoint: _10592_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _10624_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.36 0.24 0.24 ^ clock (in)
1 0.08 clock (net)
0.36 0.00 0.24 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 0.45 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_clock (net)
0.05 0.00 0.45 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 0.55 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.04 0.00 0.55 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.10 0.14 0.69 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 clknet_1_1_1_clock (net)
0.10 0.00 0.69 ^ clkbuf_2_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.22 0.90 ^ clkbuf_2_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 clknet_2_2_0_clock (net)
0.19 0.00 0.90 ^ clkbuf_3_4_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.95 0.73 1.64 ^ clkbuf_3_4_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
14 0.18 clknet_3_4_0_clock (net)
0.95 0.00 1.64 ^ clkbuf_leaf_63_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.28 1.92 ^ clkbuf_leaf_63_clock/X (sky130_fd_sc_hd__clkbuf_16)
5 0.02 clknet_leaf_63_clock (net)
0.06 0.00 1.92 ^ _10592_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.04 0.29 2.21 v _10592_/Q (sky130_fd_sc_hd__dfxtp_1)
1 0.00 pwm.reg_duty[8] (net)
0.04 0.00 2.21 v _06173_/A (sky130_fd_sc_hd__inv_2)
0.07 0.07 2.28 ^ _06173_/Y (sky130_fd_sc_hd__inv_2)
2 0.01 _01213_ (net)
0.07 0.00 2.28 ^ _07842_/A1 (sky130_fd_sc_hd__o221ai_4)
0.11 0.14 2.41 v _07842_/Y (sky130_fd_sc_hd__o221ai_4)
1 0.02 _02850_ (net)
0.11 0.00 2.42 v _07843_/C1 (sky130_fd_sc_hd__o211a_1)
0.03 0.12 2.54 v _07843_/X (sky130_fd_sc_hd__o211a_1)
1 0.00 _00084_ (net)
0.03 0.00 2.54 v _10624_/D (sky130_fd_sc_hd__dfxtp_1)
2.54 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.36 0.27 0.27 ^ clock (in)
1 0.08 clock (net)
0.36 0.00 0.27 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 0.50 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_clock (net)
0.05 0.00 0.50 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.11 0.61 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.04 0.00 0.61 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.10 0.15 0.76 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 clknet_1_1_1_clock (net)
0.10 0.00 0.76 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.24 1.00 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 clknet_2_3_0_clock (net)
0.19 0.00 1.00 ^ clkbuf_3_6_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.09 0.91 1.91 ^ clkbuf_3_6_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
16 0.21 clknet_3_6_0_clock (net)
1.09 0.00 1.92 ^ clkbuf_leaf_34_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.33 2.25 ^ clkbuf_leaf_34_clock/X (sky130_fd_sc_hd__clkbuf_16)
8 0.03 clknet_leaf_34_clock (net)
0.08 0.00 2.25 ^ _10624_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 2.50 clock uncertainty
-0.07 2.43 clock reconvergence pessimism
-0.04 2.39 library hold time
2.39 data required time
-----------------------------------------------------------------------------
2.39 data required time
-2.54 data arrival time
-----------------------------------------------------------------------------
0.15 slack (MET)
min_report_end
max_report
===========================================================================
report_checks -path_delay max (Setup)
============================================================================
Startpoint: _10921_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _10617_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.36 0.27 0.27 ^ clock (in)
1 0.08 clock (net)
0.36 0.00 0.27 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 0.50 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_clock (net)
0.05 0.00 0.50 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.11 0.61 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.04 0.00 0.61 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.10 0.15 0.76 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 clknet_1_1_1_clock (net)
0.10 0.00 0.76 ^ clkbuf_2_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.24 1.00 ^ clkbuf_2_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 clknet_2_2_0_clock (net)
0.19 0.00 1.00 ^ clkbuf_3_4_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.95 0.81 1.81 ^ clkbuf_3_4_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
14 0.18 clknet_3_4_0_clock (net)
0.95 0.00 1.81 ^ clkbuf_leaf_81_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.31 2.12 ^ clkbuf_leaf_81_clock/X (sky130_fd_sc_hd__clkbuf_16)
6 0.02 clknet_leaf_81_clock (net)
0.07 0.00 2.12 ^ _10921_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.08 0.38 2.51 v _10921_/Q (sky130_fd_sc_hd__dfxtp_2)
4 0.03 pid.sigma_old[6] (net)
0.08 0.00 2.51 v _06301_/A (sky130_fd_sc_hd__xnor2_4)
0.09 0.19 2.70 v _06301_/Y (sky130_fd_sc_hd__xnor2_4)
3 0.02 _01317_ (net)
0.09 0.00 2.70 v _06302_/A (sky130_fd_sc_hd__or3b_1)
0.07 0.41 3.10 v _06302_/X (sky130_fd_sc_hd__or3b_1)
2 0.00 _01318_ (net)
0.07 0.00 3.10 v _06305_/C (sky130_fd_sc_hd__or3_1)
0.07 0.34 3.44 v _06305_/X (sky130_fd_sc_hd__or3_1)
1 0.00 _01321_ (net)
0.07 0.00 3.44 v _06306_/B1 (sky130_fd_sc_hd__a311o_1)
0.09 0.39 3.83 v _06306_/X (sky130_fd_sc_hd__a311o_1)
2 0.01 _01322_ (net)
0.09 0.00 3.83 v _06310_/A3 (sky130_fd_sc_hd__a31o_2)
0.08 0.33 4.16 v _06310_/X (sky130_fd_sc_hd__a31o_2)
3 0.02 _01326_ (net)
0.08 0.00 4.16 v _06325_/A1 (sky130_fd_sc_hd__o311ai_4)
0.40 0.45 4.61 ^ _06325_/Y (sky130_fd_sc_hd__o311ai_4)
3 0.03 _01341_ (net)
0.40 0.00 4.61 ^ _06326_/B2 (sky130_fd_sc_hd__a221o_1)
0.08 0.24 4.86 ^ _06326_/X (sky130_fd_sc_hd__a221o_1)
2 0.01 _01342_ (net)
0.08 0.00 4.86 ^ _06328_/A3 (sky130_fd_sc_hd__a31o_2)
0.14 0.24 5.10 ^ _06328_/X (sky130_fd_sc_hd__a31o_2)
2 0.02 _01344_ (net)
0.14 0.00 5.10 ^ _06342_/A (sky130_fd_sc_hd__xor2_2)
0.10 0.12 5.22 v _06342_/X (sky130_fd_sc_hd__xor2_2)
4 0.01 _01358_ (net)
0.10 0.00 5.22 v _06344_/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.10 0.22 5.44 v _06344_/X (sky130_fd_sc_hd__dlymetal6s2s_1)
5 0.02 _01360_ (net)
0.10 0.00 5.44 v _06360_/C (sky130_fd_sc_hd__or3_1)
0.09 0.36 5.81 v _06360_/X (sky130_fd_sc_hd__or3_1)
2 0.01 _01376_ (net)
0.09 0.00 5.81 v _06559_/B1 (sky130_fd_sc_hd__o2111a_1)
0.06 0.18 5.99 v _06559_/X (sky130_fd_sc_hd__o2111a_1)
2 0.01 _01575_ (net)
0.06 0.00 5.99 v _06560_/B1 (sky130_fd_sc_hd__a31o_1)
0.09 0.26 6.25 v _06560_/X (sky130_fd_sc_hd__a31o_1)
4 0.02 _01576_ (net)
0.09 0.00 6.25 v _06562_/B (sky130_fd_sc_hd__and3_1)
0.05 0.20 6.45 v _06562_/X (sky130_fd_sc_hd__and3_1)
2 0.00 _01578_ (net)
0.05 0.00 6.45 v _06564_/B (sky130_fd_sc_hd__or3_1)
0.10 0.41 6.86 v _06564_/X (sky130_fd_sc_hd__or3_1)
3 0.01 _01580_ (net)
0.10 0.00 6.86 v _06571_/C (sky130_fd_sc_hd__and3_1)
0.04 0.22 7.08 v _06571_/X (sky130_fd_sc_hd__and3_1)
2 0.00 _01587_ (net)
0.04 0.00 7.08 v _06594_/A (sky130_fd_sc_hd__or3b_1)
0.10 0.43 7.51 v _06594_/X (sky130_fd_sc_hd__or3b_1)
3 0.01 _01610_ (net)
0.10 0.00 7.51 v _06608_/A1 (sky130_fd_sc_hd__a21o_1)
0.05 0.20 7.70 v _06608_/X (sky130_fd_sc_hd__a21o_1)
2 0.01 _01624_ (net)
0.05 0.00 7.70 v _06613_/A2 (sky130_fd_sc_hd__a21o_1)
0.06 0.21 7.91 v _06613_/X (sky130_fd_sc_hd__a21o_1)
3 0.01 _01629_ (net)
0.06 0.00 7.91 v _06615_/A2 (sky130_fd_sc_hd__a21bo_1)
0.06 0.23 8.14 v _06615_/X (sky130_fd_sc_hd__a21bo_1)
2 0.01 _01631_ (net)
0.06 0.00 8.14 v _06617_/A2 (sky130_fd_sc_hd__a21o_1)
0.06 0.22 8.37 v _06617_/X (sky130_fd_sc_hd__a21o_1)
2 0.01 _01633_ (net)
0.06 0.00 8.37 v _06619_/A2 (sky130_fd_sc_hd__a21o_1)
0.10 0.25 8.62 v _06619_/X (sky130_fd_sc_hd__a21o_1)
4 0.02 _01635_ (net)
0.10 0.00 8.62 v _06662_/A1 (sky130_fd_sc_hd__a21oi_1)
0.16 0.19 8.81 ^ _06662_/Y (sky130_fd_sc_hd__a21oi_1)
1 0.01 _01678_ (net)
0.16 0.00 8.81 ^ _06663_/B (sky130_fd_sc_hd__xnor2_1)
0.24 0.19 9.00 ^ _06663_/Y (sky130_fd_sc_hd__xnor2_1)
2 0.01 _01679_ (net)
0.24 0.00 9.00 ^ _06695_/B (sky130_fd_sc_hd__xor2_1)
0.21 0.25 9.24 ^ _06695_/X (sky130_fd_sc_hd__xor2_1)
2 0.01 _01711_ (net)
0.21 0.00 9.24 ^ _06697_/A (sky130_fd_sc_hd__xnor2_1)
0.30 0.22 9.46 ^ _06697_/Y (sky130_fd_sc_hd__xnor2_1)
2 0.01 _01713_ (net)
0.30 0.00 9.46 ^ _06699_/A2 (sky130_fd_sc_hd__a21o_1)
0.12 0.24 9.70 ^ _06699_/X (sky130_fd_sc_hd__a21o_1)
2 0.01 _01715_ (net)
0.12 0.00 9.70 ^ _06701_/A2 (sky130_fd_sc_hd__a21o_1)
0.19 0.25 9.95 ^ _06701_/X (sky130_fd_sc_hd__a21o_1)
2 0.02 _01717_ (net)
0.19 0.00 9.95 ^ _06893_/B (sky130_fd_sc_hd__xor2_4)
0.27 0.30 10.25 ^ _06893_/X (sky130_fd_sc_hd__xor2_4)
2 0.03 _01909_ (net)
0.27 0.00 10.25 ^ _06894_/B (sky130_fd_sc_hd__xor2_4)
0.24 0.28 10.54 ^ _06894_/X (sky130_fd_sc_hd__xor2_4)
2 0.03 _01910_ (net)
0.24 0.00 10.54 ^ _06899_/A (sky130_fd_sc_hd__xnor2_4)
0.09 0.14 10.68 v _06899_/Y (sky130_fd_sc_hd__xnor2_4)
2 0.02 _01915_ (net)
0.09 0.00 10.68 v _06908_/A (sky130_fd_sc_hd__xor2_4)
0.10 0.23 10.91 v _06908_/X (sky130_fd_sc_hd__xor2_4)
2 0.02 _01924_ (net)
0.10 0.00 10.91 v _06929_/A1 (sky130_fd_sc_hd__a21o_2)
0.08 0.27 11.18 v _06929_/X (sky130_fd_sc_hd__a21o_2)
2 0.02 _01945_ (net)
0.08 0.00 11.18 v _06931_/A2 (sky130_fd_sc_hd__a21o_2)
0.08 0.28 11.46 v _06931_/X (sky130_fd_sc_hd__a21o_2)
2 0.02 _01947_ (net)
0.08 0.00 11.46 v _06933_/A2 (sky130_fd_sc_hd__a21o_1)
0.10 0.26 11.73 v _06933_/X (sky130_fd_sc_hd__a21o_1)
2 0.02 _01949_ (net)
0.10 0.00 11.73 v _06934_/B (sky130_fd_sc_hd__xor2_4)
0.09 0.20 11.92 v _06934_/X (sky130_fd_sc_hd__xor2_4)
2 0.02 _01950_ (net)
0.09 0.00 11.93 v _06966_/A (sky130_fd_sc_hd__xnor2_2)
0.08 0.18 12.11 v _06966_/Y (sky130_fd_sc_hd__xnor2_2)
2 0.01 _01982_ (net)
0.08 0.00 12.11 v _07605_/A (sky130_fd_sc_hd__xor2_2)
0.11 0.22 12.33 v _07605_/X (sky130_fd_sc_hd__xor2_2)
2 0.01 _02621_ (net)
0.11 0.00 12.33 v _07709_/A1 (sky130_fd_sc_hd__o21ai_2)
0.19 0.24 12.57 ^ _07709_/Y (sky130_fd_sc_hd__o21ai_2)
3 0.01 _02725_ (net)
0.19 0.00 12.57 ^ _07805_/A_N (sky130_fd_sc_hd__and3b_1)
0.05 0.22 12.79 v _07805_/X (sky130_fd_sc_hd__and3b_1)
1 0.01 _02821_ (net)
0.05 0.00 12.79 v _07806_/B1 (sky130_fd_sc_hd__a211o_4)
0.09 0.38 13.17 v _07806_/X (sky130_fd_sc_hd__a211o_4)
3 0.03 _02822_ (net)
0.09 0.00 13.17 v _07807_/A (sky130_fd_sc_hd__buf_4)
0.07 0.21 13.38 v _07807_/X (sky130_fd_sc_hd__buf_4)
5 0.05 _02823_ (net)
0.07 0.00 13.38 v _07815_/B1 (sky130_fd_sc_hd__o221ai_4)
0.26 0.26 13.65 ^ _07815_/Y (sky130_fd_sc_hd__o221ai_4)
1 0.02 _02830_ (net)
0.26 0.00 13.65 ^ _07816_/C1 (sky130_fd_sc_hd__o211a_1)
0.09 0.24 13.89 ^ _07816_/X (sky130_fd_sc_hd__o211a_1)
1 0.01 _00077_ (net)
0.09 0.00 13.89 ^ _10617_/D (sky130_fd_sc_hd__dfxtp_1)
13.89 data arrival time
20.00 20.00 clock clock (rise edge)
0.00 20.00 clock source latency
0.36 0.24 20.24 ^ clock (in)
1 0.08 clock (net)
0.36 0.00 20.24 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 20.45 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_clock (net)
0.05 0.00 20.45 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 20.55 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_0_0_clock (net)
0.04 0.00 20.55 ^ clkbuf_1_0_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.17 20.72 ^ clkbuf_1_0_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 clknet_1_0_1_clock (net)
0.15 0.00 20.72 ^ clkbuf_2_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.20 20.92 ^ clkbuf_2_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 clknet_2_1_0_clock (net)
0.15 0.00 20.92 ^ clkbuf_3_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.77 0.61 21.53 ^ clkbuf_3_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
11 0.14 clknet_3_2_0_clock (net)
0.77 0.00 21.53 ^ clkbuf_leaf_13_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.28 21.81 ^ clkbuf_leaf_13_clock/X (sky130_fd_sc_hd__clkbuf_16)
11 0.04 clknet_leaf_13_clock (net)
0.07 0.00 21.81 ^ _10617_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 21.56 clock uncertainty
0.05 21.60 clock reconvergence pessimism
-0.06 21.54 library setup time
21.54 data required time
-----------------------------------------------------------------------------
21.54 data required time
-13.89 data arrival time
-----------------------------------------------------------------------------
7.66 slack (MET)
Startpoint: _10921_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _10619_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.36 0.27 0.27 ^ clock (in)
1 0.08 clock (net)
0.36 0.00 0.27 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 0.50 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_clock (net)
0.05 0.00 0.50 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.11 0.61 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.04 0.00 0.61 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.10 0.15 0.76 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 clknet_1_1_1_clock (net)
0.10 0.00 0.76 ^ clkbuf_2_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.24 1.00 ^ clkbuf_2_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 clknet_2_2_0_clock (net)
0.19 0.00 1.00 ^ clkbuf_3_4_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.95 0.81 1.81 ^ clkbuf_3_4_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
14 0.18 clknet_3_4_0_clock (net)
0.95 0.00 1.81 ^ clkbuf_leaf_81_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.31 2.12 ^ clkbuf_leaf_81_clock/X (sky130_fd_sc_hd__clkbuf_16)
6 0.02 clknet_leaf_81_clock (net)
0.07 0.00 2.12 ^ _10921_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.08 0.38 2.51 v _10921_/Q (sky130_fd_sc_hd__dfxtp_2)
4 0.03 pid.sigma_old[6] (net)
0.08 0.00 2.51 v _06301_/A (sky130_fd_sc_hd__xnor2_4)
0.09 0.19 2.70 v _06301_/Y (sky130_fd_sc_hd__xnor2_4)
3 0.02 _01317_ (net)
0.09 0.00 2.70 v _06302_/A (sky130_fd_sc_hd__or3b_1)
0.07 0.41 3.10 v _06302_/X (sky130_fd_sc_hd__or3b_1)
2 0.00 _01318_ (net)
0.07 0.00 3.10 v _06305_/C (sky130_fd_sc_hd__or3_1)
0.07 0.34 3.44 v _06305_/X (sky130_fd_sc_hd__or3_1)
1 0.00 _01321_ (net)
0.07 0.00 3.44 v _06306_/B1 (sky130_fd_sc_hd__a311o_1)
0.09 0.39 3.83 v _06306_/X (sky130_fd_sc_hd__a311o_1)
2 0.01 _01322_ (net)
0.09 0.00 3.83 v _06310_/A3 (sky130_fd_sc_hd__a31o_2)
0.08 0.33 4.16 v _06310_/X (sky130_fd_sc_hd__a31o_2)
3 0.02 _01326_ (net)
0.08 0.00 4.16 v _06325_/A1 (sky130_fd_sc_hd__o311ai_4)
0.40 0.45 4.61 ^ _06325_/Y (sky130_fd_sc_hd__o311ai_4)
3 0.03 _01341_ (net)
0.40 0.00 4.61 ^ _06326_/B2 (sky130_fd_sc_hd__a221o_1)
0.08 0.24 4.86 ^ _06326_/X (sky130_fd_sc_hd__a221o_1)
2 0.01 _01342_ (net)
0.08 0.00 4.86 ^ _06328_/A3 (sky130_fd_sc_hd__a31o_2)
0.14 0.24 5.10 ^ _06328_/X (sky130_fd_sc_hd__a31o_2)
2 0.02 _01344_ (net)
0.14 0.00 5.10 ^ _06342_/A (sky130_fd_sc_hd__xor2_2)
0.10 0.12 5.22 v _06342_/X (sky130_fd_sc_hd__xor2_2)
4 0.01 _01358_ (net)
0.10 0.00 5.22 v _06344_/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.10 0.22 5.44 v _06344_/X (sky130_fd_sc_hd__dlymetal6s2s_1)
5 0.02 _01360_ (net)
0.10 0.00 5.44 v _06360_/C (sky130_fd_sc_hd__or3_1)
0.09 0.36 5.81 v _06360_/X (sky130_fd_sc_hd__or3_1)
2 0.01 _01376_ (net)
0.09 0.00 5.81 v _06559_/B1 (sky130_fd_sc_hd__o2111a_1)
0.06 0.18 5.99 v _06559_/X (sky130_fd_sc_hd__o2111a_1)
2 0.01 _01575_ (net)
0.06 0.00 5.99 v _06560_/B1 (sky130_fd_sc_hd__a31o_1)
0.09 0.26 6.25 v _06560_/X (sky130_fd_sc_hd__a31o_1)
4 0.02 _01576_ (net)
0.09 0.00 6.25 v _06562_/B (sky130_fd_sc_hd__and3_1)
0.05 0.20 6.45 v _06562_/X (sky130_fd_sc_hd__and3_1)
2 0.00 _01578_ (net)
0.05 0.00 6.45 v _06564_/B (sky130_fd_sc_hd__or3_1)
0.10 0.41 6.86 v _06564_/X (sky130_fd_sc_hd__or3_1)
3 0.01 _01580_ (net)
0.10 0.00 6.86 v _06571_/C (sky130_fd_sc_hd__and3_1)
0.04 0.22 7.08 v _06571_/X (sky130_fd_sc_hd__and3_1)
2 0.00 _01587_ (net)
0.04 0.00 7.08 v _06594_/A (sky130_fd_sc_hd__or3b_1)
0.10 0.43 7.51 v _06594_/X (sky130_fd_sc_hd__or3b_1)
3 0.01 _01610_ (net)
0.10 0.00 7.51 v _06608_/A1 (sky130_fd_sc_hd__a21o_1)
0.05 0.20 7.70 v _06608_/X (sky130_fd_sc_hd__a21o_1)
2 0.01 _01624_ (net)
0.05 0.00 7.70 v _06613_/A2 (sky130_fd_sc_hd__a21o_1)
0.06 0.21 7.91 v _06613_/X (sky130_fd_sc_hd__a21o_1)
3 0.01 _01629_ (net)
0.06 0.00 7.91 v _06615_/A2 (sky130_fd_sc_hd__a21bo_1)
0.06 0.23 8.14 v _06615_/X (sky130_fd_sc_hd__a21bo_1)
2 0.01 _01631_ (net)
0.06 0.00 8.14 v _06617_/A2 (sky130_fd_sc_hd__a21o_1)
0.06 0.22 8.37 v _06617_/X (sky130_fd_sc_hd__a21o_1)
2 0.01 _01633_ (net)
0.06 0.00 8.37 v _06619_/A2 (sky130_fd_sc_hd__a21o_1)
0.10 0.25 8.62 v _06619_/X (sky130_fd_sc_hd__a21o_1)
4 0.02 _01635_ (net)
0.10 0.00 8.62 v _06662_/A1 (sky130_fd_sc_hd__a21oi_1)
0.16 0.19 8.81 ^ _06662_/Y (sky130_fd_sc_hd__a21oi_1)
1 0.01 _01678_ (net)
0.16 0.00 8.81 ^ _06663_/B (sky130_fd_sc_hd__xnor2_1)
0.24 0.19 9.00 ^ _06663_/Y (sky130_fd_sc_hd__xnor2_1)
2 0.01 _01679_ (net)
0.24 0.00 9.00 ^ _06695_/B (sky130_fd_sc_hd__xor2_1)
0.21 0.25 9.24 ^ _06695_/X (sky130_fd_sc_hd__xor2_1)
2 0.01 _01711_ (net)
0.21 0.00 9.24 ^ _06697_/A (sky130_fd_sc_hd__xnor2_1)
0.30 0.22 9.46 ^ _06697_/Y (sky130_fd_sc_hd__xnor2_1)
2 0.01 _01713_ (net)
0.30 0.00 9.46 ^ _06699_/A2 (sky130_fd_sc_hd__a21o_1)
0.12 0.24 9.70 ^ _06699_/X (sky130_fd_sc_hd__a21o_1)
2 0.01 _01715_ (net)
0.12 0.00 9.70 ^ _06701_/A2 (sky130_fd_sc_hd__a21o_1)
0.19 0.25 9.95 ^ _06701_/X (sky130_fd_sc_hd__a21o_1)
2 0.02 _01717_ (net)
0.19 0.00 9.95 ^ _06893_/B (sky130_fd_sc_hd__xor2_4)
0.27 0.30 10.25 ^ _06893_/X (sky130_fd_sc_hd__xor2_4)
2 0.03 _01909_ (net)
0.27 0.00 10.25 ^ _06894_/B (sky130_fd_sc_hd__xor2_4)
0.24 0.28 10.54 ^ _06894_/X (sky130_fd_sc_hd__xor2_4)
2 0.03 _01910_ (net)
0.24 0.00 10.54 ^ _06899_/A (sky130_fd_sc_hd__xnor2_4)
0.09 0.14 10.68 v _06899_/Y (sky130_fd_sc_hd__xnor2_4)
2 0.02 _01915_ (net)
0.09 0.00 10.68 v _06908_/A (sky130_fd_sc_hd__xor2_4)
0.10 0.23 10.91 v _06908_/X (sky130_fd_sc_hd__xor2_4)
2 0.02 _01924_ (net)
0.10 0.00 10.91 v _06929_/A1 (sky130_fd_sc_hd__a21o_2)
0.08 0.27 11.18 v _06929_/X (sky130_fd_sc_hd__a21o_2)
2 0.02 _01945_ (net)
0.08 0.00 11.18 v _06931_/A2 (sky130_fd_sc_hd__a21o_2)
0.08 0.28 11.46 v _06931_/X (sky130_fd_sc_hd__a21o_2)
2 0.02 _01947_ (net)
0.08 0.00 11.46 v _06933_/A2 (sky130_fd_sc_hd__a21o_1)
0.10 0.26 11.73 v _06933_/X (sky130_fd_sc_hd__a21o_1)
2 0.02 _01949_ (net)
0.10 0.00 11.73 v _06934_/B (sky130_fd_sc_hd__xor2_4)
0.09 0.20 11.92 v _06934_/X (sky130_fd_sc_hd__xor2_4)
2 0.02 _01950_ (net)
0.09 0.00 11.93 v _06966_/A (sky130_fd_sc_hd__xnor2_2)
0.08 0.18 12.11 v _06966_/Y (sky130_fd_sc_hd__xnor2_2)
2 0.01 _01982_ (net)
0.08 0.00 12.11 v _07605_/A (sky130_fd_sc_hd__xor2_2)
0.11 0.22 12.33 v _07605_/X (sky130_fd_sc_hd__xor2_2)
2 0.01 _02621_ (net)
0.11 0.00 12.33 v _07709_/A1 (sky130_fd_sc_hd__o21ai_2)
0.19 0.24 12.57 ^ _07709_/Y (sky130_fd_sc_hd__o21ai_2)
3 0.01 _02725_ (net)
0.19 0.00 12.57 ^ _07805_/A_N (sky130_fd_sc_hd__and3b_1)
0.05 0.22 12.79 v _07805_/X (sky130_fd_sc_hd__and3b_1)
1 0.01 _02821_ (net)
0.05 0.00 12.79 v _07806_/B1 (sky130_fd_sc_hd__a211o_4)
0.09 0.38 13.17 v _07806_/X (sky130_fd_sc_hd__a211o_4)
3 0.03 _02822_ (net)
0.09 0.00 13.17 v _07807_/A (sky130_fd_sc_hd__buf_4)
0.07 0.21 13.38 v _07807_/X (sky130_fd_sc_hd__buf_4)
5 0.05 _02823_ (net)
0.07 0.00 13.38 v _07821_/B1 (sky130_fd_sc_hd__o221ai_4)
0.27 0.27 13.65 ^ _07821_/Y (sky130_fd_sc_hd__o221ai_4)
1 0.02 _02834_ (net)
0.27 0.00 13.65 ^ _07822_/C1 (sky130_fd_sc_hd__o211a_1)
0.07 0.23 13.88 ^ _07822_/X (sky130_fd_sc_hd__o211a_1)
1 0.01 _00079_ (net)
0.07 0.00 13.88 ^ _10619_/D (sky130_fd_sc_hd__dfxtp_1)
13.88 data arrival time
20.00 20.00 clock clock (rise edge)
0.00 20.00 clock source latency
0.36 0.24 20.24 ^ clock (in)
1 0.08 clock (net)
0.36 0.00 20.24 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 20.45 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_clock (net)
0.05 0.00 20.45 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 20.55 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_0_0_clock (net)
0.04 0.00 20.55 ^ clkbuf_1_0_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.17 20.72 ^ clkbuf_1_0_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 clknet_1_0_1_clock (net)
0.15 0.00 20.72 ^ clkbuf_2_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.20 20.92 ^ clkbuf_2_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 clknet_2_1_0_clock (net)
0.15 0.00 20.92 ^ clkbuf_3_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.77 0.61 21.53 ^ clkbuf_3_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
11 0.14 clknet_3_2_0_clock (net)
0.77 0.00 21.53 ^ clkbuf_leaf_10_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.27 21.80 ^ clkbuf_leaf_10_clock/X (sky130_fd_sc_hd__clkbuf_16)
8 0.03 clknet_leaf_10_clock (net)
0.06 0.00 21.80 ^ _10619_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 21.55 clock uncertainty
0.05 21.60 clock reconvergence pessimism
-0.06 21.54 library setup time
21.54 data required time
-----------------------------------------------------------------------------
21.54 data required time
-13.88 data arrival time
-----------------------------------------------------------------------------
7.66 slack (MET)
Startpoint: _10921_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _10618_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.36 0.27 0.27 ^ clock (in)
1 0.08 clock (net)
0.36 0.00 0.27 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 0.50 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_clock (net)
0.05 0.00 0.50 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.11 0.61 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.04 0.00 0.61 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.10 0.15 0.76 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 clknet_1_1_1_clock (net)
0.10 0.00 0.76 ^ clkbuf_2_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.24 1.00 ^ clkbuf_2_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 clknet_2_2_0_clock (net)
0.19 0.00 1.00 ^ clkbuf_3_4_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.95 0.81 1.81 ^ clkbuf_3_4_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
14 0.18 clknet_3_4_0_clock (net)
0.95 0.00 1.81 ^ clkbuf_leaf_81_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.31 2.12 ^ clkbuf_leaf_81_clock/X (sky130_fd_sc_hd__clkbuf_16)
6 0.02 clknet_leaf_81_clock (net)
0.07 0.00 2.12 ^ _10921_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.08 0.38 2.51 v _10921_/Q (sky130_fd_sc_hd__dfxtp_2)
4 0.03 pid.sigma_old[6] (net)
0.08 0.00 2.51 v _06301_/A (sky130_fd_sc_hd__xnor2_4)
0.09 0.19 2.70 v _06301_/Y (sky130_fd_sc_hd__xnor2_4)
3 0.02 _01317_ (net)
0.09 0.00 2.70 v _06302_/A (sky130_fd_sc_hd__or3b_1)
0.07 0.41 3.10 v _06302_/X (sky130_fd_sc_hd__or3b_1)
2 0.00 _01318_ (net)
0.07 0.00 3.10 v _06305_/C (sky130_fd_sc_hd__or3_1)
0.07 0.34 3.44 v _06305_/X (sky130_fd_sc_hd__or3_1)
1 0.00 _01321_ (net)
0.07 0.00 3.44 v _06306_/B1 (sky130_fd_sc_hd__a311o_1)
0.09 0.39 3.83 v _06306_/X (sky130_fd_sc_hd__a311o_1)
2 0.01 _01322_ (net)
0.09 0.00 3.83 v _06310_/A3 (sky130_fd_sc_hd__a31o_2)
0.08 0.33 4.16 v _06310_/X (sky130_fd_sc_hd__a31o_2)
3 0.02 _01326_ (net)
0.08 0.00 4.16 v _06325_/A1 (sky130_fd_sc_hd__o311ai_4)
0.40 0.45 4.61 ^ _06325_/Y (sky130_fd_sc_hd__o311ai_4)
3 0.03 _01341_ (net)
0.40 0.00 4.61 ^ _06326_/B2 (sky130_fd_sc_hd__a221o_1)
0.08 0.24 4.86 ^ _06326_/X (sky130_fd_sc_hd__a221o_1)
2 0.01 _01342_ (net)
0.08 0.00 4.86 ^ _06328_/A3 (sky130_fd_sc_hd__a31o_2)
0.14 0.24 5.10 ^ _06328_/X (sky130_fd_sc_hd__a31o_2)
2 0.02 _01344_ (net)
0.14 0.00 5.10 ^ _06342_/A (sky130_fd_sc_hd__xor2_2)
0.10 0.12 5.22 v _06342_/X (sky130_fd_sc_hd__xor2_2)
4 0.01 _01358_ (net)
0.10 0.00 5.22 v _06344_/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.10 0.22 5.44 v _06344_/X (sky130_fd_sc_hd__dlymetal6s2s_1)
5 0.02 _01360_ (net)
0.10 0.00 5.44 v _06360_/C (sky130_fd_sc_hd__or3_1)
0.09 0.36 5.81 v _06360_/X (sky130_fd_sc_hd__or3_1)
2 0.01 _01376_ (net)
0.09 0.00 5.81 v _06559_/B1 (sky130_fd_sc_hd__o2111a_1)
0.06 0.18 5.99 v _06559_/X (sky130_fd_sc_hd__o2111a_1)
2 0.01 _01575_ (net)
0.06 0.00 5.99 v _06560_/B1 (sky130_fd_sc_hd__a31o_1)
0.09 0.26 6.25 v _06560_/X (sky130_fd_sc_hd__a31o_1)
4 0.02 _01576_ (net)
0.09 0.00 6.25 v _06562_/B (sky130_fd_sc_hd__and3_1)
0.05 0.20 6.45 v _06562_/X (sky130_fd_sc_hd__and3_1)
2 0.00 _01578_ (net)
0.05 0.00 6.45 v _06564_/B (sky130_fd_sc_hd__or3_1)
0.10 0.41 6.86 v _06564_/X (sky130_fd_sc_hd__or3_1)
3 0.01 _01580_ (net)
0.10 0.00 6.86 v _06571_/C (sky130_fd_sc_hd__and3_1)
0.04 0.22 7.08 v _06571_/X (sky130_fd_sc_hd__and3_1)
2 0.00 _01587_ (net)
0.04 0.00 7.08 v _06594_/A (sky130_fd_sc_hd__or3b_1)
0.10 0.43 7.51 v _06594_/X (sky130_fd_sc_hd__or3b_1)
3 0.01 _01610_ (net)
0.10 0.00 7.51 v _06608_/A1 (sky130_fd_sc_hd__a21o_1)
0.05 0.20 7.70 v _06608_/X (sky130_fd_sc_hd__a21o_1)
2 0.01 _01624_ (net)
0.05 0.00 7.70 v _06613_/A2 (sky130_fd_sc_hd__a21o_1)
0.06 0.21 7.91 v _06613_/X (sky130_fd_sc_hd__a21o_1)
3 0.01 _01629_ (net)
0.06 0.00 7.91 v _06615_/A2 (sky130_fd_sc_hd__a21bo_1)
0.06 0.23 8.14 v _06615_/X (sky130_fd_sc_hd__a21bo_1)
2 0.01 _01631_ (net)
0.06 0.00 8.14 v _06617_/A2 (sky130_fd_sc_hd__a21o_1)
0.06 0.22 8.37 v _06617_/X (sky130_fd_sc_hd__a21o_1)
2 0.01 _01633_ (net)
0.06 0.00 8.37 v _06619_/A2 (sky130_fd_sc_hd__a21o_1)
0.10 0.25 8.62 v _06619_/X (sky130_fd_sc_hd__a21o_1)
4 0.02 _01635_ (net)
0.10 0.00 8.62 v _06662_/A1 (sky130_fd_sc_hd__a21oi_1)
0.16 0.19 8.81 ^ _06662_/Y (sky130_fd_sc_hd__a21oi_1)
1 0.01 _01678_ (net)
0.16 0.00 8.81 ^ _06663_/B (sky130_fd_sc_hd__xnor2_1)
0.24 0.19 9.00 ^ _06663_/Y (sky130_fd_sc_hd__xnor2_1)
2 0.01 _01679_ (net)
0.24 0.00 9.00 ^ _06695_/B (sky130_fd_sc_hd__xor2_1)
0.21 0.25 9.24 ^ _06695_/X (sky130_fd_sc_hd__xor2_1)
2 0.01 _01711_ (net)
0.21 0.00 9.24 ^ _06697_/A (sky130_fd_sc_hd__xnor2_1)
0.30 0.22 9.46 ^ _06697_/Y (sky130_fd_sc_hd__xnor2_1)
2 0.01 _01713_ (net)
0.30 0.00 9.46 ^ _06699_/A2 (sky130_fd_sc_hd__a21o_1)
0.12 0.24 9.70 ^ _06699_/X (sky130_fd_sc_hd__a21o_1)
2 0.01 _01715_ (net)
0.12 0.00 9.70 ^ _06701_/A2 (sky130_fd_sc_hd__a21o_1)
0.19 0.25 9.95 ^ _06701_/X (sky130_fd_sc_hd__a21o_1)
2 0.02 _01717_ (net)
0.19 0.00 9.95 ^ _06893_/B (sky130_fd_sc_hd__xor2_4)
0.27 0.30 10.25 ^ _06893_/X (sky130_fd_sc_hd__xor2_4)
2 0.03 _01909_ (net)
0.27 0.00 10.25 ^ _06894_/B (sky130_fd_sc_hd__xor2_4)
0.24 0.28 10.54 ^ _06894_/X (sky130_fd_sc_hd__xor2_4)
2 0.03 _01910_ (net)
0.24 0.00 10.54 ^ _06899_/A (sky130_fd_sc_hd__xnor2_4)
0.09 0.14 10.68 v _06899_/Y (sky130_fd_sc_hd__xnor2_4)
2 0.02 _01915_ (net)
0.09 0.00 10.68 v _06908_/A (sky130_fd_sc_hd__xor2_4)
0.10 0.23 10.91 v _06908_/X (sky130_fd_sc_hd__xor2_4)
2 0.02 _01924_ (net)
0.10 0.00 10.91 v _06929_/A1 (sky130_fd_sc_hd__a21o_2)
0.08 0.27 11.18 v _06929_/X (sky130_fd_sc_hd__a21o_2)
2 0.02 _01945_ (net)
0.08 0.00 11.18 v _06931_/A2 (sky130_fd_sc_hd__a21o_2)
0.08 0.28 11.46 v _06931_/X (sky130_fd_sc_hd__a21o_2)
2 0.02 _01947_ (net)
0.08 0.00 11.46 v _06933_/A2 (sky130_fd_sc_hd__a21o_1)
0.10 0.26 11.73 v _06933_/X (sky130_fd_sc_hd__a21o_1)
2 0.02 _01949_ (net)
0.10 0.00 11.73 v _06934_/B (sky130_fd_sc_hd__xor2_4)
0.09 0.20 11.92 v _06934_/X (sky130_fd_sc_hd__xor2_4)
2 0.02 _01950_ (net)
0.09 0.00 11.93 v _06966_/A (sky130_fd_sc_hd__xnor2_2)
0.08 0.18 12.11 v _06966_/Y (sky130_fd_sc_hd__xnor2_2)
2 0.01 _01982_ (net)
0.08 0.00 12.11 v _07605_/A (sky130_fd_sc_hd__xor2_2)
0.11 0.22 12.33 v _07605_/X (sky130_fd_sc_hd__xor2_2)
2 0.01 _02621_ (net)
0.11 0.00 12.33 v _07709_/A1 (sky130_fd_sc_hd__o21ai_2)
0.19 0.24 12.57 ^ _07709_/Y (sky130_fd_sc_hd__o21ai_2)
3 0.01 _02725_ (net)
0.19 0.00 12.57 ^ _07805_/A_N (sky130_fd_sc_hd__and3b_1)
0.05 0.22 12.79 v _07805_/X (sky130_fd_sc_hd__and3b_1)
1 0.01 _02821_ (net)
0.05 0.00 12.79 v _07806_/B1 (sky130_fd_sc_hd__a211o_4)
0.09 0.38 13.17 v _07806_/X (sky130_fd_sc_hd__a211o_4)
3 0.03 _02822_ (net)
0.09 0.00 13.17 v _07807_/A (sky130_fd_sc_hd__buf_4)
0.07 0.21 13.38 v _07807_/X (sky130_fd_sc_hd__buf_4)
5 0.05 _02823_ (net)
0.07 0.00 13.38 v _07818_/B1 (sky130_fd_sc_hd__o221ai_4)
0.27 0.26 13.65 ^ _07818_/Y (sky130_fd_sc_hd__o221ai_4)
1 0.02 _02832_ (net)
0.27 0.00 13.65 ^ _07819_/C1 (sky130_fd_sc_hd__o211a_1)
0.08 0.23 13.88 ^ _07819_/X (sky130_fd_sc_hd__o211a_1)
1 0.01 _00078_ (net)
0.08 0.00 13.88 ^ _10618_/D (sky130_fd_sc_hd__dfxtp_1)
13.88 data arrival time
20.00 20.00 clock clock (rise edge)
0.00 20.00 clock source latency
0.36 0.24 20.24 ^ clock (in)
1 0.08 clock (net)
0.36 0.00 20.24 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 20.45 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_clock (net)
0.05 0.00 20.45 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 20.55 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_0_0_clock (net)
0.04 0.00 20.55 ^ clkbuf_1_0_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.17 20.72 ^ clkbuf_1_0_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 clknet_1_0_1_clock (net)
0.15 0.00 20.72 ^ clkbuf_2_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.20 20.92 ^ clkbuf_2_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 clknet_2_1_0_clock (net)
0.15 0.00 20.92 ^ clkbuf_3_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.77 0.61 21.53 ^ clkbuf_3_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
11 0.14 clknet_3_2_0_clock (net)
0.77 0.00 21.53 ^ clkbuf_leaf_13_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.28 21.81 ^ clkbuf_leaf_13_clock/X (sky130_fd_sc_hd__clkbuf_16)
11 0.04 clknet_leaf_13_clock (net)
0.07 0.00 21.81 ^ _10618_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 21.56 clock uncertainty
0.05 21.60 clock reconvergence pessimism
-0.06 21.55 library setup time
21.55 data required time
-----------------------------------------------------------------------------
21.55 data required time
-13.88 data arrival time
-----------------------------------------------------------------------------
7.66 slack (MET)
Startpoint: _10921_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _10620_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.36 0.27 0.27 ^ clock (in)
1 0.08 clock (net)
0.36 0.00 0.27 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 0.50 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_clock (net)
0.05 0.00 0.50 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.11 0.61 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.04 0.00 0.61 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.10 0.15 0.76 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 clknet_1_1_1_clock (net)
0.10 0.00 0.76 ^ clkbuf_2_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.24 1.00 ^ clkbuf_2_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 clknet_2_2_0_clock (net)
0.19 0.00 1.00 ^ clkbuf_3_4_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.95 0.81 1.81 ^ clkbuf_3_4_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
14 0.18 clknet_3_4_0_clock (net)
0.95 0.00 1.81 ^ clkbuf_leaf_81_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.31 2.12 ^ clkbuf_leaf_81_clock/X (sky130_fd_sc_hd__clkbuf_16)
6 0.02 clknet_leaf_81_clock (net)
0.07 0.00 2.12 ^ _10921_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.08 0.38 2.51 v _10921_/Q (sky130_fd_sc_hd__dfxtp_2)
4 0.03 pid.sigma_old[6] (net)
0.08 0.00 2.51 v _06301_/A (sky130_fd_sc_hd__xnor2_4)
0.09 0.19 2.70 v _06301_/Y (sky130_fd_sc_hd__xnor2_4)
3 0.02 _01317_ (net)
0.09 0.00 2.70 v _06302_/A (sky130_fd_sc_hd__or3b_1)
0.07 0.41 3.10 v _06302_/X (sky130_fd_sc_hd__or3b_1)
2 0.00 _01318_ (net)
0.07 0.00 3.10 v _06305_/C (sky130_fd_sc_hd__or3_1)
0.07 0.34 3.44 v _06305_/X (sky130_fd_sc_hd__or3_1)
1 0.00 _01321_ (net)
0.07 0.00 3.44 v _06306_/B1 (sky130_fd_sc_hd__a311o_1)
0.09 0.39 3.83 v _06306_/X (sky130_fd_sc_hd__a311o_1)
2 0.01 _01322_ (net)
0.09 0.00 3.83 v _06310_/A3 (sky130_fd_sc_hd__a31o_2)
0.08 0.33 4.16 v _06310_/X (sky130_fd_sc_hd__a31o_2)
3 0.02 _01326_ (net)
0.08 0.00 4.16 v _06325_/A1 (sky130_fd_sc_hd__o311ai_4)
0.40 0.45 4.61 ^ _06325_/Y (sky130_fd_sc_hd__o311ai_4)
3 0.03 _01341_ (net)
0.40 0.00 4.61 ^ _06326_/B2 (sky130_fd_sc_hd__a221o_1)
0.08 0.24 4.86 ^ _06326_/X (sky130_fd_sc_hd__a221o_1)
2 0.01 _01342_ (net)
0.08 0.00 4.86 ^ _06328_/A3 (sky130_fd_sc_hd__a31o_2)
0.14 0.24 5.10 ^ _06328_/X (sky130_fd_sc_hd__a31o_2)
2 0.02 _01344_ (net)
0.14 0.00 5.10 ^ _06342_/A (sky130_fd_sc_hd__xor2_2)
0.10 0.12 5.22 v _06342_/X (sky130_fd_sc_hd__xor2_2)
4 0.01 _01358_ (net)
0.10 0.00 5.22 v _06344_/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.10 0.22 5.44 v _06344_/X (sky130_fd_sc_hd__dlymetal6s2s_1)
5 0.02 _01360_ (net)
0.10 0.00 5.44 v _06360_/C (sky130_fd_sc_hd__or3_1)
0.09 0.36 5.81 v _06360_/X (sky130_fd_sc_hd__or3_1)
2 0.01 _01376_ (net)
0.09 0.00 5.81 v _06559_/B1 (sky130_fd_sc_hd__o2111a_1)
0.06 0.18 5.99 v _06559_/X (sky130_fd_sc_hd__o2111a_1)
2 0.01 _01575_ (net)
0.06 0.00 5.99 v _06560_/B1 (sky130_fd_sc_hd__a31o_1)
0.09 0.26 6.25 v _06560_/X (sky130_fd_sc_hd__a31o_1)
4 0.02 _01576_ (net)
0.09 0.00 6.25 v _06562_/B (sky130_fd_sc_hd__and3_1)
0.05 0.20 6.45 v _06562_/X (sky130_fd_sc_hd__and3_1)
2 0.00 _01578_ (net)
0.05 0.00 6.45 v _06564_/B (sky130_fd_sc_hd__or3_1)
0.10 0.41 6.86 v _06564_/X (sky130_fd_sc_hd__or3_1)
3 0.01 _01580_ (net)
0.10 0.00 6.86 v _06571_/C (sky130_fd_sc_hd__and3_1)
0.04 0.22 7.08 v _06571_/X (sky130_fd_sc_hd__and3_1)
2 0.00 _01587_ (net)
0.04 0.00 7.08 v _06594_/A (sky130_fd_sc_hd__or3b_1)
0.10 0.43 7.51 v _06594_/X (sky130_fd_sc_hd__or3b_1)
3 0.01 _01610_ (net)
0.10 0.00 7.51 v _06608_/A1 (sky130_fd_sc_hd__a21o_1)
0.05 0.20 7.70 v _06608_/X (sky130_fd_sc_hd__a21o_1)
2 0.01 _01624_ (net)
0.05 0.00 7.70 v _06613_/A2 (sky130_fd_sc_hd__a21o_1)
0.06 0.21 7.91 v _06613_/X (sky130_fd_sc_hd__a21o_1)
3 0.01 _01629_ (net)
0.06 0.00 7.91 v _06615_/A2 (sky130_fd_sc_hd__a21bo_1)
0.06 0.23 8.14 v _06615_/X (sky130_fd_sc_hd__a21bo_1)
2 0.01 _01631_ (net)
0.06 0.00 8.14 v _06617_/A2 (sky130_fd_sc_hd__a21o_1)
0.06 0.22 8.37 v _06617_/X (sky130_fd_sc_hd__a21o_1)
2 0.01 _01633_ (net)
0.06 0.00 8.37 v _06619_/A2 (sky130_fd_sc_hd__a21o_1)
0.10 0.25 8.62 v _06619_/X (sky130_fd_sc_hd__a21o_1)
4 0.02 _01635_ (net)
0.10 0.00 8.62 v _06662_/A1 (sky130_fd_sc_hd__a21oi_1)
0.16 0.19 8.81 ^ _06662_/Y (sky130_fd_sc_hd__a21oi_1)
1 0.01 _01678_ (net)
0.16 0.00 8.81 ^ _06663_/B (sky130_fd_sc_hd__xnor2_1)
0.24 0.19 9.00 ^ _06663_/Y (sky130_fd_sc_hd__xnor2_1)
2 0.01 _01679_ (net)
0.24 0.00 9.00 ^ _06695_/B (sky130_fd_sc_hd__xor2_1)
0.21 0.25 9.24 ^ _06695_/X (sky130_fd_sc_hd__xor2_1)
2 0.01 _01711_ (net)
0.21 0.00 9.24 ^ _06697_/A (sky130_fd_sc_hd__xnor2_1)
0.30 0.22 9.46 ^ _06697_/Y (sky130_fd_sc_hd__xnor2_1)
2 0.01 _01713_ (net)
0.30 0.00 9.46 ^ _06699_/A2 (sky130_fd_sc_hd__a21o_1)
0.12 0.24 9.70 ^ _06699_/X (sky130_fd_sc_hd__a21o_1)
2 0.01 _01715_ (net)
0.12 0.00 9.70 ^ _06701_/A2 (sky130_fd_sc_hd__a21o_1)
0.19 0.25 9.95 ^ _06701_/X (sky130_fd_sc_hd__a21o_1)
2 0.02 _01717_ (net)
0.19 0.00 9.95 ^ _06893_/B (sky130_fd_sc_hd__xor2_4)
0.27 0.30 10.25 ^ _06893_/X (sky130_fd_sc_hd__xor2_4)
2 0.03 _01909_ (net)
0.27 0.00 10.25 ^ _06894_/B (sky130_fd_sc_hd__xor2_4)
0.24 0.28 10.54 ^ _06894_/X (sky130_fd_sc_hd__xor2_4)
2 0.03 _01910_ (net)
0.24 0.00 10.54 ^ _06899_/A (sky130_fd_sc_hd__xnor2_4)
0.09 0.14 10.68 v _06899_/Y (sky130_fd_sc_hd__xnor2_4)
2 0.02 _01915_ (net)
0.09 0.00 10.68 v _06908_/A (sky130_fd_sc_hd__xor2_4)
0.10 0.23 10.91 v _06908_/X (sky130_fd_sc_hd__xor2_4)
2 0.02 _01924_ (net)
0.10 0.00 10.91 v _06929_/A1 (sky130_fd_sc_hd__a21o_2)
0.08 0.27 11.18 v _06929_/X (sky130_fd_sc_hd__a21o_2)
2 0.02 _01945_ (net)
0.08 0.00 11.18 v _06931_/A2 (sky130_fd_sc_hd__a21o_2)
0.08 0.28 11.46 v _06931_/X (sky130_fd_sc_hd__a21o_2)
2 0.02 _01947_ (net)
0.08 0.00 11.46 v _06933_/A2 (sky130_fd_sc_hd__a21o_1)
0.10 0.26 11.73 v _06933_/X (sky130_fd_sc_hd__a21o_1)
2 0.02 _01949_ (net)
0.10 0.00 11.73 v _06934_/B (sky130_fd_sc_hd__xor2_4)
0.09 0.20 11.92 v _06934_/X (sky130_fd_sc_hd__xor2_4)
2 0.02 _01950_ (net)
0.09 0.00 11.93 v _06966_/A (sky130_fd_sc_hd__xnor2_2)
0.08 0.18 12.11 v _06966_/Y (sky130_fd_sc_hd__xnor2_2)
2 0.01 _01982_ (net)
0.08 0.00 12.11 v _07605_/A (sky130_fd_sc_hd__xor2_2)
0.11 0.22 12.33 v _07605_/X (sky130_fd_sc_hd__xor2_2)
2 0.01 _02621_ (net)
0.11 0.00 12.33 v _07709_/A1 (sky130_fd_sc_hd__o21ai_2)
0.19 0.24 12.57 ^ _07709_/Y (sky130_fd_sc_hd__o21ai_2)
3 0.01 _02725_ (net)
0.19 0.00 12.57 ^ _07805_/A_N (sky130_fd_sc_hd__and3b_1)
0.05 0.22 12.79 v _07805_/X (sky130_fd_sc_hd__and3b_1)
1 0.01 _02821_ (net)
0.05 0.00 12.79 v _07806_/B1 (sky130_fd_sc_hd__a211o_4)
0.09 0.38 13.17 v _07806_/X (sky130_fd_sc_hd__a211o_4)
3 0.03 _02822_ (net)
0.09 0.00 13.17 v _07807_/A (sky130_fd_sc_hd__buf_4)
0.07 0.21 13.38 v _07807_/X (sky130_fd_sc_hd__buf_4)
5 0.05 _02823_ (net)
0.07 0.00 13.38 v _07825_/B1 (sky130_fd_sc_hd__o221ai_4)
0.26 0.26 13.65 ^ _07825_/Y (sky130_fd_sc_hd__o221ai_4)
1 0.02 _02837_ (net)
0.26 0.00 13.65 ^ _07826_/C1 (sky130_fd_sc_hd__o211a_1)
0.07 0.22 13.87 ^ _07826_/X (sky130_fd_sc_hd__o211a_1)
1 0.01 _00080_ (net)
0.07 0.00 13.87 ^ _10620_/D (sky130_fd_sc_hd__dfxtp_1)
13.87 data arrival time
20.00 20.00 clock clock (rise edge)
0.00 20.00 clock source latency
0.36 0.24 20.24 ^ clock (in)
1 0.08 clock (net)
0.36 0.00 20.24 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 20.45 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_clock (net)
0.05 0.00 20.45 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 20.55 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_0_0_clock (net)
0.04 0.00 20.55 ^ clkbuf_1_0_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.17 20.72 ^ clkbuf_1_0_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 clknet_1_0_1_clock (net)
0.15 0.00 20.72 ^ clkbuf_2_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.20 20.92 ^ clkbuf_2_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 clknet_2_1_0_clock (net)
0.15 0.00 20.92 ^ clkbuf_3_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.77 0.61 21.53 ^ clkbuf_3_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
11 0.14 clknet_3_2_0_clock (net)
0.77 0.00 21.53 ^ clkbuf_leaf_11_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.27 21.80 ^ clkbuf_leaf_11_clock/X (sky130_fd_sc_hd__clkbuf_16)
7 0.03 clknet_leaf_11_clock (net)
0.06 0.00 21.80 ^ _10620_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 21.55 clock uncertainty
0.05 21.59 clock reconvergence pessimism
-0.06 21.54 library setup time
21.54 data required time
-----------------------------------------------------------------------------
21.54 data required time
-13.87 data arrival time
-----------------------------------------------------------------------------
7.67 slack (MET)
Startpoint: _10921_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _10616_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.36 0.27 0.27 ^ clock (in)
1 0.08 clock (net)
0.36 0.00 0.27 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 0.50 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_clock (net)
0.05 0.00 0.50 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.11 0.61 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.04 0.00 0.61 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.10 0.15 0.76 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 clknet_1_1_1_clock (net)
0.10 0.00 0.76 ^ clkbuf_2_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.24 1.00 ^ clkbuf_2_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 clknet_2_2_0_clock (net)
0.19 0.00 1.00 ^ clkbuf_3_4_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.95 0.81 1.81 ^ clkbuf_3_4_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
14 0.18 clknet_3_4_0_clock (net)
0.95 0.00 1.81 ^ clkbuf_leaf_81_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.31 2.12 ^ clkbuf_leaf_81_clock/X (sky130_fd_sc_hd__clkbuf_16)
6 0.02 clknet_leaf_81_clock (net)
0.07 0.00 2.12 ^ _10921_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.08 0.38 2.51 v _10921_/Q (sky130_fd_sc_hd__dfxtp_2)
4 0.03 pid.sigma_old[6] (net)
0.08 0.00 2.51 v _06301_/A (sky130_fd_sc_hd__xnor2_4)
0.09 0.19 2.70 v _06301_/Y (sky130_fd_sc_hd__xnor2_4)
3 0.02 _01317_ (net)
0.09 0.00 2.70 v _06302_/A (sky130_fd_sc_hd__or3b_1)
0.07 0.41 3.10 v _06302_/X (sky130_fd_sc_hd__or3b_1)
2 0.00 _01318_ (net)
0.07 0.00 3.10 v _06305_/C (sky130_fd_sc_hd__or3_1)
0.07 0.34 3.44 v _06305_/X (sky130_fd_sc_hd__or3_1)
1 0.00 _01321_ (net)
0.07 0.00 3.44 v _06306_/B1 (sky130_fd_sc_hd__a311o_1)
0.09 0.39 3.83 v _06306_/X (sky130_fd_sc_hd__a311o_1)
2 0.01 _01322_ (net)
0.09 0.00 3.83 v _06310_/A3 (sky130_fd_sc_hd__a31o_2)
0.08 0.33 4.16 v _06310_/X (sky130_fd_sc_hd__a31o_2)
3 0.02 _01326_ (net)
0.08 0.00 4.16 v _06325_/A1 (sky130_fd_sc_hd__o311ai_4)
0.40 0.45 4.61 ^ _06325_/Y (sky130_fd_sc_hd__o311ai_4)
3 0.03 _01341_ (net)
0.40 0.00 4.61 ^ _06326_/B2 (sky130_fd_sc_hd__a221o_1)
0.08 0.24 4.86 ^ _06326_/X (sky130_fd_sc_hd__a221o_1)
2 0.01 _01342_ (net)
0.08 0.00 4.86 ^ _06328_/A3 (sky130_fd_sc_hd__a31o_2)
0.14 0.24 5.10 ^ _06328_/X (sky130_fd_sc_hd__a31o_2)
2 0.02 _01344_ (net)
0.14 0.00 5.10 ^ _06342_/A (sky130_fd_sc_hd__xor2_2)
0.10 0.12 5.22 v _06342_/X (sky130_fd_sc_hd__xor2_2)
4 0.01 _01358_ (net)
0.10 0.00 5.22 v _06344_/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.10 0.22 5.44 v _06344_/X (sky130_fd_sc_hd__dlymetal6s2s_1)
5 0.02 _01360_ (net)
0.10 0.00 5.44 v _06360_/C (sky130_fd_sc_hd__or3_1)
0.09 0.36 5.81 v _06360_/X (sky130_fd_sc_hd__or3_1)
2 0.01 _01376_ (net)
0.09 0.00 5.81 v _06559_/B1 (sky130_fd_sc_hd__o2111a_1)
0.06 0.18 5.99 v _06559_/X (sky130_fd_sc_hd__o2111a_1)
2 0.01 _01575_ (net)
0.06 0.00 5.99 v _06560_/B1 (sky130_fd_sc_hd__a31o_1)
0.09 0.26 6.25 v _06560_/X (sky130_fd_sc_hd__a31o_1)
4 0.02 _01576_ (net)
0.09 0.00 6.25 v _06562_/B (sky130_fd_sc_hd__and3_1)
0.05 0.20 6.45 v _06562_/X (sky130_fd_sc_hd__and3_1)
2 0.00 _01578_ (net)
0.05 0.00 6.45 v _06564_/B (sky130_fd_sc_hd__or3_1)
0.10 0.41 6.86 v _06564_/X (sky130_fd_sc_hd__or3_1)
3 0.01 _01580_ (net)
0.10 0.00 6.86 v _06571_/C (sky130_fd_sc_hd__and3_1)
0.04 0.22 7.08 v _06571_/X (sky130_fd_sc_hd__and3_1)
2 0.00 _01587_ (net)
0.04 0.00 7.08 v _06594_/A (sky130_fd_sc_hd__or3b_1)
0.10 0.43 7.51 v _06594_/X (sky130_fd_sc_hd__or3b_1)
3 0.01 _01610_ (net)
0.10 0.00 7.51 v _06608_/A1 (sky130_fd_sc_hd__a21o_1)
0.05 0.20 7.70 v _06608_/X (sky130_fd_sc_hd__a21o_1)
2 0.01 _01624_ (net)
0.05 0.00 7.70 v _06613_/A2 (sky130_fd_sc_hd__a21o_1)
0.06 0.21 7.91 v _06613_/X (sky130_fd_sc_hd__a21o_1)
3 0.01 _01629_ (net)
0.06 0.00 7.91 v _06615_/A2 (sky130_fd_sc_hd__a21bo_1)
0.06 0.23 8.14 v _06615_/X (sky130_fd_sc_hd__a21bo_1)
2 0.01 _01631_ (net)
0.06 0.00 8.14 v _06617_/A2 (sky130_fd_sc_hd__a21o_1)
0.06 0.22 8.37 v _06617_/X (sky130_fd_sc_hd__a21o_1)
2 0.01 _01633_ (net)
0.06 0.00 8.37 v _06619_/A2 (sky130_fd_sc_hd__a21o_1)
0.10 0.25 8.62 v _06619_/X (sky130_fd_sc_hd__a21o_1)
4 0.02 _01635_ (net)
0.10 0.00 8.62 v _06662_/A1 (sky130_fd_sc_hd__a21oi_1)
0.16 0.19 8.81 ^ _06662_/Y (sky130_fd_sc_hd__a21oi_1)
1 0.01 _01678_ (net)
0.16 0.00 8.81 ^ _06663_/B (sky130_fd_sc_hd__xnor2_1)
0.24 0.19 9.00 ^ _06663_/Y (sky130_fd_sc_hd__xnor2_1)
2 0.01 _01679_ (net)
0.24 0.00 9.00 ^ _06695_/B (sky130_fd_sc_hd__xor2_1)
0.21 0.25 9.24 ^ _06695_/X (sky130_fd_sc_hd__xor2_1)
2 0.01 _01711_ (net)
0.21 0.00 9.24 ^ _06697_/A (sky130_fd_sc_hd__xnor2_1)
0.30 0.22 9.46 ^ _06697_/Y (sky130_fd_sc_hd__xnor2_1)
2 0.01 _01713_ (net)
0.30 0.00 9.46 ^ _06699_/A2 (sky130_fd_sc_hd__a21o_1)
0.12 0.24 9.70 ^ _06699_/X (sky130_fd_sc_hd__a21o_1)
2 0.01 _01715_ (net)
0.12 0.00 9.70 ^ _06701_/A2 (sky130_fd_sc_hd__a21o_1)
0.19 0.25 9.95 ^ _06701_/X (sky130_fd_sc_hd__a21o_1)
2 0.02 _01717_ (net)
0.19 0.00 9.95 ^ _06893_/B (sky130_fd_sc_hd__xor2_4)
0.27 0.30 10.25 ^ _06893_/X (sky130_fd_sc_hd__xor2_4)
2 0.03 _01909_ (net)
0.27 0.00 10.25 ^ _06894_/B (sky130_fd_sc_hd__xor2_4)
0.24 0.28 10.54 ^ _06894_/X (sky130_fd_sc_hd__xor2_4)
2 0.03 _01910_ (net)
0.24 0.00 10.54 ^ _06899_/A (sky130_fd_sc_hd__xnor2_4)
0.09 0.14 10.68 v _06899_/Y (sky130_fd_sc_hd__xnor2_4)
2 0.02 _01915_ (net)
0.09 0.00 10.68 v _06908_/A (sky130_fd_sc_hd__xor2_4)
0.10 0.23 10.91 v _06908_/X (sky130_fd_sc_hd__xor2_4)
2 0.02 _01924_ (net)
0.10 0.00 10.91 v _06929_/A1 (sky130_fd_sc_hd__a21o_2)
0.08 0.27 11.18 v _06929_/X (sky130_fd_sc_hd__a21o_2)
2 0.02 _01945_ (net)
0.08 0.00 11.18 v _06931_/A2 (sky130_fd_sc_hd__a21o_2)
0.08 0.28 11.46 v _06931_/X (sky130_fd_sc_hd__a21o_2)
2 0.02 _01947_ (net)
0.08 0.00 11.46 v _06933_/A2 (sky130_fd_sc_hd__a21o_1)
0.10 0.26 11.73 v _06933_/X (sky130_fd_sc_hd__a21o_1)
2 0.02 _01949_ (net)
0.10 0.00 11.73 v _06934_/B (sky130_fd_sc_hd__xor2_4)
0.09 0.20 11.92 v _06934_/X (sky130_fd_sc_hd__xor2_4)
2 0.02 _01950_ (net)
0.09 0.00 11.93 v _06966_/A (sky130_fd_sc_hd__xnor2_2)
0.08 0.18 12.11 v _06966_/Y (sky130_fd_sc_hd__xnor2_2)
2 0.01 _01982_ (net)
0.08 0.00 12.11 v _07605_/A (sky130_fd_sc_hd__xor2_2)
0.11 0.22 12.33 v _07605_/X (sky130_fd_sc_hd__xor2_2)
2 0.01 _02621_ (net)
0.11 0.00 12.33 v _07709_/A1 (sky130_fd_sc_hd__o21ai_2)
0.19 0.24 12.57 ^ _07709_/Y (sky130_fd_sc_hd__o21ai_2)
3 0.01 _02725_ (net)
0.19 0.00 12.57 ^ _07805_/A_N (sky130_fd_sc_hd__and3b_1)
0.05 0.22 12.79 v _07805_/X (sky130_fd_sc_hd__and3b_1)
1 0.01 _02821_ (net)
0.05 0.00 12.79 v _07806_/B1 (sky130_fd_sc_hd__a211o_4)
0.09 0.38 13.17 v _07806_/X (sky130_fd_sc_hd__a211o_4)
3 0.03 _02822_ (net)
0.09 0.00 13.17 v _07807_/A (sky130_fd_sc_hd__buf_4)
0.07 0.21 13.38 v _07807_/X (sky130_fd_sc_hd__buf_4)
5 0.05 _02823_ (net)
0.07 0.00 13.38 v _07812_/B1 (sky130_fd_sc_hd__o221ai_4)
0.26 0.26 13.64 ^ _07812_/Y (sky130_fd_sc_hd__o221ai_4)
1 0.02 _02828_ (net)
0.26 0.00 13.64 ^ _07813_/C1 (sky130_fd_sc_hd__o211a_1)
0.04 0.20 13.84 ^ _07813_/X (sky130_fd_sc_hd__o211a_1)
1 0.00 _00076_ (net)
0.04 0.00 13.84 ^ _10616_/D (sky130_fd_sc_hd__dfxtp_2)
13.84 data arrival time
20.00 20.00 clock clock (rise edge)
0.00 20.00 clock source latency
0.36 0.24 20.24 ^ clock (in)
1 0.08 clock (net)
0.36 0.00 20.24 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 20.45 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_clock (net)
0.05 0.00 20.45 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 20.55 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_0_0_clock (net)
0.04 0.00 20.55 ^ clkbuf_1_0_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.17 20.72 ^ clkbuf_1_0_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 clknet_1_0_1_clock (net)
0.15 0.00 20.72 ^ clkbuf_2_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.20 20.92 ^ clkbuf_2_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 clknet_2_1_0_clock (net)
0.15 0.00 20.92 ^ clkbuf_3_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.77 0.61 21.53 ^ clkbuf_3_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
11 0.14 clknet_3_2_0_clock (net)
0.77 0.00 21.53 ^ clkbuf_leaf_11_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.27 21.80 ^ clkbuf_leaf_11_clock/X (sky130_fd_sc_hd__clkbuf_16)
7 0.03 clknet_leaf_11_clock (net)
0.06 0.00 21.80 ^ _10616_/CLK (sky130_fd_sc_hd__dfxtp_2)
-0.25 21.55 clock uncertainty
0.05 21.59 clock reconvergence pessimism
-0.06 21.54 library setup time
21.54 data required time
-----------------------------------------------------------------------------
21.54 data required time
-13.84 data arrival time
-----------------------------------------------------------------------------
7.70 slack (MET)
max_report_end
check_report
===========================================================================
report_checks -unconstrained
============================================================================
Startpoint: _10921_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _10617_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.36 0.27 0.27 ^ clock (in)
1 0.08 clock (net)
0.36 0.00 0.27 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 0.50 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_clock (net)
0.05 0.00 0.50 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.11 0.61 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.04 0.00 0.61 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.10 0.15 0.76 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 clknet_1_1_1_clock (net)
0.10 0.00 0.76 ^ clkbuf_2_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.24 1.00 ^ clkbuf_2_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 clknet_2_2_0_clock (net)
0.19 0.00 1.00 ^ clkbuf_3_4_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.95 0.81 1.81 ^ clkbuf_3_4_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
14 0.18 clknet_3_4_0_clock (net)
0.95 0.00 1.81 ^ clkbuf_leaf_81_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.31 2.12 ^ clkbuf_leaf_81_clock/X (sky130_fd_sc_hd__clkbuf_16)
6 0.02 clknet_leaf_81_clock (net)
0.07 0.00 2.12 ^ _10921_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.08 0.38 2.51 v _10921_/Q (sky130_fd_sc_hd__dfxtp_2)
4 0.03 pid.sigma_old[6] (net)
0.08 0.00 2.51 v _06301_/A (sky130_fd_sc_hd__xnor2_4)
0.09 0.19 2.70 v _06301_/Y (sky130_fd_sc_hd__xnor2_4)
3 0.02 _01317_ (net)
0.09 0.00 2.70 v _06302_/A (sky130_fd_sc_hd__or3b_1)
0.07 0.41 3.10 v _06302_/X (sky130_fd_sc_hd__or3b_1)
2 0.00 _01318_ (net)
0.07 0.00 3.10 v _06305_/C (sky130_fd_sc_hd__or3_1)
0.07 0.34 3.44 v _06305_/X (sky130_fd_sc_hd__or3_1)
1 0.00 _01321_ (net)
0.07 0.00 3.44 v _06306_/B1 (sky130_fd_sc_hd__a311o_1)
0.09 0.39 3.83 v _06306_/X (sky130_fd_sc_hd__a311o_1)
2 0.01 _01322_ (net)
0.09 0.00 3.83 v _06310_/A3 (sky130_fd_sc_hd__a31o_2)
0.08 0.33 4.16 v _06310_/X (sky130_fd_sc_hd__a31o_2)
3 0.02 _01326_ (net)
0.08 0.00 4.16 v _06325_/A1 (sky130_fd_sc_hd__o311ai_4)
0.40 0.45 4.61 ^ _06325_/Y (sky130_fd_sc_hd__o311ai_4)
3 0.03 _01341_ (net)
0.40 0.00 4.61 ^ _06326_/B2 (sky130_fd_sc_hd__a221o_1)
0.08 0.24 4.86 ^ _06326_/X (sky130_fd_sc_hd__a221o_1)
2 0.01 _01342_ (net)
0.08 0.00 4.86 ^ _06328_/A3 (sky130_fd_sc_hd__a31o_2)
0.14 0.24 5.10 ^ _06328_/X (sky130_fd_sc_hd__a31o_2)
2 0.02 _01344_ (net)
0.14 0.00 5.10 ^ _06342_/A (sky130_fd_sc_hd__xor2_2)
0.10 0.12 5.22 v _06342_/X (sky130_fd_sc_hd__xor2_2)
4 0.01 _01358_ (net)
0.10 0.00 5.22 v _06344_/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.10 0.22 5.44 v _06344_/X (sky130_fd_sc_hd__dlymetal6s2s_1)
5 0.02 _01360_ (net)
0.10 0.00 5.44 v _06360_/C (sky130_fd_sc_hd__or3_1)
0.09 0.36 5.81 v _06360_/X (sky130_fd_sc_hd__or3_1)
2 0.01 _01376_ (net)
0.09 0.00 5.81 v _06559_/B1 (sky130_fd_sc_hd__o2111a_1)
0.06 0.18 5.99 v _06559_/X (sky130_fd_sc_hd__o2111a_1)
2 0.01 _01575_ (net)
0.06 0.00 5.99 v _06560_/B1 (sky130_fd_sc_hd__a31o_1)
0.09 0.26 6.25 v _06560_/X (sky130_fd_sc_hd__a31o_1)
4 0.02 _01576_ (net)
0.09 0.00 6.25 v _06562_/B (sky130_fd_sc_hd__and3_1)
0.05 0.20 6.45 v _06562_/X (sky130_fd_sc_hd__and3_1)
2 0.00 _01578_ (net)
0.05 0.00 6.45 v _06564_/B (sky130_fd_sc_hd__or3_1)
0.10 0.41 6.86 v _06564_/X (sky130_fd_sc_hd__or3_1)
3 0.01 _01580_ (net)
0.10 0.00 6.86 v _06571_/C (sky130_fd_sc_hd__and3_1)
0.04 0.22 7.08 v _06571_/X (sky130_fd_sc_hd__and3_1)
2 0.00 _01587_ (net)
0.04 0.00 7.08 v _06594_/A (sky130_fd_sc_hd__or3b_1)
0.10 0.43 7.51 v _06594_/X (sky130_fd_sc_hd__or3b_1)
3 0.01 _01610_ (net)
0.10 0.00 7.51 v _06608_/A1 (sky130_fd_sc_hd__a21o_1)
0.05 0.20 7.70 v _06608_/X (sky130_fd_sc_hd__a21o_1)
2 0.01 _01624_ (net)
0.05 0.00 7.70 v _06613_/A2 (sky130_fd_sc_hd__a21o_1)
0.06 0.21 7.91 v _06613_/X (sky130_fd_sc_hd__a21o_1)
3 0.01 _01629_ (net)
0.06 0.00 7.91 v _06615_/A2 (sky130_fd_sc_hd__a21bo_1)
0.06 0.23 8.14 v _06615_/X (sky130_fd_sc_hd__a21bo_1)
2 0.01 _01631_ (net)
0.06 0.00 8.14 v _06617_/A2 (sky130_fd_sc_hd__a21o_1)
0.06 0.22 8.37 v _06617_/X (sky130_fd_sc_hd__a21o_1)
2 0.01 _01633_ (net)
0.06 0.00 8.37 v _06619_/A2 (sky130_fd_sc_hd__a21o_1)
0.10 0.25 8.62 v _06619_/X (sky130_fd_sc_hd__a21o_1)
4 0.02 _01635_ (net)
0.10 0.00 8.62 v _06662_/A1 (sky130_fd_sc_hd__a21oi_1)
0.16 0.19 8.81 ^ _06662_/Y (sky130_fd_sc_hd__a21oi_1)
1 0.01 _01678_ (net)
0.16 0.00 8.81 ^ _06663_/B (sky130_fd_sc_hd__xnor2_1)
0.24 0.19 9.00 ^ _06663_/Y (sky130_fd_sc_hd__xnor2_1)
2 0.01 _01679_ (net)
0.24 0.00 9.00 ^ _06695_/B (sky130_fd_sc_hd__xor2_1)
0.21 0.25 9.24 ^ _06695_/X (sky130_fd_sc_hd__xor2_1)
2 0.01 _01711_ (net)
0.21 0.00 9.24 ^ _06697_/A (sky130_fd_sc_hd__xnor2_1)
0.30 0.22 9.46 ^ _06697_/Y (sky130_fd_sc_hd__xnor2_1)
2 0.01 _01713_ (net)
0.30 0.00 9.46 ^ _06699_/A2 (sky130_fd_sc_hd__a21o_1)
0.12 0.24 9.70 ^ _06699_/X (sky130_fd_sc_hd__a21o_1)
2 0.01 _01715_ (net)
0.12 0.00 9.70 ^ _06701_/A2 (sky130_fd_sc_hd__a21o_1)
0.19 0.25 9.95 ^ _06701_/X (sky130_fd_sc_hd__a21o_1)
2 0.02 _01717_ (net)
0.19 0.00 9.95 ^ _06893_/B (sky130_fd_sc_hd__xor2_4)
0.27 0.30 10.25 ^ _06893_/X (sky130_fd_sc_hd__xor2_4)
2 0.03 _01909_ (net)
0.27 0.00 10.25 ^ _06894_/B (sky130_fd_sc_hd__xor2_4)
0.24 0.28 10.54 ^ _06894_/X (sky130_fd_sc_hd__xor2_4)
2 0.03 _01910_ (net)
0.24 0.00 10.54 ^ _06899_/A (sky130_fd_sc_hd__xnor2_4)
0.09 0.14 10.68 v _06899_/Y (sky130_fd_sc_hd__xnor2_4)
2 0.02 _01915_ (net)
0.09 0.00 10.68 v _06908_/A (sky130_fd_sc_hd__xor2_4)
0.10 0.23 10.91 v _06908_/X (sky130_fd_sc_hd__xor2_4)
2 0.02 _01924_ (net)
0.10 0.00 10.91 v _06929_/A1 (sky130_fd_sc_hd__a21o_2)
0.08 0.27 11.18 v _06929_/X (sky130_fd_sc_hd__a21o_2)
2 0.02 _01945_ (net)
0.08 0.00 11.18 v _06931_/A2 (sky130_fd_sc_hd__a21o_2)
0.08 0.28 11.46 v _06931_/X (sky130_fd_sc_hd__a21o_2)
2 0.02 _01947_ (net)
0.08 0.00 11.46 v _06933_/A2 (sky130_fd_sc_hd__a21o_1)
0.10 0.26 11.73 v _06933_/X (sky130_fd_sc_hd__a21o_1)
2 0.02 _01949_ (net)
0.10 0.00 11.73 v _06934_/B (sky130_fd_sc_hd__xor2_4)
0.09 0.20 11.92 v _06934_/X (sky130_fd_sc_hd__xor2_4)
2 0.02 _01950_ (net)
0.09 0.00 11.93 v _06966_/A (sky130_fd_sc_hd__xnor2_2)
0.08 0.18 12.11 v _06966_/Y (sky130_fd_sc_hd__xnor2_2)
2 0.01 _01982_ (net)
0.08 0.00 12.11 v _07605_/A (sky130_fd_sc_hd__xor2_2)
0.11 0.22 12.33 v _07605_/X (sky130_fd_sc_hd__xor2_2)
2 0.01 _02621_ (net)
0.11 0.00 12.33 v _07709_/A1 (sky130_fd_sc_hd__o21ai_2)
0.19 0.24 12.57 ^ _07709_/Y (sky130_fd_sc_hd__o21ai_2)
3 0.01 _02725_ (net)
0.19 0.00 12.57 ^ _07805_/A_N (sky130_fd_sc_hd__and3b_1)
0.05 0.22 12.79 v _07805_/X (sky130_fd_sc_hd__and3b_1)
1 0.01 _02821_ (net)
0.05 0.00 12.79 v _07806_/B1 (sky130_fd_sc_hd__a211o_4)
0.09 0.38 13.17 v _07806_/X (sky130_fd_sc_hd__a211o_4)
3 0.03 _02822_ (net)
0.09 0.00 13.17 v _07807_/A (sky130_fd_sc_hd__buf_4)
0.07 0.21 13.38 v _07807_/X (sky130_fd_sc_hd__buf_4)
5 0.05 _02823_ (net)
0.07 0.00 13.38 v _07815_/B1 (sky130_fd_sc_hd__o221ai_4)
0.26 0.26 13.65 ^ _07815_/Y (sky130_fd_sc_hd__o221ai_4)
1 0.02 _02830_ (net)
0.26 0.00 13.65 ^ _07816_/C1 (sky130_fd_sc_hd__o211a_1)
0.09 0.24 13.89 ^ _07816_/X (sky130_fd_sc_hd__o211a_1)
1 0.01 _00077_ (net)
0.09 0.00 13.89 ^ _10617_/D (sky130_fd_sc_hd__dfxtp_1)
13.89 data arrival time
20.00 20.00 clock clock (rise edge)
0.00 20.00 clock source latency
0.36 0.24 20.24 ^ clock (in)
1 0.08 clock (net)
0.36 0.00 20.24 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 20.45 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_clock (net)
0.05 0.00 20.45 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.04 0.10 20.55 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_0_0_clock (net)
0.04 0.00 20.55 ^ clkbuf_1_0_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.17 20.72 ^ clkbuf_1_0_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 clknet_1_0_1_clock (net)
0.15 0.00 20.72 ^ clkbuf_2_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.20 20.92 ^ clkbuf_2_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 clknet_2_1_0_clock (net)
0.15 0.00 20.92 ^ clkbuf_3_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.77 0.61 21.53 ^ clkbuf_3_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
11 0.14 clknet_3_2_0_clock (net)
0.77 0.00 21.53 ^ clkbuf_leaf_13_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.28 21.81 ^ clkbuf_leaf_13_clock/X (sky130_fd_sc_hd__clkbuf_16)
11 0.04 clknet_leaf_13_clock (net)
0.07 0.00 21.81 ^ _10617_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 21.56 clock uncertainty
0.05 21.60 clock reconvergence pessimism
-0.06 21.54 library setup time
21.54 data required time
-----------------------------------------------------------------------------
21.54 data required time
-13.89 data arrival time
-----------------------------------------------------------------------------
7.66 slack (MET)
===========================================================================
report_checks --slack_max -0.01
============================================================================
No paths found.
check_report_end
check_slew
===========================================================================
report_check_types -max_slew -max_cap -max_fanout -violators
============================================================================
===========================================================================
max slew violation count 0
max fanout violation count 0
max cap violation count 0
============================================================================
check_slew_end
tns_report
===========================================================================
report_tns
============================================================================
tns 0.00
tns_report_end
wns_report
===========================================================================
report_wns
============================================================================
wns 0.00
wns_report_end
worst_slack
===========================================================================
report_worst_slack -max (Setup)
============================================================================
worst slack 7.66
===========================================================================
report_worst_slack -min (Hold)
============================================================================
worst slack 0.11
worst_slack_end
clock_skew
===========================================================================
report_clock_skew
============================================================================
Clock clock
Latency CRPR Skew
_10637_/CLK ^
2.23
_10855_/CLK ^
1.53 -0.05 0.66
clock_skew_end
power_report
===========================================================================
report_power
============================================================================
Group Internal Switching Leakage Total
Power Power Power Power
----------------------------------------------------------------
Sequential 9.59e-04 6.59e-05 3.78e-09 1.03e-03 18.0%
Combinational 2.31e-03 2.38e-03 2.29e-08 4.68e-03 82.0%
Macro 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
Pad 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
----------------------------------------------------------------
Total 3.26e-03 2.44e-03 2.67e-08 5.71e-03 100.0%
57.2% 42.8% 0.0%
power_report_end
area_report
===========================================================================
report_design_area
============================================================================
Design area 57848 u^2 25% utilization.
area_report_end