blob: a17d48b9c12310b945f626085ac79596d6e3dc19 [file] [log] [blame]
module SPI (clock,
io_spi_clk,
io_spi_cs,
io_spi_intr,
io_spi_miso,
io_spi_mosi,
io_spi_select,
io_wbs_ack_o,
io_wbs_m2s_stb,
io_wbs_m2s_we,
reset,
vccd1,
vssd1,
io_wbs_data_o,
io_wbs_m2s_addr,
io_wbs_m2s_data);
input clock;
output io_spi_clk;
output io_spi_cs;
output io_spi_intr;
input io_spi_miso;
output io_spi_mosi;
input io_spi_select;
output io_wbs_ack_o;
input io_wbs_m2s_stb;
input io_wbs_m2s_we;
input reset;
input vccd1;
input vssd1;
output [31:0] io_wbs_data_o;
input [15:0] io_wbs_m2s_addr;
input [31:0] io_wbs_m2s_data;
wire net37;
wire net38;
wire net39;
wire net40;
wire net41;
wire net42;
wire net43;
wire net44;
wire net45;
wire net46;
wire net47;
wire net48;
wire net49;
wire net50;
wire net51;
wire net52;
wire net53;
wire net54;
wire net55;
wire net56;
wire net57;
wire net58;
wire net35;
wire net36;
wire _000_;
wire _001_;
wire _002_;
wire _003_;
wire _004_;
wire _005_;
wire _006_;
wire _007_;
wire _008_;
wire _009_;
wire _010_;
wire _011_;
wire _012_;
wire _013_;
wire _014_;
wire _015_;
wire _016_;
wire _017_;
wire _018_;
wire _019_;
wire _020_;
wire _021_;
wire _022_;
wire _023_;
wire _024_;
wire _025_;
wire _026_;
wire _027_;
wire _028_;
wire _029_;
wire _030_;
wire _031_;
wire _032_;
wire _033_;
wire _034_;
wire _035_;
wire _036_;
wire _037_;
wire _038_;
wire _039_;
wire _040_;
wire _041_;
wire _042_;
wire _043_;
wire _044_;
wire _045_;
wire _046_;
wire _047_;
wire _048_;
wire _049_;
wire _050_;
wire _051_;
wire _052_;
wire _053_;
wire _054_;
wire _055_;
wire _056_;
wire _057_;
wire _058_;
wire _059_;
wire _060_;
wire _061_;
wire _062_;
wire _063_;
wire _064_;
wire _065_;
wire _066_;
wire _067_;
wire _068_;
wire _069_;
wire _070_;
wire _071_;
wire _072_;
wire _073_;
wire _074_;
wire _075_;
wire _076_;
wire _077_;
wire _078_;
wire _079_;
wire _080_;
wire _081_;
wire _082_;
wire _083_;
wire _084_;
wire _085_;
wire _086_;
wire _087_;
wire _088_;
wire _089_;
wire _090_;
wire _091_;
wire _092_;
wire _093_;
wire _094_;
wire _095_;
wire _096_;
wire _097_;
wire _098_;
wire _099_;
wire _100_;
wire _101_;
wire _102_;
wire _103_;
wire _104_;
wire _105_;
wire _106_;
wire _107_;
wire _108_;
wire _109_;
wire _110_;
wire _111_;
wire _112_;
wire _113_;
wire _114_;
wire _115_;
wire _116_;
wire _117_;
wire _118_;
wire _119_;
wire _120_;
wire _121_;
wire _122_;
wire _123_;
wire _124_;
wire _125_;
wire _126_;
wire _127_;
wire _128_;
wire _129_;
wire _130_;
wire _131_;
wire _132_;
wire _133_;
wire _134_;
wire _135_;
wire _136_;
wire _137_;
wire _138_;
wire _139_;
wire _140_;
wire _141_;
wire _142_;
wire _143_;
wire _144_;
wire _145_;
wire _146_;
wire _147_;
wire _148_;
wire _149_;
wire _150_;
wire _151_;
wire _152_;
wire _153_;
wire _154_;
wire _155_;
wire _156_;
wire _157_;
wire _158_;
wire _159_;
wire _160_;
wire _161_;
wire _162_;
wire _163_;
wire _164_;
wire _165_;
wire _166_;
wire _167_;
wire _168_;
wire _169_;
wire _170_;
wire _171_;
wire _172_;
wire _173_;
wire _174_;
wire _175_;
wire _176_;
wire _177_;
wire _178_;
wire _179_;
wire _180_;
wire _181_;
wire _182_;
wire _183_;
wire _184_;
wire _185_;
wire _186_;
wire _187_;
wire _188_;
wire _189_;
wire _190_;
wire _191_;
wire _192_;
wire _193_;
wire _194_;
wire _195_;
wire _196_;
wire _197_;
wire _198_;
wire _199_;
wire _200_;
wire _201_;
wire _202_;
wire _203_;
wire _204_;
wire _205_;
wire _206_;
wire _207_;
wire _208_;
wire _209_;
wire _210_;
wire _211_;
wire _212_;
wire _213_;
wire _214_;
wire _215_;
wire _216_;
wire _217_;
wire _218_;
wire _219_;
wire _220_;
wire _221_;
wire _222_;
wire _223_;
wire _224_;
wire _225_;
wire _226_;
wire _227_;
wire _228_;
wire _229_;
wire _230_;
wire _231_;
wire _232_;
wire _233_;
wire _234_;
wire _235_;
wire _236_;
wire _237_;
wire _238_;
wire _239_;
wire _240_;
wire _241_;
wire _242_;
wire _243_;
wire _244_;
wire _245_;
wire _246_;
wire _247_;
wire _248_;
wire _249_;
wire _250_;
wire _251_;
wire _252_;
wire _253_;
wire _254_;
wire _255_;
wire _256_;
wire _257_;
wire _258_;
wire _259_;
wire _260_;
wire _261_;
wire _262_;
wire _263_;
wire _264_;
wire _265_;
wire _266_;
wire _267_;
wire _268_;
wire _269_;
wire _270_;
wire _271_;
wire _272_;
wire _273_;
wire _274_;
wire _275_;
wire _276_;
wire _277_;
wire _278_;
wire _279_;
wire _280_;
wire _281_;
wire _282_;
wire _283_;
wire _284_;
wire _285_;
wire _286_;
wire _287_;
wire _288_;
wire _289_;
wire _290_;
wire _291_;
wire _292_;
wire _293_;
wire _294_;
wire _295_;
wire _296_;
wire _297_;
wire _298_;
wire _299_;
wire _300_;
wire _301_;
wire _302_;
wire _303_;
wire _304_;
wire _305_;
wire _306_;
wire _307_;
wire _308_;
wire _309_;
wire _310_;
wire _311_;
wire _312_;
wire _313_;
wire _314_;
wire \_GEN_43[2] ;
wire \_GEN_43[3] ;
wire \_GEN_43[4] ;
wire \_GEN_43[5] ;
wire \_GEN_43[6] ;
wire \_GEN_43[7] ;
wire \_T_203[1] ;
wire \_T_203[2] ;
wire \_T_203[3] ;
wire \_T_203[4] ;
wire \_T_203[5] ;
wire \_T_203[6] ;
wire \_T_203[7] ;
wire \_T_203[8] ;
wire _T_321;
wire \_T_328[1] ;
wire \_T_328[2] ;
wire \_T_328[3] ;
wire \_T_328[4] ;
wire \_T_328[5] ;
wire \_T_328[6] ;
wire \_T_328[7] ;
wire _T_341;
wire bit_e;
wire bit_ie;
wire bit_iroe;
wire bit_irrdy;
wire bit_itoe;
wire bit_itrdy;
wire bit_roe;
wire bit_rrdy;
wire bit_sso;
wire bit_tmt;
wire bit_toe;
wire bit_trdy;
wire clknet_0_clock;
wire clknet_1_0_0_clock;
wire clknet_1_1_0_clock;
wire clknet_2_0_0_clock;
wire clknet_2_1_0_clock;
wire clknet_2_2_0_clock;
wire clknet_2_3_0_clock;
wire clknet_3_0_0_clock;
wire clknet_3_1_0_clock;
wire clknet_3_2_0_clock;
wire clknet_3_3_0_clock;
wire clknet_3_4_0_clock;
wire clknet_3_5_0_clock;
wire clknet_3_6_0_clock;
wire clknet_3_7_0_clock;
wire \clock_cnt[0] ;
wire \clock_cnt[1] ;
wire \clock_cnt[2] ;
wire \clock_cnt[3] ;
wire \clock_cnt[4] ;
wire \data_cnt[0] ;
wire \data_cnt[1] ;
wire \data_cnt[2] ;
wire \data_cnt[3] ;
wire \data_cnt[4] ;
wire \data_cnt[5] ;
wire \n_status[0] ;
wire \n_status[1] ;
wire \n_status[2] ;
wire net1;
wire net10;
wire net11;
wire net12;
wire net13;
wire net14;
wire net15;
wire net16;
wire net17;
wire net18;
wire net19;
wire net2;
wire net20;
wire net21;
wire net22;
wire net23;
wire net24;
wire net25;
wire net26;
wire net27;
wire net28;
wire net29;
wire net3;
wire net30;
wire net31;
wire net32;
wire net33;
wire net34;
wire net4;
wire net5;
wire net6;
wire net7;
wire net8;
wire net9;
wire \p_status[0] ;
wire \p_status[1] ;
wire \p_status[2] ;
wire pending_data;
wire read_wait_done;
wire \reg_rxdata[0] ;
wire \reg_rxdata[1] ;
wire \reg_rxdata[2] ;
wire \reg_rxdata[3] ;
wire \reg_rxdata[4] ;
wire \reg_rxdata[5] ;
wire \reg_rxdata[6] ;
wire \reg_rxdata[7] ;
wire reg_ssmask;
wire rx_latch_flag;
wire wait_one_tick_done;
sky130_fd_sc_hd__diode_2 ANTENNA__329__B (.DIODE(net10),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__428__A (.DIODE(_168_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__431__A (.DIODE(_168_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__434__A (.DIODE(_168_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__444__A (.DIODE(_168_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__450__A (.DIODE(_168_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_0_clock_A (.DIODE(clock),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA_input10_A (.DIODE(io_wbs_m2s_addr[7]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA_input11_A (.DIODE(io_wbs_m2s_data[0]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA_input12_A (.DIODE(io_wbs_m2s_data[1]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA_input13_A (.DIODE(io_wbs_m2s_data[2]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA_input14_A (.DIODE(io_wbs_m2s_data[3]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA_input15_A (.DIODE(io_wbs_m2s_data[4]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA_input16_A (.DIODE(io_wbs_m2s_data[5]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA_input17_A (.DIODE(io_wbs_m2s_data[6]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA_input18_A (.DIODE(io_wbs_m2s_data[7]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA_input19_A (.DIODE(io_wbs_m2s_stb),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA_input1_A (.DIODE(io_spi_miso),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA_input20_A (.DIODE(io_wbs_m2s_we),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA_input21_A (.DIODE(reset),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA_input2_A (.DIODE(io_spi_select),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA_input3_A (.DIODE(io_wbs_m2s_addr[0]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA_input4_A (.DIODE(io_wbs_m2s_addr[1]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA_input5_A (.DIODE(io_wbs_m2s_addr[2]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA_input6_A (.DIODE(io_wbs_m2s_addr[3]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA_input7_A (.DIODE(io_wbs_m2s_addr[4]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA_input8_A (.DIODE(io_wbs_m2s_addr[5]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA_input9_A (.DIODE(io_wbs_m2s_addr[6]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_0_104 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_0_110 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_0_113 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_0_123 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_0_128 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_0_13 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_0_132 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_0_138 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_0_141 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_0_159 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 FILLER_0_165 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_0_169 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_0_175 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_0_18 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_0_182 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_0_188 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_0_22 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_0_26 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_0_29 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_0_34 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_0_38 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_0_42 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_0_54 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_0_57 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_0_68 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_0_72 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 FILLER_0_77 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_0_82 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_0_85 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 FILLER_0_93 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_0_99 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_10_108 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_10_114 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_10_12 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_10_123 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_10_132 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_10_138 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_10_149 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_10_155 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_10_159 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_10_163 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_10_174 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_10_188 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_10_23 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_10_27 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_10_29 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_6 FILLER_10_3 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_10_39 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_10_51 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_10_56 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_10_63 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_10_67 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_6 FILLER_10_77 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_10_83 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_6 FILLER_10_95 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 FILLER_11_109 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_11_117 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 FILLER_11_12 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_11_127 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_11_137 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_11_148 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_11_166 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_11_169 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_11_186 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_11_24 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_11_28 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_11_45 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_11_52 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_11_7 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_11_73 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_11_82 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_11_91 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_12_109 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_12_117 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_12_122 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_12_126 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_12_134 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_12_138 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_12_150 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_12_154 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_12_158 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_12_162 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_12_169 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_12_174 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_12_179 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_12_186 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_12_21 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_12_26 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 FILLER_12_29 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_12_3 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_12_41 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_6 FILLER_12_47 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_12_53 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_12_57 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_12_63 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_12_67 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_12_72 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_12_80 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_12_90 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_6 FILLER_12_97 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 FILLER_13_109 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_13_129 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_13_134 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_13_141 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_13_145 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_13_153 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_13_16 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 FILLER_13_160 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_13_166 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_13_174 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_13_182 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_13_188 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_8 FILLER_13_21 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_13_29 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_8 FILLER_13_3 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_13_36 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_13_54 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_13_57 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_13_61 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_13_69 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_13_87 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_14_10 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_14_103 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_14_109 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_14_119 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 FILLER_14_137 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 FILLER_14_14 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_14_157 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_14_170 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_14_188 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_14_26 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_14_29 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_14_35 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_14_40 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_14_44 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_14_49 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_14_54 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_14_6 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_14_72 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_14_78 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_14_82 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_14_85 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_15_104 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_15_110 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_15_113 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_6 FILLER_15_121 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_15_135 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_15_141 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_15_159 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 FILLER_15_165 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_15_185 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_15_189 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_6 FILLER_15_23 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_15_29 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_15_3 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_15_46 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_15_52 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_15_57 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_15_65 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_15_71 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_15_76 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_15_88 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 FILLER_15_98 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_16_101 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_16_108 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_6 FILLER_16_114 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_16_129 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_16_135 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_16_139 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_6 FILLER_16_141 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_16_151 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_16_155 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_16_161 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_6 FILLER_16_17 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_16_172 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_16_176 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_16_179 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_16_183 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_16_188 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_16_26 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_16_34 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_16_40 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_16_46 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_16_51 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_6 FILLER_16_6 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_16_69 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_16_74 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_16_78 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_16_82 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_16_90 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_17_102 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 FILLER_17_109 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_17_129 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_17_147 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_17_156 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_17_166 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_17_169 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_17_186 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_17_24 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_17_28 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_17_45 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 FILLER_17_53 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_17_57 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_17_6 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 FILLER_17_65 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_17_84 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_18_104 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_18_111 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_18_118 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_18_130 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_18_138 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 FILLER_18_141 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_18_149 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_6 FILLER_18_156 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_18_170 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_18_188 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_6 FILLER_18_22 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_18_29 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 FILLER_18_3 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_18_38 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_18_45 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_18_54 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 FILLER_18_59 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_18_67 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_8 FILLER_18_73 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 FILLER_18_81 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_18_95 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_19_100 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 FILLER_19_109 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_19_122 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 FILLER_19_13 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_8 FILLER_19_135 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 FILLER_19_143 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_19_154 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_19_166 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_6 FILLER_19_178 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_19_188 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_19_19 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_8 FILLER_19_24 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_6 FILLER_19_3 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_19_32 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_19_38 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_19_45 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_19_54 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_19_60 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_19_78 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_19_9 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_19_90 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_19_96 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 FILLER_1_102 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_1_110 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_1_113 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_1_13 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 FILLER_1_130 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_1_142 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_1_146 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_1_164 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_1_185 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_1_189 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_1_20 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_1_25 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_1_29 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_1_47 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_1_54 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_1_73 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 FILLER_1_78 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_1_97 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_20_111 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_20_129 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_20_135 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_20_139 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_20_141 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_20_147 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 FILLER_20_158 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_20_170 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_20_188 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_20_26 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_6 FILLER_20_3 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_20_32 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_20_37 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_20_42 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_20_48 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_6 FILLER_20_55 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_20_61 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_6 FILLER_20_67 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_20_80 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_6 FILLER_20_88 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_20_9 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_20_94 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_21_103 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_21_108 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 FILLER_21_113 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_21_124 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_6 FILLER_21_142 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_8 FILLER_21_15 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_21_164 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_21_185 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_21_189 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_21_23 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_21_3 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_21_40 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_21_44 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_21_52 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_21_57 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_21_63 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_6 FILLER_21_74 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_21_87 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_21_94 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_21_99 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_6 FILLER_22_100 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_22_106 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_22_112 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_8 FILLER_22_117 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_22_125 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_6 FILLER_22_134 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_22_150 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_22_155 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 FILLER_22_160 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_22_166 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_8 FILLER_22_170 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_22_178 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_8 FILLER_22_18 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_22_183 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_22_188 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_22_26 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_6 FILLER_22_35 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_22_41 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_22_50 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_22_59 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_22_6 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_22_65 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_22_74 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 FILLER_22_81 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_22_93 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_23_100 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_23_107 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_23_111 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_23_120 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_23_127 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_23_147 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_23_156 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_6 FILLER_23_162 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_23_174 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_6 FILLER_23_179 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_23_188 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_23_24 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_23_35 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_23_43 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_23_51 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_23_55 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_23_57 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_23_6 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_23_64 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_23_69 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_23_75 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_23_79 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_23_88 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_24_102 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 FILLER_24_111 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_24_123 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_24_132 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 FILLER_24_137 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_24_151 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_24_159 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_24_168 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_24_188 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_24_20 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_24_26 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_24_3 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_6 FILLER_24_39 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_24_61 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_24_69 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_24_79 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_24_83 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_24_95 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_25_10 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_25_101 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_25_110 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_25_123 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_25_132 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_25_139 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_25_14 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_6 FILLER_25_150 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_25_161 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_25_166 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_25_174 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_25_179 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_6 FILLER_25_184 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_25_22 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_25_31 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_25_43 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_25_52 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_25_6 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_25_64 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 FILLER_25_69 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_25_88 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_25_93 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_26_103 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_26_107 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_26_115 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_26_120 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_26_138 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_26_141 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_26_15 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_26_159 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_26_166 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_6 FILLER_26_184 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_26_19 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_26_26 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_26_3 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_26_45 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 FILLER_26_50 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_26_69 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 FILLER_26_77 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_26_82 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_26_85 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_26_9 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_27_103 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_27_108 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_6 FILLER_27_113 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_27_124 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_27_129 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_27_13 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_6 FILLER_27_134 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_27_141 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_27_147 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_27_152 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_27_156 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_27_161 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_27_166 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_6 FILLER_27_172 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_27_18 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_27_182 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_27_188 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_27_23 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_27_27 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_27_31 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_27_43 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_27_55 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 FILLER_27_63 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_27_69 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_27_77 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 FILLER_27_81 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_27_85 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_27_97 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_2_116 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_2_123 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_2_127 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_2_131 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_2_138 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_2_150 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_2_155 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_2_162 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_2_169 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_2_176 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_2_180 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_2_186 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_2_26 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_2_29 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_2_3 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_2_39 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_2_50 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_2_56 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_2_60 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_2_66 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_2_70 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_2_8 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_2_82 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 FILLER_2_93 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_2_98 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_3_104 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_3_110 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_3_119 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 FILLER_3_124 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_3_129 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_3_134 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_3_14 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_3_141 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_3_146 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_3_151 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_3_158 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_3_166 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_3_172 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_3_18 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_3_182 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_3_188 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_3_29 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_3_3 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_3_36 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_3_54 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_3_57 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_3_7 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_3_70 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_3_88 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_3_96 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_6 FILLER_4_109 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_4_115 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_4_125 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_4_138 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_4_141 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_4_158 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_4_162 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 FILLER_4_169 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_4_188 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_4_22 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_4_26 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_4_29 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 FILLER_4_3 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_4_33 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_4_39 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_4_46 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_4_51 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_4_55 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_6 FILLER_4_59 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 FILLER_4_81 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_4_85 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_8 FILLER_4_93 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 FILLER_5_109 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_5_118 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_5_12 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_5_136 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_5_142 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_5_153 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_5_163 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_5_167 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_5_185 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_5_189 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_5_23 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_5_43 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_5_47 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_5_5 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_5_54 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_5_66 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_8 FILLER_5_71 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_6 FILLER_5_95 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_6_101 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_6_110 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_6_119 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_6_126 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_6_130 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_6_138 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 FILLER_6_145 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_6_164 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 FILLER_6_175 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_6_188 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_8 FILLER_6_20 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_6_29 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_6_3 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_6 FILLER_6_39 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_6_61 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_6_79 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_6_83 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_6_85 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_6_92 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_7_103 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_7_110 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_7_120 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_7_126 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_7_13 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_7_135 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_7_144 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 FILLER_7_153 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_7_159 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_7_166 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_7_169 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 FILLER_7_187 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_7_24 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_7_31 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_7_42 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_7_48 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 FILLER_7_53 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 FILLER_7_57 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_7_64 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_7_71 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_7_83 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_7_94 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_8_102 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_8_108 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_8_119 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_8_125 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 FILLER_8_137 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_8_14 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_8_148 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 FILLER_8_157 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_8_163 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_8_174 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_8_185 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_8_189 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_8_19 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_8_26 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_8_29 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_8_42 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 FILLER_8_6 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_8_60 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_8_79 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_8_83 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_8_93 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_9_101 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 FILLER_9_109 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_9_123 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_9_129 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_9_140 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_9_150 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_9_159 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_9_166 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 FILLER_9_169 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_9_188 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_9_22 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_9_26 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 FILLER_9_3 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_9_37 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_9_44 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_6 FILLER_9_49 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_9_55 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 FILLER_9_57 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 FILLER_9_64 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_9_71 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_9_78 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__fill_2 FILLER_9_90 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_6 FILLER_9_95 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_0 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_1 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_10 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_11 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_12 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_13 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_14 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_15 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_16 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_17 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_18 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_19 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_2 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_20 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_21 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_22 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_23 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_24 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_25 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_26 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_27 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_28 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_29 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_3 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_30 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_31 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_32 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_33 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_34 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_35 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_36 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_37 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_38 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_39 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_4 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_40 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_41 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_42 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_43 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_44 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_45 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_46 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_47 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_48 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_49 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_5 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_50 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_51 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_52 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_53 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_54 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_55 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_6 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_7 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_8 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__decap_3 PHY_9 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_100 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_101 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_102 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_103 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_104 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_105 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_106 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_107 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_108 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_109 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_110 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_111 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_112 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_113 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_114 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_115 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_116 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_117 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_118 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_119 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_120 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_121 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_122 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_123 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_124 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_125 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_126 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_127 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_128 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_129 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_130 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_131 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_132 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_133 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_134 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_135 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_136 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_137 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_138 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_139 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_140 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_141 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_142 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_143 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_144 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_145 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_56 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_57 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_58 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_59 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_60 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_61 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_62 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_63 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_64 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_65 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_66 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_67 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_68 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_69 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_70 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_71 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_72 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_73 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_74 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_75 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_76 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_77 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_78 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_79 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_80 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_81 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_82 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_83 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_84 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_85 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_86 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_87 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_88 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_89 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_90 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_91 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_92 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_93 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_94 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_95 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_96 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_97 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_98 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_99 (.VGND(vssd1),
.VPWR(vccd1));
sky130_fd_sc_hd__inv_2 _315_ (.A(reg_ssmask),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Y(net23));
sky130_fd_sc_hd__inv_2 _316_ (.A(net21),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Y(_076_));
sky130_fd_sc_hd__dlymetal6s2s_1 _317_ (.A(_076_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_077_));
sky130_fd_sc_hd__clkbuf_2 _318_ (.A(_077_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_078_));
sky130_fd_sc_hd__clkbuf_2 _319_ (.A(_078_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_000_));
sky130_fd_sc_hd__a22o_1 _320_ (.A1(bit_toe),
.A2(bit_itoe),
.B1(bit_iroe),
.B2(bit_roe),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_079_));
sky130_fd_sc_hd__a22o_1 _321_ (.A1(bit_rrdy),
.A2(bit_irrdy),
.B1(bit_itrdy),
.B2(bit_trdy),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_080_));
sky130_fd_sc_hd__a21o_1 _322_ (.A1(bit_ie),
.A2(_079_),
.B1(_080_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(net24));
sky130_fd_sc_hd__dlymetal6s2s_1 _323_ (.A(net20),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_081_));
sky130_fd_sc_hd__dlymetal6s2s_1 _324_ (.A(net19),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_082_));
sky130_fd_sc_hd__or2b_1 _325_ (.A(_081_),
.B_N(_082_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_083_));
sky130_fd_sc_hd__clkbuf_2 _326_ (.A(_083_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_084_));
sky130_fd_sc_hd__and2_1 _327_ (.A(net27),
.B(_084_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_085_));
sky130_fd_sc_hd__nor2_2 _328_ (.A(net6),
.B(net8),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Y(_086_));
sky130_fd_sc_hd__nor3_1 _329_ (.A(net7),
.B(net10),
.C(net9),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Y(_087_));
sky130_fd_sc_hd__and3b_1 _330_ (.A_N(net5),
.B(_086_),
.C(_087_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_088_));
sky130_fd_sc_hd__nor3b_2 _331_ (.A(net3),
.B(net4),
.C_N(net2),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Y(_089_));
sky130_fd_sc_hd__nand2_1 _332_ (.A(_088_),
.B(_089_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Y(_090_));
sky130_fd_sc_hd__clkbuf_2 _333_ (.A(_090_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_091_));
sky130_fd_sc_hd__and3b_1 _334_ (.A_N(net4),
.B(net2),
.C(net3),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_092_));
sky130_fd_sc_hd__and4b_2 _335_ (.A_N(net5),
.B(_092_),
.C(_086_),
.D(_087_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_093_));
sky130_fd_sc_hd__dlymetal6s2s_1 _336_ (.A(_093_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_094_));
sky130_fd_sc_hd__and2_1 _337_ (.A(_088_),
.B(_089_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_095_));
sky130_fd_sc_hd__clkbuf_2 _338_ (.A(_095_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_096_));
sky130_fd_sc_hd__and4_1 _339_ (.A(net5),
.B(_092_),
.C(_086_),
.D(_087_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_097_));
sky130_fd_sc_hd__and3_1 _340_ (.A(net3),
.B(net4),
.C(net2),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_098_));
sky130_fd_sc_hd__and4b_1 _341_ (.A_N(net5),
.B(_086_),
.C(_087_),
.D(_098_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_099_));
sky130_fd_sc_hd__dlymetal6s2s_1 _342_ (.A(_099_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_100_));
sky130_fd_sc_hd__a22o_1 _343_ (.A1(reg_ssmask),
.A2(_097_),
.B1(_100_),
.B2(bit_iroe),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_101_));
sky130_fd_sc_hd__a211o_1 _344_ (.A1(\_T_328[1] ),
.A2(_094_),
.B1(_096_),
.C1(_101_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_102_));
sky130_fd_sc_hd__and2b_1 _345_ (.A_N(_081_),
.B(_082_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_103_));
sky130_fd_sc_hd__clkbuf_2 _346_ (.A(_103_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_104_));
sky130_fd_sc_hd__o211a_1 _347_ (.A1(\reg_rxdata[0] ),
.A2(_091_),
.B1(_102_),
.C1(_104_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_105_));
sky130_fd_sc_hd__o21a_1 _348_ (.A1(_085_),
.A2(_105_),
.B1(_000_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_001_));
sky130_fd_sc_hd__and2_1 _349_ (.A(net28),
.B(_084_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_106_));
sky130_fd_sc_hd__a221o_1 _350_ (.A1(bit_itoe),
.A2(_100_),
.B1(_093_),
.B2(\_T_328[2] ),
.C1(_095_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_107_));
sky130_fd_sc_hd__o211a_1 _351_ (.A1(\reg_rxdata[1] ),
.A2(_091_),
.B1(_107_),
.C1(_104_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_108_));
sky130_fd_sc_hd__o21a_1 _352_ (.A1(_106_),
.A2(_108_),
.B1(_000_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_002_));
sky130_fd_sc_hd__and4_1 _353_ (.A(net5),
.B(_086_),
.C(_087_),
.D(_089_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_109_));
sky130_fd_sc_hd__clkbuf_2 _354_ (.A(_109_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_110_));
sky130_fd_sc_hd__a22o_1 _355_ (.A1(\_T_328[3] ),
.A2(_094_),
.B1(_110_),
.B2(bit_roe),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_111_));
sky130_fd_sc_hd__a31o_1 _356_ (.A1(\reg_rxdata[2] ),
.A2(_088_),
.A3(_089_),
.B1(_083_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_112_));
sky130_fd_sc_hd__o221a_1 _357_ (.A1(net29),
.A2(_104_),
.B1(_111_),
.B2(_112_),
.C1(_078_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_003_));
sky130_fd_sc_hd__and2_1 _358_ (.A(net30),
.B(_084_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_113_));
sky130_fd_sc_hd__a22o_1 _359_ (.A1(bit_itrdy),
.A2(_100_),
.B1(_110_),
.B2(bit_toe),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_114_));
sky130_fd_sc_hd__a211o_1 _360_ (.A1(\_T_328[4] ),
.A2(_094_),
.B1(_114_),
.C1(_096_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_115_));
sky130_fd_sc_hd__o211a_1 _361_ (.A1(\reg_rxdata[3] ),
.A2(_091_),
.B1(_115_),
.C1(_103_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_116_));
sky130_fd_sc_hd__o21a_1 _362_ (.A1(_113_),
.A2(_116_),
.B1(_000_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_004_));
sky130_fd_sc_hd__and2_1 _363_ (.A(net31),
.B(_084_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_117_));
sky130_fd_sc_hd__a22o_1 _364_ (.A1(bit_irrdy),
.A2(_100_),
.B1(_110_),
.B2(bit_tmt),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_118_));
sky130_fd_sc_hd__a211o_1 _365_ (.A1(\_T_328[5] ),
.A2(_094_),
.B1(_118_),
.C1(_096_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_119_));
sky130_fd_sc_hd__o211a_1 _366_ (.A1(\reg_rxdata[4] ),
.A2(_091_),
.B1(_119_),
.C1(_103_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_120_));
sky130_fd_sc_hd__o21a_1 _367_ (.A1(_117_),
.A2(_120_),
.B1(_000_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_005_));
sky130_fd_sc_hd__and2_1 _368_ (.A(net32),
.B(_083_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_121_));
sky130_fd_sc_hd__a22o_1 _369_ (.A1(bit_ie),
.A2(_100_),
.B1(_109_),
.B2(bit_trdy),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_122_));
sky130_fd_sc_hd__a211o_1 _370_ (.A1(\_T_328[6] ),
.A2(_093_),
.B1(_122_),
.C1(_096_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_123_));
sky130_fd_sc_hd__o211a_1 _371_ (.A1(\reg_rxdata[5] ),
.A2(_090_),
.B1(_123_),
.C1(_103_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_124_));
sky130_fd_sc_hd__clkbuf_2 _372_ (.A(_076_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_125_));
sky130_fd_sc_hd__clkbuf_2 _373_ (.A(_125_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_126_));
sky130_fd_sc_hd__clkbuf_2 _374_ (.A(_126_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_127_));
sky130_fd_sc_hd__o21a_1 _375_ (.A1(_121_),
.A2(_124_),
.B1(_127_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_006_));
sky130_fd_sc_hd__a22o_1 _376_ (.A1(\_T_328[7] ),
.A2(_094_),
.B1(_110_),
.B2(bit_rrdy),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_128_));
sky130_fd_sc_hd__a31o_1 _377_ (.A1(\reg_rxdata[6] ),
.A2(_088_),
.A3(_089_),
.B1(_083_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_129_));
sky130_fd_sc_hd__o221a_1 _378_ (.A1(net33),
.A2(_104_),
.B1(_128_),
.B2(_129_),
.C1(_078_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_007_));
sky130_fd_sc_hd__and2_1 _379_ (.A(net34),
.B(_083_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_130_));
sky130_fd_sc_hd__a22o_1 _380_ (.A1(bit_sso),
.A2(_099_),
.B1(_109_),
.B2(bit_e),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_131_));
sky130_fd_sc_hd__a211o_1 _381_ (.A1(_T_321),
.A2(_093_),
.B1(_131_),
.C1(_096_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_132_));
sky130_fd_sc_hd__o211a_1 _382_ (.A1(\reg_rxdata[7] ),
.A2(_090_),
.B1(_132_),
.C1(_103_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_133_));
sky130_fd_sc_hd__o21a_1 _383_ (.A1(_130_),
.A2(_133_),
.B1(_127_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_008_));
sky130_fd_sc_hd__clkbuf_2 _384_ (.A(net21),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_134_));
sky130_fd_sc_hd__and3b_2 _385_ (.A_N(\n_status[2] ),
.B(\n_status[1] ),
.C(\n_status[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_135_));
sky130_fd_sc_hd__nor2_1 _386_ (.A(\clock_cnt[3] ),
.B(\clock_cnt[4] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Y(_136_));
sky130_fd_sc_hd__and3b_1 _387_ (.A_N(\clock_cnt[2] ),
.B(\clock_cnt[0] ),
.C(\clock_cnt[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_137_));
sky130_fd_sc_hd__and2_1 _388_ (.A(_136_),
.B(_137_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_138_));
sky130_fd_sc_hd__dlymetal6s2s_1 _389_ (.A(_138_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_139_));
sky130_fd_sc_hd__a21oi_1 _390_ (.A1(_135_),
.A2(_139_),
.B1(net22),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Y(_140_));
sky130_fd_sc_hd__and4_2 _391_ (.A(net22),
.B(_135_),
.C(_136_),
.D(_137_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_141_));
sky130_fd_sc_hd__nor3_1 _392_ (.A(_134_),
.B(_140_),
.C(_141_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Y(_009_));
sky130_fd_sc_hd__nor3b_1 _393_ (.A(\n_status[2] ),
.B(\n_status[0] ),
.C_N(\n_status[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Y(_142_));
sky130_fd_sc_hd__dlymetal6s2s_1 _394_ (.A(_142_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_143_));
sky130_fd_sc_hd__clkbuf_2 _395_ (.A(_143_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_144_));
sky130_fd_sc_hd__nand2_1 _396_ (.A(wait_one_tick_done),
.B(_141_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Y(_145_));
sky130_fd_sc_hd__clkbuf_2 _397_ (.A(_145_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_146_));
sky130_fd_sc_hd__mux2_1 _398_ (.A0(_T_341),
.A1(net25),
.S(_146_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_147_));
sky130_fd_sc_hd__clkbuf_1 _399_ (.A(\n_status[2] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_148_));
sky130_fd_sc_hd__clkbuf_1 _400_ (.A(\n_status[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_149_));
sky130_fd_sc_hd__clkbuf_1 _401_ (.A(\n_status[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_150_));
sky130_fd_sc_hd__or3b_2 _402_ (.A(_148_),
.B(_149_),
.C_N(_150_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_151_));
sky130_fd_sc_hd__dlymetal6s2s_1 _403_ (.A(_151_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_152_));
sky130_fd_sc_hd__or2_1 _404_ (.A(_T_321),
.B(_152_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_153_));
sky130_fd_sc_hd__o211a_1 _405_ (.A1(_144_),
.A2(_147_),
.B1(_153_),
.C1(_127_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_010_));
sky130_fd_sc_hd__clkbuf_2 _406_ (.A(net21),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_154_));
sky130_fd_sc_hd__nor2_1 _407_ (.A(_154_),
.B(net26),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Y(_155_));
sky130_fd_sc_hd__o21a_1 _408_ (.A1(read_wait_done),
.A2(_104_),
.B1(_155_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_011_));
sky130_fd_sc_hd__clkbuf_1 _409_ (.A(_077_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_156_));
sky130_fd_sc_hd__clkbuf_2 _410_ (.A(rx_latch_flag),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_157_));
sky130_fd_sc_hd__mux2_1 _411_ (.A0(\reg_rxdata[0] ),
.A1(\_T_203[1] ),
.S(_157_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_158_));
sky130_fd_sc_hd__and2_1 _412_ (.A(_156_),
.B(_158_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_159_));
sky130_fd_sc_hd__clkbuf_1 _413_ (.A(_159_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_012_));
sky130_fd_sc_hd__mux2_1 _414_ (.A0(\reg_rxdata[1] ),
.A1(\_T_203[2] ),
.S(_157_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_160_));
sky130_fd_sc_hd__and2_1 _415_ (.A(_156_),
.B(_160_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_161_));
sky130_fd_sc_hd__clkbuf_1 _416_ (.A(_161_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_013_));
sky130_fd_sc_hd__mux2_1 _417_ (.A0(\reg_rxdata[2] ),
.A1(\_T_203[3] ),
.S(_157_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_162_));
sky130_fd_sc_hd__and2_1 _418_ (.A(_156_),
.B(_162_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_163_));
sky130_fd_sc_hd__clkbuf_1 _419_ (.A(_163_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_014_));
sky130_fd_sc_hd__mux2_1 _420_ (.A0(\reg_rxdata[3] ),
.A1(\_T_203[4] ),
.S(_157_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_164_));
sky130_fd_sc_hd__and2_1 _421_ (.A(_156_),
.B(_164_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_165_));
sky130_fd_sc_hd__clkbuf_1 _422_ (.A(_165_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_015_));
sky130_fd_sc_hd__mux2_1 _423_ (.A0(\reg_rxdata[4] ),
.A1(\_T_203[5] ),
.S(_157_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_166_));
sky130_fd_sc_hd__and2_1 _424_ (.A(_156_),
.B(_166_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_167_));
sky130_fd_sc_hd__clkbuf_1 _425_ (.A(_167_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_016_));
sky130_fd_sc_hd__clkbuf_2 _426_ (.A(_077_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_168_));
sky130_fd_sc_hd__mux2_1 _427_ (.A0(\reg_rxdata[5] ),
.A1(\_T_203[6] ),
.S(rx_latch_flag),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_169_));
sky130_fd_sc_hd__and2_1 _428_ (.A(_168_),
.B(_169_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_170_));
sky130_fd_sc_hd__clkbuf_1 _429_ (.A(_170_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_017_));
sky130_fd_sc_hd__mux2_1 _430_ (.A0(\reg_rxdata[6] ),
.A1(\_T_203[7] ),
.S(rx_latch_flag),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_171_));
sky130_fd_sc_hd__and2_1 _431_ (.A(_168_),
.B(_171_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_172_));
sky130_fd_sc_hd__clkbuf_1 _432_ (.A(_172_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_018_));
sky130_fd_sc_hd__mux2_1 _433_ (.A0(\reg_rxdata[7] ),
.A1(\_T_203[8] ),
.S(rx_latch_flag),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_173_));
sky130_fd_sc_hd__and2_1 _434_ (.A(_168_),
.B(_173_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_174_));
sky130_fd_sc_hd__clkbuf_1 _435_ (.A(_174_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_019_));
sky130_fd_sc_hd__clkinv_2 _436_ (.A(bit_trdy),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Y(_175_));
sky130_fd_sc_hd__nand3_1 _437_ (.A(net19),
.B(net20),
.C(_093_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Y(_176_));
sky130_fd_sc_hd__or2_2 _438_ (.A(_175_),
.B(_176_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_177_));
sky130_fd_sc_hd__buf_2 _439_ (.A(_177_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_178_));
sky130_fd_sc_hd__mux2_1 _440_ (.A0(net11),
.A1(\_T_328[1] ),
.S(_178_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_179_));
sky130_fd_sc_hd__or2_1 _441_ (.A(_154_),
.B(_179_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_180_));
sky130_fd_sc_hd__clkbuf_1 _442_ (.A(_180_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_020_));
sky130_fd_sc_hd__mux2_1 _443_ (.A0(net12),
.A1(\_T_328[2] ),
.S(_178_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_181_));
sky130_fd_sc_hd__and2_1 _444_ (.A(_168_),
.B(_181_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_182_));
sky130_fd_sc_hd__clkbuf_1 _445_ (.A(_182_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_021_));
sky130_fd_sc_hd__mux2_1 _446_ (.A0(net13),
.A1(\_T_328[3] ),
.S(_177_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_183_));
sky130_fd_sc_hd__or2_1 _447_ (.A(_154_),
.B(_183_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_184_));
sky130_fd_sc_hd__clkbuf_1 _448_ (.A(_184_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_022_));
sky130_fd_sc_hd__mux2_1 _449_ (.A0(net14),
.A1(\_T_328[4] ),
.S(_178_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_185_));
sky130_fd_sc_hd__and2_1 _450_ (.A(_168_),
.B(_185_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_186_));
sky130_fd_sc_hd__clkbuf_1 _451_ (.A(_186_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_023_));
sky130_fd_sc_hd__mux2_1 _452_ (.A0(net15),
.A1(\_T_328[5] ),
.S(_177_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_187_));
sky130_fd_sc_hd__or2_1 _453_ (.A(_154_),
.B(_187_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_188_));
sky130_fd_sc_hd__clkbuf_1 _454_ (.A(_188_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_024_));
sky130_fd_sc_hd__mux2_1 _455_ (.A0(net16),
.A1(\_T_328[6] ),
.S(_177_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_189_));
sky130_fd_sc_hd__or2_1 _456_ (.A(_154_),
.B(_189_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_190_));
sky130_fd_sc_hd__clkbuf_1 _457_ (.A(_190_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_025_));
sky130_fd_sc_hd__clkbuf_2 _458_ (.A(_125_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_191_));
sky130_fd_sc_hd__mux2_1 _459_ (.A0(net17),
.A1(\_T_328[7] ),
.S(_178_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_192_));
sky130_fd_sc_hd__and2_1 _460_ (.A(_191_),
.B(_192_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_193_));
sky130_fd_sc_hd__clkbuf_1 _461_ (.A(_193_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_026_));
sky130_fd_sc_hd__mux2_1 _462_ (.A0(net18),
.A1(_T_321),
.S(_178_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_194_));
sky130_fd_sc_hd__and2_1 _463_ (.A(_191_),
.B(_194_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_195_));
sky130_fd_sc_hd__clkbuf_1 _464_ (.A(_195_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_027_));
sky130_fd_sc_hd__and3_1 _465_ (.A(_082_),
.B(_081_),
.C(_097_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_196_));
sky130_fd_sc_hd__mux2_1 _466_ (.A0(reg_ssmask),
.A1(net11),
.S(_196_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_197_));
sky130_fd_sc_hd__and2_1 _467_ (.A(_191_),
.B(_197_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_198_));
sky130_fd_sc_hd__clkbuf_1 _468_ (.A(_198_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_028_));
sky130_fd_sc_hd__inv_2 _469_ (.A(_135_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Y(_199_));
sky130_fd_sc_hd__nand2_1 _470_ (.A(_136_),
.B(_137_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Y(_200_));
sky130_fd_sc_hd__or3_4 _471_ (.A(net22),
.B(_199_),
.C(_200_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_201_));
sky130_fd_sc_hd__clkbuf_2 _472_ (.A(_201_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_202_));
sky130_fd_sc_hd__mux2_1 _473_ (.A0(net1),
.A1(\_T_203[1] ),
.S(_202_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_203_));
sky130_fd_sc_hd__and2_1 _474_ (.A(_191_),
.B(_203_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_204_));
sky130_fd_sc_hd__clkbuf_1 _475_ (.A(_204_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_029_));
sky130_fd_sc_hd__mux2_1 _476_ (.A0(\_T_203[1] ),
.A1(\_T_203[2] ),
.S(_202_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_205_));
sky130_fd_sc_hd__and2_1 _477_ (.A(_191_),
.B(_205_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_206_));
sky130_fd_sc_hd__clkbuf_1 _478_ (.A(_206_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_030_));
sky130_fd_sc_hd__dlymetal6s2s_1 _479_ (.A(_125_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_207_));
sky130_fd_sc_hd__mux2_1 _480_ (.A0(\_T_203[2] ),
.A1(\_T_203[3] ),
.S(_202_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_208_));
sky130_fd_sc_hd__and2_1 _481_ (.A(_207_),
.B(_208_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_209_));
sky130_fd_sc_hd__clkbuf_1 _482_ (.A(_209_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_031_));
sky130_fd_sc_hd__mux2_1 _483_ (.A0(\_T_203[3] ),
.A1(\_T_203[4] ),
.S(_202_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_210_));
sky130_fd_sc_hd__and2_1 _484_ (.A(_207_),
.B(_210_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_211_));
sky130_fd_sc_hd__clkbuf_1 _485_ (.A(_211_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_032_));
sky130_fd_sc_hd__mux2_1 _486_ (.A0(\_T_203[4] ),
.A1(\_T_203[5] ),
.S(_202_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_212_));
sky130_fd_sc_hd__and2_1 _487_ (.A(_207_),
.B(_212_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_213_));
sky130_fd_sc_hd__clkbuf_1 _488_ (.A(_213_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_033_));
sky130_fd_sc_hd__mux2_1 _489_ (.A0(\_T_203[5] ),
.A1(\_T_203[6] ),
.S(_201_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_214_));
sky130_fd_sc_hd__and2_1 _490_ (.A(_207_),
.B(_214_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_215_));
sky130_fd_sc_hd__clkbuf_1 _491_ (.A(_215_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_034_));
sky130_fd_sc_hd__mux2_1 _492_ (.A0(\_T_203[6] ),
.A1(\_T_203[7] ),
.S(_201_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_216_));
sky130_fd_sc_hd__and2_1 _493_ (.A(_207_),
.B(_216_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_217_));
sky130_fd_sc_hd__clkbuf_1 _494_ (.A(_217_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_035_));
sky130_fd_sc_hd__clkbuf_2 _495_ (.A(_125_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_218_));
sky130_fd_sc_hd__mux2_1 _496_ (.A0(\_T_203[7] ),
.A1(\_T_203[8] ),
.S(_201_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_219_));
sky130_fd_sc_hd__and2_1 _497_ (.A(_218_),
.B(_219_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_220_));
sky130_fd_sc_hd__clkbuf_1 _498_ (.A(_220_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_036_));
sky130_fd_sc_hd__a21o_1 _499_ (.A1(\_GEN_43[2] ),
.A2(_146_),
.B1(_143_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_221_));
sky130_fd_sc_hd__o211a_1 _500_ (.A1(\_T_328[1] ),
.A2(_152_),
.B1(_221_),
.C1(_127_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_037_));
sky130_fd_sc_hd__mux2_1 _501_ (.A0(\_GEN_43[2] ),
.A1(\_GEN_43[3] ),
.S(_146_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_222_));
sky130_fd_sc_hd__or2_1 _502_ (.A(\_T_328[2] ),
.B(_152_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_223_));
sky130_fd_sc_hd__dlymetal6s2s_1 _503_ (.A(_126_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_224_));
sky130_fd_sc_hd__o211a_1 _504_ (.A1(_144_),
.A2(_222_),
.B1(_223_),
.C1(_224_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_038_));
sky130_fd_sc_hd__mux2_1 _505_ (.A0(\_GEN_43[3] ),
.A1(\_GEN_43[4] ),
.S(_146_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_225_));
sky130_fd_sc_hd__or2_1 _506_ (.A(\_T_328[3] ),
.B(_152_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_226_));
sky130_fd_sc_hd__o211a_1 _507_ (.A1(_144_),
.A2(_225_),
.B1(_226_),
.C1(_224_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_039_));
sky130_fd_sc_hd__mux2_1 _508_ (.A0(\_GEN_43[4] ),
.A1(\_GEN_43[5] ),
.S(_146_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_227_));
sky130_fd_sc_hd__or2_1 _509_ (.A(\_T_328[4] ),
.B(_151_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_228_));
sky130_fd_sc_hd__o211a_1 _510_ (.A1(_144_),
.A2(_227_),
.B1(_228_),
.C1(_224_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_040_));
sky130_fd_sc_hd__mux2_1 _511_ (.A0(\_GEN_43[5] ),
.A1(\_GEN_43[6] ),
.S(_145_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_229_));
sky130_fd_sc_hd__or2_1 _512_ (.A(\_T_328[5] ),
.B(_151_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_230_));
sky130_fd_sc_hd__o211a_1 _513_ (.A1(_144_),
.A2(_229_),
.B1(_230_),
.C1(_224_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_041_));
sky130_fd_sc_hd__mux2_1 _514_ (.A0(\_GEN_43[6] ),
.A1(\_GEN_43[7] ),
.S(_145_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_231_));
sky130_fd_sc_hd__or2_1 _515_ (.A(\_T_328[6] ),
.B(_151_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_232_));
sky130_fd_sc_hd__o211a_1 _516_ (.A1(_143_),
.A2(_231_),
.B1(_232_),
.C1(_224_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_042_));
sky130_fd_sc_hd__mux2_1 _517_ (.A0(\_GEN_43[7] ),
.A1(_T_341),
.S(_145_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_233_));
sky130_fd_sc_hd__or2_1 _518_ (.A(\_T_328[7] ),
.B(_151_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_234_));
sky130_fd_sc_hd__o211a_1 _519_ (.A1(_143_),
.A2(_233_),
.B1(_234_),
.C1(_078_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_043_));
sky130_fd_sc_hd__o211a_1 _520_ (.A1(read_wait_done),
.A2(_081_),
.B1(_155_),
.C1(_082_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_044_));
sky130_fd_sc_hd__and3_1 _521_ (.A(net19),
.B(net20),
.C(_099_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_235_));
sky130_fd_sc_hd__buf_2 _522_ (.A(_235_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_236_));
sky130_fd_sc_hd__mux2_1 _523_ (.A0(bit_iroe),
.A1(net11),
.S(_236_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_237_));
sky130_fd_sc_hd__and2_1 _524_ (.A(_218_),
.B(_237_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_238_));
sky130_fd_sc_hd__clkbuf_1 _525_ (.A(_238_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_045_));
sky130_fd_sc_hd__mux2_1 _526_ (.A0(bit_itoe),
.A1(net12),
.S(_236_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_239_));
sky130_fd_sc_hd__and2_1 _527_ (.A(_218_),
.B(_239_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_240_));
sky130_fd_sc_hd__clkbuf_1 _528_ (.A(_240_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_046_));
sky130_fd_sc_hd__mux2_1 _529_ (.A0(bit_itrdy),
.A1(net14),
.S(_236_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_241_));
sky130_fd_sc_hd__and2_1 _530_ (.A(_218_),
.B(_241_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_242_));
sky130_fd_sc_hd__clkbuf_1 _531_ (.A(_242_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_047_));
sky130_fd_sc_hd__mux2_1 _532_ (.A0(bit_irrdy),
.A1(net15),
.S(_236_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_243_));
sky130_fd_sc_hd__and2_1 _533_ (.A(_218_),
.B(_243_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_244_));
sky130_fd_sc_hd__clkbuf_1 _534_ (.A(_244_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_048_));
sky130_fd_sc_hd__mux2_1 _535_ (.A0(bit_ie),
.A1(net16),
.S(_236_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_245_));
sky130_fd_sc_hd__and2_1 _536_ (.A(_126_),
.B(_245_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_246_));
sky130_fd_sc_hd__clkbuf_1 _537_ (.A(_246_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_049_));
sky130_fd_sc_hd__mux2_1 _538_ (.A0(bit_sso),
.A1(net18),
.S(_235_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_247_));
sky130_fd_sc_hd__or2_1 _539_ (.A(net21),
.B(_247_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_248_));
sky130_fd_sc_hd__clkbuf_1 _540_ (.A(_248_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_050_));
sky130_fd_sc_hd__inv_2 _541_ (.A(bit_toe),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Y(_249_));
sky130_fd_sc_hd__a31o_1 _542_ (.A1(_082_),
.A2(_081_),
.A3(_110_),
.B1(_249_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_250_));
sky130_fd_sc_hd__o21a_1 _543_ (.A1(bit_trdy),
.A2(_176_),
.B1(_250_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_251_));
sky130_fd_sc_hd__nor2_1 _544_ (.A(_134_),
.B(_251_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Y(_051_));
sky130_fd_sc_hd__or3b_1 _545_ (.A(\n_status[1] ),
.B(\n_status[0] ),
.C_N(\n_status[2] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_252_));
sky130_fd_sc_hd__nor2_1 _546_ (.A(_200_),
.B(_252_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Y(_253_));
sky130_fd_sc_hd__o31ai_1 _547_ (.A1(_084_),
.A2(_091_),
.A3(_253_),
.B1(_078_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Y(_254_));
sky130_fd_sc_hd__a21oi_1 _548_ (.A1(bit_rrdy),
.A2(_253_),
.B1(bit_roe),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Y(_255_));
sky130_fd_sc_hd__nor2_1 _549_ (.A(_254_),
.B(_255_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Y(_052_));
sky130_fd_sc_hd__a211o_1 _550_ (.A1(bit_trdy),
.A2(_176_),
.B1(_135_),
.C1(_134_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_053_));
sky130_fd_sc_hd__o21ba_1 _551_ (.A1(bit_rrdy),
.A2(_253_),
.B1_N(_254_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_054_));
sky130_fd_sc_hd__nor3_1 _552_ (.A(net21),
.B(\p_status[2] ),
.C(_135_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Y(_256_));
sky130_fd_sc_hd__and3_1 _553_ (.A(\p_status[0] ),
.B(\p_status[1] ),
.C(_256_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_257_));
sky130_fd_sc_hd__clkbuf_1 _554_ (.A(_257_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_055_));
sky130_fd_sc_hd__or3_1 _555_ (.A(_148_),
.B(_150_),
.C(_149_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_258_));
sky130_fd_sc_hd__o21ai_1 _556_ (.A1(pending_data),
.A2(_258_),
.B1(_125_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Y(_056_));
sky130_fd_sc_hd__o21a_1 _557_ (.A1(bit_roe),
.A2(bit_toe),
.B1(_127_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_057_));
sky130_fd_sc_hd__and3b_1 _558_ (.A_N(\n_status[1] ),
.B(\n_status[0] ),
.C(\n_status[2] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_259_));
sky130_fd_sc_hd__dlymetal6s2s_1 _559_ (.A(\data_cnt[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_260_));
sky130_fd_sc_hd__nor4_1 _560_ (.A(\data_cnt[5] ),
.B(\data_cnt[4] ),
.C(\data_cnt[3] ),
.D(\data_cnt[2] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Y(_261_));
sky130_fd_sc_hd__clkbuf_1 _561_ (.A(\data_cnt[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_262_));
sky130_fd_sc_hd__and3b_1 _562_ (.A_N(_260_),
.B(_261_),
.C(_262_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_263_));
sky130_fd_sc_hd__and3b_1 _563_ (.A_N(\data_cnt[1] ),
.B(\data_cnt[0] ),
.C(_261_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_264_));
sky130_fd_sc_hd__and3_1 _564_ (.A(_138_),
.B(_143_),
.C(_264_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_265_));
sky130_fd_sc_hd__a31oi_1 _565_ (.A1(_139_),
.A2(_259_),
.A3(_263_),
.B1(_265_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Y(_266_));
sky130_fd_sc_hd__clkbuf_1 _566_ (.A(\data_cnt[3] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_267_));
sky130_fd_sc_hd__nor3_1 _567_ (.A(\data_cnt[5] ),
.B(\data_cnt[4] ),
.C(_267_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Y(_268_));
sky130_fd_sc_hd__and4_1 _568_ (.A(\data_cnt[2] ),
.B(_262_),
.C(\data_cnt[0] ),
.D(_268_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_269_));
sky130_fd_sc_hd__nand2_1 _569_ (.A(_141_),
.B(_269_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Y(_270_));
sky130_fd_sc_hd__and3_1 _570_ (.A(_076_),
.B(_266_),
.C(_270_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_271_));
sky130_fd_sc_hd__dlymetal6s2s_1 _571_ (.A(_271_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_272_));
sky130_fd_sc_hd__o211a_1 _572_ (.A1(_142_),
.A2(_259_),
.B1(_136_),
.C1(_137_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_273_));
sky130_fd_sc_hd__or2_1 _573_ (.A(_141_),
.B(_273_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_274_));
sky130_fd_sc_hd__xor2_1 _574_ (.A(_260_),
.B(_274_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_275_));
sky130_fd_sc_hd__and2_1 _575_ (.A(_272_),
.B(_275_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_276_));
sky130_fd_sc_hd__clkbuf_1 _576_ (.A(_276_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_058_));
sky130_fd_sc_hd__and3_1 _577_ (.A(_262_),
.B(_260_),
.C(_274_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_277_));
sky130_fd_sc_hd__a21o_1 _578_ (.A1(_260_),
.A2(_274_),
.B1(_262_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_278_));
sky130_fd_sc_hd__and3b_1 _579_ (.A_N(_277_),
.B(_272_),
.C(_278_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_279_));
sky130_fd_sc_hd__clkbuf_1 _580_ (.A(_279_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_059_));
sky130_fd_sc_hd__o2111a_1 _581_ (.A1(_141_),
.A2(_273_),
.B1(\data_cnt[2] ),
.C1(_262_),
.D1(_260_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_280_));
sky130_fd_sc_hd__or2_1 _582_ (.A(\data_cnt[2] ),
.B(_277_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_281_));
sky130_fd_sc_hd__and3b_1 _583_ (.A_N(_280_),
.B(_272_),
.C(_281_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_282_));
sky130_fd_sc_hd__clkbuf_1 _584_ (.A(_282_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_060_));
sky130_fd_sc_hd__or2_1 _585_ (.A(_267_),
.B(_280_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_283_));
sky130_fd_sc_hd__nand2_1 _586_ (.A(_267_),
.B(_280_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Y(_284_));
sky130_fd_sc_hd__and3_1 _587_ (.A(_272_),
.B(_283_),
.C(_284_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_285_));
sky130_fd_sc_hd__clkbuf_1 _588_ (.A(_285_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_061_));
sky130_fd_sc_hd__and3_1 _589_ (.A(\data_cnt[4] ),
.B(_267_),
.C(_280_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_286_));
sky130_fd_sc_hd__a21o_1 _590_ (.A1(_267_),
.A2(_280_),
.B1(\data_cnt[4] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_287_));
sky130_fd_sc_hd__and3b_1 _591_ (.A_N(_286_),
.B(_271_),
.C(_287_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_288_));
sky130_fd_sc_hd__clkbuf_1 _592_ (.A(_288_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_062_));
sky130_fd_sc_hd__or2_1 _593_ (.A(\data_cnt[5] ),
.B(_286_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_289_));
sky130_fd_sc_hd__nand2_1 _594_ (.A(\data_cnt[5] ),
.B(_286_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Y(_290_));
sky130_fd_sc_hd__and3_1 _595_ (.A(_272_),
.B(_289_),
.C(_290_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_291_));
sky130_fd_sc_hd__clkbuf_1 _596_ (.A(_291_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_063_));
sky130_fd_sc_hd__or3b_1 _597_ (.A(_148_),
.B(_150_),
.C_N(_149_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_292_));
sky130_fd_sc_hd__nand2_1 _598_ (.A(pending_data),
.B(_292_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Y(_293_));
sky130_fd_sc_hd__a21oi_1 _599_ (.A1(_176_),
.A2(_293_),
.B1(_134_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Y(_064_));
sky130_fd_sc_hd__a21bo_1 _600_ (.A1(_139_),
.A2(_263_),
.B1_N(_259_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_294_));
sky130_fd_sc_hd__nand3_1 _601_ (.A(_199_),
.B(_252_),
.C(_294_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Y(_295_));
sky130_fd_sc_hd__nor3_1 _602_ (.A(_148_),
.B(_150_),
.C(_149_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Y(_296_));
sky130_fd_sc_hd__a211o_1 _603_ (.A1(_270_),
.A2(_295_),
.B1(_296_),
.C1(_265_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_297_));
sky130_fd_sc_hd__or2_1 _604_ (.A(_139_),
.B(_252_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_298_));
sky130_fd_sc_hd__and3b_1 _605_ (.A_N(_056_),
.B(_297_),
.C(_298_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_299_));
sky130_fd_sc_hd__clkbuf_1 _606_ (.A(_299_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_065_));
sky130_fd_sc_hd__a31o_1 _607_ (.A1(net22),
.A2(_139_),
.A3(_269_),
.B1(_199_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_300_));
sky130_fd_sc_hd__a31oi_1 _608_ (.A1(_152_),
.A2(_292_),
.A3(_300_),
.B1(_134_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Y(_066_));
sky130_fd_sc_hd__and3_1 _609_ (.A(_077_),
.B(_295_),
.C(_300_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_301_));
sky130_fd_sc_hd__clkbuf_1 _610_ (.A(_301_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_067_));
sky130_fd_sc_hd__dlymetal6s2s_1 _611_ (.A(\clock_cnt[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_302_));
sky130_fd_sc_hd__and3_1 _612_ (.A(_076_),
.B(_200_),
.C(_258_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_303_));
sky130_fd_sc_hd__and2b_1 _613_ (.A_N(_302_),
.B(_303_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_304_));
sky130_fd_sc_hd__clkbuf_1 _614_ (.A(_304_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_068_));
sky130_fd_sc_hd__dlymetal6s2s_1 _615_ (.A(\clock_cnt[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_305_));
sky130_fd_sc_hd__o21ai_1 _616_ (.A1(_305_),
.A2(_302_),
.B1(_303_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Y(_306_));
sky130_fd_sc_hd__a21oi_1 _617_ (.A1(_305_),
.A2(_302_),
.B1(_306_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Y(_069_));
sky130_fd_sc_hd__a21boi_1 _618_ (.A1(_305_),
.A2(_302_),
.B1_N(\clock_cnt[2] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Y(_307_));
sky130_fd_sc_hd__o21a_1 _619_ (.A1(_137_),
.A2(_307_),
.B1(_303_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_070_));
sky130_fd_sc_hd__and4_1 _620_ (.A(_305_),
.B(\clock_cnt[0] ),
.C(\clock_cnt[3] ),
.D(\clock_cnt[2] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_308_));
sky130_fd_sc_hd__a31o_1 _621_ (.A1(_305_),
.A2(_302_),
.A3(\clock_cnt[2] ),
.B1(\clock_cnt[3] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_309_));
sky130_fd_sc_hd__and3b_1 _622_ (.A_N(_308_),
.B(_309_),
.C(_303_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_310_));
sky130_fd_sc_hd__clkbuf_1 _623_ (.A(_310_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_071_));
sky130_fd_sc_hd__a21boi_1 _624_ (.A1(\clock_cnt[4] ),
.A2(_308_),
.B1_N(_303_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Y(_311_));
sky130_fd_sc_hd__o21a_1 _625_ (.A1(\clock_cnt[4] ),
.A2(_308_),
.B1(_311_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_072_));
sky130_fd_sc_hd__and2_1 _626_ (.A(_149_),
.B(_126_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_312_));
sky130_fd_sc_hd__clkbuf_1 _627_ (.A(_312_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_073_));
sky130_fd_sc_hd__and2_1 _628_ (.A(_150_),
.B(_126_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_313_));
sky130_fd_sc_hd__clkbuf_1 _629_ (.A(_313_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_074_));
sky130_fd_sc_hd__and2_1 _630_ (.A(_148_),
.B(_077_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_314_));
sky130_fd_sc_hd__clkbuf_1 _631_ (.A(_314_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(_075_));
sky130_fd_sc_hd__dfxtp_1 _632_ (.CLK(clknet_3_4_0_clock),
.D(_001_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Q(net27));
sky130_fd_sc_hd__dfxtp_1 _633_ (.CLK(clknet_3_7_0_clock),
.D(_002_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Q(net28));
sky130_fd_sc_hd__dfxtp_1 _634_ (.CLK(clknet_3_5_0_clock),
.D(_003_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Q(net29));
sky130_fd_sc_hd__dfxtp_1 _635_ (.CLK(clknet_3_7_0_clock),
.D(_004_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Q(net30));
sky130_fd_sc_hd__dfxtp_1 _636_ (.CLK(clknet_3_5_0_clock),
.D(_005_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Q(net31));
sky130_fd_sc_hd__dfxtp_1 _637_ (.CLK(clknet_3_1_0_clock),
.D(_006_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Q(net32));
sky130_fd_sc_hd__dfxtp_1 _638_ (.CLK(clknet_3_5_0_clock),
.D(_007_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Q(net33));
sky130_fd_sc_hd__dfxtp_1 _639_ (.CLK(clknet_3_4_0_clock),
.D(_008_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Q(net34));
sky130_fd_sc_hd__dfxtp_1 _640_ (.CLK(clknet_3_3_0_clock),
.D(_009_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Q(net22));
sky130_fd_sc_hd__dfxtp_1 _641_ (.CLK(clknet_3_6_0_clock),
.D(_010_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Q(net25));
sky130_fd_sc_hd__dfxtp_1 _642_ (.CLK(clknet_3_1_0_clock),
.D(_011_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Q(read_wait_done));
sky130_fd_sc_hd__dfxtp_1 _643_ (.CLK(clknet_3_2_0_clock),
.D(_012_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Q(\reg_rxdata[0] ));
sky130_fd_sc_hd__dfxtp_1 _644_ (.CLK(clknet_3_0_0_clock),
.D(_013_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Q(\reg_rxdata[1] ));
sky130_fd_sc_hd__dfxtp_1 _645_ (.CLK(clknet_3_0_0_clock),
.D(_014_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Q(\reg_rxdata[2] ));
sky130_fd_sc_hd__dfxtp_1 _646_ (.CLK(clknet_3_1_0_clock),
.D(_015_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Q(\reg_rxdata[3] ));
sky130_fd_sc_hd__dfxtp_1 _647_ (.CLK(clknet_3_0_0_clock),
.D(_016_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Q(\reg_rxdata[4] ));
sky130_fd_sc_hd__dfxtp_1 _648_ (.CLK(clknet_3_0_0_clock),
.D(_017_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Q(\reg_rxdata[5] ));
sky130_fd_sc_hd__dfxtp_1 _649_ (.CLK(clknet_3_1_0_clock),
.D(_018_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Q(\reg_rxdata[6] ));
sky130_fd_sc_hd__dfxtp_1 _650_ (.CLK(clknet_3_1_0_clock),
.D(_019_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Q(\reg_rxdata[7] ));
sky130_fd_sc_hd__dfxtp_1 _651_ (.CLK(clknet_3_7_0_clock),
.D(_020_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Q(\_T_328[1] ));
sky130_fd_sc_hd__dfxtp_1 _652_ (.CLK(clknet_3_5_0_clock),
.D(_021_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Q(\_T_328[2] ));
sky130_fd_sc_hd__dfxtp_1 _653_ (.CLK(clknet_3_4_0_clock),
.D(_022_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Q(\_T_328[3] ));
sky130_fd_sc_hd__dfxtp_1 _654_ (.CLK(clknet_3_7_0_clock),
.D(_023_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Q(\_T_328[4] ));
sky130_fd_sc_hd__dfxtp_1 _655_ (.CLK(clknet_3_4_0_clock),
.D(_024_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Q(\_T_328[5] ));
sky130_fd_sc_hd__dfxtp_1 _656_ (.CLK(clknet_3_4_0_clock),
.D(_025_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Q(\_T_328[6] ));
sky130_fd_sc_hd__dfxtp_1 _657_ (.CLK(clknet_3_6_0_clock),
.D(_026_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Q(\_T_328[7] ));
sky130_fd_sc_hd__dfxtp_1 _658_ (.CLK(clknet_3_6_0_clock),
.D(_027_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Q(_T_321));
sky130_fd_sc_hd__dfxtp_1 _659_ (.CLK(clknet_3_1_0_clock),
.D(_028_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Q(reg_ssmask));
sky130_fd_sc_hd__dfxtp_1 _660_ (.CLK(clknet_3_2_0_clock),
.D(_029_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Q(\_T_203[1] ));
sky130_fd_sc_hd__dfxtp_1 _661_ (.CLK(clknet_3_0_0_clock),
.D(_030_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Q(\_T_203[2] ));
sky130_fd_sc_hd__dfxtp_1 _662_ (.CLK(clknet_3_0_0_clock),
.D(_031_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Q(\_T_203[3] ));
sky130_fd_sc_hd__dfxtp_1 _663_ (.CLK(clknet_3_0_0_clock),
.D(_032_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Q(\_T_203[4] ));
sky130_fd_sc_hd__dfxtp_1 _664_ (.CLK(clknet_3_0_0_clock),
.D(_033_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Q(\_T_203[5] ));
sky130_fd_sc_hd__dfxtp_1 _665_ (.CLK(clknet_3_0_0_clock),
.D(_034_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Q(\_T_203[6] ));
sky130_fd_sc_hd__dfxtp_1 _666_ (.CLK(clknet_3_0_0_clock),
.D(_035_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Q(\_T_203[7] ));
sky130_fd_sc_hd__dfxtp_1 _667_ (.CLK(clknet_3_1_0_clock),
.D(_036_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Q(\_T_203[8] ));
sky130_fd_sc_hd__dfxtp_1 _668_ (.CLK(clknet_3_7_0_clock),
.D(_037_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Q(\_GEN_43[2] ));
sky130_fd_sc_hd__dfxtp_1 _669_ (.CLK(clknet_3_7_0_clock),
.D(_038_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Q(\_GEN_43[3] ));
sky130_fd_sc_hd__dfxtp_1 _670_ (.CLK(clknet_3_7_0_clock),
.D(_039_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Q(\_GEN_43[4] ));
sky130_fd_sc_hd__dfxtp_1 _671_ (.CLK(clknet_3_7_0_clock),
.D(_040_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Q(\_GEN_43[5] ));
sky130_fd_sc_hd__dfxtp_1 _672_ (.CLK(clknet_3_7_0_clock),
.D(_041_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Q(\_GEN_43[6] ));
sky130_fd_sc_hd__dfxtp_1 _673_ (.CLK(clknet_3_6_0_clock),
.D(_042_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Q(\_GEN_43[7] ));
sky130_fd_sc_hd__dfxtp_1 _674_ (.CLK(clknet_3_6_0_clock),
.D(_043_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Q(_T_341));
sky130_fd_sc_hd__dfxtp_1 _675_ (.CLK(clknet_3_1_0_clock),
.D(_044_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Q(net26));
sky130_fd_sc_hd__dfxtp_1 _676_ (.CLK(clknet_3_5_0_clock),
.D(_045_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Q(bit_iroe));
sky130_fd_sc_hd__dfxtp_1 _677_ (.CLK(clknet_3_5_0_clock),
.D(_046_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Q(bit_itoe));
sky130_fd_sc_hd__dfxtp_1 _678_ (.CLK(clknet_3_5_0_clock),
.D(_047_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Q(bit_itrdy));
sky130_fd_sc_hd__dfxtp_1 _679_ (.CLK(clknet_3_5_0_clock),
.D(_048_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Q(bit_irrdy));
sky130_fd_sc_hd__dfxtp_1 _680_ (.CLK(clknet_3_4_0_clock),
.D(_049_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Q(bit_ie));
sky130_fd_sc_hd__dfxtp_1 _681_ (.CLK(clknet_3_6_0_clock),
.D(_050_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Q(bit_sso));
sky130_fd_sc_hd__dfxtp_1 _682_ (.CLK(clknet_3_3_0_clock),
.D(_051_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Q(bit_toe));
sky130_fd_sc_hd__dfxtp_1 _683_ (.CLK(clknet_3_6_0_clock),
.D(_052_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Q(bit_roe));
sky130_fd_sc_hd__dfxtp_1 _684_ (.CLK(clknet_3_3_0_clock),
.D(_053_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Q(bit_trdy));
sky130_fd_sc_hd__dfxtp_1 _685_ (.CLK(clknet_3_5_0_clock),
.D(_054_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Q(bit_rrdy));
sky130_fd_sc_hd__dfxtp_1 _686_ (.CLK(clknet_3_2_0_clock),
.D(_055_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Q(rx_latch_flag));
sky130_fd_sc_hd__dfxtp_1 _687_ (.CLK(clknet_3_3_0_clock),
.D(_056_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Q(bit_tmt));
sky130_fd_sc_hd__dfxtp_1 _688_ (.CLK(clknet_3_4_0_clock),
.D(_057_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Q(bit_e));
sky130_fd_sc_hd__dfxtp_1 _689_ (.CLK(clknet_3_6_0_clock),
.D(_058_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Q(\data_cnt[0] ));
sky130_fd_sc_hd__dfxtp_1 _690_ (.CLK(clknet_3_6_0_clock),
.D(_059_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Q(\data_cnt[1] ));
sky130_fd_sc_hd__dfxtp_1 _691_ (.CLK(clknet_3_6_0_clock),
.D(_060_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Q(\data_cnt[2] ));
sky130_fd_sc_hd__dfxtp_1 _692_ (.CLK(clknet_3_7_0_clock),
.D(_061_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Q(\data_cnt[3] ));
sky130_fd_sc_hd__dfxtp_1 _693_ (.CLK(clknet_3_7_0_clock),
.D(_062_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Q(\data_cnt[4] ));
sky130_fd_sc_hd__dfxtp_1 _694_ (.CLK(clknet_3_7_0_clock),
.D(_063_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Q(\data_cnt[5] ));
sky130_fd_sc_hd__dfxtp_1 _695_ (.CLK(clknet_3_3_0_clock),
.D(_064_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Q(pending_data));
sky130_fd_sc_hd__dfxtp_1 _696_ (.CLK(clknet_3_2_0_clock),
.D(_065_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Q(\n_status[0] ));
sky130_fd_sc_hd__dfxtp_1 _697_ (.CLK(clknet_3_3_0_clock),
.D(_066_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Q(\n_status[1] ));
sky130_fd_sc_hd__dfxtp_1 _698_ (.CLK(clknet_3_2_0_clock),
.D(_067_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Q(\n_status[2] ));
sky130_fd_sc_hd__dfxtp_1 _699_ (.CLK(clknet_3_2_0_clock),
.D(_068_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Q(\clock_cnt[0] ));
sky130_fd_sc_hd__dfxtp_1 _700_ (.CLK(clknet_3_2_0_clock),
.D(_069_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Q(\clock_cnt[1] ));
sky130_fd_sc_hd__dfxtp_1 _701_ (.CLK(clknet_3_2_0_clock),
.D(_070_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Q(\clock_cnt[2] ));
sky130_fd_sc_hd__dfxtp_1 _702_ (.CLK(clknet_3_2_0_clock),
.D(_071_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Q(\clock_cnt[3] ));
sky130_fd_sc_hd__dfxtp_1 _703_ (.CLK(clknet_3_3_0_clock),
.D(_072_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Q(\clock_cnt[4] ));
sky130_fd_sc_hd__dfxtp_1 _704_ (.CLK(clknet_3_7_0_clock),
.D(_000_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Q(wait_one_tick_done));
sky130_fd_sc_hd__dfxtp_1 _705_ (.CLK(clknet_3_2_0_clock),
.D(_073_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Q(\p_status[0] ));
sky130_fd_sc_hd__dfxtp_1 _706_ (.CLK(clknet_3_2_0_clock),
.D(_074_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Q(\p_status[1] ));
sky130_fd_sc_hd__dfxtp_1 _707_ (.CLK(clknet_3_2_0_clock),
.D(_075_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.Q(\p_status[2] ));
sky130_fd_sc_hd__conb_1 _708__35 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.LO(net35));
sky130_fd_sc_hd__conb_1 _709__36 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.LO(net36));
sky130_fd_sc_hd__conb_1 _710__37 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.LO(net37));
sky130_fd_sc_hd__conb_1 _711__38 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.LO(net38));
sky130_fd_sc_hd__conb_1 _712__39 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.LO(net39));
sky130_fd_sc_hd__conb_1 _713__40 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.LO(net40));
sky130_fd_sc_hd__conb_1 _714__41 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.LO(net41));
sky130_fd_sc_hd__conb_1 _715__42 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.LO(net42));
sky130_fd_sc_hd__conb_1 _716__43 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.LO(net43));
sky130_fd_sc_hd__conb_1 _717__44 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.LO(net44));
sky130_fd_sc_hd__conb_1 _718__45 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.LO(net45));
sky130_fd_sc_hd__conb_1 _719__46 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.LO(net46));
sky130_fd_sc_hd__conb_1 _720__47 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.LO(net47));
sky130_fd_sc_hd__conb_1 _721__48 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.LO(net48));
sky130_fd_sc_hd__conb_1 _722__49 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.LO(net49));
sky130_fd_sc_hd__conb_1 _723__50 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.LO(net50));
sky130_fd_sc_hd__conb_1 _724__51 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.LO(net51));
sky130_fd_sc_hd__conb_1 _725__52 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.LO(net52));
sky130_fd_sc_hd__conb_1 _726__53 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.LO(net53));
sky130_fd_sc_hd__conb_1 _727__54 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.LO(net54));
sky130_fd_sc_hd__conb_1 _728__55 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.LO(net55));
sky130_fd_sc_hd__conb_1 _729__56 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.LO(net56));
sky130_fd_sc_hd__conb_1 _730__57 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.LO(net57));
sky130_fd_sc_hd__conb_1 _731__58 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.LO(net58));
sky130_fd_sc_hd__clkbuf_16 clkbuf_0_clock (.A(clock),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(clknet_0_clock));
sky130_fd_sc_hd__clkbuf_2 clkbuf_1_0_0_clock (.A(clknet_0_clock),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(clknet_1_0_0_clock));
sky130_fd_sc_hd__clkbuf_2 clkbuf_1_1_0_clock (.A(clknet_0_clock),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(clknet_1_1_0_clock));
sky130_fd_sc_hd__clkbuf_2 clkbuf_2_0_0_clock (.A(clknet_1_0_0_clock),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(clknet_2_0_0_clock));
sky130_fd_sc_hd__clkbuf_2 clkbuf_2_1_0_clock (.A(clknet_1_0_0_clock),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(clknet_2_1_0_clock));
sky130_fd_sc_hd__clkbuf_2 clkbuf_2_2_0_clock (.A(clknet_1_1_0_clock),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(clknet_2_2_0_clock));
sky130_fd_sc_hd__clkbuf_2 clkbuf_2_3_0_clock (.A(clknet_1_1_0_clock),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(clknet_2_3_0_clock));
sky130_fd_sc_hd__clkbuf_2 clkbuf_3_0_0_clock (.A(clknet_2_0_0_clock),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(clknet_3_0_0_clock));
sky130_fd_sc_hd__clkbuf_2 clkbuf_3_1_0_clock (.A(clknet_2_0_0_clock),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(clknet_3_1_0_clock));
sky130_fd_sc_hd__clkbuf_2 clkbuf_3_2_0_clock (.A(clknet_2_1_0_clock),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(clknet_3_2_0_clock));
sky130_fd_sc_hd__clkbuf_2 clkbuf_3_3_0_clock (.A(clknet_2_1_0_clock),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(clknet_3_3_0_clock));
sky130_fd_sc_hd__clkbuf_2 clkbuf_3_4_0_clock (.A(clknet_2_2_0_clock),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(clknet_3_4_0_clock));
sky130_fd_sc_hd__clkbuf_2 clkbuf_3_5_0_clock (.A(clknet_2_2_0_clock),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(clknet_3_5_0_clock));
sky130_fd_sc_hd__clkbuf_2 clkbuf_3_6_0_clock (.A(clknet_2_3_0_clock),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(clknet_3_6_0_clock));
sky130_fd_sc_hd__clkbuf_2 clkbuf_3_7_0_clock (.A(clknet_2_3_0_clock),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(clknet_3_7_0_clock));
sky130_fd_sc_hd__clkbuf_1 input1 (.A(io_spi_miso),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(net1));
sky130_fd_sc_hd__clkbuf_2 input10 (.A(io_wbs_m2s_addr[7]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(net10));
sky130_fd_sc_hd__dlymetal6s2s_1 input11 (.A(io_wbs_m2s_data[0]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(net11));
sky130_fd_sc_hd__clkbuf_1 input12 (.A(io_wbs_m2s_data[1]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(net12));
sky130_fd_sc_hd__clkbuf_1 input13 (.A(io_wbs_m2s_data[2]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(net13));
sky130_fd_sc_hd__clkbuf_1 input14 (.A(io_wbs_m2s_data[3]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(net14));
sky130_fd_sc_hd__clkbuf_1 input15 (.A(io_wbs_m2s_data[4]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(net15));
sky130_fd_sc_hd__dlymetal6s2s_1 input16 (.A(io_wbs_m2s_data[5]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(net16));
sky130_fd_sc_hd__clkbuf_1 input17 (.A(io_wbs_m2s_data[6]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(net17));
sky130_fd_sc_hd__dlymetal6s2s_1 input18 (.A(io_wbs_m2s_data[7]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(net18));
sky130_fd_sc_hd__dlymetal6s2s_1 input19 (.A(io_wbs_m2s_stb),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(net19));
sky130_fd_sc_hd__dlymetal6s2s_1 input2 (.A(io_spi_select),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(net2));
sky130_fd_sc_hd__clkbuf_2 input20 (.A(io_wbs_m2s_we),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(net20));
sky130_fd_sc_hd__clkbuf_2 input21 (.A(reset),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(net21));
sky130_fd_sc_hd__dlymetal6s2s_1 input3 (.A(io_wbs_m2s_addr[0]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(net3));
sky130_fd_sc_hd__dlymetal6s2s_1 input4 (.A(io_wbs_m2s_addr[1]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(net4));
sky130_fd_sc_hd__dlymetal6s2s_1 input5 (.A(io_wbs_m2s_addr[2]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(net5));
sky130_fd_sc_hd__clkbuf_1 input6 (.A(io_wbs_m2s_addr[3]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(net6));
sky130_fd_sc_hd__clkbuf_1 input7 (.A(io_wbs_m2s_addr[4]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(net7));
sky130_fd_sc_hd__clkbuf_1 input8 (.A(io_wbs_m2s_addr[5]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(net8));
sky130_fd_sc_hd__clkbuf_1 input9 (.A(io_wbs_m2s_addr[6]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(net9));
sky130_fd_sc_hd__buf_2 output22 (.A(net22),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(io_spi_clk));
sky130_fd_sc_hd__buf_2 output23 (.A(net23),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(io_spi_cs));
sky130_fd_sc_hd__buf_2 output24 (.A(net24),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(io_spi_intr));
sky130_fd_sc_hd__buf_2 output25 (.A(net25),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(io_spi_mosi));
sky130_fd_sc_hd__buf_2 output26 (.A(net26),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(io_wbs_ack_o));
sky130_fd_sc_hd__buf_2 output27 (.A(net27),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(io_wbs_data_o[0]));
sky130_fd_sc_hd__buf_2 output28 (.A(net28),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(io_wbs_data_o[1]));
sky130_fd_sc_hd__buf_2 output29 (.A(net29),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(io_wbs_data_o[2]));
sky130_fd_sc_hd__buf_2 output30 (.A(net30),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(io_wbs_data_o[3]));
sky130_fd_sc_hd__buf_2 output31 (.A(net31),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(io_wbs_data_o[4]));
sky130_fd_sc_hd__buf_2 output32 (.A(net32),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(io_wbs_data_o[5]));
sky130_fd_sc_hd__buf_2 output33 (.A(net33),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(io_wbs_data_o[6]));
sky130_fd_sc_hd__buf_2 output34 (.A(net34),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1),
.X(io_wbs_data_o[7]));
assign io_wbs_data_o[10] = net37;
assign io_wbs_data_o[11] = net38;
assign io_wbs_data_o[12] = net39;
assign io_wbs_data_o[13] = net40;
assign io_wbs_data_o[14] = net41;
assign io_wbs_data_o[15] = net42;
assign io_wbs_data_o[16] = net43;
assign io_wbs_data_o[17] = net44;
assign io_wbs_data_o[18] = net45;
assign io_wbs_data_o[19] = net46;
assign io_wbs_data_o[20] = net47;
assign io_wbs_data_o[21] = net48;
assign io_wbs_data_o[22] = net49;
assign io_wbs_data_o[23] = net50;
assign io_wbs_data_o[24] = net51;
assign io_wbs_data_o[25] = net52;
assign io_wbs_data_o[26] = net53;
assign io_wbs_data_o[27] = net54;
assign io_wbs_data_o[28] = net55;
assign io_wbs_data_o[29] = net56;
assign io_wbs_data_o[30] = net57;
assign io_wbs_data_o[31] = net58;
assign io_wbs_data_o[8] = net35;
assign io_wbs_data_o[9] = net36;
endmodule