blob: a85e887611ebc383fbcbb2d885f620f15a8041b5 [file] [log] [blame]
*SPEF "ieee 1481-1999"
*DESIGN "SPI"
*DATE "11:11:11 Fri 11 11, 1111"
*VENDOR "OpenRCX"
*PROGRAM "Parallel Extraction"
*VERSION "1.0"
*DESIGN_FLOW "NAME_SCOPE LOCAL" "PIN_CAP NONE"
*DIVIDER /
*DELIMITER :
*BUS_DELIMITER []
*T_UNIT 1 NS
*C_UNIT 1 PF
*R_UNIT 1 OHM
*L_UNIT 1 HENRY
*NAME_MAP
*1 clock
*2 io_spi_clk
*3 io_spi_cs
*4 io_spi_intr
*5 io_spi_miso
*6 io_spi_mosi
*7 io_spi_select
*8 io_wbs_ack_o
*9 io_wbs_data_o[0]
*10 net37
*11 net38
*12 net39
*13 net40
*14 net41
*15 net42
*16 net43
*17 net44
*18 net45
*19 net46
*20 io_wbs_data_o[1]
*21 net47
*22 net48
*23 net49
*24 net50
*25 net51
*26 net52
*27 net53
*28 net54
*29 net55
*30 net56
*31 io_wbs_data_o[2]
*32 net57
*33 net58
*34 io_wbs_data_o[3]
*35 io_wbs_data_o[4]
*36 io_wbs_data_o[5]
*37 io_wbs_data_o[6]
*38 io_wbs_data_o[7]
*39 net35
*40 net36
*41 io_wbs_m2s_addr[0]
*42 io_wbs_m2s_addr[10]
*43 io_wbs_m2s_addr[11]
*44 io_wbs_m2s_addr[12]
*45 io_wbs_m2s_addr[13]
*46 io_wbs_m2s_addr[14]
*47 io_wbs_m2s_addr[15]
*48 io_wbs_m2s_addr[1]
*49 io_wbs_m2s_addr[2]
*50 io_wbs_m2s_addr[3]
*51 io_wbs_m2s_addr[4]
*52 io_wbs_m2s_addr[5]
*53 io_wbs_m2s_addr[6]
*54 io_wbs_m2s_addr[7]
*55 io_wbs_m2s_addr[8]
*56 io_wbs_m2s_addr[9]
*57 io_wbs_m2s_data[0]
*58 io_wbs_m2s_data[10]
*59 io_wbs_m2s_data[11]
*60 io_wbs_m2s_data[12]
*61 io_wbs_m2s_data[13]
*62 io_wbs_m2s_data[14]
*63 io_wbs_m2s_data[15]
*64 io_wbs_m2s_data[16]
*65 io_wbs_m2s_data[17]
*66 io_wbs_m2s_data[18]
*67 io_wbs_m2s_data[19]
*68 io_wbs_m2s_data[1]
*69 io_wbs_m2s_data[20]
*70 io_wbs_m2s_data[21]
*71 io_wbs_m2s_data[22]
*72 io_wbs_m2s_data[23]
*73 io_wbs_m2s_data[24]
*74 io_wbs_m2s_data[25]
*75 io_wbs_m2s_data[26]
*76 io_wbs_m2s_data[27]
*77 io_wbs_m2s_data[28]
*78 io_wbs_m2s_data[29]
*79 io_wbs_m2s_data[2]
*80 io_wbs_m2s_data[30]
*81 io_wbs_m2s_data[31]
*82 io_wbs_m2s_data[3]
*83 io_wbs_m2s_data[4]
*84 io_wbs_m2s_data[5]
*85 io_wbs_m2s_data[6]
*86 io_wbs_m2s_data[7]
*87 io_wbs_m2s_data[8]
*88 io_wbs_m2s_data[9]
*89 io_wbs_m2s_stb
*90 io_wbs_m2s_we
*91 reset
*94 _000_
*95 _001_
*96 _002_
*97 _003_
*98 _004_
*99 _005_
*100 _006_
*101 _007_
*102 _008_
*103 _009_
*104 _010_
*105 _011_
*106 _012_
*107 _013_
*108 _014_
*109 _015_
*110 _016_
*111 _017_
*112 _018_
*113 _019_
*114 _020_
*115 _021_
*116 _022_
*117 _023_
*118 _024_
*119 _025_
*120 _026_
*121 _027_
*122 _028_
*123 _029_
*124 _030_
*125 _031_
*126 _032_
*127 _033_
*128 _034_
*129 _035_
*130 _036_
*131 _037_
*132 _038_
*133 _039_
*134 _040_
*135 _041_
*136 _042_
*137 _043_
*138 _044_
*139 _045_
*140 _046_
*141 _047_
*142 _048_
*143 _049_
*144 _050_
*145 _051_
*146 _052_
*147 _053_
*148 _054_
*149 _055_
*150 _056_
*151 _057_
*152 _058_
*153 _059_
*154 _060_
*155 _061_
*156 _062_
*157 _063_
*158 _064_
*159 _065_
*160 _066_
*161 _067_
*162 _068_
*163 _069_
*164 _070_
*165 _071_
*166 _072_
*167 _073_
*168 _074_
*169 _075_
*170 _076_
*171 _077_
*172 _078_
*173 _079_
*174 _080_
*175 _081_
*176 _082_
*177 _083_
*178 _084_
*179 _085_
*180 _086_
*181 _087_
*182 _088_
*183 _089_
*184 _090_
*185 _091_
*186 _092_
*187 _093_
*188 _094_
*189 _095_
*190 _096_
*191 _097_
*192 _098_
*193 _099_
*194 _100_
*195 _101_
*196 _102_
*197 _103_
*198 _104_
*199 _105_
*200 _106_
*201 _107_
*202 _108_
*203 _109_
*204 _110_
*205 _111_
*206 _112_
*207 _113_
*208 _114_
*209 _115_
*210 _116_
*211 _117_
*212 _118_
*213 _119_
*214 _120_
*215 _121_
*216 _122_
*217 _123_
*218 _124_
*219 _125_
*220 _126_
*221 _127_
*222 _128_
*223 _129_
*224 _130_
*225 _131_
*226 _132_
*227 _133_
*228 _134_
*229 _135_
*230 _136_
*231 _137_
*232 _138_
*233 _139_
*234 _140_
*235 _141_
*236 _142_
*237 _143_
*238 _144_
*239 _145_
*240 _146_
*241 _147_
*242 _148_
*243 _149_
*244 _150_
*245 _151_
*246 _152_
*247 _153_
*248 _154_
*249 _155_
*250 _156_
*251 _157_
*252 _158_
*253 _159_
*254 _160_
*255 _161_
*256 _162_
*257 _163_
*258 _164_
*259 _165_
*260 _166_
*261 _167_
*262 _168_
*263 _169_
*264 _170_
*265 _171_
*266 _172_
*267 _173_
*268 _174_
*269 _175_
*270 _176_
*271 _177_
*272 _178_
*273 _179_
*274 _180_
*275 _181_
*276 _182_
*277 _183_
*278 _184_
*279 _185_
*280 _186_
*281 _187_
*282 _188_
*283 _189_
*284 _190_
*285 _191_
*286 _192_
*287 _193_
*288 _194_
*289 _195_
*290 _196_
*291 _197_
*292 _198_
*293 _199_
*294 _200_
*295 _201_
*296 _202_
*297 _203_
*298 _204_
*299 _205_
*300 _206_
*301 _207_
*302 _208_
*303 _209_
*304 _210_
*305 _211_
*306 _212_
*307 _213_
*308 _214_
*309 _215_
*310 _216_
*311 _217_
*312 _218_
*313 _219_
*314 _220_
*315 _221_
*316 _222_
*317 _223_
*318 _224_
*319 _225_
*320 _226_
*321 _227_
*322 _228_
*323 _229_
*324 _230_
*325 _231_
*326 _232_
*327 _233_
*328 _234_
*329 _235_
*330 _236_
*331 _237_
*332 _238_
*333 _239_
*334 _240_
*335 _241_
*336 _242_
*337 _243_
*338 _244_
*339 _245_
*340 _246_
*341 _247_
*342 _248_
*343 _249_
*344 _250_
*345 _251_
*346 _252_
*347 _253_
*348 _254_
*349 _255_
*350 _256_
*351 _257_
*352 _258_
*353 _259_
*354 _260_
*355 _261_
*356 _262_
*357 _263_
*358 _264_
*359 _265_
*360 _266_
*361 _267_
*362 _268_
*363 _269_
*364 _270_
*365 _271_
*366 _272_
*367 _273_
*368 _274_
*369 _275_
*370 _276_
*371 _277_
*372 _278_
*373 _279_
*374 _280_
*375 _281_
*376 _282_
*377 _283_
*378 _284_
*379 _285_
*380 _286_
*381 _287_
*382 _288_
*383 _289_
*384 _290_
*385 _291_
*386 _292_
*387 _293_
*388 _294_
*389 _295_
*390 _296_
*391 _297_
*392 _298_
*393 _299_
*394 _300_
*395 _301_
*396 _302_
*397 _303_
*398 _304_
*399 _305_
*400 _306_
*401 _307_
*402 _308_
*403 _309_
*404 _310_
*405 _311_
*406 _312_
*407 _313_
*408 _314_
*409 _GEN_43\[2\]
*410 _GEN_43\[3\]
*411 _GEN_43\[4\]
*412 _GEN_43\[5\]
*413 _GEN_43\[6\]
*414 _GEN_43\[7\]
*415 _T_203\[1\]
*416 _T_203\[2\]
*417 _T_203\[3\]
*418 _T_203\[4\]
*419 _T_203\[5\]
*420 _T_203\[6\]
*421 _T_203\[7\]
*422 _T_203\[8\]
*423 _T_321
*424 _T_328\[1\]
*425 _T_328\[2\]
*426 _T_328\[3\]
*427 _T_328\[4\]
*428 _T_328\[5\]
*429 _T_328\[6\]
*430 _T_328\[7\]
*431 _T_341
*432 bit_e
*433 bit_ie
*434 bit_iroe
*435 bit_irrdy
*436 bit_itoe
*437 bit_itrdy
*438 bit_roe
*439 bit_rrdy
*440 bit_sso
*441 bit_tmt
*442 bit_toe
*443 bit_trdy
*444 clknet_0_clock
*445 clknet_1_0_0_clock
*446 clknet_1_1_0_clock
*447 clknet_2_0_0_clock
*448 clknet_2_1_0_clock
*449 clknet_2_2_0_clock
*450 clknet_2_3_0_clock
*451 clknet_3_0_0_clock
*452 clknet_3_1_0_clock
*453 clknet_3_2_0_clock
*454 clknet_3_3_0_clock
*455 clknet_3_4_0_clock
*456 clknet_3_5_0_clock
*457 clknet_3_6_0_clock
*458 clknet_3_7_0_clock
*459 clock_cnt\[0\]
*460 clock_cnt\[1\]
*461 clock_cnt\[2\]
*462 clock_cnt\[3\]
*463 clock_cnt\[4\]
*464 data_cnt\[0\]
*465 data_cnt\[1\]
*466 data_cnt\[2\]
*467 data_cnt\[3\]
*468 data_cnt\[4\]
*469 data_cnt\[5\]
*470 n_status\[0\]
*471 n_status\[1\]
*472 n_status\[2\]
*473 net1
*474 net10
*475 net11
*476 net12
*477 net13
*478 net14
*479 net15
*480 net16
*481 net17
*482 net18
*483 net19
*484 net2
*485 net20
*486 net21
*487 net22
*488 net23
*489 net24
*490 net25
*491 net26
*492 net27
*493 net28
*494 net29
*495 net3
*496 net30
*497 net31
*498 net32
*499 net33
*500 net34
*501 net4
*502 net5
*503 net6
*504 net7
*505 net8
*506 net9
*507 p_status\[0\]
*508 p_status\[1\]
*509 p_status\[2\]
*510 pending_data
*511 read_wait_done
*512 reg_rxdata\[0\]
*513 reg_rxdata\[1\]
*514 reg_rxdata\[2\]
*515 reg_rxdata\[3\]
*516 reg_rxdata\[4\]
*517 reg_rxdata\[5\]
*518 reg_rxdata\[6\]
*519 reg_rxdata\[7\]
*520 reg_ssmask
*521 rx_latch_flag
*522 wait_one_tick_done
*523 ANTENNA__329__B
*524 ANTENNA__428__A
*525 ANTENNA__431__A
*526 ANTENNA__434__A
*527 ANTENNA__444__A
*528 ANTENNA__450__A
*529 ANTENNA_clkbuf_0_clock_A
*530 ANTENNA_input10_A
*531 ANTENNA_input11_A
*532 ANTENNA_input12_A
*533 ANTENNA_input13_A
*534 ANTENNA_input14_A
*535 ANTENNA_input15_A
*536 ANTENNA_input16_A
*537 ANTENNA_input17_A
*538 ANTENNA_input18_A
*539 ANTENNA_input19_A
*540 ANTENNA_input1_A
*541 ANTENNA_input20_A
*542 ANTENNA_input21_A
*543 ANTENNA_input2_A
*544 ANTENNA_input3_A
*545 ANTENNA_input4_A
*546 ANTENNA_input5_A
*547 ANTENNA_input6_A
*548 ANTENNA_input7_A
*549 ANTENNA_input8_A
*550 ANTENNA_input9_A
*551 FILLER_0_104
*552 FILLER_0_110
*553 FILLER_0_113
*554 FILLER_0_123
*555 FILLER_0_128
*556 FILLER_0_13
*557 FILLER_0_132
*558 FILLER_0_138
*559 FILLER_0_141
*560 FILLER_0_159
*561 FILLER_0_165
*562 FILLER_0_169
*563 FILLER_0_175
*564 FILLER_0_18
*565 FILLER_0_182
*566 FILLER_0_188
*567 FILLER_0_22
*568 FILLER_0_26
*569 FILLER_0_29
*570 FILLER_0_34
*571 FILLER_0_38
*572 FILLER_0_42
*573 FILLER_0_54
*574 FILLER_0_57
*575 FILLER_0_68
*576 FILLER_0_72
*577 FILLER_0_77
*578 FILLER_0_82
*579 FILLER_0_85
*580 FILLER_0_93
*581 FILLER_0_99
*582 FILLER_10_108
*583 FILLER_10_114
*584 FILLER_10_12
*585 FILLER_10_123
*586 FILLER_10_132
*587 FILLER_10_138
*588 FILLER_10_149
*589 FILLER_10_155
*590 FILLER_10_159
*591 FILLER_10_163
*592 FILLER_10_174
*593 FILLER_10_188
*594 FILLER_10_23
*595 FILLER_10_27
*596 FILLER_10_29
*597 FILLER_10_3
*598 FILLER_10_39
*599 FILLER_10_51
*600 FILLER_10_56
*601 FILLER_10_63
*602 FILLER_10_67
*603 FILLER_10_77
*604 FILLER_10_83
*605 FILLER_10_95
*606 FILLER_11_109
*607 FILLER_11_117
*608 FILLER_11_12
*609 FILLER_11_127
*610 FILLER_11_137
*611 FILLER_11_148
*612 FILLER_11_166
*613 FILLER_11_169
*614 FILLER_11_186
*615 FILLER_11_24
*616 FILLER_11_28
*617 FILLER_11_45
*618 FILLER_11_52
*619 FILLER_11_7
*620 FILLER_11_73
*621 FILLER_11_82
*622 FILLER_11_91
*623 FILLER_12_109
*624 FILLER_12_117
*625 FILLER_12_122
*626 FILLER_12_126
*627 FILLER_12_134
*628 FILLER_12_138
*629 FILLER_12_150
*630 FILLER_12_154
*631 FILLER_12_158
*632 FILLER_12_162
*633 FILLER_12_169
*634 FILLER_12_174
*635 FILLER_12_179
*636 FILLER_12_186
*637 FILLER_12_21
*638 FILLER_12_26
*639 FILLER_12_29
*640 FILLER_12_3
*641 FILLER_12_41
*642 FILLER_12_47
*643 FILLER_12_53
*644 FILLER_12_57
*645 FILLER_12_63
*646 FILLER_12_67
*647 FILLER_12_72
*648 FILLER_12_80
*649 FILLER_12_90
*650 FILLER_12_97
*651 FILLER_13_109
*652 FILLER_13_129
*653 FILLER_13_134
*654 FILLER_13_141
*655 FILLER_13_145
*656 FILLER_13_153
*657 FILLER_13_16
*658 FILLER_13_160
*659 FILLER_13_166
*660 FILLER_13_174
*661 FILLER_13_182
*662 FILLER_13_188
*663 FILLER_13_21
*664 FILLER_13_29
*665 FILLER_13_3
*666 FILLER_13_36
*667 FILLER_13_54
*668 FILLER_13_57
*669 FILLER_13_61
*670 FILLER_13_69
*671 FILLER_13_87
*672 FILLER_14_10
*673 FILLER_14_103
*674 FILLER_14_109
*675 FILLER_14_119
*676 FILLER_14_137
*677 FILLER_14_14
*678 FILLER_14_157
*679 FILLER_14_170
*680 FILLER_14_188
*681 FILLER_14_26
*682 FILLER_14_29
*683 FILLER_14_35
*684 FILLER_14_40
*685 FILLER_14_44
*686 FILLER_14_49
*687 FILLER_14_54
*688 FILLER_14_6
*689 FILLER_14_72
*690 FILLER_14_78
*691 FILLER_14_82
*692 FILLER_14_85
*693 FILLER_15_104
*694 FILLER_15_110
*695 FILLER_15_113
*696 FILLER_15_121
*697 FILLER_15_135
*698 FILLER_15_141
*699 FILLER_15_159
*700 FILLER_15_165
*701 FILLER_15_185
*702 FILLER_15_189
*703 FILLER_15_23
*704 FILLER_15_29
*705 FILLER_15_3
*706 FILLER_15_46
*707 FILLER_15_52
*708 FILLER_15_57
*709 FILLER_15_65
*710 FILLER_15_71
*711 FILLER_15_76
*712 FILLER_15_88
*713 FILLER_15_98
*714 FILLER_16_101
*715 FILLER_16_108
*716 FILLER_16_114
*717 FILLER_16_129
*718 FILLER_16_135
*719 FILLER_16_139
*720 FILLER_16_141
*721 FILLER_16_151
*722 FILLER_16_155
*723 FILLER_16_161
*724 FILLER_16_17
*725 FILLER_16_172
*726 FILLER_16_176
*727 FILLER_16_179
*728 FILLER_16_183
*729 FILLER_16_188
*730 FILLER_16_26
*731 FILLER_16_34
*732 FILLER_16_40
*733 FILLER_16_46
*734 FILLER_16_51
*735 FILLER_16_6
*736 FILLER_16_69
*737 FILLER_16_74
*738 FILLER_16_78
*739 FILLER_16_82
*740 FILLER_16_90
*741 FILLER_17_102
*742 FILLER_17_109
*743 FILLER_17_129
*744 FILLER_17_147
*745 FILLER_17_156
*746 FILLER_17_166
*747 FILLER_17_169
*748 FILLER_17_186
*749 FILLER_17_24
*750 FILLER_17_28
*751 FILLER_17_45
*752 FILLER_17_53
*753 FILLER_17_57
*754 FILLER_17_6
*755 FILLER_17_65
*756 FILLER_17_84
*757 FILLER_18_104
*758 FILLER_18_111
*759 FILLER_18_118
*760 FILLER_18_130
*761 FILLER_18_138
*762 FILLER_18_141
*763 FILLER_18_149
*764 FILLER_18_156
*765 FILLER_18_170
*766 FILLER_18_188
*767 FILLER_18_22
*768 FILLER_18_29
*769 FILLER_18_3
*770 FILLER_18_38
*771 FILLER_18_45
*772 FILLER_18_54
*773 FILLER_18_59
*774 FILLER_18_67
*775 FILLER_18_73
*776 FILLER_18_81
*777 FILLER_18_95
*778 FILLER_19_100
*779 FILLER_19_109
*780 FILLER_19_122
*781 FILLER_19_13
*782 FILLER_19_135
*783 FILLER_19_143
*784 FILLER_19_154
*785 FILLER_19_166
*786 FILLER_19_178
*787 FILLER_19_188
*788 FILLER_19_19
*789 FILLER_19_24
*790 FILLER_19_3
*791 FILLER_19_32
*792 FILLER_19_38
*793 FILLER_19_45
*794 FILLER_19_54
*795 FILLER_19_60
*796 FILLER_19_78
*797 FILLER_19_9
*798 FILLER_19_90
*799 FILLER_19_96
*800 FILLER_1_102
*801 FILLER_1_110
*802 FILLER_1_113
*803 FILLER_1_13
*804 FILLER_1_130
*805 FILLER_1_142
*806 FILLER_1_146
*807 FILLER_1_164
*808 FILLER_1_185
*809 FILLER_1_189
*810 FILLER_1_20
*811 FILLER_1_25
*812 FILLER_1_29
*813 FILLER_1_47
*814 FILLER_1_54
*815 FILLER_1_73
*816 FILLER_1_78
*817 FILLER_1_97
*818 FILLER_20_111
*819 FILLER_20_129
*820 FILLER_20_135
*821 FILLER_20_139
*822 FILLER_20_141
*823 FILLER_20_147
*824 FILLER_20_158
*825 FILLER_20_170
*826 FILLER_20_188
*827 FILLER_20_26
*828 FILLER_20_3
*829 FILLER_20_32
*830 FILLER_20_37
*831 FILLER_20_42
*832 FILLER_20_48
*833 FILLER_20_55
*834 FILLER_20_61
*835 FILLER_20_67
*836 FILLER_20_80
*837 FILLER_20_88
*838 FILLER_20_9
*839 FILLER_20_94
*840 FILLER_21_103
*841 FILLER_21_108
*842 FILLER_21_113
*843 FILLER_21_124
*844 FILLER_21_142
*845 FILLER_21_15
*846 FILLER_21_164
*847 FILLER_21_185
*848 FILLER_21_189
*849 FILLER_21_23
*850 FILLER_21_3
*851 FILLER_21_40
*852 FILLER_21_44
*853 FILLER_21_52
*854 FILLER_21_57
*855 FILLER_21_63
*856 FILLER_21_74
*857 FILLER_21_87
*858 FILLER_21_94
*859 FILLER_21_99
*860 FILLER_22_100
*861 FILLER_22_106
*862 FILLER_22_112
*863 FILLER_22_117
*864 FILLER_22_125
*865 FILLER_22_134
*866 FILLER_22_150
*867 FILLER_22_155
*868 FILLER_22_160
*869 FILLER_22_166
*870 FILLER_22_170
*871 FILLER_22_178
*872 FILLER_22_18
*873 FILLER_22_183
*874 FILLER_22_188
*875 FILLER_22_26
*876 FILLER_22_35
*877 FILLER_22_41
*878 FILLER_22_50
*879 FILLER_22_59
*880 FILLER_22_6
*881 FILLER_22_65
*882 FILLER_22_74
*883 FILLER_22_81
*884 FILLER_22_93
*885 FILLER_23_100
*886 FILLER_23_107
*887 FILLER_23_111
*888 FILLER_23_120
*889 FILLER_23_127
*890 FILLER_23_147
*891 FILLER_23_156
*892 FILLER_23_162
*893 FILLER_23_174
*894 FILLER_23_179
*895 FILLER_23_188
*896 FILLER_23_24
*897 FILLER_23_35
*898 FILLER_23_43
*899 FILLER_23_51
*900 FILLER_23_55
*901 FILLER_23_57
*902 FILLER_23_6
*903 FILLER_23_64
*904 FILLER_23_69
*905 FILLER_23_75
*906 FILLER_23_79
*907 FILLER_23_88
*908 FILLER_24_102
*909 FILLER_24_111
*910 FILLER_24_123
*911 FILLER_24_132
*912 FILLER_24_137
*913 FILLER_24_151
*914 FILLER_24_159
*915 FILLER_24_168
*916 FILLER_24_188
*917 FILLER_24_20
*918 FILLER_24_26
*919 FILLER_24_3
*920 FILLER_24_39
*921 FILLER_24_61
*922 FILLER_24_69
*923 FILLER_24_79
*924 FILLER_24_83
*925 FILLER_24_95
*926 FILLER_25_10
*927 FILLER_25_101
*928 FILLER_25_110
*929 FILLER_25_123
*930 FILLER_25_132
*931 FILLER_25_139
*932 FILLER_25_14
*933 FILLER_25_150
*934 FILLER_25_161
*935 FILLER_25_166
*936 FILLER_25_174
*937 FILLER_25_179
*938 FILLER_25_184
*939 FILLER_25_22
*940 FILLER_25_31
*941 FILLER_25_43
*942 FILLER_25_52
*943 FILLER_25_6
*944 FILLER_25_64
*945 FILLER_25_69
*946 FILLER_25_88
*947 FILLER_25_93
*948 FILLER_26_103
*949 FILLER_26_107
*950 FILLER_26_115
*951 FILLER_26_120
*952 FILLER_26_138
*953 FILLER_26_141
*954 FILLER_26_15
*955 FILLER_26_159
*956 FILLER_26_166
*957 FILLER_26_184
*958 FILLER_26_19
*959 FILLER_26_26
*960 FILLER_26_3
*961 FILLER_26_45
*962 FILLER_26_50
*963 FILLER_26_69
*964 FILLER_26_77
*965 FILLER_26_82
*966 FILLER_26_85
*967 FILLER_26_9
*968 FILLER_27_103
*969 FILLER_27_108
*970 FILLER_27_113
*971 FILLER_27_124
*972 FILLER_27_129
*973 FILLER_27_13
*974 FILLER_27_134
*975 FILLER_27_141
*976 FILLER_27_147
*977 FILLER_27_152
*978 FILLER_27_156
*979 FILLER_27_161
*980 FILLER_27_166
*981 FILLER_27_172
*982 FILLER_27_18
*983 FILLER_27_182
*984 FILLER_27_188
*985 FILLER_27_23
*986 FILLER_27_27
*987 FILLER_27_31
*988 FILLER_27_43
*989 FILLER_27_55
*990 FILLER_27_63
*991 FILLER_27_69
*992 FILLER_27_77
*993 FILLER_27_81
*994 FILLER_27_85
*995 FILLER_27_97
*996 FILLER_2_116
*997 FILLER_2_123
*998 FILLER_2_127
*999 FILLER_2_131
*1000 FILLER_2_138
*1001 FILLER_2_150
*1002 FILLER_2_155
*1003 FILLER_2_162
*1004 FILLER_2_169
*1005 FILLER_2_176
*1006 FILLER_2_180
*1007 FILLER_2_186
*1008 FILLER_2_26
*1009 FILLER_2_29
*1010 FILLER_2_3
*1011 FILLER_2_39
*1012 FILLER_2_50
*1013 FILLER_2_56
*1014 FILLER_2_60
*1015 FILLER_2_66
*1016 FILLER_2_70
*1017 FILLER_2_8
*1018 FILLER_2_82
*1019 FILLER_2_93
*1020 FILLER_2_98
*1021 FILLER_3_104
*1022 FILLER_3_110
*1023 FILLER_3_119
*1024 FILLER_3_124
*1025 FILLER_3_129
*1026 FILLER_3_134
*1027 FILLER_3_14
*1028 FILLER_3_141
*1029 FILLER_3_146
*1030 FILLER_3_151
*1031 FILLER_3_158
*1032 FILLER_3_166
*1033 FILLER_3_172
*1034 FILLER_3_18
*1035 FILLER_3_182
*1036 FILLER_3_188
*1037 FILLER_3_29
*1038 FILLER_3_3
*1039 FILLER_3_36
*1040 FILLER_3_54
*1041 FILLER_3_57
*1042 FILLER_3_7
*1043 FILLER_3_70
*1044 FILLER_3_88
*1045 FILLER_3_96
*1046 FILLER_4_109
*1047 FILLER_4_115
*1048 FILLER_4_125
*1049 FILLER_4_138
*1050 FILLER_4_141
*1051 FILLER_4_158
*1052 FILLER_4_162
*1053 FILLER_4_169
*1054 FILLER_4_188
*1055 FILLER_4_22
*1056 FILLER_4_26
*1057 FILLER_4_29
*1058 FILLER_4_3
*1059 FILLER_4_33
*1060 FILLER_4_39
*1061 FILLER_4_46
*1062 FILLER_4_51
*1063 FILLER_4_55
*1064 FILLER_4_59
*1065 FILLER_4_81
*1066 FILLER_4_85
*1067 FILLER_4_93
*1068 FILLER_5_109
*1069 FILLER_5_118
*1070 FILLER_5_12
*1071 FILLER_5_136
*1072 FILLER_5_142
*1073 FILLER_5_153
*1074 FILLER_5_163
*1075 FILLER_5_167
*1076 FILLER_5_185
*1077 FILLER_5_189
*1078 FILLER_5_23
*1079 FILLER_5_43
*1080 FILLER_5_47
*1081 FILLER_5_5
*1082 FILLER_5_54
*1083 FILLER_5_66
*1084 FILLER_5_71
*1085 FILLER_5_95
*1086 FILLER_6_101
*1087 FILLER_6_110
*1088 FILLER_6_119
*1089 FILLER_6_126
*1090 FILLER_6_130
*1091 FILLER_6_138
*1092 FILLER_6_145
*1093 FILLER_6_164
*1094 FILLER_6_175
*1095 FILLER_6_188
*1096 FILLER_6_20
*1097 FILLER_6_29
*1098 FILLER_6_3
*1099 FILLER_6_39
*1100 FILLER_6_61
*1101 FILLER_6_79
*1102 FILLER_6_83
*1103 FILLER_6_85
*1104 FILLER_6_92
*1105 FILLER_7_103
*1106 FILLER_7_110
*1107 FILLER_7_120
*1108 FILLER_7_126
*1109 FILLER_7_13
*1110 FILLER_7_135
*1111 FILLER_7_144
*1112 FILLER_7_153
*1113 FILLER_7_159
*1114 FILLER_7_166
*1115 FILLER_7_169
*1116 FILLER_7_187
*1117 FILLER_7_24
*1118 FILLER_7_31
*1119 FILLER_7_42
*1120 FILLER_7_48
*1121 FILLER_7_53
*1122 FILLER_7_57
*1123 FILLER_7_64
*1124 FILLER_7_71
*1125 FILLER_7_83
*1126 FILLER_7_94
*1127 FILLER_8_102
*1128 FILLER_8_108
*1129 FILLER_8_119
*1130 FILLER_8_125
*1131 FILLER_8_137
*1132 FILLER_8_14
*1133 FILLER_8_148
*1134 FILLER_8_157
*1135 FILLER_8_163
*1136 FILLER_8_174
*1137 FILLER_8_185
*1138 FILLER_8_189
*1139 FILLER_8_19
*1140 FILLER_8_26
*1141 FILLER_8_29
*1142 FILLER_8_42
*1143 FILLER_8_6
*1144 FILLER_8_60
*1145 FILLER_8_79
*1146 FILLER_8_83
*1147 FILLER_8_93
*1148 FILLER_9_101
*1149 FILLER_9_109
*1150 FILLER_9_123
*1151 FILLER_9_129
*1152 FILLER_9_140
*1153 FILLER_9_150
*1154 FILLER_9_159
*1155 FILLER_9_166
*1156 FILLER_9_169
*1157 FILLER_9_188
*1158 FILLER_9_22
*1159 FILLER_9_26
*1160 FILLER_9_3
*1161 FILLER_9_37
*1162 FILLER_9_44
*1163 FILLER_9_49
*1164 FILLER_9_55
*1165 FILLER_9_57
*1166 FILLER_9_64
*1167 FILLER_9_71
*1168 FILLER_9_78
*1169 FILLER_9_90
*1170 FILLER_9_95
*1171 PHY_0
*1172 PHY_1
*1173 PHY_10
*1174 PHY_11
*1175 PHY_12
*1176 PHY_13
*1177 PHY_14
*1178 PHY_15
*1179 PHY_16
*1180 PHY_17
*1181 PHY_18
*1182 PHY_19
*1183 PHY_2
*1184 PHY_20
*1185 PHY_21
*1186 PHY_22
*1187 PHY_23
*1188 PHY_24
*1189 PHY_25
*1190 PHY_26
*1191 PHY_27
*1192 PHY_28
*1193 PHY_29
*1194 PHY_3
*1195 PHY_30
*1196 PHY_31
*1197 PHY_32
*1198 PHY_33
*1199 PHY_34
*1200 PHY_35
*1201 PHY_36
*1202 PHY_37
*1203 PHY_38
*1204 PHY_39
*1205 PHY_4
*1206 PHY_40
*1207 PHY_41
*1208 PHY_42
*1209 PHY_43
*1210 PHY_44
*1211 PHY_45
*1212 PHY_46
*1213 PHY_47
*1214 PHY_48
*1215 PHY_49
*1216 PHY_5
*1217 PHY_50
*1218 PHY_51
*1219 PHY_52
*1220 PHY_53
*1221 PHY_54
*1222 PHY_55
*1223 PHY_6
*1224 PHY_7
*1225 PHY_8
*1226 PHY_9
*1227 TAP_100
*1228 TAP_101
*1229 TAP_102
*1230 TAP_103
*1231 TAP_104
*1232 TAP_105
*1233 TAP_106
*1234 TAP_107
*1235 TAP_108
*1236 TAP_109
*1237 TAP_110
*1238 TAP_111
*1239 TAP_112
*1240 TAP_113
*1241 TAP_114
*1242 TAP_115
*1243 TAP_116
*1244 TAP_117
*1245 TAP_118
*1246 TAP_119
*1247 TAP_120
*1248 TAP_121
*1249 TAP_122
*1250 TAP_123
*1251 TAP_124
*1252 TAP_125
*1253 TAP_126
*1254 TAP_127
*1255 TAP_128
*1256 TAP_129
*1257 TAP_130
*1258 TAP_131
*1259 TAP_132
*1260 TAP_133
*1261 TAP_134
*1262 TAP_135
*1263 TAP_136
*1264 TAP_137
*1265 TAP_138
*1266 TAP_139
*1267 TAP_140
*1268 TAP_141
*1269 TAP_142
*1270 TAP_143
*1271 TAP_144
*1272 TAP_145
*1273 TAP_56
*1274 TAP_57
*1275 TAP_58
*1276 TAP_59
*1277 TAP_60
*1278 TAP_61
*1279 TAP_62
*1280 TAP_63
*1281 TAP_64
*1282 TAP_65
*1283 TAP_66
*1284 TAP_67
*1285 TAP_68
*1286 TAP_69
*1287 TAP_70
*1288 TAP_71
*1289 TAP_72
*1290 TAP_73
*1291 TAP_74
*1292 TAP_75
*1293 TAP_76
*1294 TAP_77
*1295 TAP_78
*1296 TAP_79
*1297 TAP_80
*1298 TAP_81
*1299 TAP_82
*1300 TAP_83
*1301 TAP_84
*1302 TAP_85
*1303 TAP_86
*1304 TAP_87
*1305 TAP_88
*1306 TAP_89
*1307 TAP_90
*1308 TAP_91
*1309 TAP_92
*1310 TAP_93
*1311 TAP_94
*1312 TAP_95
*1313 TAP_96
*1314 TAP_97
*1315 TAP_98
*1316 TAP_99
*1317 _315_
*1318 _316_
*1319 _317_
*1320 _318_
*1321 _319_
*1322 _320_
*1323 _321_
*1324 _322_
*1325 _323_
*1326 _324_
*1327 _325_
*1328 _326_
*1329 _327_
*1330 _328_
*1331 _329_
*1332 _330_
*1333 _331_
*1334 _332_
*1335 _333_
*1336 _334_
*1337 _335_
*1338 _336_
*1339 _337_
*1340 _338_
*1341 _339_
*1342 _340_
*1343 _341_
*1344 _342_
*1345 _343_
*1346 _344_
*1347 _345_
*1348 _346_
*1349 _347_
*1350 _348_
*1351 _349_
*1352 _350_
*1353 _351_
*1354 _352_
*1355 _353_
*1356 _354_
*1357 _355_
*1358 _356_
*1359 _357_
*1360 _358_
*1361 _359_
*1362 _360_
*1363 _361_
*1364 _362_
*1365 _363_
*1366 _364_
*1367 _365_
*1368 _366_
*1369 _367_
*1370 _368_
*1371 _369_
*1372 _370_
*1373 _371_
*1374 _372_
*1375 _373_
*1376 _374_
*1377 _375_
*1378 _376_
*1379 _377_
*1380 _378_
*1381 _379_
*1382 _380_
*1383 _381_
*1384 _382_
*1385 _383_
*1386 _384_
*1387 _385_
*1388 _386_
*1389 _387_
*1390 _388_
*1391 _389_
*1392 _390_
*1393 _391_
*1394 _392_
*1395 _393_
*1396 _394_
*1397 _395_
*1398 _396_
*1399 _397_
*1400 _398_
*1401 _399_
*1402 _400_
*1403 _401_
*1404 _402_
*1405 _403_
*1406 _404_
*1407 _405_
*1408 _406_
*1409 _407_
*1410 _408_
*1411 _409_
*1412 _410_
*1413 _411_
*1414 _412_
*1415 _413_
*1416 _414_
*1417 _415_
*1418 _416_
*1419 _417_
*1420 _418_
*1421 _419_
*1422 _420_
*1423 _421_
*1424 _422_
*1425 _423_
*1426 _424_
*1427 _425_
*1428 _426_
*1429 _427_
*1430 _428_
*1431 _429_
*1432 _430_
*1433 _431_
*1434 _432_
*1435 _433_
*1436 _434_
*1437 _435_
*1438 _436_
*1439 _437_
*1440 _438_
*1441 _439_
*1442 _440_
*1443 _441_
*1444 _442_
*1445 _443_
*1446 _444_
*1447 _445_
*1448 _446_
*1449 _447_
*1450 _448_
*1451 _449_
*1452 _450_
*1453 _451_
*1454 _452_
*1455 _453_
*1456 _454_
*1457 _455_
*1458 _456_
*1459 _457_
*1460 _458_
*1461 _459_
*1462 _460_
*1463 _461_
*1464 _462_
*1465 _463_
*1466 _464_
*1467 _465_
*1468 _466_
*1469 _467_
*1470 _468_
*1471 _469_
*1472 _470_
*1473 _471_
*1474 _472_
*1475 _473_
*1476 _474_
*1477 _475_
*1478 _476_
*1479 _477_
*1480 _478_
*1481 _479_
*1482 _480_
*1483 _481_
*1484 _482_
*1485 _483_
*1486 _484_
*1487 _485_
*1488 _486_
*1489 _487_
*1490 _488_
*1491 _489_
*1492 _490_
*1493 _491_
*1494 _492_
*1495 _493_
*1496 _494_
*1497 _495_
*1498 _496_
*1499 _497_
*1500 _498_
*1501 _499_
*1502 _500_
*1503 _501_
*1504 _502_
*1505 _503_
*1506 _504_
*1507 _505_
*1508 _506_
*1509 _507_
*1510 _508_
*1511 _509_
*1512 _510_
*1513 _511_
*1514 _512_
*1515 _513_
*1516 _514_
*1517 _515_
*1518 _516_
*1519 _517_
*1520 _518_
*1521 _519_
*1522 _520_
*1523 _521_
*1524 _522_
*1525 _523_
*1526 _524_
*1527 _525_
*1528 _526_
*1529 _527_
*1530 _528_
*1531 _529_
*1532 _530_
*1533 _531_
*1534 _532_
*1535 _533_
*1536 _534_
*1537 _535_
*1538 _536_
*1539 _537_
*1540 _538_
*1541 _539_
*1542 _540_
*1543 _541_
*1544 _542_
*1545 _543_
*1546 _544_
*1547 _545_
*1548 _546_
*1549 _547_
*1550 _548_
*1551 _549_
*1552 _550_
*1553 _551_
*1554 _552_
*1555 _553_
*1556 _554_
*1557 _555_
*1558 _556_
*1559 _557_
*1560 _558_
*1561 _559_
*1562 _560_
*1563 _561_
*1564 _562_
*1565 _563_
*1566 _564_
*1567 _565_
*1568 _566_
*1569 _567_
*1570 _568_
*1571 _569_
*1572 _570_
*1573 _571_
*1574 _572_
*1575 _573_
*1576 _574_
*1577 _575_
*1578 _576_
*1579 _577_
*1580 _578_
*1581 _579_
*1582 _580_
*1583 _581_
*1584 _582_
*1585 _583_
*1586 _584_
*1587 _585_
*1588 _586_
*1589 _587_
*1590 _588_
*1591 _589_
*1592 _590_
*1593 _591_
*1594 _592_
*1595 _593_
*1596 _594_
*1597 _595_
*1598 _596_
*1599 _597_
*1600 _598_
*1601 _599_
*1602 _600_
*1603 _601_
*1604 _602_
*1605 _603_
*1606 _604_
*1607 _605_
*1608 _606_
*1609 _607_
*1610 _608_
*1611 _609_
*1612 _610_
*1613 _611_
*1614 _612_
*1615 _613_
*1616 _614_
*1617 _615_
*1618 _616_
*1619 _617_
*1620 _618_
*1621 _619_
*1622 _620_
*1623 _621_
*1624 _622_
*1625 _623_
*1626 _624_
*1627 _625_
*1628 _626_
*1629 _627_
*1630 _628_
*1631 _629_
*1632 _630_
*1633 _631_
*1634 _632_
*1635 _633_
*1636 _634_
*1637 _635_
*1638 _636_
*1639 _637_
*1640 _638_
*1641 _639_
*1642 _640_
*1643 _641_
*1644 _642_
*1645 _643_
*1646 _644_
*1647 _645_
*1648 _646_
*1649 _647_
*1650 _648_
*1651 _649_
*1652 _650_
*1653 _651_
*1654 _652_
*1655 _653_
*1656 _654_
*1657 _655_
*1658 _656_
*1659 _657_
*1660 _658_
*1661 _659_
*1662 _660_
*1663 _661_
*1664 _662_
*1665 _663_
*1666 _664_
*1667 _665_
*1668 _666_
*1669 _667_
*1670 _668_
*1671 _669_
*1672 _670_
*1673 _671_
*1674 _672_
*1675 _673_
*1676 _674_
*1677 _675_
*1678 _676_
*1679 _677_
*1680 _678_
*1681 _679_
*1682 _680_
*1683 _681_
*1684 _682_
*1685 _683_
*1686 _684_
*1687 _685_
*1688 _686_
*1689 _687_
*1690 _688_
*1691 _689_
*1692 _690_
*1693 _691_
*1694 _692_
*1695 _693_
*1696 _694_
*1697 _695_
*1698 _696_
*1699 _697_
*1700 _698_
*1701 _699_
*1702 _700_
*1703 _701_
*1704 _702_
*1705 _703_
*1706 _704_
*1707 _705_
*1708 _706_
*1709 _707_
*1710 _708__35
*1711 _709__36
*1712 _710__37
*1713 _711__38
*1714 _712__39
*1715 _713__40
*1716 _714__41
*1717 _715__42
*1718 _716__43
*1719 _717__44
*1720 _718__45
*1721 _719__46
*1722 _720__47
*1723 _721__48
*1724 _722__49
*1725 _723__50
*1726 _724__51
*1727 _725__52
*1728 _726__53
*1729 _727__54
*1730 _728__55
*1731 _729__56
*1732 _730__57
*1733 _731__58
*1734 clkbuf_0_clock
*1735 clkbuf_1_0_0_clock
*1736 clkbuf_1_1_0_clock
*1737 clkbuf_2_0_0_clock
*1738 clkbuf_2_1_0_clock
*1739 clkbuf_2_2_0_clock
*1740 clkbuf_2_3_0_clock
*1741 clkbuf_3_0_0_clock
*1742 clkbuf_3_1_0_clock
*1743 clkbuf_3_2_0_clock
*1744 clkbuf_3_3_0_clock
*1745 clkbuf_3_4_0_clock
*1746 clkbuf_3_5_0_clock
*1747 clkbuf_3_6_0_clock
*1748 clkbuf_3_7_0_clock
*1749 input1
*1750 input10
*1751 input11
*1752 input12
*1753 input13
*1754 input14
*1755 input15
*1756 input16
*1757 input17
*1758 input18
*1759 input19
*1760 input2
*1761 input20
*1762 input21
*1763 input3
*1764 input4
*1765 input5
*1766 input6
*1767 input7
*1768 input8
*1769 input9
*1770 output22
*1771 output23
*1772 output24
*1773 output25
*1774 output26
*1775 output27
*1776 output28
*1777 output29
*1778 output30
*1779 output31
*1780 output32
*1781 output33
*1782 output34
*PORTS
clock I
io_spi_clk O
io_spi_cs O
io_spi_intr O
io_spi_miso I
io_spi_mosi O
io_spi_select I
io_wbs_ack_o O
io_wbs_data_o[0] O
io_wbs_data_o[10] O
io_wbs_data_o[11] O
io_wbs_data_o[12] O
io_wbs_data_o[13] O
io_wbs_data_o[14] O
io_wbs_data_o[15] O
io_wbs_data_o[16] O
io_wbs_data_o[17] O
io_wbs_data_o[18] O
io_wbs_data_o[19] O
io_wbs_data_o[1] O
io_wbs_data_o[20] O
io_wbs_data_o[21] O
io_wbs_data_o[22] O
io_wbs_data_o[23] O
io_wbs_data_o[24] O
io_wbs_data_o[25] O
io_wbs_data_o[26] O
io_wbs_data_o[27] O
io_wbs_data_o[28] O
io_wbs_data_o[29] O
io_wbs_data_o[2] O
io_wbs_data_o[30] O
io_wbs_data_o[31] O
io_wbs_data_o[3] O
io_wbs_data_o[4] O
io_wbs_data_o[5] O
io_wbs_data_o[6] O
io_wbs_data_o[7] O
io_wbs_data_o[8] O
io_wbs_data_o[9] O
io_wbs_m2s_addr[0] I
io_wbs_m2s_addr[10] I
io_wbs_m2s_addr[11] I
io_wbs_m2s_addr[12] I
io_wbs_m2s_addr[13] I
io_wbs_m2s_addr[14] I
io_wbs_m2s_addr[15] I
io_wbs_m2s_addr[1] I
io_wbs_m2s_addr[2] I
io_wbs_m2s_addr[3] I
io_wbs_m2s_addr[4] I
io_wbs_m2s_addr[5] I
io_wbs_m2s_addr[6] I
io_wbs_m2s_addr[7] I
io_wbs_m2s_addr[8] I
io_wbs_m2s_addr[9] I
io_wbs_m2s_data[0] I
io_wbs_m2s_data[10] I
io_wbs_m2s_data[11] I
io_wbs_m2s_data[12] I
io_wbs_m2s_data[13] I
io_wbs_m2s_data[14] I
io_wbs_m2s_data[15] I
io_wbs_m2s_data[16] I
io_wbs_m2s_data[17] I
io_wbs_m2s_data[18] I
io_wbs_m2s_data[19] I
io_wbs_m2s_data[1] I
io_wbs_m2s_data[20] I
io_wbs_m2s_data[21] I
io_wbs_m2s_data[22] I
io_wbs_m2s_data[23] I
io_wbs_m2s_data[24] I
io_wbs_m2s_data[25] I
io_wbs_m2s_data[26] I
io_wbs_m2s_data[27] I
io_wbs_m2s_data[28] I
io_wbs_m2s_data[29] I
io_wbs_m2s_data[2] I
io_wbs_m2s_data[30] I
io_wbs_m2s_data[31] I
io_wbs_m2s_data[3] I
io_wbs_m2s_data[4] I
io_wbs_m2s_data[5] I
io_wbs_m2s_data[6] I
io_wbs_m2s_data[7] I
io_wbs_m2s_data[8] I
io_wbs_m2s_data[9] I
io_wbs_m2s_stb I
io_wbs_m2s_we I
reset I
*D_NET *1 0.0139551
*CONN
*P clock I
*I *529:DIODE I *D sky130_fd_sc_hd__diode_2
*I *1734:A I *D sky130_fd_sc_hd__clkbuf_16
*CAP
1 clock 0.000793118
2 *529:DIODE 0.000238358
3 *1734:A 0
4 *1:14 0.00150979
5 *1:13 0.00340108
6 *1:10 0.00292277
7 *529:DIODE *262:61 7.98416e-05
8 *529:DIODE *440:5 0.000118166
9 *529:DIODE *484:15 0.000143017
10 *1:10 io_wbs_data_o[19] 0
11 *1:10 io_wbs_data_o[29] 0.000178632
12 *1:10 *1496:A 0
13 *1:10 *1668:D 0
14 *1:10 *79:8 0.000202801
15 *1:10 *84:10 0
16 *1:13 io_wbs_data_o[12] 6.45664e-05
17 *1:13 io_wbs_data_o[19] 6.68703e-05
18 *1:13 *535:DIODE 2.72092e-05
19 *1:13 *1410:B1 4.30017e-06
20 *1:13 *1496:A 3.75224e-05
21 *1:13 *1668:D 6.36477e-05
22 *1:13 *1774:A 2.42138e-05
23 *1:13 *49:20 2.42273e-05
24 *1:13 *480:16 2.23499e-05
25 *1:13 *502:11 0.00141334
26 *1:14 *1410:B1 0.000170577
27 *1:14 *1467:B 9.25517e-05
28 *1:14 *1522:A1 0.000122098
29 *1:14 *1522:A2 4.70005e-05
30 *1:14 *1522:B1 0.000134421
31 *1:14 *1522:C1 4.89985e-05
32 *1:14 *1644:D 6.46135e-05
33 *1:14 *1774:A 4.3116e-06
34 *1:14 *175:8 0.000461296
35 *1:14 *175:10 0.000121726
36 *1:14 *175:37 7.63106e-05
37 *1:14 *176:8 0.000279513
38 *1:14 *176:12 0.000104718
39 *1:14 *484:15 0.000880164
40 *1:14 *491:8 1.09327e-05
*RES
1 clock *1:10 17.6646
2 *1:10 *1:13 40.1634
3 *1:13 *1:14 42.5267
4 *1:14 *1734:A 13.7491
5 *1:14 *529:DIODE 21.0173
*END
*D_NET *2 0.00107225
*CONN
*P io_spi_clk O
*I *1770:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 io_spi_clk 0.000536125
2 *1770:X 0.000536125
3 io_spi_clk io_wbs_data_o[10] 0
*RES
1 *1770:X io_spi_clk 26.9473
*END
*D_NET *3 0.000660779
*CONN
*P io_spi_cs O
*I *1771:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 io_spi_cs 0.00024077
2 *1771:X 0.00024077
3 io_spi_cs io_wbs_data_o[27] 6.61722e-05
4 io_spi_cs *1663:CLK 0.000113066
*RES
1 *1771:X io_spi_cs 19.9092
*END
*D_NET *4 0.0009166
*CONN
*P io_spi_intr O
*I *1772:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 io_spi_intr 0.000451201
2 *1772:X 0.000451201
3 io_spi_intr io_wbs_data_o[23] 0
4 io_spi_intr io_wbs_data_o[9] 0
5 io_spi_intr *1772:A 1.41976e-05
*RES
1 *1772:X io_spi_intr 24.4558
*END
*D_NET *5 0.00187275
*CONN
*P io_spi_miso I
*I *540:DIODE I *D sky130_fd_sc_hd__diode_2
*I *1749:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 io_spi_miso 0.000534076
2 *540:DIODE 0.000195808
3 *1749:A 0
4 *5:12 0.000729884
5 *540:DIODE io_spi_mosi 0.000122378
6 *540:DIODE *1773:A 4.66876e-05
7 *540:DIODE *482:15 0.000169038
8 *540:DIODE *482:21 7.02172e-06
9 *5:12 io_spi_mosi 0
10 *5:12 *1626:A1 0
11 *5:12 *482:15 6.78596e-05
*RES
1 io_spi_miso *5:12 19.4397
2 *5:12 *1749:A 9.24915
3 *5:12 *540:DIODE 16.0973
*END
*D_NET *6 0.00113298
*CONN
*P io_spi_mosi O
*I *1773:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 io_spi_mosi 0.000505301
2 *1773:X 0.000505301
3 *540:DIODE io_spi_mosi 0.000122378
4 *5:12 io_spi_mosi 0
*RES
1 *1773:X io_spi_mosi 26.9473
*END
*D_NET *7 0.0016784
*CONN
*P io_spi_select I
*I *1760:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *543:DIODE I *D sky130_fd_sc_hd__diode_2
*CAP
1 io_spi_select 0.000466909
2 *1760:A 2.64456e-05
3 *543:DIODE 0.000332554
4 *7:5 0.000825909
5 *543:DIODE *484:10 0
6 *1760:A *1773:A 2.65831e-05
7 *7:5 io_wbs_data_o[5] 0
*RES
1 io_spi_select *7:5 12.7507
2 *7:5 *543:DIODE 19.3535
3 *7:5 *1760:A 14.4725
*END
*D_NET *8 0.000935399
*CONN
*P io_wbs_ack_o O
*I *1774:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 io_wbs_ack_o 0.000422316
2 *1774:X 0.000422316
3 io_wbs_ack_o *480:16 7.14746e-05
4 io_wbs_ack_o *502:11 1.92926e-05
*RES
1 *1774:X io_wbs_ack_o 24.4558
*END
*D_NET *9 0.000732498
*CONN
*P io_wbs_data_o[0] O
*I *1775:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 io_wbs_data_o[0] 0.000242297
2 *1775:X 0.000242297
3 io_wbs_data_o[0] *1329:A 5.65971e-05
4 io_wbs_data_o[0] *1350:A1 0
5 io_wbs_data_o[0] *1350:A2 0
6 io_wbs_data_o[0] *52:15 9.24143e-05
7 io_wbs_data_o[0] *57:5 8.93119e-05
8 io_wbs_data_o[0] *94:50 0
9 io_wbs_data_o[0] *492:6 9.58043e-06
*RES
1 *1775:X io_wbs_data_o[0] 19.3081
*END
*D_NET *10 0.0019308
*CONN
*P io_wbs_data_o[10] O
*I *1712:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_wbs_data_o[10] 0.000756434
2 *1712:LO 0.000756434
3 io_wbs_data_o[10] *1596:A 0.000125695
4 io_wbs_data_o[10] *1597:C 5.04829e-06
5 io_wbs_data_o[10] *1598:A 0
6 io_wbs_data_o[10] *1781:A 0.000132283
7 io_wbs_data_o[10] *68:8 0
8 io_wbs_data_o[10] *476:8 0.000154911
9 io_spi_clk io_wbs_data_o[10] 0
*RES
1 *1712:LO io_wbs_data_o[10] 35.9463
*END
*D_NET *11 0.00146507
*CONN
*P io_wbs_data_o[11] O
*I *1713:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_wbs_data_o[11] 0.000544571
2 *1713:LO 0.000544571
3 io_wbs_data_o[11] io_wbs_data_o[5] 2.41274e-06
4 io_wbs_data_o[11] *1773:A 0.000275256
5 io_wbs_data_o[11] *1780:A 9.82609e-05
*RES
1 *1713:LO io_wbs_data_o[11] 29.8569
*END
*D_NET *12 0.00240987
*CONN
*P io_wbs_data_o[12] O
*I *1714:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_wbs_data_o[12] 0.000505251
2 *1714:LO 0.000505251
3 io_wbs_data_o[12] *546:DIODE 5.31074e-05
4 io_wbs_data_o[12] *49:20 0.0002817
5 io_wbs_data_o[12] *480:16 0.000908333
6 io_wbs_data_o[12] *502:11 9.16621e-05
7 *1:13 io_wbs_data_o[12] 6.45664e-05
*RES
1 *1714:LO io_wbs_data_o[12] 33.7688
*END
*D_NET *13 0.00328472
*CONN
*P io_wbs_data_o[13] O
*I *1715:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_wbs_data_o[13] 0.00102355
2 *1715:LO 0.00102355
3 io_wbs_data_o[13] *531:DIODE 0
4 io_wbs_data_o[13] *1445:S 0.000116755
5 io_wbs_data_o[13] *1529:A 6.63226e-05
6 io_wbs_data_o[13] *1529:B 0.000144546
7 io_wbs_data_o[13] *1530:A 1.86953e-05
8 io_wbs_data_o[13] *1532:B 0.000139435
9 io_wbs_data_o[13] *51:22 0.000671485
10 io_wbs_data_o[13] *57:5 0
11 io_wbs_data_o[13] *425:17 7.28784e-05
12 io_wbs_data_o[13] *426:26 0
13 io_wbs_data_o[13] *495:16 7.49735e-06
*RES
1 *1715:LO io_wbs_data_o[13] 31.8826
*END
*D_NET *14 0.000993591
*CONN
*P io_wbs_data_o[14] O
*I *1716:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_wbs_data_o[14] 0.000404236
2 *1716:LO 0.000404236
3 io_wbs_data_o[14] *1351:B 4.50048e-05
4 io_wbs_data_o[14] *41:8 0
5 io_wbs_data_o[14] *91:10 9.61616e-05
6 io_wbs_data_o[14] *94:50 2.37478e-05
7 io_wbs_data_o[14] *499:26 2.02035e-05
*RES
1 *1716:LO io_wbs_data_o[14] 19.0895
*END
*D_NET *15 0.00180672
*CONN
*P io_wbs_data_o[15] O
*I *1717:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_wbs_data_o[15] 0.000836209
2 *1717:LO 0.000836209
3 io_wbs_data_o[15] io_wbs_data_o[6] 0.000134304
4 io_wbs_data_o[15] *1598:A 0
5 io_wbs_data_o[15] *68:8 0
6 io_wbs_data_o[15] *85:12 0
*RES
1 *1717:LO io_wbs_data_o[15] 23.8212
*END
*D_NET *16 0.00088283
*CONN
*P io_wbs_data_o[16] O
*I *1718:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_wbs_data_o[16] 0.00039863
2 *1718:LO 0.00039863
3 io_wbs_data_o[16] io_wbs_data_o[26] 8.55701e-05
4 io_wbs_data_o[16] io_wbs_data_o[6] 0
5 io_wbs_data_o[16] *1781:A 0
*RES
1 *1718:LO io_wbs_data_o[16] 22.5536
*END
*D_NET *17 0.00296501
*CONN
*P io_wbs_data_o[17] O
*I *1719:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_wbs_data_o[17] 0.00128014
2 *1719:LO 0.00128014
3 io_wbs_data_o[17] io_wbs_data_o[4] 0
4 io_wbs_data_o[17] io_wbs_data_o[9] 0
5 io_wbs_data_o[17] *1330:A 4.87301e-05
6 io_wbs_data_o[17] *1777:A 0.000356006
7 io_wbs_data_o[17] *50:16 0
8 io_wbs_data_o[17] *52:15 0
*RES
1 *1719:LO io_wbs_data_o[17] 29.8199
*END
*D_NET *18 0.000414262
*CONN
*P io_wbs_data_o[18] O
*I *1720:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_wbs_data_o[18] 0.000175596
2 *1720:LO 0.000175596
3 io_wbs_data_o[18] io_wbs_data_o[20] 6.30699e-05
*RES
1 *1720:LO io_wbs_data_o[18] 18.1717
*END
*D_NET *19 0.00110319
*CONN
*P io_wbs_data_o[19] O
*I *1721:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_wbs_data_o[19] 0.000459037
2 *1721:LO 0.000459037
3 io_wbs_data_o[19] *79:8 0
4 io_wbs_data_o[19] *480:16 0.000118245
5 *1:10 io_wbs_data_o[19] 0
6 *1:13 io_wbs_data_o[19] 6.68703e-05
*RES
1 *1721:LO io_wbs_data_o[19] 26.9501
*END
*D_NET *20 0.000586193
*CONN
*P io_wbs_data_o[1] O
*I *1776:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 io_wbs_data_o[1] 0.000293097
2 *1776:X 0.000293097
3 io_wbs_data_o[1] io_wbs_data_o[22] 0
4 io_wbs_data_o[1] *1781:A 0
5 io_wbs_data_o[1] *82:10 0
*RES
1 *1776:X io_wbs_data_o[1] 18.4776
*END
*D_NET *21 0.000414262
*CONN
*P io_wbs_data_o[20] O
*I *1722:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_wbs_data_o[20] 0.000175596
2 *1722:LO 0.000175596
3 io_wbs_data_o[18] io_wbs_data_o[20] 6.30699e-05
*RES
1 *1722:LO io_wbs_data_o[20] 18.1717
*END
*D_NET *22 0.000416807
*CONN
*P io_wbs_data_o[21] O
*I *1723:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_wbs_data_o[21] 0.000172934
2 *1723:LO 0.000172934
3 io_wbs_data_o[21] *89:7 6.30699e-05
4 io_wbs_data_o[21] *451:71 7.86825e-06
*RES
1 *1723:LO io_wbs_data_o[21] 18.1717
*END
*D_NET *23 0.000523285
*CONN
*P io_wbs_data_o[22] O
*I *1724:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_wbs_data_o[22] 0.000218857
2 *1724:LO 0.000218857
3 io_wbs_data_o[22] io_wbs_data_o[26] 8.55701e-05
4 io_wbs_data_o[22] *1781:A 0
5 io_wbs_data_o[1] io_wbs_data_o[22] 0
*RES
1 *1724:LO io_wbs_data_o[22] 18.4011
*END
*D_NET *24 0.00430566
*CONN
*P io_wbs_data_o[23] O
*I *1725:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_wbs_data_o[23] 0.000770142
2 *1725:LO 0.000982945
3 *24:15 0.00175309
4 io_wbs_data_o[23] *1329:A 0
5 *24:15 *1350:B1 2.41483e-05
6 *24:15 *1369:A1 0.000390385
7 *24:15 *1369:A2 0.000117376
8 *24:15 *1369:B1 0.000142276
9 *24:15 *1775:A 4.56924e-05
10 *24:15 *50:16 6.50586e-05
11 *24:15 *312:56 1.45447e-05
12 io_spi_intr io_wbs_data_o[23] 0
*RES
1 *1725:LO *24:15 34.2695
2 *24:15 io_wbs_data_o[23] 19.3947
*END
*D_NET *25 0.00124356
*CONN
*P io_wbs_data_o[24] O
*I *1726:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_wbs_data_o[24] 0.000596424
2 *1726:LO 0.000596424
3 io_wbs_data_o[24] io_wbs_data_o[31] 0
4 io_wbs_data_o[24] *1577:A 5.0715e-05
5 io_wbs_data_o[24] *1579:C 0
*RES
1 *1726:LO io_wbs_data_o[24] 29.7905
*END
*D_NET *26 0.00310332
*CONN
*P io_wbs_data_o[25] O
*I *1727:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_wbs_data_o[25] 0.000584713
2 *1727:LO 0.000679624
3 *26:11 0.00126434
4 *26:11 *1615:B 2.71542e-05
5 *26:11 *1616:A 8.9472e-05
6 *26:11 *1750:A 7.48633e-05
7 *26:11 *54:11 0.000226809
8 *26:11 *474:7 0.000156351
*RES
1 *1727:LO *26:11 43.3278
2 *26:11 io_wbs_data_o[25] 16.488
*END
*D_NET *27 0.00100911
*CONN
*P io_wbs_data_o[26] O
*I *1728:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_wbs_data_o[26] 0.000392746
2 *1728:LO 0.000392746
3 io_wbs_data_o[26] *1770:A 0
4 io_wbs_data_o[26] *1781:A 5.24776e-05
5 io_wbs_data_o[16] io_wbs_data_o[26] 8.55701e-05
6 io_wbs_data_o[22] io_wbs_data_o[26] 8.55701e-05
*RES
1 *1728:LO io_wbs_data_o[26] 21.2751
*END
*D_NET *28 0.000609831
*CONN
*P io_wbs_data_o[27] O
*I *1729:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_wbs_data_o[27] 0.000271829
2 *1729:LO 0.000271829
3 io_wbs_data_o[27] io_wbs_data_o[8] 0
4 io_wbs_data_o[27] *1479:A 0
5 io_spi_cs io_wbs_data_o[27] 6.61722e-05
*RES
1 *1729:LO io_wbs_data_o[27] 20.6632
*END
*D_NET *29 0.000418177
*CONN
*P io_wbs_data_o[28] O
*I *1730:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_wbs_data_o[28] 0.000178716
2 *1730:LO 0.000178716
3 io_wbs_data_o[28] io_wbs_data_o[8] 6.07449e-05
*RES
1 *1730:LO io_wbs_data_o[28] 18.1717
*END
*D_NET *30 0.00196345
*CONN
*P io_wbs_data_o[29] O
*I *1731:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_wbs_data_o[29] 0.000854978
2 *1731:LO 0.000854978
3 io_wbs_data_o[29] *79:8 0
4 io_wbs_data_o[29] *480:10 0
5 io_wbs_data_o[29] *480:16 7.48633e-05
6 io_wbs_data_o[29] *501:8 0
7 *1:10 io_wbs_data_o[29] 0.000178632
*RES
1 *1731:LO io_wbs_data_o[29] 31.1177
*END
*D_NET *31 0.00135599
*CONN
*P io_wbs_data_o[2] O
*I *1777:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 io_wbs_data_o[2] 0.000421944
2 *1777:X 0.000421944
3 io_wbs_data_o[2] *1657:D 0
4 io_wbs_data_o[2] *1772:A 7.73576e-05
5 io_wbs_data_o[2] *50:16 0.000320774
6 io_wbs_data_o[2] *428:8 0.000113968
*RES
1 *1777:X io_wbs_data_o[2] 28.3352
*END
*D_NET *32 0.000900034
*CONN
*P io_wbs_data_o[30] O
*I *1732:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_wbs_data_o[30] 0.000418482
2 *1732:LO 0.000418482
3 io_wbs_data_o[30] *530:DIODE 0
4 io_wbs_data_o[30] *541:DIODE 0
5 io_wbs_data_o[30] *90:8 6.30699e-05
*RES
1 *1732:LO io_wbs_data_o[30] 23.9852
*END
*D_NET *33 0.00108908
*CONN
*P io_wbs_data_o[31] O
*I *1733:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_wbs_data_o[31] 0.000544542
2 *1733:LO 0.000544542
3 io_wbs_data_o[24] io_wbs_data_o[31] 0
*RES
1 *1733:LO io_wbs_data_o[31] 30.5302
*END
*D_NET *34 0.00105158
*CONN
*P io_wbs_data_o[3] O
*I *1778:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 io_wbs_data_o[3] 0.000525788
2 *1778:X 0.000525788
*RES
1 *1778:X io_wbs_data_o[3] 26.9473
*END
*D_NET *35 0.000978558
*CONN
*P io_wbs_data_o[4] O
*I *1779:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 io_wbs_data_o[4] 0.000430189
2 *1779:X 0.000430189
3 io_wbs_data_o[4] io_wbs_data_o[9] 0
4 io_wbs_data_o[4] *1772:A 0.00011818
5 io_wbs_data_o[4] *50:16 0
6 io_wbs_data_o[17] io_wbs_data_o[4] 0
*RES
1 *1779:X io_wbs_data_o[4] 24.4558
*END
*D_NET *36 0.00144954
*CONN
*P io_wbs_data_o[5] O
*I *1780:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 io_wbs_data_o[5] 0.000689264
2 *1780:X 0.000689264
3 io_wbs_data_o[5] *1773:A 6.86033e-05
4 io_wbs_data_o[11] io_wbs_data_o[5] 2.41274e-06
5 *7:5 io_wbs_data_o[5] 0
*RES
1 *1780:X io_wbs_data_o[5] 29.7203
*END
*D_NET *37 0.000865199
*CONN
*P io_wbs_data_o[6] O
*I *1781:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 io_wbs_data_o[6] 0.000353573
2 *1781:X 0.000353573
3 io_wbs_data_o[6] *1770:A 0
4 io_wbs_data_o[6] *1781:A 2.37478e-05
5 io_wbs_data_o[15] io_wbs_data_o[6] 0.000134304
6 io_wbs_data_o[16] io_wbs_data_o[6] 0
*RES
1 *1781:X io_wbs_data_o[6] 18.9366
*END
*D_NET *38 0.00150772
*CONN
*P io_wbs_data_o[7] O
*I *1782:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 io_wbs_data_o[7] 0.000586456
2 *1782:X 0.000586456
3 io_wbs_data_o[7] *53:12 0.000334808
4 io_wbs_data_o[7] *480:20 0
*RES
1 *1782:X io_wbs_data_o[7] 27.407
*END
*D_NET *39 0.000482419
*CONN
*P io_wbs_data_o[8] O
*I *1710:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_wbs_data_o[8] 0.000210837
2 *1710:LO 0.000210837
3 io_wbs_data_o[8] *1662:CLK 0
4 io_wbs_data_o[27] io_wbs_data_o[8] 0
5 io_wbs_data_o[28] io_wbs_data_o[8] 6.07449e-05
*RES
1 *1710:LO io_wbs_data_o[8] 19.0022
*END
*D_NET *40 0.00166872
*CONN
*P io_wbs_data_o[9] O
*I *1711:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_wbs_data_o[9] 0.000801823
2 *1711:LO 0.000801823
3 io_wbs_data_o[9] *1772:A 6.50727e-05
4 io_wbs_data_o[9] *1779:A 0
5 io_spi_intr io_wbs_data_o[9] 0
6 io_wbs_data_o[17] io_wbs_data_o[9] 0
7 io_wbs_data_o[4] io_wbs_data_o[9] 0
*RES
1 *1711:LO io_wbs_data_o[9] 29.626
*END
*D_NET *41 0.00604809
*CONN
*P io_wbs_m2s_addr[0] I
*I *544:DIODE I *D sky130_fd_sc_hd__diode_2
*I *1763:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 io_wbs_m2s_addr[0] 0.000835923
2 *544:DIODE 0.000654865
3 *1763:A 0.000262282
4 *41:8 0.00175307
5 *544:DIODE *1442:A0 0.000171288
6 *544:DIODE *1446:B 0.000272795
7 *544:DIODE *1452:A 5.99527e-05
8 *544:DIODE *1549:B1 0.000160617
9 *544:DIODE *1654:D 0
10 *544:DIODE *51:22 0.000363161
11 *544:DIODE *262:73 2.15348e-05
12 *544:DIODE *272:35 0.00012444
13 *544:DIODE *272:47 0.000473481
14 *544:DIODE *456:33 4.64655e-05
15 *544:DIODE *476:8 0
16 *544:DIODE *504:19 0.00011818
17 *1763:A *1445:S 0.000217951
18 *1763:A *425:9 2.41916e-05
19 *1763:A *476:11 8.4101e-05
20 *41:8 *1528:A1 4.41363e-05
21 *41:8 *51:22 0.000272293
22 *41:8 *456:33 9.98029e-06
23 *41:8 *475:21 0
24 *41:8 *495:16 7.73834e-05
25 io_wbs_data_o[14] *41:8 0
*RES
1 io_wbs_m2s_addr[0] *41:8 8.6733
2 *41:8 *1763:A 20.5973
3 *41:8 *544:DIODE 36.1253
*END
*D_NET *48 0.00344174
*CONN
*P io_wbs_m2s_addr[1] I
*I *545:DIODE I *D sky130_fd_sc_hd__diode_2
*I *1764:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 io_wbs_m2s_addr[1] 0.000649802
2 *545:DIODE 0.000820421
3 *1764:A 0
4 *48:8 0.00147022
5 *545:DIODE *1489:B 0.000123582
6 *545:DIODE *1492:A 9.74396e-05
7 *545:DIODE *1495:A 2.26985e-05
8 *545:DIODE *1650:CLK 4.15143e-05
9 *545:DIODE *1668:CLK 4.12119e-05
10 *545:DIODE *79:8 0
11 *545:DIODE *84:10 6.50176e-05
12 *545:DIODE *301:21 0
13 *545:DIODE *301:30 3.99133e-05
14 *545:DIODE *451:19 0
15 *545:DIODE *480:10 2.72638e-05
16 *545:DIODE *480:16 1.41291e-05
17 *48:8 *480:10 0
18 *48:8 *480:16 2.85274e-05
19 *48:8 *501:8 0
*RES
1 io_wbs_m2s_addr[1] *48:8 20.8893
2 *48:8 *1764:A 9.24915
3 *48:8 *545:DIODE 38.2028
*END
*D_NET *49 0.00225858
*CONN
*P io_wbs_m2s_addr[2] I
*I *546:DIODE I *D sky130_fd_sc_hd__diode_2
*I *1765:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 io_wbs_m2s_addr[2] 0.000548802
2 *546:DIODE 0.000280697
3 *1765:A 0
4 *49:20 0.0008295
5 *546:DIODE *1432:A1 0
6 *546:DIODE *1494:A1 0
7 *546:DIODE *1498:A0 0
8 *546:DIODE *1499:A 0
9 *546:DIODE *1499:B 0
10 *546:DIODE *502:11 6.50586e-05
11 *49:20 *502:11 0.000175485
12 io_wbs_data_o[12] *546:DIODE 5.31074e-05
13 io_wbs_data_o[12] *49:20 0.0002817
14 *1:13 *49:20 2.42273e-05
*RES
1 io_wbs_m2s_addr[2] *49:20 22.6352
2 *49:20 *1765:A 9.24915
3 *49:20 *546:DIODE 24.9571
*END
*D_NET *50 0.00516387
*CONN
*P io_wbs_m2s_addr[3] I
*I *547:DIODE I *D sky130_fd_sc_hd__diode_2
*I *1766:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 io_wbs_m2s_addr[3] 0.00125134
2 *547:DIODE 0
3 *1766:A 0.000123276
4 *50:16 0.00137462
5 *1766:A *271:39 1.32772e-05
6 *1766:A *271:42 2.74564e-05
7 *1766:A *271:44 9.32419e-06
8 *1766:A *455:10 0
9 *1766:A *455:15 0
10 *50:16 *1330:B 0.00056387
11 *50:16 *1448:A1 8.79081e-06
12 *50:16 *1536:A 0.000411034
13 *50:16 *1657:D 6.08467e-05
14 *50:16 *1777:A 0
15 *50:16 *271:39 0.000162739
16 *50:16 *312:33 0.000426105
17 *50:16 *312:56 0.000282863
18 *50:16 *426:26 6.24974e-05
19 io_wbs_data_o[17] *50:16 0
20 io_wbs_data_o[2] *50:16 0.000320774
21 io_wbs_data_o[4] *50:16 0
22 *24:15 *50:16 6.50586e-05
*RES
1 io_wbs_m2s_addr[3] *50:16 46.2709
2 *50:16 *1766:A 21.3269
3 *50:16 *547:DIODE 9.24915
*END
*D_NET *51 0.00779012
*CONN
*P io_wbs_m2s_addr[4] I
*I *1767:A I *D sky130_fd_sc_hd__clkbuf_1
*I *548:DIODE I *D sky130_fd_sc_hd__diode_2
*CAP
1 io_wbs_m2s_addr[4] 0.00125182
2 *1767:A 0
3 *548:DIODE 0.00101063
4 *51:22 0.00226245
5 *548:DIODE *1360:A 3.13557e-05
6 *548:DIODE *1360:B 1.2977e-05
7 *548:DIODE *1362:A1 6.19663e-05
8 *548:DIODE *1364:A2 0
9 *548:DIODE *1502:A1 1.03403e-05
10 *548:DIODE *1511:A 0.000196638
11 *548:DIODE *1637:D 3.18826e-06
12 *548:DIODE *1687:CLK 0
13 *548:DIODE *1748:A 9.63563e-05
14 *548:DIODE *94:9 0
15 *548:DIODE *428:33 0
16 *51:22 *1362:A1 5.41377e-05
17 *51:22 *1364:A2 0
18 *51:22 *1445:S 0.000271838
19 *51:22 *1446:B 0.000100262
20 *51:22 *1528:A1 9.71323e-06
21 *51:22 *1529:A 0.00018983
22 *51:22 *1679:D 2.39535e-05
23 *51:22 *495:16 0.000895734
24 io_wbs_data_o[13] *51:22 0.000671485
25 *544:DIODE *51:22 0.000363161
26 *41:8 *51:22 0.000272293
*RES
1 io_wbs_m2s_addr[4] *51:22 34.4468
2 *51:22 *548:DIODE 32.8381
3 *51:22 *1767:A 13.7491
*END
*D_NET *52 0.00683901
*CONN
*P io_wbs_m2s_addr[5] I
*I *549:DIODE I *D sky130_fd_sc_hd__diode_2
*I *1768:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 io_wbs_m2s_addr[5] 0.00138481
2 *549:DIODE 0
3 *1768:A 0.000593323
4 *52:15 0.00197814
5 *1768:A *1450:A 6.14756e-06
6 *1768:A *1535:B 1.44467e-05
7 *1768:A *198:14 0.000308091
8 *1768:A *312:33 0.000269633
9 *1768:A *312:56 0.000137194
10 *1768:A *455:23 0.000164829
11 *1768:A *455:35 0.00011818
12 *1768:A *479:20 9.34145e-05
13 *1768:A *489:8 3.51858e-05
14 *52:15 *1350:A2 7.28036e-05
15 *52:15 *1448:A1 6.54691e-05
16 *52:15 *1634:CLK 8.68814e-05
17 *52:15 *1655:D 0.000132318
18 *52:15 *57:5 0
19 *52:15 *178:16 0.00112232
20 *52:15 *426:26 1.69846e-05
21 *52:15 *455:35 0.00014642
22 io_wbs_data_o[0] *52:15 9.24143e-05
23 io_wbs_data_o[17] *52:15 0
*RES
1 io_wbs_m2s_addr[5] *52:15 17.4016
2 *52:15 *1768:A 33.4985
3 *52:15 *549:DIODE 9.24915
*END
*D_NET *53 0.0039995
*CONN
*P io_wbs_m2s_addr[6] I
*I *1769:A I *D sky130_fd_sc_hd__clkbuf_1
*I *550:DIODE I *D sky130_fd_sc_hd__diode_2
*CAP
1 io_wbs_m2s_addr[6] 0.000804542
2 *1769:A 2.09702e-05
3 *550:DIODE 0.000437017
4 *53:12 0.00126253
5 *550:DIODE *1410:A1 0
6 *550:DIODE *1410:A2 0
7 *550:DIODE *1410:B1 0
8 *550:DIODE *83:8 8.83916e-05
9 *550:DIODE *312:31 1.4091e-06
10 *550:DIODE *477:14 0.000130215
11 *550:DIODE *479:20 2.15348e-05
12 *53:12 *1537:A1 0
13 *53:12 *479:20 0.000898086
14 *53:12 *480:20 0
15 io_wbs_data_o[7] *53:12 0.000334808
*RES
1 io_wbs_m2s_addr[6] *53:12 29.8434
2 *53:12 *550:DIODE 27.2049
3 *53:12 *1769:A 9.82786
*END
*D_NET *54 0.00369224
*CONN
*P io_wbs_m2s_addr[7] I
*I *530:DIODE I *D sky130_fd_sc_hd__diode_2
*I *1750:A I *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 io_wbs_m2s_addr[7] 0.00141263
2 *530:DIODE 5.82265e-05
3 *1750:A 0.000127229
4 *54:11 0.00159809
5 *530:DIODE *541:DIODE 1.79672e-05
6 *54:11 *1615:B 0
7 *54:11 *1616:A 0.000148144
8 *54:11 *90:8 0
9 *54:11 *474:8 2.82771e-05
10 io_wbs_data_o[30] *530:DIODE 0
11 *26:11 *1750:A 7.48633e-05
12 *26:11 *54:11 0.000226809
*RES
1 io_wbs_m2s_addr[7] *54:11 31.4249
2 *54:11 *1750:A 11.6605
3 *54:11 *530:DIODE 19.6659
*END
*D_NET *57 0.00278289
*CONN
*P io_wbs_m2s_data[0] I
*I *1751:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *531:DIODE I *D sky130_fd_sc_hd__diode_2
*CAP
1 io_wbs_m2s_data[0] 0.000414213
2 *1751:A 0.000157483
3 *531:DIODE 0.000555044
4 *57:5 0.00112674
5 *531:DIODE *1350:A2 0
6 *531:DIODE *1369:A2 1.77894e-05
7 *531:DIODE *1526:A 1.82679e-05
8 *531:DIODE *1777:A 1.5714e-05
9 *531:DIODE *180:9 6.79169e-05
10 *531:DIODE *312:63 1.41181e-05
11 *1751:A *94:50 0.000306293
12 *57:5 *1350:A2 0
13 io_wbs_data_o[0] *57:5 8.93119e-05
14 io_wbs_data_o[13] *531:DIODE 0
15 io_wbs_data_o[13] *57:5 0
16 *52:15 *57:5 0
*RES
1 io_wbs_m2s_data[0] *57:5 1.59299
2 *57:5 *531:DIODE 20.4499
3 *57:5 *1751:A 22.4796
*END
*D_NET *68 0.00178585
*CONN
*P io_wbs_m2s_data[1] I
*I *1752:A I *D sky130_fd_sc_hd__clkbuf_1
*I *532:DIODE I *D sky130_fd_sc_hd__diode_2
*CAP
1 io_wbs_m2s_data[1] 0.000680882
2 *1752:A 0
3 *532:DIODE 8.64096e-05
4 *68:8 0.000767292
5 *532:DIODE *1778:A 5.04829e-06
6 *68:8 *1598:A 1.77537e-06
7 *68:8 *476:7 0.000244442
8 io_wbs_data_o[10] *68:8 0
9 io_wbs_data_o[15] *68:8 0
*RES
1 io_wbs_m2s_data[1] *68:8 24.0747
2 *68:8 *532:DIODE 11.0817
3 *68:8 *1752:A 9.24915
*END
*D_NET *79 0.00348226
*CONN
*P io_wbs_m2s_data[2] I
*I *533:DIODE I *D sky130_fd_sc_hd__diode_2
*I *1753:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 io_wbs_m2s_data[2] 0.000661815
2 *533:DIODE 0.000145979
3 *1753:A 0.000166233
4 *79:8 0.000974026
5 *533:DIODE *1491:A1 0
6 *533:DIODE *1492:B 0.000144531
7 *533:DIODE *1650:CLK 6.50586e-05
8 *533:DIODE *301:30 9.98029e-06
9 *1753:A *1495:A 4.43826e-05
10 *1753:A *1667:D 0.000269177
11 *1753:A *451:19 0.000277488
12 *1753:A *477:7 0.000133093
13 *79:8 *1492:B 0
14 *79:8 *1495:A 6.68703e-05
15 *79:8 *1667:D 0.000304239
16 *79:8 *477:7 1.65872e-05
17 io_wbs_data_o[19] *79:8 0
18 io_wbs_data_o[29] *79:8 0
19 *545:DIODE *79:8 0
20 *1:10 *79:8 0.000202801
*RES
1 io_wbs_m2s_data[2] *79:8 24.345
2 *79:8 *1753:A 16.6278
3 *79:8 *533:DIODE 22.4655
*END
*D_NET *82 0.00107176
*CONN
*P io_wbs_m2s_data[3] I
*I *1754:A I *D sky130_fd_sc_hd__clkbuf_1
*I *534:DIODE I *D sky130_fd_sc_hd__diode_2
*CAP
1 io_wbs_m2s_data[3] 0.000365631
2 *1754:A 0
3 *534:DIODE 0.000109811
4 *82:10 0.000475442
5 *534:DIODE *478:8 6.73351e-05
6 *82:10 *1776:A 5.35458e-05
7 io_wbs_data_o[1] *82:10 0
*RES
1 io_wbs_m2s_data[3] *82:10 12.0587
2 *82:10 *534:DIODE 12.191
3 *82:10 *1754:A 9.24915
*END
*D_NET *83 0.00220308
*CONN
*P io_wbs_m2s_data[4] I
*I *1755:A I *D sky130_fd_sc_hd__clkbuf_1
*I *535:DIODE I *D sky130_fd_sc_hd__diode_2
*CAP
1 io_wbs_m2s_data[4] 0.000473246
2 *1755:A 0
3 *535:DIODE 0.000339862
4 *83:8 0.000813107
5 *535:DIODE *1410:B1 6.92705e-05
6 *535:DIODE *1774:A 0.0002817
7 *83:8 *1410:A2 0
8 *83:8 *479:20 0.000110297
9 *550:DIODE *83:8 8.83916e-05
10 *1:13 *535:DIODE 2.72092e-05
*RES
1 io_wbs_m2s_data[4] *83:8 17.1464
2 *83:8 *535:DIODE 18.3157
3 *83:8 *1755:A 9.24915
*END
*D_NET *84 0.00197758
*CONN
*P io_wbs_m2s_data[5] I
*I *1756:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *536:DIODE I *D sky130_fd_sc_hd__diode_2
*CAP
1 io_wbs_m2s_data[5] 0.000481436
2 *1756:A 0
3 *536:DIODE 0.000335109
4 *84:10 0.000816545
5 *536:DIODE *1493:A 0.000120546
6 *536:DIODE *1668:CLK 0.000131059
7 *84:10 *480:10 2.78666e-05
8 *545:DIODE *84:10 6.50176e-05
9 *1:10 *84:10 0
*RES
1 io_wbs_m2s_data[5] *84:10 10.4414
2 *84:10 *536:DIODE 18.3157
3 *84:10 *1756:A 9.24915
*END
*D_NET *85 0.00385384
*CONN
*P io_wbs_m2s_data[6] I
*I *537:DIODE I *D sky130_fd_sc_hd__diode_2
*I *1757:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 io_wbs_m2s_data[6] 0.00104254
2 *537:DIODE 0.000141312
3 *1757:A 0
4 *85:12 0.00118385
5 *537:DIODE *1516:S 0
6 *537:DIODE *1589:C 9.14669e-05
7 *537:DIODE *522:13 0.000207176
8 *85:12 *1588:B 0
9 *85:12 *1594:A 0
10 *85:12 *1595:B 0
11 *85:12 *361:12 0.000195154
12 *85:12 *468:8 9.60216e-05
13 *85:12 *468:10 0.000234386
14 *85:12 *468:14 0.000165481
15 *85:12 *469:23 0
16 *85:12 *496:12 0.000377657
17 *85:12 *522:13 0.000118792
18 io_wbs_data_o[15] *85:12 0
*RES
1 io_wbs_m2s_data[6] *85:12 36.4578
2 *85:12 *1757:A 9.24915
3 *85:12 *537:DIODE 14.9881
*END
*D_NET *86 0.0029284
*CONN
*P io_wbs_m2s_data[7] I
*I *538:DIODE I *D sky130_fd_sc_hd__diode_2
*I *1758:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 io_wbs_m2s_data[7] 0.000704704
2 *538:DIODE 0.000202052
3 *1758:A 0
4 *86:8 0.000906756
5 *538:DIODE *1616:A 0.000217923
6 *538:DIODE *1701:D 0.000647008
7 *538:DIODE *482:15 0.000175091
8 *86:8 *90:8 0
9 *86:8 *482:15 7.48633e-05
*RES
1 io_wbs_m2s_data[7] *86:8 22.9655
2 *86:8 *1758:A 9.24915
3 *86:8 *538:DIODE 19.4249
*END
*D_NET *89 0.000903302
*CONN
*P io_wbs_m2s_stb I
*I *1759:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *539:DIODE I *D sky130_fd_sc_hd__diode_2
*CAP
1 io_wbs_m2s_stb 0.000158163
2 *1759:A 1.47709e-05
3 *539:DIODE 0.000173578
4 *89:7 0.000346513
5 *539:DIODE *451:8 7.61575e-05
6 *539:DIODE *451:10 5.88662e-05
7 *539:DIODE *451:71 4.31485e-06
8 *1759:A *451:71 7.86825e-06
9 io_wbs_data_o[21] *89:7 6.30699e-05
*RES
1 io_wbs_m2s_stb *89:7 4.04389
2 *89:7 *539:DIODE 19.1108
3 *89:7 *1759:A 14.1278
*END
*D_NET *90 0.00165012
*CONN
*P io_wbs_m2s_we I
*I *1761:A I *D sky130_fd_sc_hd__clkbuf_2
*I *541:DIODE I *D sky130_fd_sc_hd__diode_2
*CAP
1 io_wbs_m2s_we 0.000686634
2 *1761:A 0
3 *541:DIODE 6.1594e-05
4 *90:8 0.000748228
5 *541:DIODE *485:8 4.12533e-05
6 *90:8 *474:8 5.66868e-06
7 *90:8 *485:8 2.57071e-05
8 io_wbs_data_o[30] *541:DIODE 0
9 io_wbs_data_o[30] *90:8 6.30699e-05
10 *530:DIODE *541:DIODE 1.79672e-05
11 *54:11 *90:8 0
12 *86:8 *90:8 0
*RES
1 io_wbs_m2s_we *90:8 12.5855
2 *90:8 *541:DIODE 15.5811
3 *90:8 *1761:A 13.7491
*END
*D_NET *91 0.00219857
*CONN
*P reset I
*I *1762:A I *D sky130_fd_sc_hd__clkbuf_2
*I *542:DIODE I *D sky130_fd_sc_hd__diode_2
*CAP
1 reset 0.000241776
2 *1762:A 0
3 *542:DIODE 0.00044971
4 *91:10 0.000691486
5 *542:DIODE *1531:A1 4.02807e-05
6 *542:DIODE *1776:A 0
7 *542:DIODE *478:8 0.000100573
8 *542:DIODE *486:23 6.08467e-05
9 *542:DIODE *499:26 0.000510648
10 *91:10 *1351:A 7.08723e-06
11 io_wbs_data_o[14] *91:10 9.61616e-05
*RES
1 reset *91:10 9.22847
2 *91:10 *542:DIODE 31.9148
3 *91:10 *1762:A 9.24915
*END
*D_NET *94 0.0107857
*CONN
*I *1354:B1 I *D sky130_fd_sc_hd__o21a_1
*I *1350:B1 I *D sky130_fd_sc_hd__o21a_1
*I *1369:B1 I *D sky130_fd_sc_hd__o21a_1
*I *1706:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1364:B1 I *D sky130_fd_sc_hd__o21a_1
*I *1321:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *1354:B1 3.76202e-05
2 *1350:B1 2.11181e-05
3 *1369:B1 0.000291267
4 *1706:D 0.000692303
5 *1364:B1 3.85809e-05
6 *1321:X 0.000326127
7 *94:50 0.00145607
8 *94:45 0.00139933
9 *94:27 0.00145132
10 *94:9 0.000905708
11 *1364:B1 *1687:CLK 6.50586e-05
12 *1369:B1 *1369:A1 0.000320404
13 *1369:B1 *1678:D 3.14978e-05
14 *1706:D *1451:S 0
15 *1706:D *1452:B 9.49244e-05
16 *1706:D *1503:S 0
17 *1706:D *1509:A2 5.54078e-05
18 *1706:D *1510:A0 0.000107496
19 *1706:D *1635:CLK 0.000196638
20 *1706:D *1656:D 0.000245089
21 *1706:D *1673:D 9.60366e-05
22 *1706:D *240:41 0
23 *1706:D *427:10 0
24 *1706:D *458:55 0.000372379
25 *1706:D *458:65 0.000195139
26 *1706:D *458:70 0.000363685
27 *1706:D *458:74 2.16355e-05
28 *1706:D *476:8 0
29 *94:9 *1508:B 1.07248e-05
30 *94:9 *1511:A 0
31 *94:9 *428:33 0.000201553
32 *94:27 *1364:A1 7.28784e-05
33 *94:27 *1453:A 0.00033061
34 *94:27 *1656:D 1.41291e-05
35 *94:45 *1656:D 2.42138e-05
36 *94:50 *1350:A2 1.77537e-06
37 *94:50 *1531:A1 0
38 *94:50 *1634:D 0.000101133
39 *94:50 *1638:CLK 0
40 *94:50 *1678:D 0
41 *94:50 *437:9 0
42 *94:50 *456:37 0
43 *94:50 *475:21 0.000185443
44 *94:50 *476:8 0.000263877
45 *94:50 *492:6 0
46 *94:50 *495:16 0
47 *94:50 *499:26 0.000298025
48 io_wbs_data_o[0] *94:50 0
49 io_wbs_data_o[14] *94:50 2.37478e-05
50 *548:DIODE *94:9 0
51 *1751:A *94:50 0.000306293
52 *24:15 *1350:B1 2.41483e-05
53 *24:15 *1369:B1 0.000142276
*RES
1 *1321:X *94:9 27.3039
2 *94:9 *1364:B1 10.5513
3 *94:9 *94:27 12.7559
4 *94:27 *1706:D 43.5326
5 *94:27 *94:45 4.62973
6 *94:45 *94:50 42.3912
7 *94:50 *1369:B1 18.6774
8 *94:50 *1350:B1 9.97254
9 *94:45 *1354:B1 9.97254
*END
*D_NET *95 0.000299231
*CONN
*I *1634:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1350:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *1634:D 9.90488e-05
2 *1350:X 9.90488e-05
3 *1634:D *1678:D 0
4 *94:50 *1634:D 0.000101133
*RES
1 *1350:X *1634:D 30.1079
*END
*D_NET *96 0.000362391
*CONN
*I *1635:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1354:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *1635:D 7.65734e-05
2 *1354:X 7.65734e-05
3 *1635:D *1451:A0 6.54102e-05
4 *1635:D *476:8 0.000101133
5 *1635:D *499:26 4.27003e-05
*RES
1 *1354:X *1635:D 30.1079
*END
*D_NET *97 0.000337295
*CONN
*I *1636:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1359:X O *D sky130_fd_sc_hd__o221a_1
*CAP
1 *1636:D 9.13437e-05
2 *1359:X 9.13437e-05
3 *1636:D *1359:A1 7.86847e-05
4 *1636:D *426:26 5.66868e-06
5 *1636:D *428:21 0
6 *1636:D *434:28 7.02539e-05
*RES
1 *1359:X *1636:D 30.1079
*END
*D_NET *98 0.00091412
*CONN
*I *1637:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1364:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *1637:D 0.000201803
2 *1364:X 0.000201803
3 *1637:D *1504:A 0.000332543
4 *1637:D *1653:CLK 6.69343e-05
5 *1637:D *1687:CLK 1.03403e-05
6 *1637:D *1748:A 1.87611e-05
7 *1637:D *262:64 0
8 *1637:D *424:22 7.50722e-05
9 *1637:D *458:8 3.67528e-06
10 *548:DIODE *1637:D 3.18826e-06
*RES
1 *1364:X *1637:D 34.4293
*END
*D_NET *99 0.00141706
*CONN
*I *1638:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1369:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *1638:D 0.000354443
2 *1369:X 0.000354443
3 *1638:D *1634:CLK 0.000217345
4 *1638:D *1638:CLK 6.50586e-05
5 *1638:D *1777:A 0.000353721
6 *1638:D *180:9 7.20547e-05
*RES
1 *1369:X *1638:D 38.1775
*END
*D_NET *100 0.0016732
*CONN
*I *1639:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1377:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *1639:D 0.000518935
2 *1377:X 0.000518935
3 *1639:D *1355:B 1.92606e-05
4 *1639:D *1370:A 2.41274e-06
5 *1639:D *1379:A1 0.000256821
6 *1639:D *1522:C1 3.20069e-06
7 *1639:D *176:8 2.18741e-05
8 *1639:D *176:12 6.51589e-05
9 *1639:D *177:7 7.58194e-05
10 *1639:D *498:21 9.2023e-05
11 *1639:D *517:31 9.87648e-05
*RES
1 *1377:X *1639:D 43.5788
*END
*D_NET *101 0.000532509
*CONN
*I *1640:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1380:X O *D sky130_fd_sc_hd__o221a_1
*CAP
1 *1640:D 0.000107668
2 *1380:X 0.000107668
3 *1640:D *1380:A1 9.89122e-05
4 *1640:D *202:20 9.00534e-05
5 *1640:D *456:30 0.000128208
*RES
1 *1380:X *1640:D 22.6216
*END
*D_NET *102 0.000465712
*CONN
*I *1641:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1385:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *1641:D 0.00012723
2 *1385:X 0.00012723
3 *1641:D *1381:B 2.13584e-05
4 *1641:D *1385:B1 6.50727e-05
5 *1641:D *178:16 6.37831e-05
6 *1641:D *312:31 3.18826e-06
7 *1641:D *429:15 5.78492e-05
*RES
1 *1385:X *1641:D 31.1072
*END
*D_NET *103 0.000872905
*CONN
*I *1642:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1394:Y O *D sky130_fd_sc_hd__nor3_1
*CAP
1 *1642:D 0.000274437
2 *1394:Y 0.000274437
3 *1642:D *1392:A2 9.98029e-06
4 *1642:D *1394:B 3.60268e-05
5 *1642:D *230:22 0.000165495
6 *1642:D *233:8 1.32509e-05
7 *1642:D *235:6 3.00073e-05
8 *1642:D *236:7 6.92705e-05
9 *1642:D *454:57 0
10 *1642:D *487:14 0
*RES
1 *1394:Y *1642:D 34.4293
*END
*D_NET *104 0.000536666
*CONN
*I *1643:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1407:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *1643:D 0.000113308
2 *1407:X 0.000113308
3 *1643:D *1643:CLK 0.000166216
4 *1643:D *482:25 4.27003e-05
5 *1643:D *498:28 0.000101133
*RES
1 *1407:X *1643:D 31.2171
*END
*D_NET *105 0.000612388
*CONN
*I *1644:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1410:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *1644:D 0.000152593
2 *1410:X 0.000152593
3 *1644:D *1409:B 6.08467e-05
4 *1644:D *1410:B1 7.56859e-06
5 *1644:D *1774:A 0.000174175
6 *1:14 *1644:D 6.46135e-05
*RES
1 *1410:X *1644:D 32.4629
*END
*D_NET *106 0.000368408
*CONN
*I *1645:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1415:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1645:D 0.000123595
2 *1415:X 0.000123595
3 *1645:D *1415:A 6.92979e-05
4 *1645:D *1469:A 5.19205e-05
5 *1645:D *262:10 0
*RES
1 *1415:X *1645:D 30.692
*END
*D_NET *107 0.000767432
*CONN
*I *1646:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1418:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1646:D 0.000186137
2 *1418:X 0.000186137
3 *1646:D *1317:A 0.000364994
4 *1646:D *296:10 3.01634e-05
*RES
1 *1418:X *1646:D 33.1944
*END
*D_NET *108 0.000534562
*CONN
*I *1647:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1421:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1647:D 0.000174035
2 *1421:X 0.000174035
3 *1647:D *1419:A0 3.18826e-06
4 *1647:D *262:10 0.000151726
5 *1647:D *483:23 3.15767e-05
*RES
1 *1421:X *1647:D 31.6618
*END
*D_NET *109 0.00108036
*CONN
*I *1648:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1424:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1648:D 0.000412944
2 *1424:X 0.000412944
3 *1648:D *1421:A 0.000220183
4 *1648:D *1481:A 3.42853e-05
5 *1648:D *312:8 0
*RES
1 *1424:X *1648:D 34.9895
*END
*D_NET *110 0.000667118
*CONN
*I *1649:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1427:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1649:D 0.000232981
2 *1427:X 0.000232981
3 *1649:D *1425:A1 3.73977e-05
4 *1649:D *1427:A 0.000163758
5 *1649:D *1488:S 0
6 *1649:D *1491:A0 0
7 *1649:D *419:17 0
*RES
1 *1427:X *1649:D 32.5962
*END
*D_NET *111 0.000867257
*CONN
*I *1650:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1431:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1650:D 0.00032885
2 *1431:X 0.00032885
3 *1650:D *524:DIODE 2.41274e-06
4 *1650:D *1430:B 5.19205e-05
5 *1650:D *1431:A 7.22498e-05
6 *1650:D *262:17 4.38847e-05
7 *1650:D *295:22 3.90891e-05
*RES
1 *1431:X *1650:D 34.0196
*END
*D_NET *112 0.00178566
*CONN
*I *1651:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1434:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1651:D 0.000678347
2 *1434:X 0.000678347
3 *1651:D *1358:A1 2.40149e-05
4 *1651:D *1437:A 0.000143047
5 *1651:D *1652:CLK 1.78942e-05
6 *1651:D *1652:D 7.82754e-05
7 *1651:D *262:35 2.65667e-05
8 *1651:D *452:20 6.60603e-05
9 *1651:D *452:22 1.51628e-05
10 *1651:D *501:16 5.79399e-05
*RES
1 *1434:X *1651:D 40.8086
*END
*D_NET *113 0.000398493
*CONN
*I *1652:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1437:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1652:D 6.83839e-05
2 *1437:X 6.83839e-05
3 *1652:D *1437:A 3.31736e-05
4 *1652:D *452:22 0.000140383
5 *1652:D *452:38 9.89388e-06
6 *1651:D *1652:D 7.82754e-05
*RES
1 *1437:X *1652:D 30.3838
*END
*D_NET *114 0.00243145
*CONN
*I *1653:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1444:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1653:D 0.000505608
2 *1444:X 0.000505608
3 *1653:D *1366:B2 0.000175877
4 *1653:D *1653:CLK 0.000522829
5 *1653:D *1687:CLK 0.000264572
6 *1653:D *172:36 7.77309e-06
7 *1653:D *262:61 2.32942e-05
8 *1653:D *424:22 0.000213725
9 *1653:D *438:37 0.000212162
*RES
1 *1444:X *1653:D 42.4752
*END
*D_NET *115 0.000233508
*CONN
*I *1654:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1447:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1654:D 8.73683e-05
2 *1447:X 8.73683e-05
3 *1654:D *202:20 1.87611e-05
4 *1654:D *476:8 3.59505e-05
5 *1654:D *499:26 4.05943e-06
6 *544:DIODE *1654:D 0
*RES
1 *1447:X *1654:D 29.5533
*END
*D_NET *116 0.000378015
*CONN
*I *1655:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1450:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1655:D 8.18384e-05
2 *1450:X 8.18384e-05
3 *1655:D *1448:A1 3.09152e-06
4 *1655:D *1634:CLK 7.44658e-05
5 *1655:D *426:26 4.46284e-06
6 *1655:D *428:8 0
7 *52:15 *1655:D 0.000132318
*RES
1 *1450:X *1655:D 30.1079
*END
*D_NET *117 0.00136054
*CONN
*I *1656:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1453:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1656:D 0.000360797
2 *1453:X 0.000360797
3 *1656:D *1452:A 2.77564e-05
4 *1656:D *1452:B 0.00011557
5 *1656:D *1453:A 0.000103943
6 *1656:D *427:10 3.18826e-06
7 *1656:D *476:8 0.000105057
8 *1706:D *1656:D 0.000245089
9 *94:27 *1656:D 1.41291e-05
10 *94:45 *1656:D 2.42138e-05
*RES
1 *1453:X *1656:D 39.4179
*END
*D_NET *118 0.0013723
*CONN
*I *1657:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1456:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1657:D 0.000326912
2 *1456:X 0.000326912
3 *1657:D *1534:A1 0.000148962
4 *1657:D *1657:CLK 6.65725e-05
5 *1657:D *1772:A 2.13584e-05
6 *1657:D *198:14 0
7 *1657:D *428:8 0.000278866
8 *1657:D *428:21 0.000141865
9 io_wbs_data_o[2] *1657:D 0
10 *50:16 *1657:D 6.08467e-05
*RES
1 *1456:X *1657:D 37.7513
*END
*D_NET *119 0.000465765
*CONN
*I *1658:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1459:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1658:D 0.000151859
2 *1459:X 0.000151859
3 *1658:D *1457:S 6.64392e-05
4 *1658:D *1459:A 7.50722e-05
5 *1658:D *1537:A1 0
6 *1658:D *248:31 1.77537e-06
7 *1658:D *455:15 1.87611e-05
*RES
1 *1459:X *1658:D 31.1072
*END
*D_NET *120 0.00104794
*CONN
*I *1659:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1463:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1659:D 0.000202123
2 *1463:X 0.000202123
3 *1659:D *1407:C1 0.000143032
4 *1659:D *1462:B 0.000213725
5 *1659:D *1463:A 2.99929e-05
6 *1659:D *1659:CLK 6.50727e-05
7 *1659:D *441:7 0.00019187
8 *1659:D *482:25 0
*RES
1 *1463:X *1659:D 35.2005
*END
*D_NET *121 0.00112881
*CONN
*I *1660:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1466:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1660:D 0.000358087
2 *1466:X 0.000358087
3 *1660:D *1465:B 5.56461e-05
4 *1660:D *1466:A 1.41291e-05
5 *1660:D *1660:CLK 3.20069e-06
6 *1660:D *170:20 0.000171273
7 *1660:D *285:56 1.87611e-05
8 *1660:D *484:15 0.000149628
*RES
1 *1466:X *1660:D 34.9895
*END
*D_NET *122 0.000670642
*CONN
*I *1661:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1470:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1661:D 0.000222818
2 *1470:X 0.000222818
3 *1661:D *1470:A 0
4 *1661:D *1661:CLK 9.73548e-05
5 *1661:D *1737:A 0.000107063
6 *1661:D *485:24 2.0589e-05
*RES
1 *1470:X *1661:D 32.181
*END
*D_NET *123 0.000448002
*CONN
*I *1662:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1477:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1662:D 0.000147587
2 *1477:X 0.000147587
3 *1662:D *1479:A 0.000149628
4 *1662:D *1662:CLK 3.20069e-06
*RES
1 *1477:X *1662:D 31.1072
*END
*D_NET *124 0.000856949
*CONN
*I *1663:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1480:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1663:D 0.000326944
2 *1480:X 0.000326944
3 *1663:D *415:10 0.00015324
4 *1663:D *416:8 5.04829e-06
5 *1663:D *474:8 4.47713e-05
*RES
1 *1480:X *1663:D 35.9299
*END
*D_NET *125 0.000236022
*CONN
*I *1664:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1484:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1664:D 6.74369e-05
2 *1484:X 6.74369e-05
3 *1664:D *1484:A 0.000101148
4 *1664:D *451:80 0
*RES
1 *1484:X *1664:D 29.5533
*END
*D_NET *126 0.00103202
*CONN
*I *1665:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1487:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1665:D 0.000441005
2 *1487:X 0.000441005
3 *1665:D *1486:B 0
4 *1665:D *1487:A 0.000150009
5 *1665:D *301:16 0
*RES
1 *1487:X *1665:D 38.6973
*END
*D_NET *127 0.000446505
*CONN
*I *1666:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1490:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1666:D 0.000117796
2 *1490:X 0.000117796
3 *1666:D *1666:CLK 5.04829e-06
4 *1666:D *301:21 0.000101133
5 *1666:D *451:19 0.000104731
*RES
1 *1490:X *1666:D 30.976
*END
*D_NET *128 0.00129578
*CONN
*I *1667:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1493:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1667:D 0.000122485
2 *1493:X 0.000122485
3 *1667:D *1492:B 0
4 *1667:D *477:7 0.000477395
5 *1753:A *1667:D 0.000269177
6 *79:8 *1667:D 0.000304239
*RES
1 *1493:X *1667:D 34.5448
*END
*D_NET *129 0.000503792
*CONN
*I *1668:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1496:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1668:D 0.000119426
2 *1496:X 0.000119426
3 *1668:D *1496:A 0.000201293
4 *1:10 *1668:D 0
5 *1:13 *1668:D 6.36477e-05
*RES
1 *1496:X *1668:D 31.5225
*END
*D_NET *130 0.000687693
*CONN
*I *1669:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1500:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1669:D 0.000145409
2 *1500:X 0.000145409
3 *1669:D *1500:A 0.000193569
4 *1669:D *1644:CLK 0.000144747
5 *1669:D *452:43 3.31733e-05
6 *1669:D *501:16 2.5386e-05
*RES
1 *1500:X *1669:D 32.3934
*END
*D_NET *131 0.00121314
*CONN
*I *1670:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1502:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *1670:D 0.00021305
2 *1502:X 0.00021305
3 *1670:D *1366:B2 0.000182534
4 *1670:D *1502:A1 6.50586e-05
5 *1670:D *1740:A 1.32509e-05
6 *1670:D *1747:A 0.00026818
7 *1670:D *1748:A 0.000127194
8 *1670:D *246:40 6.54102e-05
9 *1670:D *441:7 6.54102e-05
*RES
1 *1502:X *1670:D 36.6449
*END
*D_NET *132 0.00051665
*CONN
*I *1671:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1506:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *1671:D 0.000208806
2 *1506:X 0.000208806
3 *1671:D *1503:A1 3.39801e-05
4 *1671:D *238:35 6.50586e-05
*RES
1 *1506:X *1671:D 22.917
*END
*D_NET *133 0.000407674
*CONN
*I *1672:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1509:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *1672:D 0.000140381
2 *1509:X 0.000140381
3 *1672:D *1509:A2 7.34948e-06
4 *1672:D *411:12 7.84085e-06
5 *1672:D *458:65 0.000111722
*RES
1 *1509:X *1672:D 21.8078
*END
*D_NET *134 0.00128841
*CONN
*I *1673:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1512:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *1673:D 0.000404867
2 *1512:X 0.000404867
3 *1673:D *1507:S 4.26566e-05
4 *1673:D *1510:S 4.3116e-06
5 *1673:D *1512:A1 2.65667e-05
6 *1673:D *240:41 1.90218e-05
7 *1673:D *412:11 0.000268166
8 *1673:D *458:70 2.19131e-05
9 *1673:D *476:8 0
10 *1706:D *1673:D 9.60366e-05
*RES
1 *1512:X *1673:D 37.5821
*END
*D_NET *135 0.00125971
*CONN
*I *1674:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1515:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *1674:D 0.000389118
2 *1515:X 0.000389118
3 *1674:D *1513:A1 0.000200236
4 *1674:D *1515:A2 1.47102e-05
5 *1674:D *172:32 0
6 *1674:D *239:20 0.000175689
7 *1674:D *318:23 3.14978e-05
8 *1674:D *496:12 5.93461e-05
*RES
1 *1515:X *1674:D 37.7569
*END
*D_NET *136 0.000711901
*CONN
*I *1675:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1518:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *1675:D 0.000107678
2 *1518:X 0.000107678
3 *1675:D *1501:B1 2.95757e-05
4 *1675:D *1516:A1 0
5 *1675:D *1518:A1 7.50872e-05
6 *1675:D *172:32 0.000221379
7 *1675:D *237:39 0.000170504
*RES
1 *1518:X *1675:D 31.7717
*END
*D_NET *137 0.000371732
*CONN
*I *1676:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1521:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *1676:D 0.000125169
2 *1521:X 0.000125169
3 *1676:D *1400:A1 0
4 *1676:D *1521:B1 0
5 *1676:D *347:8 0.000102632
6 *1676:D *457:9 1.87611e-05
7 *1676:D *457:20 0
8 *1676:D *490:10 0
*RES
1 *1521:X *1676:D 30.7991
*END
*D_NET *138 0.000937878
*CONN
*I *1677:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1522:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *1677:D 0.000191654
2 *1522:X 0.000191654
3 *1677:D *1435:A0 0.000130453
4 *1677:D *175:10 2.97007e-05
5 *1677:D *491:8 7.86847e-05
6 *1677:D *502:17 0.000148144
7 *1677:D *517:31 0.000167588
*RES
1 *1522:X *1677:D 35.9299
*END
*D_NET *139 0.000733691
*CONN
*I *1678:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1527:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1678:D 0.000272916
2 *1527:X 0.000272916
3 *1678:D *1638:CLK 4.27003e-05
4 *1678:D *434:10 6.67095e-06
5 *1678:D *456:37 3.20069e-06
6 *1678:D *456:39 0.00010379
7 *1369:B1 *1678:D 3.14978e-05
8 *1634:D *1678:D 0
9 *94:50 *1678:D 0
*RES
1 *1527:X *1678:D 34.4293
*END
*D_NET *140 0.00189507
*CONN
*I *1679:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1530:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1679:D 0.000510119
2 *1530:X 0.000510119
3 *1679:D *1528:A1 0.000214687
4 *1679:D *1529:A 0.000218319
5 *1679:D *1530:A 2.99287e-05
6 *1679:D *1532:B 0.000207266
7 *1679:D *1679:CLK 0.00011818
8 *1679:D *436:5 2.07904e-05
9 *1679:D *436:22 1.58551e-05
10 *1679:D *437:18 7.5301e-06
11 *1679:D *456:35 1.8323e-05
12 *51:22 *1679:D 2.39535e-05
*RES
1 *1530:X *1679:D 40.0129
*END
*D_NET *141 0.00117023
*CONN
*I *1680:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1533:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1680:D 0.000384638
2 *1533:X 0.000384638
3 *1680:D *1529:A 4.58003e-05
4 *1680:D *1532:B 6.36477e-05
5 *1680:D *1533:A 0.000168313
6 *1680:D *1680:CLK 6.50727e-05
7 *1680:D *312:63 5.81245e-05
*RES
1 *1533:X *1680:D 27.9326
*END
*D_NET *142 0.000640372
*CONN
*I *1681:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1536:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1681:D 0.000291457
2 *1536:X 0.000291457
3 *1681:D *426:26 0
4 *1681:D *428:21 5.74583e-05
*RES
1 *1536:X *1681:D 33.848
*END
*D_NET *143 0.000906431
*CONN
*I *1682:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1539:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1682:D 0.000200541
2 *1539:X 0.000200541
3 *1682:D *1368:A1 0.000103827
4 *1682:D *1538:B 5.80823e-05
5 *1682:D *1539:A 0
6 *1682:D *455:8 9.12416e-06
7 *1682:D *455:10 0.000334316
*RES
1 *1539:X *1682:D 34.6402
*END
*D_NET *144 0.000531101
*CONN
*I *1683:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1542:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1683:D 8.93526e-05
2 *1542:X 8.93526e-05
3 *1683:D *1382:A1 3.95644e-05
4 *1683:D *1383:A1 0.000148144
5 *1683:D *262:61 1.65445e-05
6 *1683:D *498:28 0.000148144
*RES
1 *1542:X *1683:D 31.3182
*END
*D_NET *145 0.000826306
*CONN
*I *1684:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1546:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *1684:D 0.000197034
2 *1546:Y 0.000197034
3 *1684:D *1546:A 5.20546e-06
4 *1684:D *1546:B 0.000315161
5 *1684:D *486:30 5.88662e-05
6 *1684:D *486:40 5.30055e-05
*RES
1 *1546:Y *1684:D 34.014
*END
*D_NET *146 0.00101843
*CONN
*I *1685:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1551:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *1685:D 0.000228133
2 *1551:Y 0.000228133
3 *1685:D *1378:A1 0
4 *1685:D *1551:B 0.000271174
5 *1685:D *1687:D 0.000117532
6 *1685:D *272:35 6.50727e-05
7 *1685:D *438:11 0.000100285
8 *1685:D *486:23 8.10016e-06
*RES
1 *1551:Y *1685:D 34.4668
*END
*D_NET *147 0.000549131
*CONN
*I *1686:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1552:X O *D sky130_fd_sc_hd__a211o_1
*CAP
1 *1686:D 0.000167969
2 *1552:X 0.000167969
3 *1686:D *1552:B1 0
4 *1686:D *1686:CLK 0.000112059
5 *1686:D *219:10 0.000101133
*RES
1 *1552:X *1686:D 31.3859
*END
*D_NET *148 0.000992999
*CONN
*I *1687:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1553:X O *D sky130_fd_sc_hd__o21ba_1
*CAP
1 *1687:D 0.000331009
2 *1553:X 0.000331009
3 *1687:D *1378:A1 8.98279e-05
4 *1687:D *248:9 1.87611e-05
5 *1687:D *438:11 9.3703e-05
6 *1687:D *439:27 4.05943e-06
7 *1687:D *486:23 7.09666e-06
8 *1685:D *1687:D 0.000117532
*RES
1 *1553:X *1687:D 33.6044
*END
*D_NET *149 0.00134421
*CONN
*I *1688:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1556:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1688:D 0.00025757
2 *1556:X 0.00025757
3 *1688:D *1555:B 0.000318319
4 *1688:D *1645:CLK 1.87611e-05
5 *1688:D *285:11 0.000417401
6 *1688:D *295:10 7.45854e-05
7 *1688:D *453:13 0
*RES
1 *1556:X *1688:D 36.2352
*END
*D_NET *150 0.0018211
*CONN
*I *1607:A_N I *D sky130_fd_sc_hd__and3b_1
*I *1689:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1558:Y O *D sky130_fd_sc_hd__o21ai_1
*CAP
1 *1607:A_N 0.000379902
2 *1689:D 0
3 *1558:Y 7.53499e-05
4 *150:5 0.000455251
5 *1607:A_N *1394:A 0.0001525
6 *1607:A_N *1548:A 1.07248e-05
7 *1607:A_N *1607:C 5.68225e-06
8 *1607:A_N *228:38 0.000148129
9 *1607:A_N *285:56 0.000116903
10 *150:5 *1558:B1 4.17531e-06
11 *150:5 *1689:CLK 6.64392e-05
12 *150:5 *285:56 0.000364011
13 *150:5 *486:63 4.20334e-05
*RES
1 *1558:Y *150:5 13.2459
2 *150:5 *1689:D 9.24915
3 *150:5 *1607:A_N 28.8879
*END
*D_NET *151 0.000555914
*CONN
*I *1690:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1559:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *1690:D 7.03512e-05
2 *1559:X 7.03512e-05
3 *1690:D *1382:A1 9.34404e-05
4 *1690:D *1544:A3 0.000112059
5 *1690:D *271:17 0.000116271
6 *1690:D *498:28 9.34404e-05
*RES
1 *1559:X *1690:D 30.6625
*END
*D_NET *152 0.000532249
*CONN
*I *1691:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1578:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1691:D 9.91798e-05
2 *1578:X 9.91798e-05
3 *1691:D *1579:A 0.000244655
4 *1691:D *1579:C 5.56461e-05
5 *1691:D *457:67 2.65667e-05
6 *1691:D *457:77 7.02172e-06
*RES
1 *1578:X *1691:D 22.3865
*END
*D_NET *153 0.000245273
*CONN
*I *1692:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1582:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1692:D 5.61859e-05
2 *1582:X 5.61859e-05
3 *1692:D *1660:CLK 3.01634e-05
4 *1692:D *457:77 1.60502e-06
5 *1692:D *484:10 0.000101133
*RES
1 *1582:X *1692:D 29.5533
*END
*D_NET *154 0.000219831
*CONN
*I *1693:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1586:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1693:D 9.18152e-05
2 *1586:X 9.18152e-05
3 *1693:D *1570:A 1.01794e-05
4 *1693:D *1570:C 3.20069e-06
5 *1693:D *355:17 4.05943e-06
6 *1693:D *363:11 0
7 *1693:D *365:22 0
8 *1693:D *466:5 1.87611e-05
*RES
1 *1586:X *1693:D 29.5533
*END
*D_NET *155 0.000316642
*CONN
*I *1694:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1590:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1694:D 9.15032e-05
2 *1590:X 9.15032e-05
3 *1694:D *1781:A 2.69064e-05
4 *1694:D *467:7 5.59587e-06
5 *1694:D *476:8 0.000101133
*RES
1 *1590:X *1694:D 30.1079
*END
*D_NET *156 0.000426532
*CONN
*I *1695:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1594:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1695:D 0.000159663
2 *1594:X 0.000159663
3 *1695:D *1594:A 6.31809e-05
4 *1695:D *1695:CLK 4.40253e-05
*RES
1 *1594:X *1695:D 32.0476
*END
*D_NET *157 0.000439104
*CONN
*I *1696:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1598:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1696:D 0.00014575
2 *1598:X 0.00014575
3 *1696:D *1598:A 0
4 *1696:D *458:100 3.67708e-05
5 *1696:D *469:17 0.000110833
*RES
1 *1598:X *1696:D 31.3182
*END
*D_NET *158 0.000905771
*CONN
*I *1697:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1601:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *1697:D 0.000172333
2 *1601:Y 0.000172333
3 *1697:D *1374:A 0.000118485
4 *1697:D *1601:A1 3.31733e-05
5 *1697:D *1601:A2 0.000104026
6 *1697:D *1697:CLK 0.000110297
7 *1697:D *454:23 0.000195124
*RES
1 *1601:Y *1697:D 33.7462
*END
*D_NET *159 0.000461531
*CONN
*I *1698:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1608:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1698:D 0.000207128
2 *1608:X 0.000207128
3 *1698:D *1698:CLK 1.87611e-05
4 *1698:D *295:10 0
5 *1698:D *453:39 2.85139e-05
6 *1698:D *472:21 0
*RES
1 *1608:X *1698:D 31.6618
*END
*D_NET *160 0.000919125
*CONN
*I *1699:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1610:Y O *D sky130_fd_sc_hd__a31oi_1
*CAP
1 *1699:D 0.000240856
2 *1610:Y 0.000240856
3 *1699:D *1610:A3 0.000141641
4 *1699:D *1699:CLK 0.000216688
5 *1699:D *171:32 0
6 *1699:D *245:7 7.90842e-05
*RES
1 *1610:Y *1699:D 34.8207
*END
*D_NET *161 0.000531136
*CONN
*I *1700:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1612:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1700:D 0.000133225
2 *1612:X 0.000133225
3 *1700:D *453:39 0.000163552
4 *1700:D *474:8 0.000101133
*RES
1 *1612:X *1700:D 31.2171
*END
*D_NET *162 0.00255635
*CONN
*I *1701:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1616:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1701:D 0.000927062
2 *1616:X 0.000927062
3 *1701:D *482:15 5.5214e-05
4 *538:DIODE *1701:D 0.000647008
*RES
1 *1616:X *1701:D 45.9394
*END
*D_NET *163 0.000978381
*CONN
*I *1702:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1619:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *1702:D 0.000475497
2 *1619:Y 0.000475497
3 *1702:D *1702:CLK 2.73873e-05
4 *1702:D *485:8 0
*RES
1 *1619:Y *1702:D 37.4838
*END
*D_NET *164 0.00155949
*CONN
*I *1703:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1621:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *1703:D 0.00050651
2 *1621:X 0.00050651
3 *1703:D *1389:B 2.74378e-05
4 *1703:D *1389:C 6.61183e-05
5 *1703:D *231:7 6.73186e-05
6 *1703:D *397:25 0.000262434
7 *1703:D *461:7 0.00012316
*RES
1 *1621:X *1703:D 33.141
*END
*D_NET *165 0.000804771
*CONN
*I *1704:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1625:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1704:D 0.00027362
2 *1625:X 0.00027362
3 *1704:D *1623:A1 0.000203818
4 *1704:D *1623:A3 5.05252e-05
5 *1704:D *1701:CLK 0
6 *1704:D *462:5 3.18826e-06
*RES
1 *1625:X *1704:D 34.4293
*END
*D_NET *166 0.000920681
*CONN
*I *1705:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1627:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *1705:D 0.000293648
2 *1627:X 0.000293648
3 *1705:D *1388:B 0
4 *1705:D *1475:A0 4.75721e-06
5 *1705:D *1627:A1 2.59686e-05
6 *1705:D *1627:A2 0.000209435
7 *1705:D *1627:B1 0
8 *1705:D *1705:CLK 9.32234e-05
*RES
1 *1627:X *1705:D 35.359
*END
*D_NET *167 0.000443696
*CONN
*I *1707:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1629:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1707:D 0.000129832
2 *1629:X 0.000129832
3 *1707:D *453:44 0.000156823
4 *1707:D *485:8 0
5 *1707:D *485:19 2.72089e-05
*RES
1 *1629:X *1707:D 31.1072
*END
*D_NET *168 0.000988267
*CONN
*I *1708:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1631:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1708:D 0.000494134
2 *1631:X 0.000494134
3 *1708:D *1555:A 0
4 *1708:D *1629:A 0
5 *1708:D *453:8 0
6 *1708:D *474:8 0
*RES
1 *1631:X *1708:D 38.4214
*END
*D_NET *169 0.00208951
*CONN
*I *1709:D I *D sky130_fd_sc_hd__dfxtp_1
*I *1633:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1709:D 0.000675778
2 *1633:X 0.000675778
3 *1709:D *1558:A2 8.15803e-05
4 *1709:D *1738:A 0.000268812
5 *1709:D *171:32 0
6 *1709:D *285:56 0.000213739
7 *1709:D *448:10 6.92705e-05
8 *1709:D *486:63 0.000104553
*RES
1 *1633:X *1709:D 44.9401
*END
*D_NET *170 0.00621647
*CONN
*I *1572:A I *D sky130_fd_sc_hd__and3_1
*I *1614:A I *D sky130_fd_sc_hd__and3_1
*I *1319:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *1374:A I *D sky130_fd_sc_hd__clkbuf_2
*I *1318:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *1572:A 8.47264e-05
2 *1614:A 0.0003008
3 *1319:A 2.19663e-05
4 *1374:A 0.000282855
5 *1318:Y 0
6 *170:29 0.000743996
7 *170:20 0.000839345
8 *170:4 0.000741765
9 *1319:A *1610:A1 6.50727e-05
10 *1374:A *1552:B1 0
11 *1374:A *1558:B1 0
12 *1374:A *1601:A1 2.57847e-05
13 *1374:A *1601:A2 3.01797e-05
14 *1374:A *1697:CLK 1.92336e-05
15 *1374:A *220:42 0.000314062
16 *1374:A *454:21 6.03391e-06
17 *1374:A *454:23 7.77309e-06
18 *1374:A *486:63 0.000140669
19 *1572:A *1548:B 2.36813e-05
20 *1572:A *1605:A1 0.0002646
21 *1572:A *1605:C1 0.000164829
22 *1572:A *1607:C 0
23 *1572:A *390:11 1.19856e-05
24 *1614:A *1473:A 6.36477e-05
25 *1614:A *1473:C 6.50586e-05
26 *1614:A *1605:A1 1.19721e-05
27 *1614:A *1605:A2 0.00024453
28 *1614:A *295:7 7.58194e-05
29 *1614:A *390:11 0.000858692
30 *170:20 *1466:A 0.000128091
31 *170:20 *1660:CLK 3.51113e-05
32 *170:20 *246:11 2.71397e-05
33 *170:20 *486:40 6.75138e-05
34 *170:20 *486:63 5.08751e-05
35 *170:29 *1548:B 8.50941e-05
36 *170:29 *1607:B 0
37 *170:29 *1607:C 0
38 *170:29 *1660:CLK 4.79303e-05
39 *170:29 *246:6 6.49002e-05
40 *170:29 *246:11 1.09738e-05
41 *1660:D *170:20 0.000171273
42 *1697:D *1374:A 0.000118485
*RES
1 *1318:Y *170:4 9.24915
2 *170:4 *1374:A 27.0712
3 *170:4 *170:20 17.7238
4 *170:20 *1319:A 14.4725
5 *170:20 *170:29 9.72179
6 *170:29 *1614:A 27.2767
7 *170:29 *1572:A 17.6924
*END
*D_NET *171 0.00781582
*CONN
*I *1611:A I *D sky130_fd_sc_hd__and3_1
*I *1428:A I *D sky130_fd_sc_hd__clkbuf_2
*I *1411:A I *D sky130_fd_sc_hd__clkbuf_1
*I *1632:B I *D sky130_fd_sc_hd__and2_1
*I *1320:A I *D sky130_fd_sc_hd__clkbuf_2
*I *1319:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *1611:A 0
2 *1428:A 0.000245961
3 *1411:A 0
4 *1632:B 7.35806e-05
5 *1320:A 0.000161777
6 *1319:X 4.13657e-05
7 *171:45 0.000739266
8 *171:32 0.00133655
9 *171:24 0.00150907
10 *171:6 0.000942554
11 *1320:A *245:7 1.03403e-05
12 *1428:A *262:61 1.43983e-05
13 *1428:A *295:22 6.50586e-05
14 *1632:B *1629:A 0.000113968
15 *1632:B *1633:A 2.41274e-06
16 *171:6 *1660:CLK 0.000182869
17 *171:6 *245:17 0.000179271
18 *171:24 *1548:A 0.000271711
19 *171:24 *1548:B 2.65667e-05
20 *171:24 *1607:C 6.92705e-05
21 *171:24 *1608:A 8.91945e-05
22 *171:24 *1611:C 4.66492e-05
23 *171:24 *1660:CLK 0.000137389
24 *171:24 *245:17 3.31882e-05
25 *171:24 *347:8 1.4091e-06
26 *171:24 *484:10 2.75292e-05
27 *171:32 *1558:A2 0
28 *171:32 *1599:A 0.000258142
29 *171:32 *1608:A 6.52302e-05
30 *171:32 *1610:A3 0
31 *171:32 *1611:C 0.000123443
32 *171:32 *1628:A 2.41483e-05
33 *171:32 *1628:B 2.65667e-05
34 *171:32 *1629:A 0.000171288
35 *171:32 *1633:A 0.000249984
36 *171:32 *1744:A 4.23874e-05
37 *171:32 *229:22 0.000111722
38 *171:32 *395:17 6.3657e-05
39 *171:45 *1630:B 0
40 *171:45 *220:8 0
41 *171:45 *295:10 0.0003579
42 *171:45 *486:63 0
43 *171:45 *521:6 0
44 *1699:D *171:32 0
45 *1709:D *171:32 0
*RES
1 *1319:X *171:6 16.8269
2 *171:6 *1320:A 17.2697
3 *171:6 *171:24 20.2801
4 *171:24 *171:32 30.9904
5 *171:32 *1632:B 11.5158
6 *171:32 *171:45 23.2896
7 *171:45 *1411:A 9.24915
8 *171:45 *1428:A 14.964
9 *171:24 *1611:A 9.24915
*END
*D_NET *172 0.0162035
*CONN
*I *1321:A I *D sky130_fd_sc_hd__clkbuf_2
*I *1549:B1 I *D sky130_fd_sc_hd__o31ai_1
*I *1359:C1 I *D sky130_fd_sc_hd__o221a_1
*I *1380:C1 I *D sky130_fd_sc_hd__o221a_1
*I *1521:C1 I *D sky130_fd_sc_hd__o211a_1
*I *1320:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *1321:A 4.77068e-05
2 *1549:B1 0.000967439
3 *1359:C1 0.00160534
4 *1380:C1 2.71612e-05
5 *1521:C1 2.24051e-05
6 *1320:X 0.000705029
7 *172:38 0.00169368
8 *172:36 0.0015015
9 *172:32 0.00163675
10 *172:11 0.0018436
11 *1321:A *1461:A0 0.000107496
12 *1359:C1 *1361:B1 1.53708e-05
13 *1359:C1 *1363:C1 3.61977e-05
14 *1359:C1 *1368:A2 0.000108106
15 *1359:C1 *1368:C1 2.1203e-06
16 *1359:C1 *1380:A2 5.60804e-05
17 *1359:C1 *1508:A 0
18 *1359:C1 *180:9 2.95383e-05
19 *1359:C1 *197:30 4.00225e-05
20 *1359:C1 *198:41 3.17436e-05
21 *1380:C1 *1353:B1 1.5714e-05
22 *1380:C1 *1380:B1 6.08467e-05
23 *1380:C1 *223:17 6.08467e-05
24 *1380:C1 *438:37 1.9101e-05
25 *1521:C1 *1521:A1 0
26 *1521:C1 *1521:A2 3.01683e-06
27 *1521:C1 *237:39 0
28 *1549:B1 *1378:A1 0.000219249
29 *1549:B1 *1378:A2 0.000160617
30 *1549:B1 *1380:B1 0.000111708
31 *1549:B1 *1549:A2 9.46984e-05
32 *1549:B1 *1551:A 0.000208971
33 *1549:B1 *178:38 2.40884e-05
34 *1549:B1 *272:35 3.84112e-05
35 *1549:B1 *348:5 0.000169041
36 *172:11 *1400:A0 0.000266832
37 *172:11 *1400:A1 0.000423936
38 *172:11 *1400:S 4.81015e-05
39 *172:11 *1407:A2 0.000105652
40 *172:11 *1518:B1 0.000382053
41 *172:11 *1521:A2 2.16355e-05
42 *172:11 *1521:B1 0.000359605
43 *172:11 *237:39 6.08467e-05
44 *172:32 *1501:A1 0.000196638
45 *172:32 *1501:A2 0
46 *172:32 *1504:A 0.000221185
47 *172:32 *1513:A1 0
48 *172:32 *1515:A2 0
49 *172:32 *1515:B1 0.000102767
50 *172:32 *1516:A0 5.0715e-05
51 *172:32 *1516:A1 0
52 *172:32 *1519:A0 0
53 *172:32 *1519:S 3.90092e-05
54 *172:32 *1521:A2 3.31745e-05
55 *172:32 *1670:CLK 4.4037e-05
56 *172:32 *237:39 0.000274731
57 *172:32 *238:19 2.60879e-06
58 *172:32 *238:26 1.52339e-05
59 *172:32 *428:33 7.06474e-05
60 *172:36 *1366:B2 0.000110553
61 *172:36 *1442:A0 3.20069e-06
62 *172:36 *1443:B 0.000137839
63 *172:36 *1461:A0 0.000319954
64 *172:36 *1502:A1 0.00026818
65 *172:36 *1670:CLK 2.32311e-05
66 *172:36 *262:64 4.21279e-05
67 *172:36 *424:22 0
68 *172:36 *438:37 0.000360527
69 *172:36 *458:8 1.18938e-05
70 *172:38 *1353:B1 3.55968e-05
71 *172:38 *1442:A0 2.33193e-05
72 *172:38 *438:37 9.42726e-05
73 *544:DIODE *1549:B1 0.000160617
74 *1653:D *172:36 7.77309e-06
75 *1674:D *172:32 0
76 *1675:D *172:32 0.000221379
*RES
1 *1320:X *172:11 40.9307
2 *172:11 *1521:C1 9.82786
3 *172:11 *172:32 41.7421
4 *172:32 *172:36 21.8707
5 *172:36 *172:38 2.03962
6 *172:38 *1380:C1 14.8512
7 *172:38 *1359:C1 26.2505
8 *172:36 *1549:B1 33.9801
9 *172:32 *1321:A 10.5271
*END
*D_NET *173 0.00141446
*CONN
*I *1324:A2 I *D sky130_fd_sc_hd__a21o_1
*I *1322:X O *D sky130_fd_sc_hd__a22o_1
*CAP
1 *1324:A2 0.000381192
2 *1322:X 0.000381192
3 *1324:A2 *1322:B1 3.07848e-05
4 *1324:A2 *1323:A1 0.000242819
5 *1324:A2 *185:13 0.000306568
6 *1324:A2 *199:16 7.19015e-05
7 *1324:A2 *271:39 0
*RES
1 *1322:X *1324:A2 37.5282
*END
*D_NET *174 0.000984872
*CONN
*I *1324:B1 I *D sky130_fd_sc_hd__a21o_1
*I *1323:X O *D sky130_fd_sc_hd__a22o_1
*CAP
1 *1324:B1 0.000188037
2 *1323:X 0.000188037
3 *1324:B1 *185:51 0.000160617
4 *1324:B1 *206:10 4.31539e-05
5 *1324:B1 *248:15 0.000144546
6 *1324:B1 *434:28 0.000144546
7 *1324:B1 *455:7 0.000115934
*RES
1 *1323:X *1324:B1 33.2714
*END
*D_NET *175 0.00436835
*CONN
*I *1467:B I *D sky130_fd_sc_hd__and3_1
*I *1544:A2 I *D sky130_fd_sc_hd__a31o_1
*I *1347:A_N I *D sky130_fd_sc_hd__and2b_1
*I *1327:A I *D sky130_fd_sc_hd__or2b_1
*I *1522:A2 I *D sky130_fd_sc_hd__o211a_1
*I *1325:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *1467:B 0.000184431
2 *1544:A2 0
3 *1347:A_N 0
4 *1327:A 0.00013496
5 *1522:A2 0.000117129
6 *1325:X 1.59645e-05
7 *175:37 0.000332605
8 *175:10 0.00037275
9 *175:8 0.000805455
10 *175:7 0.000848933
11 *1327:A *517:31 0.000169041
12 *1467:B *443:35 0
13 *1522:A2 *312:31 4.58003e-05
14 *1522:A2 *477:14 4.31539e-05
15 *1522:A2 *491:8 0.000116971
16 *175:7 *1345:A1 6.50727e-05
17 *175:7 *193:20 1.43983e-05
18 *175:8 *183:9 0
19 *175:8 *193:6 6.60196e-05
20 *175:8 *193:20 3.92275e-05
21 *175:8 *443:35 0
22 *175:8 *502:17 0.000111621
23 *175:10 *502:17 5.62332e-05
24 *175:37 *443:35 0
25 *1677:D *175:10 2.97007e-05
26 *1:14 *1467:B 9.25517e-05
27 *1:14 *1522:A2 4.70005e-05
28 *1:14 *175:8 0.000461296
29 *1:14 *175:10 0.000121726
30 *1:14 *175:37 7.63106e-05
*RES
1 *1325:X *175:7 14.4725
2 *175:7 *175:8 20.1031
3 *175:8 *175:10 4.73876
4 *175:10 *1522:A2 17.8531
5 *175:10 *1327:A 16.3145
6 *175:8 *1347:A_N 13.7491
7 *175:7 *175:37 3.493
8 *175:37 *1544:A2 13.7491
9 *175:37 *1467:B 18.0727
*END
*D_NET *176 0.0055065
*CONN
*I *1467:A I *D sky130_fd_sc_hd__and3_1
*I *1544:A1 I *D sky130_fd_sc_hd__a31o_1
*I *1347:B I *D sky130_fd_sc_hd__and2b_1
*I *1327:B_N I *D sky130_fd_sc_hd__or2b_1
*I *1522:C1 I *D sky130_fd_sc_hd__o211a_1
*I *1326:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *1467:A 0.000150101
2 *1544:A1 5.66388e-05
3 *1347:B 0
4 *1327:B_N 4.53836e-05
5 *1522:C1 0.000148321
6 *1326:X 6.91127e-05
7 *176:33 0.000378698
8 *176:12 0.000318599
9 *176:8 0.000675372
10 *176:7 0.000791549
11 *1327:B_N *517:31 5.0715e-05
12 *1467:A *1467:C 0.000107496
13 *1467:A *1540:S 3.07924e-05
14 *1467:A *1544:B1 1.09738e-05
15 *1467:A *1735:A 3.82228e-05
16 *1467:A *484:15 0.000167433
17 *1522:C1 *1522:B1 5.22654e-06
18 *1522:C1 *517:31 0
19 *1544:A1 *1544:A3 7.97098e-06
20 *1544:A1 *1544:B1 0.000107496
21 *1544:A1 *271:17 0.000163163
22 *176:7 *187:25 0.000406808
23 *176:7 *329:5 0.000406808
24 *176:8 *1355:A 3.14544e-05
25 *176:8 *1540:S 6.08697e-06
26 *176:8 *484:15 0.000141935
27 *176:8 *498:21 8.26576e-05
28 *176:8 *502:27 5.50603e-05
29 *176:8 *502:42 5.98821e-05
30 *176:33 *1540:S 1.89195e-05
31 *176:33 *1544:B1 0.000112955
32 *176:33 *484:15 0.00033721
33 *1639:D *1522:C1 3.20069e-06
34 *1639:D *176:8 2.18741e-05
35 *1639:D *176:12 6.51589e-05
36 *1:14 *1522:C1 4.89985e-05
37 *1:14 *176:8 0.000279513
38 *1:14 *176:12 0.000104718
*RES
1 *1326:X *176:7 18.3548
2 *176:7 *176:8 15.9506
3 *176:8 *176:12 4.64105
4 *176:12 *1522:C1 17.6574
5 *176:12 *1327:B_N 15.0271
6 *176:8 *1347:B 13.7491
7 *176:7 *176:33 6.39977
8 *176:33 *1544:A1 16.1605
9 *176:33 *1467:A 18.5201
*END
*D_NET *177 0.00556009
*CONN
*I *1358:B1 I *D sky130_fd_sc_hd__a31o_1
*I *1379:B1 I *D sky130_fd_sc_hd__a31o_1
*I *1370:B I *D sky130_fd_sc_hd__and2_1
*I *1328:A I *D sky130_fd_sc_hd__clkbuf_2
*I *1381:B I *D sky130_fd_sc_hd__and2_1
*I *1327:X O *D sky130_fd_sc_hd__or2b_1
*CAP
1 *1358:B1 0.000128078
2 *1379:B1 4.04225e-05
3 *1370:B 0.000129182
4 *1328:A 0
5 *1381:B 0.000303223
6 *1327:X 0.000430039
7 *177:41 0.000263943
8 *177:28 0.000486107
9 *177:8 0.00032507
10 *177:7 0.000713369
11 *1358:B1 *1335:A 0.000118073
12 *1358:B1 *1363:A1 1.0586e-05
13 *1358:B1 *1384:B1 2.27135e-05
14 *1358:B1 *204:37 2.70261e-05
15 *1358:B1 *221:26 1.91391e-05
16 *1370:B *1370:A 0.000249688
17 *1370:B *1373:B1 3.8122e-05
18 *1370:B *1373:C1 0.000107496
19 *1370:B *178:38 3.74542e-05
20 *1379:B1 *1379:A1 0.000207266
21 *1379:B1 *1379:A2 0.000207266
22 *1381:B *1381:A 0.000659828
23 *1381:B *1641:CLK 0.000110684
24 *1381:B *178:16 6.44896e-05
25 *1381:B *221:26 2.22342e-05
26 *1381:B *312:31 6.44979e-05
27 *1381:B *500:5 6.50586e-05
28 *177:7 *1377:A1 5.05841e-05
29 *177:7 *1377:A2 2.65831e-05
30 *177:7 *1377:B1 1.71154e-05
31 *177:7 *517:31 5.99529e-06
32 *177:8 *178:16 3.67528e-06
33 *177:8 *178:38 1.45944e-05
34 *177:8 *221:26 2.19131e-05
35 *177:28 *178:38 7.57203e-05
36 *177:28 *180:31 5.60269e-05
37 *177:28 *221:26 0.000111602
38 *177:41 *1339:B 2.1203e-06
39 *177:41 *1379:A1 8.3506e-05
40 *177:41 *178:38 0.000135946
41 *177:41 *180:31 6.46844e-06
42 *1639:D *177:7 7.58194e-05
43 *1641:D *1381:B 2.13584e-05
*RES
1 *1327:X *177:7 20.5732
2 *177:7 *177:8 1.00149
3 *177:8 *1381:B 26.03
4 *177:8 *1328:A 13.7491
5 *177:7 *177:28 7.48675
6 *177:28 *1370:B 18.6181
7 *177:28 *177:41 2.87013
8 *177:41 *1379:B1 16.1364
9 *177:41 *1358:B1 21.2977
*END
*D_NET *178 0.0190561
*CONN
*I *1351:B I *D sky130_fd_sc_hd__and2_1
*I *1360:B I *D sky130_fd_sc_hd__and2_1
*I *1549:A1 I *D sky130_fd_sc_hd__o31ai_1
*I *1365:B I *D sky130_fd_sc_hd__and2_1
*I *1329:B I *D sky130_fd_sc_hd__and2_1
*I *1328:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *1351:B 0.000633975
2 *1360:B 2.55197e-05
3 *1549:A1 0
4 *1365:B 0
5 *1329:B 0.000311125
6 *1328:X 2.31818e-05
7 *178:50 0.0018108
8 *178:38 0.0022131
9 *178:16 0.00237861
10 *178:7 0.00315245
11 *1351:B *1351:A 0.000188471
12 *1351:B *1531:A1 0.000188471
13 *1351:B *475:21 0
14 *1351:B *486:23 0.001514
15 *1360:B *1362:A1 4.23622e-05
16 *178:7 *1377:A1 6.50586e-05
17 *178:16 *1350:A2 0.000338707
18 *178:16 *1365:A 1.91391e-05
19 *178:16 *1377:A1 6.08697e-06
20 *178:16 *1448:A1 0.000214025
21 *178:16 *1638:CLK 4.75721e-06
22 *178:16 *429:15 0
23 *178:38 *1339:B 5.24794e-05
24 *178:38 *1344:A 5.66868e-06
25 *178:38 *1371:B2 5.84166e-05
26 *178:38 *1377:A1 5.29763e-05
27 *178:38 *1517:A 0
28 *178:38 *1549:A2 8.41713e-05
29 *178:38 *1551:A 6.08467e-05
30 *178:38 *1559:A1 0.000164791
31 *178:38 *187:47 1.84664e-05
32 *178:38 *189:12 0.000266118
33 *178:38 *191:8 3.91786e-05
34 *178:38 *197:16 0.000178804
35 *178:38 *197:30 1.04747e-05
36 *178:38 *330:6 0.000105227
37 *178:38 *330:11 0.000188416
38 *178:38 *348:5 0.000164815
39 *178:38 *442:22 0.000493477
40 *178:50 *1353:A1 0
41 *178:50 *1378:B1 0.000136782
42 *178:50 *1380:A2 0
43 *178:50 *1538:A 1.02986e-05
44 *178:50 *1549:A2 6.08467e-05
45 *178:50 *1551:A 5.08751e-05
46 *178:50 *1553:B1_N 4.23733e-05
47 *178:50 *1559:A1 0.000122725
48 *178:50 *198:41 0
49 *178:50 *272:15 6.1449e-05
50 *178:50 *475:21 0
51 *178:50 *486:23 0.00189655
52 io_wbs_data_o[14] *1351:B 4.50048e-05
53 *548:DIODE *1360:B 1.2977e-05
54 *1370:B *178:38 3.74542e-05
55 *1381:B *178:16 6.44896e-05
56 *1549:B1 *178:38 2.40884e-05
57 *1641:D *178:16 6.37831e-05
58 *52:15 *178:16 0.00112232
59 *177:8 *178:16 3.67528e-06
60 *177:8 *178:38 1.45944e-05
61 *177:28 *178:38 7.57203e-05
62 *177:41 *178:38 0.000135946
*RES
1 *1328:X *178:7 14.4725
2 *178:7 *178:16 20.6008
3 *178:16 *1329:B 16.1214
4 *178:16 *1365:B 9.24915
5 *178:7 *178:38 38.8131
6 *178:38 *1549:A1 9.24915
7 *178:38 *178:50 14.9871
8 *178:50 *1360:B 17.9118
9 *178:50 *1351:B 22.4419
*END
*D_NET *179 0.000217228
*CONN
*I *1350:A1 I *D sky130_fd_sc_hd__o21a_1
*I *1329:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *1350:A1 9.90447e-05
2 *1329:X 9.90447e-05
3 *1350:A1 *1329:A 0
4 *1350:A1 *1350:A2 1.91391e-05
5 *1350:A1 *1369:A1 0
6 io_wbs_data_o[0] *1350:A1 0
*RES
1 *1329:X *1350:A1 29.7455
*END
*D_NET *180 0.0116941
*CONN
*I *1332:B I *D sky130_fd_sc_hd__and3b_1
*I *1341:C I *D sky130_fd_sc_hd__and4_1
*I *1343:B I *D sky130_fd_sc_hd__and4b_1
*I *1337:C I *D sky130_fd_sc_hd__and4b_2
*I *1355:B I *D sky130_fd_sc_hd__and4_1
*I *1330:Y O *D sky130_fd_sc_hd__nor2_2
*CAP
1 *1332:B 2.06324e-05
2 *1341:C 0
3 *1343:B 0.000344161
4 *1337:C 0.000218939
5 *1355:B 0.000556613
6 *1330:Y 0.00197029
7 *180:46 0.000458878
8 *180:33 0.000390505
9 *180:31 0.000461009
10 *180:9 0.00291043
11 *1332:B *1339:A 0
12 *1337:C *1332:A_N 0.000161243
13 *1337:C *443:35 0.000123251
14 *1343:B *1341:A 3.73375e-05
15 *1343:B *1343:A_N 2.65667e-05
16 *1343:B *1343:C 6.37576e-05
17 *1355:B *1335:A 9.36191e-05
18 *1355:B *1355:C 3.28433e-06
19 *1355:B *1363:A1 0
20 *1355:B *184:11 8.60778e-05
21 *1355:B *498:21 1.07476e-05
22 *1355:B *517:31 1.1933e-05
23 *180:9 *1335:A 0.000107178
24 *180:9 *1350:A2 0
25 *180:9 *1361:B1 0
26 *180:9 *1526:B 0.000183563
27 *180:9 *1634:CLK 0.000322156
28 *180:9 *1777:A 3.4693e-05
29 *180:9 *197:30 0.00176914
30 *180:9 *456:39 4.77968e-05
31 *180:31 *1339:A 0.000107496
32 *180:31 *1339:B 7.74511e-05
33 *180:31 *1358:A1 6.50586e-05
34 *180:31 *1379:A1 2.80017e-05
35 *180:31 *1379:A2 5.04829e-06
36 *180:31 *1384:B1 9.60216e-05
37 *180:31 *182:29 2.77625e-06
38 *180:31 *221:26 0.000342649
39 *180:31 *443:35 5.07314e-05
40 *180:33 *443:35 3.82228e-05
41 *180:46 *1341:B 6.65668e-05
42 *180:46 *1355:C 8.92053e-05
43 *180:46 *181:20 5.97908e-05
44 *180:46 *498:21 0
45 *531:DIODE *180:9 6.79169e-05
46 *1359:C1 *180:9 2.95383e-05
47 *1638:D *180:9 7.20547e-05
48 *1639:D *1355:B 1.92606e-05
49 *177:28 *180:31 5.60269e-05
50 *177:41 *180:31 6.46844e-06
*RES
1 *1330:Y *180:9 30.9326
2 *180:9 *1355:B 21.2181
3 *180:9 *180:31 27.3124
4 *180:31 *180:33 1.278
5 *180:33 *1337:C 14.9881
6 *180:33 *180:46 12.0778
7 *180:46 *1343:B 14.4094
8 *180:46 *1341:C 9.24915
9 *180:31 *1332:B 9.82786
*END
*D_NET *181 0.0053533
*CONN
*I *1341:D I *D sky130_fd_sc_hd__and4_1
*I *1332:C I *D sky130_fd_sc_hd__and3b_1
*I *1337:D I *D sky130_fd_sc_hd__and4b_2
*I *1355:C I *D sky130_fd_sc_hd__and4_1
*I *1343:C I *D sky130_fd_sc_hd__and4b_1
*I *1331:Y O *D sky130_fd_sc_hd__nor3_1
*CAP
1 *1341:D 0
2 *1332:C 4.82591e-05
3 *1337:D 0.00013033
4 *1355:C 0.0003109
5 *1343:C 0.000230569
6 *1331:Y 0.000443924
7 *181:35 0.000178589
8 *181:20 0.000379434
9 *181:17 0.000112411
10 *181:7 0.000718369
11 *1332:C *443:35 1.65872e-05
12 *1337:D *1341:B 0.000346648
13 *1337:D *186:9 0.000115934
14 *1337:D *443:35 0.000557376
15 *1343:C *1341:A 0.000110306
16 *1343:C *1343:A_N 6.50586e-05
17 *1343:C *484:15 0.000115934
18 *1355:C *182:8 8.12426e-06
19 *1355:C *183:21 3.84478e-05
20 *1355:C *183:31 6.41208e-05
21 *1355:C *203:9 0.000171567
22 *181:7 *1331:C 0.000181422
23 *181:7 *1371:B1 4.55972e-05
24 *181:7 *1371:B2 2.16355e-05
25 *181:7 *191:8 0.000133668
26 *181:7 *203:9 7.68538e-06
27 *181:7 *330:30 0.000457683
28 *181:17 *203:9 1.41976e-05
29 *181:20 *1341:B 1.67504e-05
30 *181:20 *1382:B1 3.3239e-06
31 *181:20 *203:9 9.24123e-05
32 *1343:B *1343:C 6.37576e-05
33 *1355:B *1355:C 3.28433e-06
34 *180:46 *1355:C 8.92053e-05
35 *180:46 *181:20 5.97908e-05
*RES
1 *1331:Y *181:7 21.6433
2 *181:7 *1343:C 16.0732
3 *181:7 *181:17 1.30211
4 *181:17 *181:20 6.74725
5 *181:20 *1355:C 20.9287
6 *181:20 *181:35 4.5
7 *181:35 *1337:D 16.0973
8 *181:35 *1332:C 9.97254
9 *181:17 *1341:D 9.24915
*END
*D_NET *182 0.00331559
*CONN
*I *1339:A I *D sky130_fd_sc_hd__and2_1
*I *1379:A2 I *D sky130_fd_sc_hd__a31o_1
*I *1358:A2 I *D sky130_fd_sc_hd__a31o_1
*I *1334:A I *D sky130_fd_sc_hd__nand2_1
*I *1332:X O *D sky130_fd_sc_hd__and3b_1
*CAP
1 *1339:A 0.000159684
2 *1379:A2 0.000144258
3 *1358:A2 0
4 *1334:A 0.000130941
5 *1332:X 0
6 *182:29 0.000231907
7 *182:8 0.000283949
8 *182:4 0.000225044
9 *1334:A *1358:A1 0.000420068
10 *1334:A *183:21 0.000276376
11 *1334:A *183:31 0.000138481
12 *1334:A *502:17 0.000113968
13 *1379:A2 *1358:A1 1.09551e-05
14 *1379:A2 *1358:A3 1.8254e-05
15 *1379:A2 *1379:A1 3.31745e-05
16 *1379:A2 *1379:A3 2.61557e-05
17 *1379:A2 *183:31 7.68538e-06
18 *1379:A2 *183:33 9.95922e-06
19 *1379:A2 *206:10 0.000304777
20 *182:8 *1384:B1 0.000118485
21 *182:8 *203:9 3.34802e-05
22 *182:29 *1358:A1 3.51717e-05
23 *182:29 *183:31 0.000227957
24 *182:29 *183:33 3.41459e-05
25 *1332:B *1339:A 0
26 *1355:C *182:8 8.12426e-06
27 *1379:B1 *1379:A2 0.000207266
28 *180:31 *1339:A 0.000107496
29 *180:31 *1379:A2 5.04829e-06
30 *180:31 *182:29 2.77625e-06
*RES
1 *1332:X *182:4 9.24915
2 *182:4 *182:8 11.2472
3 *182:8 *1334:A 17.5923
4 *182:8 *182:29 3.95456
5 *182:29 *1358:A2 9.24915
6 *182:29 *1379:A2 14.9881
7 *182:4 *1339:A 12.0704
*END
*D_NET *183 0.00444111
*CONN
*I *1334:B I *D sky130_fd_sc_hd__nand2_1
*I *1379:A3 I *D sky130_fd_sc_hd__a31o_1
*I *1358:A3 I *D sky130_fd_sc_hd__a31o_1
*I *1339:B I *D sky130_fd_sc_hd__and2_1
*I *1355:D I *D sky130_fd_sc_hd__and4_1
*I *1333:Y O *D sky130_fd_sc_hd__nor3b_2
*CAP
1 *1334:B 0
2 *1379:A3 0.000334761
3 *1358:A3 2.21412e-06
4 *1339:B 0.000122344
5 *1355:D 0
6 *1333:Y 0.000398603
7 *183:33 0.000148898
8 *183:31 0.000644884
9 *183:21 0.000447388
10 *183:9 0.000560208
11 *1339:B *187:47 1.06866e-05
12 *1339:B *204:37 4.23622e-05
13 *1339:B *221:26 3.56997e-05
14 *1379:A3 *1379:A1 0.000338125
15 *1379:A3 *206:10 1.65872e-05
16 *183:9 *1336:A_N 0
17 *183:9 *1358:A1 6.44576e-05
18 *183:9 *187:10 3.5534e-06
19 *183:9 *484:28 7.26959e-06
20 *183:9 *501:16 0
21 *183:9 *502:17 0.000110701
22 *183:21 *1355:A 6.08467e-05
23 *183:21 *184:11 9.82295e-05
24 *183:21 *502:17 1.96574e-05
25 *1334:A *183:21 0.000276376
26 *1334:A *183:31 0.000138481
27 *1355:C *183:21 3.84478e-05
28 *1355:C *183:31 6.41208e-05
29 *1379:A2 *1358:A3 1.8254e-05
30 *1379:A2 *1379:A3 2.61557e-05
31 *1379:A2 *183:31 7.68538e-06
32 *1379:A2 *183:33 9.95922e-06
33 *175:8 *183:9 0
34 *177:41 *1339:B 2.1203e-06
35 *178:38 *1339:B 5.24794e-05
36 *180:31 *1339:B 7.74511e-05
37 *182:29 *183:31 0.000227957
38 *182:29 *183:33 3.41459e-05
*RES
1 *1333:Y *183:9 28.2875
2 *183:9 *183:21 6.84815
3 *183:21 *1355:D 9.24915
4 *183:21 *183:31 6.31766
5 *183:31 *183:33 0.988641
6 *183:33 *1339:B 22.3467
7 *183:33 *1358:A3 9.46616
8 *183:31 *1379:A3 15.5668
9 *183:9 *1334:B 9.24915
*END
*D_NET *184 0.0054879
*CONN
*I *1373:A2 I *D sky130_fd_sc_hd__o211a_1
*I *1335:A I *D sky130_fd_sc_hd__clkbuf_2
*I *1384:A2 I *D sky130_fd_sc_hd__o211a_1
*I *1334:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *1373:A2 4.52076e-05
2 *1335:A 0.000729894
3 *1384:A2 0.000146185
4 *1334:Y 0.000261536
5 *184:13 0.000876079
6 *184:11 0.000306744
7 *1335:A *523:DIODE 3.50347e-05
8 *1335:A *1323:B2 2.1203e-06
9 *1335:A *1363:A1 0
10 *1335:A *1372:C1 7.09666e-06
11 *1335:A *1384:B1 3.55859e-05
12 *1335:A *1538:B 0.000107169
13 *1335:A *1690:CLK 9.34502e-05
14 *1335:A *187:65 5.04829e-06
15 *1335:A *197:30 0.000655322
16 *1335:A *204:37 0
17 *1335:A *271:39 0
18 *1335:A *455:8 3.21991e-05
19 *1373:A2 *1370:A 1.00846e-05
20 *1373:A2 *1373:B1 3.75603e-05
21 *1373:A2 *1379:A1 0.000160617
22 *1373:A2 *517:31 9.75243e-05
23 *1384:A2 *1377:A2 0.000172691
24 *1384:A2 *1384:A1 0.000159938
25 *1384:A2 *1384:B1 0.000229397
26 *1384:A2 *1384:C1 3.01683e-06
27 *1384:A2 *197:16 5.07314e-05
28 *1384:A2 *221:26 5.68225e-06
29 *1384:A2 *517:31 1.1187e-05
30 *184:11 *1355:A 6.50586e-05
31 *184:11 *1370:A 3.7003e-05
32 *184:11 *1379:A1 0.00036654
33 *184:11 *498:21 7.19439e-05
34 *184:11 *517:31 0.000167076
35 *1355:B *1335:A 9.36191e-05
36 *1355:B *184:11 8.60778e-05
37 *1358:B1 *1335:A 0.000118073
38 *180:9 *1335:A 0.000107178
39 *183:21 *184:11 9.82295e-05
*RES
1 *1334:Y *184:11 26.5194
2 *184:11 *184:13 4.5
3 *184:13 *1384:A2 20.3446
4 *184:13 *1335:A 29.3606
5 *184:11 *1373:A2 11.6605
*END
*D_NET *185 0.00998123
*CONN
*I *1363:A2 I *D sky130_fd_sc_hd__o211a_1
*I *1368:A2 I *D sky130_fd_sc_hd__o211a_1
*I *1353:A2 I *D sky130_fd_sc_hd__o211a_1
*I *1549:A2 I *D sky130_fd_sc_hd__o31ai_1
*I *1349:A2 I *D sky130_fd_sc_hd__o211a_1
*I *1335:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *1363:A2 0.000228091
2 *1368:A2 0.000660311
3 *1353:A2 2.15683e-05
4 *1549:A2 0.000310681
5 *1349:A2 6.30495e-05
6 *1335:X 0.000185376
7 *185:51 0.00121355
8 *185:15 0.000500464
9 *185:13 0.000624289
10 *185:5 0.000986506
11 *1349:A2 *1349:A1 3.85049e-05
12 *1349:A2 *271:39 2.47918e-05
13 *1353:A2 *1353:B1 4.31603e-06
14 *1363:A2 *1359:B1 0.000186598
15 *1363:A2 *1363:A1 3.63769e-05
16 *1363:A2 *1745:A 0.000390632
17 *1363:A2 *188:16 5.88009e-05
18 *1363:A2 *436:22 6.28168e-05
19 *1368:A2 *1359:B1 0.000310109
20 *1368:A2 *1363:C1 9.734e-06
21 *1368:A2 *1368:A1 3.47021e-05
22 *1368:A2 *1368:C1 0.000553159
23 *1368:A2 *1745:A 0.000144546
24 *1368:A2 *198:25 0
25 *1368:A2 *428:21 1.08142e-05
26 *1549:A2 *1349:A1 6.82304e-05
27 *1549:A2 *1349:B1 1.06899e-05
28 *1549:A2 *1378:A1 1.04624e-05
29 *1549:A2 *1538:A 0
30 *1549:A2 *1551:A 4.0752e-05
31 *1549:A2 *1551:B 5.47232e-06
32 *1549:A2 *1559:A1 0.000181696
33 *1549:A2 *271:39 8.6953e-05
34 *1549:A2 *272:15 3.34025e-05
35 *1549:A2 *272:35 0.000217937
36 *185:5 *1323:A2 6.08467e-05
37 *185:5 *1323:B1 4.44609e-05
38 *185:5 *1323:B2 6.53312e-05
39 *185:13 *1323:A1 8.13225e-05
40 *185:13 *1353:B1 3.99086e-06
41 *185:13 *1378:A2 0.000123405
42 *185:13 *1739:A 0.000147736
43 *185:13 *188:8 6.22259e-05
44 *185:13 *188:39 0.000290066
45 *185:13 *199:16 0.000143128
46 *185:15 *1349:A1 0.000110306
47 *185:15 *1353:B1 7.15752e-05
48 *185:15 *199:16 0.000221598
49 *185:51 *1323:A2 0.000360159
50 *185:51 *1323:B1 9.80242e-07
51 *185:51 *1366:A2 1.80257e-05
52 *185:51 *1367:B1 4.56853e-05
53 *1324:A2 *185:13 0.000306568
54 *1324:B1 *185:51 0.000160617
55 *1359:C1 *1368:A2 0.000108106
56 *1549:B1 *1549:A2 9.46984e-05
57 *178:38 *1549:A2 8.41713e-05
58 *178:50 *1549:A2 6.08467e-05
*RES
1 *1335:X *185:5 13.8548
2 *185:5 *185:13 23.7612
3 *185:13 *185:15 4.60562
4 *185:15 *1349:A2 11.3108
5 *185:15 *1549:A2 28.5889
6 *185:13 *1353:A2 9.82786
7 *185:5 *185:51 12.0715
8 *185:51 *1368:A2 29.3414
9 *185:51 *1363:A2 21.8099
*END
*D_NET *186 0.00252614
*CONN
*I *1341:B I *D sky130_fd_sc_hd__and4_1
*I *1337:B I *D sky130_fd_sc_hd__and4b_2
*I *1336:X O *D sky130_fd_sc_hd__and3b_1
*CAP
1 *1341:B 0.000322835
2 *1337:B 0
3 *1336:X 0.000438951
4 *186:9 0.000761787
5 *1341:B *498:21 1.95977e-05
6 *186:9 *1333:C_N 6.49003e-05
7 *186:9 *187:10 0.000235931
8 *186:9 *443:35 5.75508e-05
9 *186:9 *484:28 7.86847e-05
10 *1337:D *1341:B 0.000346648
11 *1337:D *186:9 0.000115934
12 *180:46 *1341:B 6.65668e-05
13 *181:20 *1341:B 1.67504e-05
*RES
1 *1336:X *186:9 26.4899
2 *186:9 *1337:B 9.24915
3 *186:9 *1341:B 24.5474
*END
*D_NET *187 0.0104283
*CONN
*I *1372:A2 I *D sky130_fd_sc_hd__a211o_1
*I *1352:B1 I *D sky130_fd_sc_hd__a221o_1
*I *1338:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *1383:A2 I *D sky130_fd_sc_hd__a211o_1
*I *1439:C I *D sky130_fd_sc_hd__nand3_1
*I *1337:X O *D sky130_fd_sc_hd__and4b_2
*CAP
1 *1372:A2 4.21579e-05
2 *1352:B1 0.000587034
3 *1338:A 0
4 *1383:A2 1.69555e-05
5 *1439:C 7.8835e-05
6 *1337:X 0.000277641
7 *187:65 0.00123943
8 *187:47 0.0011312
9 *187:25 0.000786462
10 *187:10 0.00068934
11 *1352:B1 *1352:A1 3.55968e-05
12 *1352:B1 *1357:B2 0.000171206
13 *1352:B1 *1366:B2 0.000266977
14 *1352:B1 *1380:A2 4.15936e-06
15 *1352:B1 *1468:A1 6.78765e-05
16 *1352:B1 *1504:A 5.22654e-06
17 *1352:B1 *1745:A 6.01588e-05
18 *1352:B1 *188:8 0.000203595
19 *1352:B1 *190:57 2.58086e-05
20 *1352:B1 *223:17 3.52699e-05
21 *1352:B1 *330:30 0.000535951
22 *1352:B1 *428:33 3.90689e-06
23 *1352:B1 *434:28 8.77941e-05
24 *1352:B1 *438:37 9.1307e-05
25 *1372:A2 *1372:A1 6.08467e-05
26 *1383:A2 *1383:A1 1.09551e-05
27 *1439:C *1326:A 0.000390932
28 *1439:C *1523:B 0.000165651
29 *1439:C *1523:C 1.41689e-05
30 *1439:C *329:5 0.000224381
31 *1439:C *483:41 7.24449e-05
32 *187:10 *1333:C_N 6.92705e-05
33 *187:10 *443:35 0.00023961
34 *187:10 *484:28 0
35 *187:25 *1383:A1 9.14669e-05
36 *187:25 *1523:C 0.000217937
37 *187:25 *1524:A 0.000517261
38 *187:25 *1543:A 8.01648e-05
39 *187:25 *329:5 0.000153575
40 *187:47 *1383:A1 2.16355e-05
41 *187:47 *1524:A 0.000101925
42 *187:47 *1543:A 1.99131e-05
43 *187:47 *189:12 8.13519e-05
44 *187:47 *191:8 0.00016386
45 *187:47 *204:10 6.98049e-05
46 *187:47 *204:37 4.84568e-05
47 *187:65 *523:DIODE 9.15727e-05
48 *187:65 *1323:B2 1.49935e-05
49 *187:65 *1331:B 8.96465e-06
50 *187:65 *1372:A1 0.000116175
51 *187:65 *1372:C1 5.39368e-05
52 *187:65 *1690:CLK 1.38595e-05
53 *187:65 *271:39 4.0143e-05
54 *187:65 *330:30 3.03403e-05
55 *187:65 *474:14 3.5534e-06
56 *187:65 *504:19 0.000114716
57 *1335:A *187:65 5.04829e-06
58 *1339:B *187:47 1.06866e-05
59 *176:7 *187:25 0.000406808
60 *178:38 *187:47 1.84664e-05
61 *183:9 *187:10 3.5534e-06
62 *186:9 *187:10 0.000235931
*RES
1 *1337:X *187:10 26.6209
2 *187:10 *1439:C 16.0973
3 *187:10 *187:25 14.2509
4 *187:25 *1383:A2 9.82786
5 *187:25 *187:47 21.4196
6 *187:47 *187:65 22.2598
7 *187:65 *1338:A 9.24915
8 *187:65 *1352:B1 38.4122
9 *187:47 *1372:A2 10.5271
*END
*D_NET *188 0.00634344
*CONN
*I *1378:A2 I *D sky130_fd_sc_hd__a22o_1
*I *1346:A2 I *D sky130_fd_sc_hd__a211o_1
*I *1362:A2 I *D sky130_fd_sc_hd__a211o_1
*I *1367:A2 I *D sky130_fd_sc_hd__a211o_1
*I *1357:A2 I *D sky130_fd_sc_hd__a22o_1
*I *1338:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *1378:A2 0.000225466
2 *1346:A2 6.99589e-05
3 *1362:A2 3.23264e-05
4 *1367:A2 9.66639e-05
5 *1357:A2 1.2055e-05
6 *1338:X 9.56586e-05
7 *188:39 0.000487785
8 *188:23 0.000174541
9 *188:16 0.000536539
10 *188:8 0.000766952
11 *1346:A2 *1346:A1 0.000288678
12 *1346:A2 *1739:A 0.000107496
13 *1346:A2 *194:31 6.29154e-05
14 *1357:A2 *1357:A1 6.50727e-05
15 *1357:A2 *1361:A2 6.08467e-05
16 *1362:A2 *1357:A1 4.66492e-05
17 *1362:A2 *1361:A2 6.50727e-05
18 *1362:A2 *330:30 1.92172e-05
19 *1367:A2 *1357:A1 4.25398e-05
20 *1367:A2 *1361:B1 0
21 *1367:A2 *1636:CLK 0.000154047
22 *1367:A2 *190:57 3.23551e-05
23 *1378:A2 *1353:A1 2.02035e-05
24 *1378:A2 *1380:B1 9.60216e-05
25 *1378:A2 *1739:A 6.53385e-05
26 *1378:A2 *199:16 0.000112159
27 *1378:A2 *248:15 5.15445e-05
28 *1378:A2 *272:35 6.23875e-05
29 *188:8 *199:16 5.88009e-05
30 *188:8 *434:28 0.000207821
31 *188:16 *1363:A1 5.88009e-05
32 *188:16 *330:30 0.000578294
33 *188:23 *1357:A1 0.000188843
34 *188:23 *1361:A2 0.000200794
35 *188:39 *199:16 0.000300891
36 *1352:B1 *188:8 0.000203595
37 *1363:A2 *188:16 5.88009e-05
38 *1549:B1 *1378:A2 0.000160617
39 *185:13 *1378:A2 0.000123405
40 *185:13 *188:8 6.22259e-05
41 *185:13 *188:39 0.000290066
*RES
1 *1338:X *188:8 17.5531
2 *188:8 *188:16 21.7408
3 *188:16 *1357:A2 9.97254
4 *188:16 *188:23 2.38721
5 *188:23 *1367:A2 21.3269
6 *188:23 *1362:A2 10.5271
7 *188:8 *188:39 5.98452
8 *188:39 *1346:A2 17.2697
9 *188:39 *1378:A2 21.9815
*END
*D_NET *189 0.00554317
*CONN
*I *1352:C1 I *D sky130_fd_sc_hd__a221o_1
*I *1340:A I *D sky130_fd_sc_hd__clkbuf_2
*I *1339:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *1352:C1 0.000960933
2 *1340:A 0
3 *1339:X 0.000538374
4 *189:12 0.00149931
5 *1352:C1 *1346:B1 9.60366e-05
6 *1352:C1 *1352:A1 0.000107496
7 *1352:C1 *1352:B2 2.62482e-07
8 *1352:C1 *1364:A2 5.05252e-05
9 *1352:C1 *1636:CLK 5.05252e-05
10 *1352:C1 *1746:A 0.000115028
11 *1352:C1 *190:10 2.24484e-05
12 *1352:C1 *194:31 0.000641055
13 *1352:C1 *194:40 9.0148e-07
14 *1352:C1 *425:17 0.000265853
15 *1352:C1 *449:5 5.51483e-06
16 *189:12 *1345:B2 0.000211464
17 *189:12 *1383:C1 8.39671e-05
18 *189:12 *190:10 0.000117795
19 *189:12 *190:33 2.81678e-06
20 *189:12 *191:8 0.00016386
21 *189:12 *194:18 0.000258142
22 *189:12 *434:28 3.39313e-06
23 *178:38 *189:12 0.000266118
24 *187:47 *189:12 8.13519e-05
*RES
1 *1339:X *189:12 38.5017
2 *189:12 *1340:A 13.7491
3 *189:12 *1352:C1 40.7552
*END
*D_NET *190 0.00923259
*CONN
*I *1362:C1 I *D sky130_fd_sc_hd__a211o_1
*I *1367:C1 I *D sky130_fd_sc_hd__a211o_1
*I *1372:C1 I *D sky130_fd_sc_hd__a211o_1
*I *1346:B1 I *D sky130_fd_sc_hd__a211o_1
*I *1383:C1 I *D sky130_fd_sc_hd__a211o_1
*I *1340:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *1362:C1 0.000195324
2 *1367:C1 0.000113034
3 *1372:C1 0.000556176
4 *1346:B1 0.000104983
5 *1383:C1 0.000241464
6 *1340:X 0
7 *190:57 0.0010738
8 *190:33 0.00139756
9 *190:10 0.000513724
10 *190:4 0.000243217
11 *1346:B1 *1323:A1 0
12 *1346:B1 *1349:A1 0
13 *1346:B1 *271:39 0.00013543
14 *1362:C1 *1323:B1 0.000303917
15 *1362:C1 *1362:A1 9.91017e-05
16 *1362:C1 *1368:B1 3.12133e-05
17 *1362:C1 *1532:B 0
18 *1362:C1 *1533:A 6.92705e-05
19 *1362:C1 *330:30 7.92757e-06
20 *1362:C1 *426:26 7.77309e-06
21 *1362:C1 *437:18 5.04829e-06
22 *1367:C1 *1323:B1 0.000300565
23 *1367:C1 *1366:A1 0
24 *1367:C1 *1367:A1 6.08467e-05
25 *1367:C1 *1367:B1 3.63704e-05
26 *1367:C1 *1368:B1 3.072e-06
27 *1372:C1 *1323:B2 0.000117544
28 *1372:C1 *1363:A1 0.000118545
29 *1372:C1 *1690:CLK 5.95477e-05
30 *1372:C1 *223:17 0
31 *1372:C1 *495:16 0.000254316
32 *1383:C1 *1345:B2 6.23875e-05
33 *1383:C1 *1383:A1 1.03434e-05
34 *1383:C1 *1543:A 6.50727e-05
35 *1383:C1 *194:18 9.5562e-05
36 *1383:C1 *442:37 0.00078098
37 *190:10 *1345:B2 4.17467e-05
38 *190:10 *271:39 0.000101133
39 *190:33 *271:39 0
40 *190:33 *434:28 7.76831e-05
41 *190:57 *1357:A1 3.77568e-05
42 *190:57 *1361:A2 5.49916e-05
43 *190:57 *1361:B1 4.16644e-05
44 *190:57 *1636:CLK 1.50389e-06
45 *190:57 *223:17 0
46 *190:57 *495:16 0.00128313
47 *190:57 *504:19 8.66003e-05
48 *1335:A *1372:C1 7.09666e-06
49 *1352:B1 *190:57 2.58086e-05
50 *1352:C1 *1346:B1 9.60366e-05
51 *1352:C1 *190:10 2.24484e-05
52 *1367:A2 *190:57 3.23551e-05
53 *187:65 *1372:C1 5.39368e-05
54 *189:12 *1383:C1 8.39671e-05
55 *189:12 *190:10 0.000117795
56 *189:12 *190:33 2.81678e-06
*RES
1 *1340:X *190:4 9.24915
2 *190:4 *190:10 8.74331
3 *190:10 *1383:C1 23.9249
4 *190:10 *1346:B1 17.1444
5 *190:4 *190:33 9.49315
6 *190:33 *1372:C1 21.5012
7 *190:33 *190:57 13.8703
8 *190:57 *1367:C1 13.3243
9 *190:57 *1362:C1 24.7403
*END
*D_NET *191 0.00542602
*CONN
*I *1467:C I *D sky130_fd_sc_hd__and3_1
*I *1345:A2 I *D sky130_fd_sc_hd__a22o_1
*I *1341:X O *D sky130_fd_sc_hd__and4_1
*CAP
1 *1467:C 0.00085968
2 *1345:A2 0.000157375
3 *1341:X 0.000278714
4 *191:8 0.00129577
5 *1345:A2 *1344:A 0.000132351
6 *1345:A2 *1345:A1 0.000319864
7 *1345:A2 *1382:A2 0
8 *1345:A2 *1524:A 0.000107496
9 *1467:C *1541:A 2.65667e-05
10 *1467:C *1559:A1 2.41274e-06
11 *1467:C *1559:B1 0.000111708
12 *1467:C *1735:A 0.000177587
13 *1467:C *221:26 0.000315336
14 *1467:C *442:11 1.10258e-05
15 *1467:C *442:22 0.00031873
16 *191:8 *1371:B1 0.000164843
17 *191:8 *1383:B1 0.00012568
18 *191:8 *1524:A 2.04089e-05
19 *191:8 *203:9 6.50586e-05
20 *191:8 *203:21 0.000154145
21 *191:8 *221:26 3.63738e-05
22 *191:8 *442:22 0.000136827
23 *1467:A *1467:C 0.000107496
24 *178:38 *191:8 3.91786e-05
25 *181:7 *191:8 0.000133668
26 *187:47 *191:8 0.00016386
27 *189:12 *191:8 0.00016386
*RES
1 *1341:X *191:8 25.0304
2 *191:8 *1345:A2 20.2115
3 *191:8 *1467:C 32.3931
*END
*D_NET *192 0.00125775
*CONN
*I *1343:D I *D sky130_fd_sc_hd__and4b_1
*I *1342:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *1343:D 0.000457229
2 *1342:X 0.000457229
3 *1343:D *1336:A_N 0.000166206
4 *1343:D *1336:B 1.03403e-05
5 *1343:D *483:33 4.61732e-05
6 *1343:D *484:15 0.000120573
*RES
1 *1342:X *1343:D 38.7766
*END
*D_NET *193 0.00429167
*CONN
*I *1344:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *1382:A2 I *D sky130_fd_sc_hd__a22o_1
*I *1523:C I *D sky130_fd_sc_hd__and3_1
*I *1343:X O *D sky130_fd_sc_hd__and4b_1
*CAP
1 *1344:A 0.00024316
2 *1382:A2 2.06324e-05
3 *1523:C 0.000176828
4 *1343:X 9.97703e-05
5 *193:20 0.00110612
6 *193:6 0.00111892
7 *1344:A *1345:A1 7.92757e-06
8 *1344:A *1517:A 0.000143032
9 *1344:A *1524:A 0.000206099
10 *1344:A *330:6 0.000120584
11 *1523:C *1326:A 0.000263228
12 *193:6 *443:35 6.60196e-05
13 *193:20 *1325:A 5.04829e-06
14 *193:20 *1345:A1 0.000119945
15 *193:20 *1382:B1 6.50727e-05
16 *193:20 *443:35 3.95141e-05
17 *1345:A2 *1344:A 0.000132351
18 *1345:A2 *1382:A2 0
19 *1439:C *1523:C 1.41689e-05
20 *175:7 *193:20 1.43983e-05
21 *175:8 *193:6 6.60196e-05
22 *175:8 *193:20 3.92275e-05
23 *178:38 *1344:A 5.66868e-06
24 *187:25 *1523:C 0.000217937
*RES
1 *1343:X *193:6 16.8269
2 *193:6 *1523:C 19.2711
3 *193:6 *193:20 18.1716
4 *193:20 *1382:A2 9.82786
5 *193:20 *1344:A 25.5173
*END
*D_NET *194 0.00705041
*CONN
*I *1361:A2 I *D sky130_fd_sc_hd__a22o_1
*I *1366:A2 I *D sky130_fd_sc_hd__a22o_1
*I *1352:A2 I *D sky130_fd_sc_hd__a221o_1
*I *1345:B1 I *D sky130_fd_sc_hd__a22o_1
*I *1371:A2 I *D sky130_fd_sc_hd__a22o_1
*I *1344:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *1361:A2 0.000327846
2 *1366:A2 0.000133178
3 *1352:A2 0
4 *1345:B1 5.67103e-05
5 *1371:A2 0.000104897
6 *1344:X 0
7 *194:40 0.000647353
8 *194:31 0.000518595
9 *194:18 0.000524284
10 *194:4 0.000240205
11 *1345:B1 *1345:A1 9.95922e-06
12 *1345:B1 *1346:C1 2.16355e-05
13 *1361:A2 *1357:A1 1.65872e-05
14 *1361:A2 *1357:B1 5.04829e-06
15 *1361:A2 *1361:B2 5.05252e-05
16 *1361:A2 *1363:B1 0.000114086
17 *1361:A2 *1364:A2 5.04829e-06
18 *1361:A2 *1636:CLK 1.13071e-05
19 *1361:A2 *330:30 0.000393863
20 *1361:A2 *436:22 0.000253916
21 *1361:A2 *504:19 1.41706e-05
22 *1366:A2 *1323:B1 3.82228e-05
23 *1366:A2 *1363:C1 0.000178179
24 *1366:A2 *1367:B1 1.61631e-05
25 *1366:A2 *1745:A 0.000174638
26 *1371:A2 *1517:A 6.04584e-05
27 *1371:A2 *223:17 0.000174718
28 *1371:A2 *506:8 2.77419e-05
29 *194:18 *1345:B2 0.000162873
30 *194:18 *1690:CLK 0.000162873
31 *194:31 *1346:A1 3.5821e-05
32 *194:31 *1346:C1 7.89747e-05
33 *194:31 *1349:B1 2.42962e-05
34 *194:31 *1739:A 0.000158357
35 *194:31 *449:5 0.000302322
36 *194:40 *1363:C1 0.000170588
37 *194:40 *1745:A 0.000327252
38 *194:40 *198:40 3.26747e-05
39 *194:40 *449:5 1.67329e-05
40 *1346:A2 *194:31 6.29154e-05
41 *1352:C1 *194:31 0.000641055
42 *1352:C1 *194:40 9.0148e-07
43 *1357:A2 *1361:A2 6.08467e-05
44 *1362:A2 *1361:A2 6.50727e-05
45 *1383:C1 *194:18 9.5562e-05
46 *185:51 *1366:A2 1.80257e-05
47 *188:23 *1361:A2 0.000200794
48 *189:12 *194:18 0.000258142
49 *190:57 *1361:A2 5.49916e-05
*RES
1 *1344:X *194:4 9.24915
2 *194:4 *1371:A2 21.7421
3 *194:4 *194:18 15.0196
4 *194:18 *1345:B1 10.5513
5 *194:18 *194:31 13.0874
6 *194:31 *1352:A2 9.24915
7 *194:31 *194:40 11.0987
8 *194:40 *1366:A2 18.5201
9 *194:40 *1361:A2 33.953
*END
*D_NET *195 0.000942818
*CONN
*I *1346:C1 I *D sky130_fd_sc_hd__a211o_1
*I *1345:X O *D sky130_fd_sc_hd__a22o_1
*CAP
1 *1346:C1 0.00027955
2 *1345:X 0.00027955
3 *1346:C1 *1345:A1 0.000205419
4 *1346:C1 *1346:A1 5.43999e-06
5 *1346:C1 *1349:B1 7.22498e-05
6 *1345:B1 *1346:C1 2.16355e-05
7 *194:31 *1346:C1 7.89747e-05
*RES
1 *1345:X *1346:C1 25.3947
*END
*D_NET *196 0.00125055
*CONN
*I *1349:B1 I *D sky130_fd_sc_hd__o211a_1
*I *1346:X O *D sky130_fd_sc_hd__a211o_1
*CAP
1 *1349:B1 0.000449089
2 *1346:X 0.000449089
3 *1349:B1 *1346:A1 0.000165521
4 *1349:B1 *1349:C1 1.64979e-05
5 *1349:B1 *1538:A 8.36973e-06
6 *1349:B1 *1690:CLK 2.84577e-05
7 *1349:B1 *271:39 2.62932e-05
8 *1346:C1 *1349:B1 7.22498e-05
9 *1549:A2 *1349:B1 1.06899e-05
10 *194:31 *1349:B1 2.42962e-05
*RES
1 *1346:X *1349:B1 36.4237
*END
*D_NET *197 0.00954672
*CONN
*I *1363:C1 I *D sky130_fd_sc_hd__o211a_1
*I *1348:A I *D sky130_fd_sc_hd__clkbuf_2
*I *1368:C1 I *D sky130_fd_sc_hd__o211a_1
*I *1373:C1 I *D sky130_fd_sc_hd__o211a_1
*I *1384:C1 I *D sky130_fd_sc_hd__o211a_1
*I *1347:X O *D sky130_fd_sc_hd__and2b_1
*CAP
1 *1363:C1 0.000423233
2 *1348:A 4.82263e-05
3 *1368:C1 0.000201176
4 *1373:C1 3.40537e-05
5 *1384:C1 1.93962e-05
6 *1347:X 0.000113846
7 *197:30 0.00131017
8 *197:16 0.00092831
9 *197:5 0.000389968
10 *1348:A *1359:A2 6.08467e-05
11 *1348:A *1368:A1 0.000158357
12 *1348:A *198:7 7.92757e-06
13 *1363:C1 *1745:A 8.07572e-05
14 *1363:C1 *198:40 0.000479697
15 *1368:C1 *1359:A1 0.000175485
16 *1368:C1 *1359:A2 0.000319954
17 *1368:C1 *1368:A1 4.60283e-05
18 *1368:C1 *198:25 2.77419e-05
19 *1368:C1 *198:40 2.43314e-05
20 *1373:C1 *1370:A 1.41291e-05
21 *1373:C1 *1373:B1 0.000158357
22 *197:5 *1384:A1 0.000113402
23 *197:5 *516:26 0.000199855
24 *197:16 *1384:A1 1.777e-05
25 *197:16 *1385:A2 4.04995e-05
26 *197:16 *330:11 0.000171297
27 *197:16 *516:26 0.000201214
28 *197:30 *1361:B1 0
29 *197:30 *204:37 0
30 *197:30 *330:11 1.5714e-05
31 *1335:A *197:30 0.000655322
32 *1359:C1 *1363:C1 3.61977e-05
33 *1359:C1 *1368:C1 2.1203e-06
34 *1359:C1 *197:30 4.00225e-05
35 *1366:A2 *1363:C1 0.000178179
36 *1368:A2 *1363:C1 9.734e-06
37 *1368:A2 *1368:C1 0.000553159
38 *1370:B *1373:C1 0.000107496
39 *1384:A2 *1384:C1 3.01683e-06
40 *1384:A2 *197:16 5.07314e-05
41 *178:38 *197:16 0.000178804
42 *178:38 *197:30 1.04747e-05
43 *180:9 *197:30 0.00176914
44 *194:40 *1363:C1 0.000170588
*RES
1 *1347:X *197:5 14.4094
2 *197:5 *1384:C1 9.82786
3 *197:5 *197:16 13.7078
4 *197:16 *1373:C1 15.5817
5 *197:16 *197:30 9.53726
6 *197:30 *1368:C1 23.1179
7 *197:30 *1348:A 15.5817
8 *197:30 *1363:C1 27.2082
*END
*D_NET *198 0.0125074
*CONN
*I *1380:A2 I *D sky130_fd_sc_hd__o221a_1
*I *1353:C1 I *D sky130_fd_sc_hd__o211a_1
*I *1349:C1 I *D sky130_fd_sc_hd__o211a_1
*I *1359:A2 I *D sky130_fd_sc_hd__o221a_1
*I *1410:A2 I *D sky130_fd_sc_hd__o21a_1
*I *1348:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *1380:A2 0.000117181
2 *1353:C1 4.34304e-05
3 *1349:C1 0.000231371
4 *1359:A2 6.74851e-05
5 *1410:A2 0.00106748
6 *1348:X 5.15846e-05
7 *198:41 0.000605334
8 *198:40 0.00110389
9 *198:25 0.000751363
10 *198:14 0.00180627
11 *198:7 0.000818076
12 *1349:C1 *1353:A1 0.000598986
13 *1349:C1 *1468:A1 0.000109043
14 *1349:C1 *1538:A 4.70498e-05
15 *1353:C1 *1323:A1 1.22858e-05
16 *1353:C1 *1349:A1 1.05272e-06
17 *1359:A2 *1368:A1 0.000129801
18 *1380:A2 *1380:A1 1.57662e-05
19 *1380:A2 *1468:A1 5.27343e-05
20 *1380:A2 *475:21 9.47861e-05
21 *1410:A2 *1410:B1 8.62625e-06
22 *1410:A2 *480:20 0.00143298
23 *198:14 *1456:A 4.46283e-05
24 *198:14 *1534:A1 0
25 *198:14 *1535:B 2.18741e-05
26 *198:14 *1657:CLK 0.000159996
27 *198:14 *428:21 0
28 *198:14 *435:18 0.000170577
29 *198:14 *479:20 8.23667e-05
30 *198:14 *489:8 0
31 *198:25 *435:18 4.39767e-05
32 *198:40 *1353:B1 0.000107128
33 *198:40 *1357:B1 0.000116728
34 *198:40 *1357:B2 0.000184794
35 *198:40 *1366:B2 6.69861e-06
36 *198:40 *1468:A1 9.96487e-06
37 *198:40 *1745:A 0
38 *198:40 *204:40 2.85558e-05
39 *198:40 *435:18 0.000342187
40 *198:40 *438:37 0.000312039
41 *198:41 *1353:A1 0.000244151
42 *198:41 *1468:A1 9.54295e-05
43 *550:DIODE *1410:A2 0
44 *1348:A *1359:A2 6.08467e-05
45 *1348:A *198:7 7.92757e-06
46 *1349:B1 *1349:C1 1.64979e-05
47 *1352:B1 *1380:A2 4.15936e-06
48 *1359:C1 *1380:A2 5.60804e-05
49 *1359:C1 *198:41 3.17436e-05
50 *1363:C1 *198:40 0.000479697
51 *1368:A2 *198:25 0
52 *1368:C1 *1359:A2 0.000319954
53 *1368:C1 *198:25 2.77419e-05
54 *1368:C1 *198:40 2.43314e-05
55 *1657:D *198:14 0
56 *1768:A *198:14 0.000308091
57 *83:8 *1410:A2 0
58 *178:50 *1380:A2 0
59 *178:50 *198:41 0
60 *194:40 *198:40 3.26747e-05
*RES
1 *1348:X *198:7 14.4725
2 *198:7 *198:14 23.8459
3 *198:14 *1410:A2 49.3652
4 *198:7 *198:25 1.00149
5 *198:25 *1359:A2 17.8002
6 *198:25 *198:40 24.168
7 *198:40 *198:41 0.891277
8 *198:41 *1349:C1 18.7266
9 *198:41 *1353:C1 17.9118
10 *198:40 *1380:A2 18.1912
*END
*D_NET *199 0.00775452
*CONN
*I *1350:A2 I *D sky130_fd_sc_hd__o21a_1
*I *1349:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *1350:A2 0.00149704
2 *1349:X 0.0011998
3 *199:16 0.00269684
4 *1350:A2 *1638:CLK 0
5 *199:16 *1324:A1 7.50872e-05
6 *199:16 *1353:B1 2.38934e-06
7 *199:16 *1380:B1 1.21461e-06
8 *199:16 *248:15 0.000941239
9 *199:16 *271:39 0
10 io_wbs_data_o[0] *1350:A2 0
11 *531:DIODE *1350:A2 0
12 *1324:A2 *199:16 7.19015e-05
13 *1350:A1 *1350:A2 1.91391e-05
14 *1378:A2 *199:16 0.000112159
15 *52:15 *1350:A2 7.28036e-05
16 *57:5 *1350:A2 0
17 *94:50 *1350:A2 1.77537e-06
18 *178:16 *1350:A2 0.000338707
19 *180:9 *1350:A2 0
20 *185:13 *199:16 0.000143128
21 *185:15 *199:16 0.000221598
22 *188:8 *199:16 5.88009e-05
23 *188:39 *199:16 0.000300891
*RES
1 *1349:X *199:16 46.6849
2 *199:16 *1350:A2 24.849
*END
*D_NET *200 0.000308381
*CONN
*I *1354:A1 I *D sky130_fd_sc_hd__o21a_1
*I *1351:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *1354:A1 4.70127e-05
2 *1351:X 4.70127e-05
3 *1354:A1 *1531:A1 0.000124145
4 *1354:A1 *202:20 7.86847e-05
5 *1354:A1 *499:26 1.15258e-05
*RES
1 *1351:X *1354:A1 29.7455
*END
*D_NET *201 0.00187696
*CONN
*I *1353:B1 I *D sky130_fd_sc_hd__o211a_1
*I *1352:X O *D sky130_fd_sc_hd__a221o_1
*CAP
1 *1353:B1 0.00057719
2 *1352:X 0.00057719
3 *1353:B1 *1380:B1 0.000300565
4 *1353:B1 *1442:A0 2.85002e-05
5 *1353:B1 *1468:A1 0.000146406
6 *1353:B1 *438:37 6.39754e-06
7 *1353:A2 *1353:B1 4.31603e-06
8 *1380:C1 *1353:B1 1.5714e-05
9 *172:38 *1353:B1 3.55968e-05
10 *185:13 *1353:B1 3.99086e-06
11 *185:15 *1353:B1 7.15752e-05
12 *198:40 *1353:B1 0.000107128
13 *199:16 *1353:B1 2.38934e-06
*RES
1 *1352:X *1353:B1 38.9728
*END
*D_NET *202 0.00496854
*CONN
*I *1354:A2 I *D sky130_fd_sc_hd__o21a_1
*I *1353:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *1354:A2 0
2 *1353:X 0.00157671
3 *202:20 0.00157671
4 *202:20 *1380:A1 6.63616e-05
5 *202:20 *1380:B1 1.58551e-05
6 *202:20 *1531:A1 8.01312e-05
7 *202:20 *223:17 0.000200794
8 *202:20 *456:30 0.00103856
9 *202:20 *499:26 0.000225923
10 *1354:A1 *202:20 7.86847e-05
11 *1640:D *202:20 9.00534e-05
12 *1654:D *202:20 1.87611e-05
*RES
1 *1353:X *202:20 45.7117
2 *202:20 *1354:A2 13.7491
*END
*D_NET *203 0.00281931
*CONN
*I *1371:B1 I *D sky130_fd_sc_hd__a22o_1
*I *1356:A I *D sky130_fd_sc_hd__clkbuf_2
*I *1382:B1 I *D sky130_fd_sc_hd__a22o_1
*I *1355:X O *D sky130_fd_sc_hd__and4_1
*CAP
1 *1371:B1 0.000218635
2 *1356:A 0
3 *1382:B1 0.00026387
4 *1355:X 0.000221027
5 *203:21 0.000290996
6 *203:9 0.000557258
7 *1371:B1 *1371:B2 6.08467e-05
8 *1382:B1 *1345:A1 1.43983e-05
9 *1382:B1 *1383:A1 4.55535e-05
10 *1382:B1 *1384:B1 0.000151437
11 *1382:B1 *204:10 6.4674e-06
12 *1382:B1 *221:26 6.32326e-05
13 *1382:B1 *498:21 4.55277e-05
14 *203:9 *1384:B1 2.4468e-05
15 *203:9 *443:35 3.82083e-05
16 *1355:C *203:9 0.000171567
17 *181:7 *1371:B1 4.55972e-05
18 *181:7 *203:9 7.68538e-06
19 *181:17 *203:9 1.41976e-05
20 *181:20 *1382:B1 3.3239e-06
21 *181:20 *203:9 9.24123e-05
22 *182:8 *203:9 3.34802e-05
23 *191:8 *1371:B1 0.000164843
24 *191:8 *203:9 6.50586e-05
25 *191:8 *203:21 0.000154145
26 *193:20 *1382:B1 6.50727e-05
*RES
1 *1355:X *203:9 24.6812
2 *203:9 *1382:B1 25.7876
3 *203:9 *203:21 1.8326
4 *203:21 *1356:A 9.24915
5 *203:21 *1371:B1 14.4094
*END
*D_NET *204 0.0136163
*CONN
*I *1361:B1 I *D sky130_fd_sc_hd__a22o_1
*I *1357:B1 I *D sky130_fd_sc_hd__a22o_1
*I *1366:B1 I *D sky130_fd_sc_hd__a22o_1
*I *1378:B1 I *D sky130_fd_sc_hd__a22o_1
*I *1544:A3 I *D sky130_fd_sc_hd__a31o_1
*I *1356:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *1361:B1 0.000553016
2 *1357:B1 0.000156911
3 *1366:B1 0
4 *1378:B1 0.000661355
5 *1544:A3 0.000771293
6 *1356:X 0
7 *204:40 0.000193862
8 *204:37 0.00143527
9 *204:10 0.00188529
10 *204:5 0.00129795
11 *1357:B1 *1357:B2 0.000121379
12 *1357:B1 *330:30 1.24753e-05
13 *1357:B1 *434:28 0.000107604
14 *1361:B1 *1323:B1 2.05376e-05
15 *1361:B1 *1357:A1 3.28316e-05
16 *1361:B1 *1361:A1 2.82537e-05
17 *1361:B1 *1362:B1 0.000144085
18 *1361:B1 *1363:A1 0.000472398
19 *1361:B1 *1636:CLK 0
20 *1361:B1 *426:26 0.000159307
21 *1361:B1 *504:19 0.000248439
22 *1378:B1 *1323:A1 9.98511e-06
23 *1378:B1 *1349:A1 0.00110886
24 *1378:B1 *1353:A1 1.65175e-05
25 *1378:B1 *1378:A1 1.13617e-05
26 *1378:B1 *1378:B2 1.5714e-05
27 *1378:B1 *1382:A1 5.9622e-05
28 *1378:B1 *221:8 3.17391e-05
29 *1378:B1 *221:26 0.000112148
30 *1544:A3 *1544:B1 4.03381e-05
31 *1544:A3 *271:17 0.000115689
32 *1544:A3 *498:21 0.000160617
33 *204:10 *1382:A1 0.000253121
34 *204:10 *1383:A1 0
35 *204:10 *1524:A 0.000198507
36 *204:10 *221:26 0.000436987
37 *204:37 *1363:A1 0.00189033
38 *204:37 *221:26 0.000119956
39 *204:40 *434:28 5.85325e-05
40 *204:40 *435:18 1.5714e-05
41 *1335:A *204:37 0
42 *1339:B *204:37 4.23622e-05
43 *1358:B1 *204:37 2.70261e-05
44 *1359:C1 *1361:B1 1.53708e-05
45 *1361:A2 *1357:B1 5.04829e-06
46 *1367:A2 *1361:B1 0
47 *1382:B1 *204:10 6.4674e-06
48 *1544:A1 *1544:A3 7.97098e-06
49 *1690:D *1544:A3 0.000112059
50 *178:50 *1378:B1 0.000136782
51 *180:9 *1361:B1 0
52 *187:47 *204:10 6.98049e-05
53 *187:47 *204:37 4.84568e-05
54 *190:57 *1361:B1 4.16644e-05
55 *197:30 *1361:B1 0
56 *197:30 *204:37 0
57 *198:40 *1357:B1 0.000116728
58 *198:40 *204:40 2.85558e-05
*RES
1 *1356:X *204:5 13.7491
2 *204:5 *204:10 13.6789
3 *204:10 *1544:A3 25.9987
4 *204:10 *1378:B1 25.9599
5 *204:5 *204:37 8.81297
6 *204:37 *204:40 4.5779
7 *204:40 *1366:B1 13.7491
8 *204:40 *1357:B1 18.3836
9 *204:37 *1361:B1 25.7531
*END
*D_NET *205 0.00145562
*CONN
*I *1359:B1 I *D sky130_fd_sc_hd__o221a_1
*I *1357:X O *D sky130_fd_sc_hd__a22o_1
*CAP
1 *1359:B1 0.000257099
2 *1357:X 0.000257099
3 *1359:B1 *1357:A1 6.08467e-05
4 *1359:B1 *1363:A1 9.93938e-05
5 *1359:B1 *206:10 0.0002212
6 *1359:B1 *428:21 6.25615e-05
7 *1359:B1 *428:33 7.15726e-07
8 *1363:A2 *1359:B1 0.000186598
9 *1368:A2 *1359:B1 0.000310109
*RES
1 *1357:X *1359:B1 37.9068
*END
*D_NET *206 0.00332378
*CONN
*I *1359:B2 I *D sky130_fd_sc_hd__o221a_1
*I *1358:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *1359:B2 0
2 *1358:X 0.000927365
3 *206:10 0.000927365
4 *206:10 *523:DIODE 0.000165455
5 *206:10 *1538:A 0.000111722
6 *206:10 *1745:A 2.65667e-05
7 *206:10 *223:17 6.92705e-05
8 *206:10 *428:21 0.0002212
9 *206:10 *434:28 0.0001126
10 *206:10 *455:7 0.000176521
11 *1324:B1 *206:10 4.31539e-05
12 *1359:B1 *206:10 0.0002212
13 *1379:A2 *206:10 0.000304777
14 *1379:A3 *206:10 1.65872e-05
*RES
1 *1358:X *206:10 43.4011
2 *206:10 *1359:B2 9.24915
*END
*D_NET *207 0.000188051
*CONN
*I *1364:A1 I *D sky130_fd_sc_hd__o21a_1
*I *1360:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *1364:A1 5.75862e-05
2 *1360:X 5.75862e-05
3 *94:27 *1364:A1 7.28784e-05
*RES
1 *1360:X *1364:A1 20.3309
*END
*D_NET *208 0.00077687
*CONN
*I *1362:B1 I *D sky130_fd_sc_hd__a211o_1
*I *1361:X O *D sky130_fd_sc_hd__a22o_1
*CAP
1 *1362:B1 0.000165848
2 *1361:X 0.000165848
3 *1362:B1 *1323:B1 3.40268e-05
4 *1362:B1 *1361:A1 0.000106446
5 *1362:B1 *436:22 0.000160617
6 *1361:B1 *1362:B1 0.000144085
*RES
1 *1361:X *1362:B1 31.9934
*END
*D_NET *209 0.00097948
*CONN
*I *1363:B1 I *D sky130_fd_sc_hd__o211a_1
*I *1362:X O *D sky130_fd_sc_hd__a211o_1
*CAP
1 *1363:B1 0.000243487
2 *1362:X 0.000243487
3 *1363:B1 *1322:A2 6.88589e-05
4 *1363:B1 *1361:B2 2.69577e-05
5 *1363:B1 *1363:A1 3.75221e-05
6 *1363:B1 *1364:A2 6.08467e-05
7 *1363:B1 *1636:CLK 0.000136534
8 *1363:B1 *436:22 4.77014e-05
9 *1361:A2 *1363:B1 0.000114086
*RES
1 *1362:X *1363:B1 35.2788
*END
*D_NET *210 0.00164977
*CONN
*I *1364:A2 I *D sky130_fd_sc_hd__o21a_1
*I *1363:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *1364:A2 0.000423189
2 *1363:X 0.000423189
3 *1364:A2 *1636:CLK 6.25268e-05
4 *1364:A2 *1687:CLK 0.000297016
5 *1364:A2 *436:22 5.51483e-06
6 *1364:A2 *456:19 0.000140303
7 *1364:A2 *504:19 0.000181611
8 *548:DIODE *1364:A2 0
9 *1352:C1 *1364:A2 5.05252e-05
10 *1361:A2 *1364:A2 5.04829e-06
11 *1363:B1 *1364:A2 6.08467e-05
12 *51:22 *1364:A2 0
*RES
1 *1363:X *1364:A2 40.5743
*END
*D_NET *211 0.00138911
*CONN
*I *1369:A1 I *D sky130_fd_sc_hd__o21a_1
*I *1365:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *1369:A1 0.000197558
2 *1365:X 0.000197558
3 *1369:A1 *1369:A2 0.000114584
4 *1369:A1 *1638:CLK 0.000115746
5 *1369:A1 *312:56 5.28741e-05
6 *1350:A1 *1369:A1 0
7 *1369:B1 *1369:A1 0.000320404
8 *24:15 *1369:A1 0.000390385
*RES
1 *1365:X *1369:A1 36.015
*END
*D_NET *212 0.000374277
*CONN
*I *1367:B1 I *D sky130_fd_sc_hd__a211o_1
*I *1366:X O *D sky130_fd_sc_hd__a22o_1
*CAP
1 *1367:B1 0.000125
2 *1366:X 0.000125
3 *1367:B1 *1323:B1 2.3527e-05
4 *1367:B1 *1366:A1 2.53145e-06
5 *1366:A2 *1367:B1 1.61631e-05
6 *1367:C1 *1367:B1 3.63704e-05
7 *185:51 *1367:B1 4.56853e-05
*RES
1 *1366:X *1367:B1 22.0429
*END
*D_NET *213 0.00113269
*CONN
*I *1368:B1 I *D sky130_fd_sc_hd__o211a_1
*I *1367:X O *D sky130_fd_sc_hd__a211o_1
*CAP
1 *1368:B1 0.000320781
2 *1367:X 0.000320781
3 *1368:B1 *1533:A 1.43848e-05
4 *1368:B1 *312:63 0.000310094
5 *1368:B1 *426:26 0.000132367
6 *1362:C1 *1368:B1 3.12133e-05
7 *1367:C1 *1368:B1 3.072e-06
*RES
1 *1367:X *1368:B1 36.0094
*END
*D_NET *214 0.00119594
*CONN
*I *1369:A2 I *D sky130_fd_sc_hd__o21a_1
*I *1368:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *1369:A2 0.000277988
2 *1368:X 0.000277988
3 *1369:A2 *1777:A 0.000256332
4 *1369:A2 *312:56 0.000133878
5 *1369:A2 *426:26 0
6 *531:DIODE *1369:A2 1.77894e-05
7 *1369:A1 *1369:A2 0.000114584
8 *24:15 *1369:A2 0.000117376
*RES
1 *1368:X *1369:A2 35.8995
*END
*D_NET *215 0.00169913
*CONN
*I *1377:A1 I *D sky130_fd_sc_hd__o21a_1
*I *1370:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *1377:A1 0.000262032
2 *1370:X 0.000262032
3 *1377:A1 *1377:B1 0.000118166
4 *1377:A1 *1385:B1 0.000423936
5 *1377:A1 *330:11 0.000122098
6 *1377:A1 *429:15 0.000336155
7 *177:7 *1377:A1 5.05841e-05
8 *178:7 *1377:A1 6.50586e-05
9 *178:16 *1377:A1 6.08697e-06
10 *178:38 *1377:A1 5.29763e-05
*RES
1 *1370:X *1377:A1 39.1976
*END
*D_NET *216 0.00034044
*CONN
*I *1372:B1 I *D sky130_fd_sc_hd__a211o_1
*I *1371:X O *D sky130_fd_sc_hd__a22o_1
*CAP
1 *1372:B1 5.76691e-05
2 *1371:X 5.76691e-05
3 *1372:B1 *1538:A 0.000112551
4 *1372:B1 *223:17 0.000112551
*RES
1 *1371:X *1372:B1 29.7455
*END
*D_NET *217 0.00146387
*CONN
*I *1373:B1 I *D sky130_fd_sc_hd__o211a_1
*I *1372:X O *D sky130_fd_sc_hd__a211o_1
*CAP
1 *1373:B1 0.000287867
2 *1372:X 0.000287867
3 *1373:B1 *1370:A 1.5326e-05
4 *1373:B1 *1379:A1 0.000111722
5 *1373:B1 *330:11 0.000263526
6 *1373:B1 *429:15 0.000263526
7 *1370:B *1373:B1 3.8122e-05
8 *1373:A2 *1373:B1 3.75603e-05
9 *1373:C1 *1373:B1 0.000158357
*RES
1 *1372:X *1373:B1 37.282
*END
*D_NET *218 0.000768464
*CONN
*I *1377:A2 I *D sky130_fd_sc_hd__o21a_1
*I *1373:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *1377:A2 0.000210914
2 *1373:X 0.000210914
3 *1377:A2 *1377:B1 3.14978e-05
4 *1377:A2 *221:26 0
5 *1377:A2 *517:31 0.000115866
6 *1384:A2 *1377:A2 0.000172691
7 *177:7 *1377:A2 2.65831e-05
*RES
1 *1373:X *1377:A2 33.791
*END
*D_NET *219 0.00746078
*CONN
*I *1375:A I *D sky130_fd_sc_hd__clkbuf_2
*I *1460:A I *D sky130_fd_sc_hd__clkbuf_2
*I *1558:B1 I *D sky130_fd_sc_hd__o21ai_1
*I *1497:A I *D sky130_fd_sc_hd__clkbuf_2
*I *1481:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *1374:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *1375:A 0
2 *1460:A 0.000359755
3 *1558:B1 0.000240764
4 *1497:A 5.83827e-05
5 *1481:A 0.000631881
6 *1374:X 3.12303e-05
7 *219:38 0.000574308
8 *219:10 0.00132919
9 *219:7 0.000879689
10 *219:5 0.000245783
11 *1460:A *1469:A 0
12 *1460:A *1552:B1 4.82966e-05
13 *1460:A *1554:C 7.40769e-05
14 *1460:A *1555:C 0.000387614
15 *1460:A *1645:CLK 6.50727e-05
16 *1460:A *220:8 0
17 *1481:A *1420:A 2.65667e-05
18 *1481:A *1421:A 0.000102625
19 *1558:B1 *1552:B1 0.000168272
20 *1558:B1 *1558:A1 9.24241e-05
21 *1558:B1 *1558:A2 0.000110306
22 *1558:B1 *1738:A 0.000362218
23 *1558:B1 *285:56 1.65351e-05
24 *1558:B1 *486:63 0.00021218
25 *219:5 *220:42 7.98425e-06
26 *219:10 *1552:B1 0
27 *219:10 *1601:A1 0
28 *219:10 *1661:CLK 0
29 *219:10 *1737:A 0.000420061
30 *219:10 *1738:A 0.000504119
31 *219:10 *270:25 0
32 *219:38 *1645:CLK 0.000217923
33 *219:38 *220:42 0.000153932
34 *1374:A *1558:B1 0
35 *1648:D *1481:A 3.42853e-05
36 *1686:D *219:10 0.000101133
37 *150:5 *1558:B1 4.17531e-06
*RES
1 *1374:X *219:5 9.97254
2 *219:5 *219:7 4.5
3 *219:7 *219:10 23.3573
4 *219:10 *1481:A 23.3071
5 *219:10 *1497:A 10.5271
6 *219:7 *1558:B1 23.5301
7 *219:5 *219:38 7.25807
8 *219:38 *1460:A 28.8154
9 *219:38 *1375:A 9.24915
*END
*D_NET *220 0.0143476
*CONN
*I *1505:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *1538:A I *D sky130_fd_sc_hd__and2_1
*I *1376:A I *D sky130_fd_sc_hd__clkbuf_2
*I *1630:B I *D sky130_fd_sc_hd__and2_1
*I *1628:B I *D sky130_fd_sc_hd__and2_1
*I *1375:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *1505:A 0.000256136
2 *1538:A 0.00112025
3 *1376:A 0
4 *1630:B 0.000184814
5 *1628:B 1.26553e-05
6 *1375:X 0
7 *220:50 0.0014526
8 *220:42 0.00213408
9 *220:8 0.000728976
10 *220:4 0.00258938
11 *1505:A *1502:A2 0
12 *1505:A *245:33 6.50727e-05
13 *1505:A *246:26 6.50727e-05
14 *1505:A *272:20 5.56367e-05
15 *1505:A *347:8 0.000170113
16 *1538:A *1371:A1 1.32509e-05
17 *1538:A *1517:A 0
18 *1538:A *1550:B1 0.000186445
19 *1538:A *1551:B 1.37385e-05
20 *1538:A *1559:A1 0.000311975
21 *1538:A *1690:CLK 0.000794701
22 *1538:A *223:17 0.000197895
23 *1538:A *272:15 3.52557e-05
24 *1538:A *272:20 0.000655206
25 *1538:A *347:8 5.47736e-05
26 *1538:A *455:7 2.65831e-05
27 *1628:B *1633:A 6.50586e-05
28 *1630:B *1599:A 4.0553e-05
29 *1630:B *229:22 5.68225e-06
30 *1630:B *242:30 1.32509e-05
31 *1630:B *242:41 7.58217e-06
32 *1630:B *295:10 0
33 *220:8 *1469:A 0
34 *220:8 *1554:B 9.96342e-05
35 *220:8 *1645:CLK 0.000381471
36 *220:8 *229:22 6.86524e-05
37 *220:8 *486:63 0.000190042
38 *220:42 *1407:C1 3.31882e-05
39 *220:42 *1464:S 5.07314e-05
40 *220:42 *1540:S 2.57986e-05
41 *220:42 *1541:B 2.32834e-05
42 *220:42 *1542:A 7.89747e-05
43 *220:42 *1546:A 0.000171288
44 *220:42 *1601:B1 0.000107496
45 *220:42 *1645:CLK 0.000148652
46 *220:42 *1736:A 0.000167076
47 *220:42 *221:7 0.00011818
48 *220:42 *221:8 2.33193e-05
49 *220:42 *446:7 3.072e-06
50 *220:42 *457:24 1.90218e-05
51 *220:50 *1464:S 0.000172971
52 *220:50 *1502:C1 0.000366617
53 *220:50 *221:7 4.88955e-05
54 *1349:B1 *1538:A 8.36973e-06
55 *1349:C1 *1538:A 4.70498e-05
56 *1372:B1 *1538:A 0.000112551
57 *1374:A *220:42 0.000314062
58 *1460:A *220:8 0
59 *1549:A2 *1538:A 0
60 *171:32 *1628:B 2.65667e-05
61 *171:45 *1630:B 0
62 *171:45 *220:8 0
63 *178:50 *1538:A 1.02986e-05
64 *206:10 *1538:A 0.000111722
65 *219:5 *220:42 7.98425e-06
66 *219:38 *220:42 0.000153932
*RES
1 *1375:X *220:4 9.24915
2 *220:4 *220:8 17.4423
3 *220:8 *1628:B 14.4725
4 *220:8 *1630:B 18.5612
5 *220:4 *220:42 46.4954
6 *220:42 *1376:A 9.24915
7 *220:42 *220:50 9.10562
8 *220:50 *1538:A 49.3954
9 *220:50 *1505:A 21.7028
*END
*D_NET *221 0.0108278
*CONN
*I *1502:C1 I *D sky130_fd_sc_hd__o211a_1
*I *1407:C1 I *D sky130_fd_sc_hd__o211a_1
*I *1559:B1 I *D sky130_fd_sc_hd__o21a_1
*I *1385:B1 I *D sky130_fd_sc_hd__o21a_1
*I *1377:B1 I *D sky130_fd_sc_hd__o21a_1
*I *1376:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *1502:C1 0.000485625
2 *1407:C1 0.000451288
3 *1559:B1 3.39685e-05
4 *1385:B1 0.000234999
5 *1377:B1 0.000132685
6 *1376:X 0
7 *221:26 0.00156888
8 *221:8 0.00171762
9 *221:7 0.00111982
10 *221:4 0.000671698
11 *1407:C1 *1400:A1 3.5534e-06
12 *1407:C1 *1407:B1 6.03127e-05
13 *1407:C1 *1462:B 3.1218e-05
14 *1407:C1 *1463:A 2.21765e-05
15 *1407:C1 *457:20 0
16 *1407:C1 *457:24 0
17 *1407:C1 *482:25 0
18 *1407:C1 *498:28 0
19 *1502:C1 *1461:S 7.92757e-06
20 *1502:C1 *1464:S 7.92757e-06
21 *1502:C1 *1502:A2 0.000110306
22 *1502:C1 *272:20 0.000127164
23 *1502:C1 *446:7 9.65932e-05
24 *1559:B1 *442:11 2.23124e-05
25 *221:7 *1464:S 1.58551e-05
26 *221:8 *1382:A1 6.59329e-05
27 *221:8 *1408:A 9.22013e-06
28 *221:8 *1549:A3 0
29 *221:8 *1550:A1 0
30 *221:8 *1736:A 4.88112e-06
31 *221:8 *248:9 7.50872e-05
32 *221:8 *439:8 0
33 *221:8 *442:22 2.63779e-05
34 *221:8 *498:28 0
35 *221:26 *1384:B1 0.000419245
36 *221:26 *1468:A1 0.000108801
37 *221:26 *1524:A 1.5714e-05
38 *221:26 *442:22 5.1493e-06
39 *221:26 *474:14 0
40 *1339:B *221:26 3.56997e-05
41 *1358:B1 *221:26 1.91391e-05
42 *1377:A1 *1377:B1 0.000118166
43 *1377:A1 *1385:B1 0.000423936
44 *1377:A2 *1377:B1 3.14978e-05
45 *1377:A2 *221:26 0
46 *1378:B1 *221:8 3.17391e-05
47 *1378:B1 *221:26 0.000112148
48 *1381:B *221:26 2.22342e-05
49 *1382:B1 *221:26 6.32326e-05
50 *1384:A2 *221:26 5.68225e-06
51 *1467:C *1559:B1 0.000111708
52 *1467:C *221:26 0.000315336
53 *1641:D *1385:B1 6.50727e-05
54 *1659:D *1407:C1 0.000143032
55 *177:7 *1377:B1 1.71154e-05
56 *177:8 *221:26 2.19131e-05
57 *177:28 *221:26 0.000111602
58 *180:31 *221:26 0.000342649
59 *191:8 *221:26 3.63738e-05
60 *204:10 *221:26 0.000436987
61 *204:37 *221:26 0.000119956
62 *220:42 *1407:C1 3.31882e-05
63 *220:42 *221:7 0.00011818
64 *220:42 *221:8 2.33193e-05
65 *220:50 *1502:C1 0.000366617
66 *220:50 *221:7 4.88955e-05
*RES
1 *1376:X *221:4 9.24915
2 *221:4 *221:7 7.44181
3 *221:7 *221:8 12.6286
4 *221:8 *221:26 42.4584
5 *221:26 *1377:B1 13.1796
6 *221:26 *1385:B1 16.1214
7 *221:8 *1559:B1 15.0271
8 *221:7 *1407:C1 25.8647
9 *221:4 *1502:C1 29.153
*END
*D_NET *222 0.00119527
*CONN
*I *1380:B1 I *D sky130_fd_sc_hd__o221a_1
*I *1378:X O *D sky130_fd_sc_hd__a22o_1
*CAP
1 *1380:B1 0.000241732
2 *1378:X 0.000241732
3 *1380:B1 *223:17 3.31745e-05
4 *1380:B1 *248:15 9.24241e-05
5 *1353:B1 *1380:B1 0.000300565
6 *1378:A2 *1380:B1 9.60216e-05
7 *1380:C1 *1380:B1 6.08467e-05
8 *1549:B1 *1380:B1 0.000111708
9 *199:16 *1380:B1 1.21461e-06
10 *202:20 *1380:B1 1.58551e-05
*RES
1 *1378:X *1380:B1 34.6834
*END
*D_NET *223 0.00521447
*CONN
*I *1380:B2 I *D sky130_fd_sc_hd__o221a_1
*I *1379:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *1380:B2 0
2 *1379:X 0.00124061
3 *223:17 0.00124061
4 *223:17 *1366:B2 0.000183058
5 *223:17 *1371:A1 0.000241331
6 *223:17 *1468:A1 0.00118448
7 *223:17 *1517:A 4.75499e-05
8 *223:17 *438:37 0.000179633
9 *223:17 *474:14 0
10 *223:17 *495:16 0
11 *223:17 *506:8 1.26672e-05
12 *1352:B1 *223:17 3.52699e-05
13 *1371:A2 *223:17 0.000174718
14 *1372:B1 *223:17 0.000112551
15 *1372:C1 *223:17 0
16 *1380:B1 *223:17 3.31745e-05
17 *1380:C1 *223:17 6.08467e-05
18 *1538:A *223:17 0.000197895
19 *190:57 *223:17 0
20 *202:20 *223:17 0.000200794
21 *206:10 *223:17 6.92705e-05
*RES
1 *1379:X *223:17 45.4598
2 *223:17 *1380:B2 9.24915
*END
*D_NET *224 0.000280227
*CONN
*I *1385:A1 I *D sky130_fd_sc_hd__o21a_1
*I *1381:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *1385:A1 5.31893e-05
2 *1381:X 5.31893e-05
3 *1385:A1 *1537:A1 0.000125695
4 *1385:A1 *312:31 3.92275e-05
5 *1385:A1 *506:8 8.92568e-06
*RES
1 *1381:X *1385:A1 29.7455
*END
*D_NET *225 0.000333688
*CONN
*I *1383:B1 I *D sky130_fd_sc_hd__a211o_1
*I *1382:X O *D sky130_fd_sc_hd__a22o_1
*CAP
1 *1383:B1 4.44184e-05
2 *1382:X 4.44184e-05
3 *1383:B1 *1524:A 0.000119171
4 *191:8 *1383:B1 0.00012568
*RES
1 *1382:X *1383:B1 29.7455
*END
*D_NET *226 0.00231434
*CONN
*I *1384:B1 I *D sky130_fd_sc_hd__o211a_1
*I *1383:X O *D sky130_fd_sc_hd__a211o_1
*CAP
1 *1384:B1 0.000526548
2 *1383:X 0.000526548
3 *1384:B1 *1524:A 6.08467e-05
4 *1384:B1 *443:35 0.000103042
5 *1335:A *1384:B1 3.55859e-05
6 *1358:B1 *1384:B1 2.27135e-05
7 *1382:B1 *1384:B1 0.000151437
8 *1384:A2 *1384:B1 0.000229397
9 *180:31 *1384:B1 9.60216e-05
10 *182:8 *1384:B1 0.000118485
11 *203:9 *1384:B1 2.4468e-05
12 *221:26 *1384:B1 0.000419245
*RES
1 *1383:X *1384:B1 44.1723
*END
*D_NET *227 0.00102392
*CONN
*I *1385:A2 I *D sky130_fd_sc_hd__o21a_1
*I *1384:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *1385:A2 0.000410643
2 *1384:X 0.000410643
3 *1385:A2 *1537:A1 5.53789e-05
4 *1385:A2 *330:11 5.23101e-05
5 *1385:A2 *506:8 5.19205e-05
6 *1385:A2 *516:26 2.52287e-06
7 *197:16 *1385:A2 4.04995e-05
*RES
1 *1384:X *1385:A2 34.9058
*END
*D_NET *228 0.00604191
*CONN
*I *1394:A I *D sky130_fd_sc_hd__nor3_1
*I *1610:B1 I *D sky130_fd_sc_hd__a31oi_1
*I *1546:A I *D sky130_fd_sc_hd__nor2_1
*I *1601:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *1552:C1 I *D sky130_fd_sc_hd__a211o_1
*I *1386:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *1394:A 0.000446008
2 *1610:B1 5.46182e-05
3 *1546:A 6.90834e-05
4 *1601:B1 4.5411e-05
5 *1552:C1 0.000167759
6 *1386:X 4.90112e-05
7 *228:38 0.000811622
8 *228:21 0.000114494
9 *228:19 0.000373078
10 *228:7 0.000278853
11 *1394:A *1473:B 0.000153886
12 *1394:A *1473:C 1.25301e-05
13 *1394:A *1548:A 3.64684e-05
14 *1394:A *1609:B1 8.61737e-06
15 *1394:A *454:8 7.65861e-05
16 *1394:A *454:46 0.000170577
17 *1394:A *454:57 0.000651114
18 *1394:A *487:36 0.000132038
19 *1546:A *1601:A1 0
20 *1552:C1 *1546:B 0.000201734
21 *1552:C1 *1552:A1 0.000123435
22 *1552:C1 *1552:A2 2.65667e-05
23 *1552:C1 *1684:CLK 0.000144546
24 *1552:C1 *454:23 5.92342e-05
25 *1610:B1 *1610:A1 8.12793e-05
26 *1610:B1 *1610:A3 6.64392e-05
27 *1610:B1 *1744:A 0.000216458
28 *228:7 *262:61 0.000107496
29 *228:19 *1546:B 0.000174175
30 *228:19 *454:23 0.000189496
31 *228:19 *486:40 0
32 *228:38 *454:8 5.75924e-05
33 *228:38 *454:21 0.000221185
34 *228:38 *454:23 0.000135905
35 *228:38 *486:40 0
36 *1607:A_N *1394:A 0.0001525
37 *1607:A_N *228:38 0.000148129
38 *1684:D *1546:A 5.20546e-06
39 *220:42 *1546:A 0.000171288
40 *220:42 *1601:B1 0.000107496
*RES
1 *1386:X *228:7 15.0271
2 *228:7 *1552:C1 20.2081
3 *228:7 *228:19 3.493
4 *228:19 *228:21 4.5
5 *228:21 *1601:B1 10.5271
6 *228:21 *1546:A 11.6605
7 *228:19 *228:38 10.0393
8 *228:38 *1610:B1 16.691
9 *228:38 *1394:A 30.5302
*END
*D_NET *229 0.00912654
*CONN
*I *1393:B I *D sky130_fd_sc_hd__and4_2
*I *1392:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *1471:A I *D sky130_fd_sc_hd__inv_2
*I *1552:B1 I *D sky130_fd_sc_hd__a211o_1
*I *1554:C I *D sky130_fd_sc_hd__nor3_1
*I *1387:X O *D sky130_fd_sc_hd__and3b_2
*CAP
1 *1393:B 0.000154675
2 *1392:A1 0.000120696
3 *1471:A 0
4 *1552:B1 0.000734245
5 *1554:C 2.30223e-05
6 *1387:X 0
7 *229:78 0.000352233
8 *229:67 0.000538461
9 *229:24 0.000757267
10 *229:22 0.000820373
11 *229:4 0.00128197
12 *1392:A1 *1392:A2 2.16608e-05
13 *1392:A1 *1392:B1 2.57847e-05
14 *1393:B *1392:A2 1.80122e-05
15 *1393:B *1392:B1 4.31703e-05
16 *1552:B1 *1558:A1 0.000109039
17 *1552:B1 *1600:A 0.000164815
18 *1552:B1 *1601:A1 0.000135987
19 *1552:B1 *1601:A2 0.000411734
20 *1552:B1 *285:29 0.000134849
21 *1554:C *1555:C 2.71182e-05
22 *1554:C *285:29 9.82896e-06
23 *229:22 *1554:B 4.12533e-05
24 *229:22 *1558:A2 7.95938e-05
25 *229:22 *1560:A_N 6.08467e-05
26 *229:22 *1560:C 0.000111708
27 *229:22 *1599:A 5.41377e-05
28 *229:22 *1599:B 2.95757e-05
29 *229:22 *1600:B 0.000132396
30 *229:22 *1614:C 4.40506e-05
31 *229:22 *1743:A 8.95933e-05
32 *229:22 *243:12 1.07248e-05
33 *229:22 *243:16 0.000146562
34 *229:22 *244:11 4.66492e-05
35 *229:22 *244:13 0.000107496
36 *229:22 *346:11 3.42931e-05
37 *229:22 *397:8 0
38 *229:22 *448:10 0
39 *229:22 *471:12 0.000364249
40 *229:22 *471:21 0.000144531
41 *229:22 *472:29 0
42 *229:67 *1387:B 6.50586e-05
43 *229:67 *1472:A 0.000168313
44 *229:67 *1472:B 0
45 *229:67 *1560:A_N 5.07314e-05
46 *229:67 *1560:C 4.89898e-06
47 *229:67 *1603:C 1.17108e-05
48 *229:67 *231:27 0.000219249
49 *229:67 *236:7 1.5962e-05
50 *229:67 *293:8 8.47016e-05
51 *229:67 *294:10 1.0779e-05
52 *229:67 *346:11 0.000128493
53 *229:67 *346:28 4.17531e-06
54 *229:67 *353:7 0.000255962
55 *229:67 *397:45 0
56 *229:78 *1472:A 4.21215e-05
57 *229:78 *231:27 2.29454e-05
58 *229:78 *236:7 6.36477e-05
59 *229:78 *454:57 0.000118485
60 *1374:A *1552:B1 0
61 *1460:A *1552:B1 4.82966e-05
62 *1460:A *1554:C 7.40769e-05
63 *1558:B1 *1552:B1 0.000168272
64 *1630:B *229:22 5.68225e-06
65 *1686:D *1552:B1 0
66 *171:32 *229:22 0.000111722
67 *219:10 *1552:B1 0
68 *220:8 *229:22 6.86524e-05
*RES
1 *1387:X *229:4 9.24915
2 *229:4 *229:22 47.0819
3 *229:22 *229:24 4.5
4 *229:24 *1554:C 10.5513
5 *229:24 *1552:B1 41.2611
6 *229:4 *229:67 24.2831
7 *229:67 *1471:A 9.24915
8 *229:67 *229:78 11.9706
9 *229:78 *1392:A1 11.0817
10 *229:78 *1393:B 11.6364
*END
*D_NET *230 0.00450562
*CONN
*I *1390:A I *D sky130_fd_sc_hd__and2_1
*I *1574:B1 I *D sky130_fd_sc_hd__o211a_1
*I *1393:C I *D sky130_fd_sc_hd__and4_2
*I *1472:A I *D sky130_fd_sc_hd__nand2_1
*I *1388:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *1390:A 0.00036456
2 *1574:B1 9.83004e-05
3 *1393:C 0
4 *1472:A 0.000282224
5 *1388:Y 0
6 *230:27 0.000642151
7 *230:22 0.000508445
8 *230:4 0.000611378
9 *1390:A *1390:B 9.2105e-05
10 *1390:A *1567:A1 4.66492e-05
11 *1390:A *232:5 0.00016553
12 *1390:A *233:7 0.000479276
13 *1472:A *1388:A 6.96979e-05
14 *1472:A *1388:B 8.97566e-06
15 *1472:A *231:27 1.88152e-05
16 *1472:A *236:7 0.000216458
17 *1472:A *397:45 0
18 *1472:A *454:57 4.54106e-05
19 *1574:B1 *1660:CLK 0
20 *1574:B1 *231:32 6.31809e-05
21 *230:22 *1388:B 2.96784e-06
22 *230:22 *1394:B 8.01687e-05
23 *230:22 *1642:CLK 2.23259e-05
24 *230:22 *487:14 2.18741e-05
25 *230:27 *1392:A2 1.05631e-05
26 *230:27 *1393:D 6.49003e-05
27 *230:27 *233:7 0.000213739
28 *1642:D *230:22 0.000165495
29 *229:67 *1472:A 0.000168313
30 *229:78 *1472:A 4.21215e-05
*RES
1 *1388:Y *230:4 9.24915
2 *230:4 *1472:A 26.929
3 *230:4 *230:22 15.5742
4 *230:22 *1393:C 9.24915
5 *230:22 *230:27 4.60562
6 *230:27 *1574:B1 20.9116
7 *230:27 *1390:A 19.9795
*END
*D_NET *231 0.00676626
*CONN
*I *1574:C1 I *D sky130_fd_sc_hd__o211a_1
*I *1390:B I *D sky130_fd_sc_hd__and2_1
*I *1393:D I *D sky130_fd_sc_hd__and4_2
*I *1472:B I *D sky130_fd_sc_hd__nand2_1
*I *1621:A1 I *D sky130_fd_sc_hd__o21a_1
*I *1389:X O *D sky130_fd_sc_hd__and3b_1
*CAP
1 *1574:C1 0
2 *1390:B 0.000324033
3 *1393:D 8.06962e-05
4 *1472:B 3.5247e-05
5 *1621:A1 0
6 *1389:X 0.000312348
7 *231:32 0.000524247
8 *231:27 0.000482378
9 *231:17 0.000607978
10 *231:7 0.000522219
11 *1390:B *1567:A1 6.08467e-05
12 *1390:B *232:5 0.00016553
13 *1390:B *233:7 0.000571293
14 *1393:D *1392:A2 0.000178031
15 *1393:D *233:7 0.000113968
16 *231:7 *1395:C_N 0
17 *231:7 *1621:B1 3.01683e-06
18 *231:7 *397:15 4.23874e-05
19 *231:7 *397:25 2.82583e-05
20 *231:17 *1395:A 0.00011818
21 *231:17 *1395:C_N 1.24783e-05
22 *231:17 *1560:B 0.000426168
23 *231:17 *1621:B1 0
24 *231:17 *236:7 6.2314e-05
25 *231:17 *294:10 6.50727e-05
26 *231:17 *397:15 0.000833017
27 *231:27 *1394:C 7.48633e-05
28 *231:27 *1574:A2 1.03434e-05
29 *231:27 *235:17 0.000160617
30 *231:27 *236:7 0.000107347
31 *231:27 *293:8 0.000161243
32 *231:27 *294:10 5.16917e-05
33 *231:32 *1392:A2 1.09738e-05
34 *231:32 *233:8 7.0954e-05
35 *1390:A *1390:B 9.2105e-05
36 *1472:A *231:27 1.88152e-05
37 *1574:B1 *231:32 6.31809e-05
38 *1703:D *231:7 6.73186e-05
39 *229:67 *1472:B 0
40 *229:67 *231:27 0.000219249
41 *229:78 *231:27 2.29454e-05
42 *230:27 *1393:D 6.49003e-05
*RES
1 *1389:X *231:7 14.8434
2 *231:7 *1621:A1 9.24915
3 *231:7 *231:17 11.8637
4 *231:17 *1472:B 10.2378
5 *231:17 *231:27 11.6949
6 *231:27 *231:32 12.493
7 *231:32 *1393:D 12.7697
8 *231:32 *1390:B 20.5341
9 *231:27 *1574:C1 9.24915
*END
*D_NET *232 0.00134
*CONN
*I *1391:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *1566:A I *D sky130_fd_sc_hd__and3_1
*I *1390:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *1391:A 0
2 *1566:A 0.000307157
3 *1390:X 2.17856e-05
4 *232:5 0.000328943
5 *1566:A *1567:A1 6.74811e-05
6 *1566:A *1567:B1 0
7 *1566:A *1571:B 0
8 *1566:A *1605:C1 6.64392e-05
9 *1566:A *237:9 0.000122098
10 *1566:A *365:17 7.81037e-05
11 *1566:A *484:10 1.69349e-05
12 *1390:A *232:5 0.00016553
13 *1390:B *232:5 0.00016553
*RES
1 *1390:X *232:5 11.0817
2 *232:5 *1566:A 26.2028
3 *232:5 *1391:A 9.24915
*END
*D_NET *233 0.00738071
*CONN
*I *1567:A1 I *D sky130_fd_sc_hd__a31oi_1
*I *1392:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *1609:A2 I *D sky130_fd_sc_hd__a31o_1
*I *1606:A I *D sky130_fd_sc_hd__or2_1
*I *1602:A1 I *D sky130_fd_sc_hd__a21bo_1
*I *1391:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *1567:A1 0.000220188
2 *1392:A2 0.000307363
3 *1609:A2 0.000140655
4 *1606:A 0
5 *1602:A1 0.000396759
6 *1391:X 0
7 *233:12 0.000453072
8 *233:8 0.000420225
9 *233:7 0.000604272
10 *233:4 0.000293841
11 *1392:A2 *1388:A 2.99287e-05
12 *1392:A2 *1392:B1 0.000161234
13 *1392:A2 *1394:B 0.000261004
14 *1567:A1 *1567:A2 0.000263455
15 *1567:A1 *1567:B1 0.000164843
16 *1567:A1 *367:7 0.000548908
17 *1567:A1 *484:10 0.000169093
18 *1602:A1 *1548:B 0.000330596
19 *1602:A1 *1602:A2 1.43983e-05
20 *1602:A1 *353:7 7.12973e-06
21 *1609:A2 *1609:A1 2.16355e-05
22 *1609:A2 *1609:B1 5.22859e-06
23 *1609:A2 *353:7 0.000413252
24 *1609:A2 *487:36 1.68307e-05
25 *233:8 *1660:CLK 0
26 *233:8 *235:6 0.000122098
27 *233:8 *235:17 7.39899e-05
28 *233:8 *346:28 2.01428e-05
29 *233:12 *346:28 3.38919e-05
30 *233:12 *487:36 0
31 *1390:A *1567:A1 4.66492e-05
32 *1390:A *233:7 0.000479276
33 *1390:B *1567:A1 6.08467e-05
34 *1390:B *233:7 0.000571293
35 *1392:A1 *1392:A2 2.16608e-05
36 *1393:B *1392:A2 1.80122e-05
37 *1393:D *1392:A2 0.000178031
38 *1393:D *233:7 0.000113968
39 *1566:A *1567:A1 6.74811e-05
40 *1642:D *1392:A2 9.98029e-06
41 *1642:D *233:8 1.32509e-05
42 *230:27 *1392:A2 1.05631e-05
43 *230:27 *233:7 0.000213739
44 *231:32 *1392:A2 1.09738e-05
45 *231:32 *233:8 7.0954e-05
*RES
1 *1391:X *233:4 9.24915
2 *233:4 *233:7 11.8786
3 *233:7 *233:8 5.98452
4 *233:8 *233:12 5.91674
5 *233:12 *1602:A1 16.5313
6 *233:12 *1606:A 9.24915
7 *233:8 *1609:A2 18.9335
8 *233:7 *1392:A2 23.4555
9 *233:4 *1567:A1 29.6218
*END
*D_NET *234 0.000978677
*CONN
*I *1394:B I *D sky130_fd_sc_hd__nor3_1
*I *1392:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *1394:B 0.00020662
2 *1392:Y 0.00020662
3 *1394:B *235:6 0
4 *1394:B *235:17 0
5 *1394:B *487:14 1.55462e-05
6 *1394:B *487:36 0.000172691
7 *1392:A2 *1394:B 0.000261004
8 *1642:D *1394:B 3.60268e-05
9 *230:22 *1394:B 8.01687e-05
*RES
1 *1392:Y *1394:B 34.3484
*END
*D_NET *235 0.0098892
*CONN
*I *1394:C I *D sky130_fd_sc_hd__nor3_1
*I *1583:A1 I *D sky130_fd_sc_hd__o2111a_1
*I *1575:A I *D sky130_fd_sc_hd__or2_1
*I *1398:B I *D sky130_fd_sc_hd__nand2_1
*I *1571:A I *D sky130_fd_sc_hd__nand2_1
*I *1393:X O *D sky130_fd_sc_hd__and4_2
*CAP
1 *1394:C 2.09599e-05
2 *1583:A1 0.000201618
3 *1575:A 0
4 *1398:B 0.00117926
5 *1571:A 2.1308e-05
6 *1393:X 6.0812e-05
7 *235:34 0.00117926
8 *235:32 0.000672978
9 *235:17 0.00117156
10 *235:6 0.000760662
11 *1394:C *236:7 0.000171273
12 *1398:B *1516:A1 0.000277502
13 *1398:B *1516:S 0.000141503
14 *1398:B *1518:A1 8.6593e-05
15 *1398:B *1518:A2 0.000218461
16 *1398:B *1518:B1 0.000263924
17 *1398:B *1563:A 0.000103943
18 *1398:B *365:17 0.000130803
19 *1398:B *365:22 6.78364e-06
20 *1583:A1 *1563:A 0.000378039
21 *1583:A1 *1565:C 0.000108054
22 *1583:A1 *1575:B 1.2693e-05
23 *1583:A1 *1583:A2 0.000171288
24 *1583:A1 *490:10 0.000391861
25 *235:17 *1572:B 4.12994e-05
26 *235:17 *1574:A2 9.39633e-05
27 *235:17 *1602:B1_N 0.000121037
28 *235:17 *1605:A1 7.40302e-05
29 *235:17 *1605:C1 0.000158357
30 *235:17 *1660:CLK 1.72464e-05
31 *235:17 *236:7 2.50997e-05
32 *235:17 *353:19 7.14746e-05
33 *235:17 *364:5 0.000231448
34 *235:17 *367:7 0.000160617
35 *235:17 *484:10 0.00027278
36 *235:17 *487:36 0
37 *235:32 *1572:B 7.31697e-05
38 *235:32 *1575:B 5.22654e-06
39 *235:32 *237:21 6.50727e-05
40 *235:32 *237:27 0.000169041
41 *235:32 *364:5 1.55025e-05
42 *235:32 *490:10 0.000101133
43 *1394:B *235:6 0
44 *1394:B *235:17 0
45 *1642:D *235:6 3.00073e-05
46 *231:27 *1394:C 7.48633e-05
47 *231:27 *235:17 0.000160617
48 *233:8 *235:6 0.000122098
49 *233:8 *235:17 7.39899e-05
*RES
1 *1393:X *235:6 15.9964
2 *235:6 *235:17 30.9458
3 *235:17 *1571:A 9.82786
4 *235:17 *235:32 14.4581
5 *235:32 *235:34 4.5
6 *235:34 *1398:B 35.1708
7 *235:34 *1575:A 9.24915
8 *235:32 *1583:A1 23.9212
9 *235:6 *1394:C 15.5817
*END
*D_NET *236 0.00290773
*CONN
*I *1396:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *1574:A1 I *D sky130_fd_sc_hd__o211a_1
*I *1395:Y O *D sky130_fd_sc_hd__nor3b_1
*CAP
1 *1396:A 9.67127e-05
2 *1574:A1 0
3 *1395:Y 0.00073466
4 *236:7 0.000831372
5 *1396:A *1567:A2 6.50727e-05
6 *1396:A *1574:A2 0.000271044
7 *236:7 *1560:B 0.000142393
8 *236:7 *1567:A2 0
9 *236:7 *1574:A2 3.51034e-05
10 *1394:C *236:7 0.000171273
11 *1472:A *236:7 0.000216458
12 *1642:D *236:7 6.92705e-05
13 *229:67 *236:7 1.5962e-05
14 *229:78 *236:7 6.36477e-05
15 *231:17 *236:7 6.2314e-05
16 *231:27 *236:7 0.000107347
17 *235:17 *236:7 2.50997e-05
*RES
1 *1395:Y *236:7 28.8532
2 *236:7 *1574:A1 9.24915
3 *236:7 *1396:A 12.7456
*END
*D_NET *237 0.00630625
*CONN
*I *1518:A1 I *D sky130_fd_sc_hd__o211a_1
*I *1501:B1 I *D sky130_fd_sc_hd__a21o_1
*I *1521:A1 I *D sky130_fd_sc_hd__o211a_1
*I *1397:A I *D sky130_fd_sc_hd__clkbuf_2
*I *1566:B I *D sky130_fd_sc_hd__and3_1
*I *1396:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *1518:A1 0.000119647
2 *1501:B1 0.000495139
3 *1521:A1 1.81917e-05
4 *1397:A 0
5 *1566:B 0
6 *1396:X 0.000199755
7 *237:39 0.00108118
8 *237:27 0.000778958
9 *237:21 0.00072045
10 *237:9 0.000625833
11 *1501:B1 *1516:A1 0
12 *1501:B1 *1519:A0 0
13 *1501:B1 *1521:A2 0
14 *1501:B1 *1747:A 0.000147622
15 *1501:B1 *245:33 0.000118166
16 *1501:B1 *318:8 0
17 *1501:B1 *431:10 6.65668e-05
18 *1518:A1 *1516:A1 0
19 *1518:A1 *1518:A2 0.000114584
20 *1521:A1 *1521:A2 1.09551e-05
21 *237:9 *1602:A2 9.32076e-05
22 *237:9 *484:10 5.04734e-05
23 *237:21 *1566:C 9.24241e-05
24 *237:21 *1602:A2 6.42783e-05
25 *237:21 *363:11 0.000347214
26 *237:21 *482:25 4.87343e-05
27 *237:21 *490:10 3.94229e-05
28 *237:39 *1521:A2 1.98996e-05
29 *1398:B *1518:A1 8.6593e-05
30 *1521:C1 *1521:A1 0
31 *1521:C1 *237:39 0
32 *1566:A *237:9 0.000122098
33 *1675:D *1501:B1 2.95757e-05
34 *1675:D *1518:A1 7.50872e-05
35 *1675:D *237:39 0.000170504
36 *172:11 *237:39 6.08467e-05
37 *172:32 *237:39 0.000274731
38 *235:32 *237:21 6.50727e-05
39 *235:32 *237:27 0.000169041
*RES
1 *1396:X *237:9 22.8836
2 *237:9 *1566:B 9.24915
3 *237:9 *237:21 18.2373
4 *237:21 *1397:A 9.24915
5 *237:21 *237:27 7.37864
6 *237:27 *1521:A1 9.82786
7 *237:27 *237:39 12.4574
8 *237:39 *1501:B1 26.4099
9 *237:39 *1518:A1 17.2744
*END
*D_NET *238 0.00681219
*CONN
*I *1515:A1 I *D sky130_fd_sc_hd__o211a_1
*I *1512:A1 I *D sky130_fd_sc_hd__o211a_1
*I *1509:A1 I *D sky130_fd_sc_hd__o211a_1
*I *1506:A1 I *D sky130_fd_sc_hd__o211a_1
*I *1407:A1 I *D sky130_fd_sc_hd__o211a_1
*I *1397:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *1515:A1 0
2 *1512:A1 0.000208293
3 *1509:A1 0
4 *1506:A1 0
5 *1407:A1 0
6 *1397:X 0.000181584
7 *238:35 0.000357468
8 *238:26 0.000662111
9 *238:19 0.00217359
10 *238:6 0.00184224
11 *1512:A1 *1503:S 0.000203833
12 *1512:A1 *240:41 2.24484e-05
13 *238:6 *1400:A1 0
14 *238:6 *1407:B1 3.5534e-06
15 *238:6 *482:25 0.000339753
16 *238:6 *490:10 0
17 *238:26 *1503:A0 1.78095e-05
18 *238:26 *1504:A 4.56831e-05
19 *238:26 *1506:A2 3.34559e-05
20 *238:26 *1506:B1 0.000109795
21 *238:26 *1511:A 0
22 *238:26 *1512:B1 0
23 *238:26 *1515:B1 4.61732e-05
24 *238:26 *246:40 6.50586e-05
25 *238:26 *428:33 5.53934e-05
26 *238:26 *496:12 0
27 *238:35 *1503:A0 1.58551e-05
28 *238:35 *1503:S 0.00017419
29 *238:35 *1506:A2 6.36477e-05
30 *238:35 *1509:B1 8.07939e-05
31 *1671:D *238:35 6.50586e-05
32 *1673:D *1512:A1 2.65667e-05
33 *172:32 *238:19 2.60879e-06
34 *172:32 *238:26 1.52339e-05
*RES
1 *1397:X *238:6 19.7337
2 *238:6 *1407:A1 13.7491
3 *238:6 *238:19 10.6846
4 *238:19 *238:26 18.3025
5 *238:26 *1506:A1 9.24915
6 *238:26 *238:35 9.96496
7 *238:35 *1509:A1 13.7491
8 *238:35 *1512:A1 19.924
9 *238:19 *1515:A1 13.7491
*END
*D_NET *239 0.00365721
*CONN
*I *1513:S I *D sky130_fd_sc_hd__mux2_1
*I *1399:A I *D sky130_fd_sc_hd__clkbuf_2
*I *1519:S I *D sky130_fd_sc_hd__mux2_1
*I *1516:S I *D sky130_fd_sc_hd__mux2_1
*I *1398:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *1513:S 5.1136e-05
2 *1399:A 0
3 *1519:S 0.000257672
4 *1516:S 0.00016154
5 *1398:Y 0
6 *239:23 0.00055422
7 *239:20 0.000488675
8 *239:4 0.000302531
9 *1513:S *1513:A0 1.92172e-05
10 *1516:S *522:13 5.37234e-05
11 *1519:S *1400:S 0.000217937
12 *1519:S *1519:A0 2.99979e-05
13 *1519:S *240:8 0
14 *1519:S *318:11 0.000583244
15 *239:20 *1513:A1 2.19131e-05
16 *239:20 *1516:A0 0
17 *239:20 *496:12 0.000290644
18 *239:20 *522:13 6.50586e-05
19 *239:23 *1513:A0 2.15348e-05
20 *239:23 *1513:A1 6.08467e-05
21 *239:23 *240:8 6.12686e-06
22 *239:23 *240:40 0.000114993
23 *537:DIODE *1516:S 0
24 *1398:B *1516:S 0.000141503
25 *1674:D *239:20 0.000175689
26 *172:32 *1519:S 3.90092e-05
*RES
1 *1398:Y *239:4 9.24915
2 *239:4 *1516:S 14.3129
3 *239:4 *239:20 14.8774
4 *239:20 *239:23 7.668
5 *239:23 *1519:S 27.5963
6 *239:23 *1399:A 9.24915
7 *239:20 *1513:S 10.5513
*END
*D_NET *240 0.00683541
*CONN
*I *1510:S I *D sky130_fd_sc_hd__mux2_1
*I *1507:S I *D sky130_fd_sc_hd__mux2_1
*I *1503:S I *D sky130_fd_sc_hd__mux2_1
*I *1400:S I *D sky130_fd_sc_hd__mux2_1
*I *1501:A2 I *D sky130_fd_sc_hd__a21o_1
*I *1399:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *1510:S 3.39456e-05
2 *1507:S 0.000193426
3 *1503:S 0.000271558
4 *1400:S 0.000335882
5 *1501:A2 0.00010785
6 *1399:X 0
7 *240:41 0.000588332
8 *240:40 0.000681749
9 *240:8 0.000913499
10 *240:4 0.000994222
11 *1400:S *1400:A0 1.55995e-05
12 *1400:S *1521:A2 6.3657e-05
13 *1400:S *318:11 0.00042732
14 *1501:A2 *1747:A 5.044e-05
15 *1503:S *1503:A0 6.27284e-05
16 *1503:S *1503:A1 0.000127194
17 *1503:S *1509:A2 5.54078e-05
18 *1503:S *1509:B1 2.04012e-05
19 *1503:S *458:55 1.78514e-05
20 *1503:S *458:65 5.75903e-05
21 *1507:S *1507:A0 0.000113968
22 *1510:S *412:11 9.2346e-06
23 *1510:S *458:74 1.07248e-05
24 *1510:S *481:8 0
25 *240:8 *1519:A0 0
26 *240:8 *1747:A 3.45882e-05
27 *240:40 *1510:A0 0.000118166
28 *240:40 *1513:A0 0.000509394
29 *240:40 *1515:A2 0.000118166
30 *240:40 *412:11 4.88955e-05
31 *240:41 *481:8 0
32 *1512:A1 *1503:S 0.000203833
33 *1512:A1 *240:41 2.24484e-05
34 *1519:S *1400:S 0.000217937
35 *1519:S *240:8 0
36 *1673:D *1507:S 4.26566e-05
37 *1673:D *1510:S 4.3116e-06
38 *1673:D *240:41 1.90218e-05
39 *1706:D *1503:S 0
40 *1706:D *240:41 0
41 *172:11 *1400:S 4.81015e-05
42 *172:32 *1501:A2 0
43 *238:35 *1503:S 0.00017419
44 *239:23 *240:8 6.12686e-06
45 *239:23 *240:40 0.000114993
*RES
1 *1399:X *240:4 9.24915
2 *240:4 *240:8 12.1834
3 *240:8 *1501:A2 16.8269
4 *240:8 *1400:S 24.0937
5 *240:4 *240:40 18.9438
6 *240:40 *240:41 3.07775
7 *240:41 *1503:S 24.7489
8 *240:41 *1507:S 18.3548
9 *240:40 *1510:S 14.7506
*END
*D_NET *241 0.000657832
*CONN
*I *1407:A2 I *D sky130_fd_sc_hd__o211a_1
*I *1400:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *1407:A2 0.00019157
2 *1400:X 0.00019157
3 *1407:A2 *1400:A1 0.000169041
4 *172:11 *1407:A2 0.000105652
*RES
1 *1400:X *1407:A2 24.2613
*END
*D_NET *242 0.00454858
*CONN
*I *1557:A I *D sky130_fd_sc_hd__or3_1
*I *1604:A I *D sky130_fd_sc_hd__nor3_1
*I *1404:A I *D sky130_fd_sc_hd__or3b_2
*I *1599:A I *D sky130_fd_sc_hd__or3b_1
*I *1632:A I *D sky130_fd_sc_hd__and2_1
*I *1401:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1557:A 0.000125332
2 *1604:A 3.58463e-05
3 *1404:A 4.50962e-05
4 *1599:A 0.000112359
5 *1632:A 8.11681e-05
6 *1401:X 0.000199805
7 *242:41 0.000231709
8 *242:30 0.000148741
9 *242:20 0.000516931
10 *242:8 0.000652431
11 *1404:A *1630:A 6.08467e-05
12 *1404:A *1743:A 1.92172e-05
13 *1404:A *244:13 0.000160617
14 *1404:A *395:17 0.000158371
15 *1557:A *1557:C 0.000167076
16 *1557:A *1608:A 7.92757e-06
17 *1557:A *243:9 3.31745e-05
18 *1557:A *395:17 0.000160617
19 *1599:A *1628:A 0.000111708
20 *1599:A *1633:A 0.000132733
21 *1599:A *243:16 2.47663e-05
22 *1604:A *243:9 2.16355e-05
23 *1632:A *295:10 0.000148114
24 *1632:A *453:8 0
25 *242:8 *295:10 0.000186445
26 *242:8 *453:8 0
27 *242:8 *453:39 0
28 *242:8 *472:9 1.41976e-05
29 *242:8 *472:21 3.8122e-05
30 *242:20 *1630:A 6.50586e-05
31 *242:20 *395:17 0.000214493
32 *242:30 *243:12 4.57241e-06
33 *242:30 *243:16 3.20069e-06
34 *242:30 *244:24 2.95757e-05
35 *242:41 *244:24 0.000165495
36 *242:41 *295:10 0
37 *242:41 *453:98 9.75356e-05
38 *1630:B *1599:A 4.0553e-05
39 *1630:B *242:30 1.32509e-05
40 *1630:B *242:41 7.58217e-06
41 *171:32 *1599:A 0.000258142
42 *229:22 *1599:A 5.41377e-05
*RES
1 *1401:X *242:8 18.5201
2 *242:8 *1632:A 16.4116
3 *242:8 *242:20 15.2694
4 *242:20 *1599:A 18.9382
5 *242:20 *242:30 1.00149
6 *242:30 *1404:A 16.1364
7 *242:30 *242:41 7.57775
8 *242:41 *1604:A 9.97254
9 *242:41 *1557:A 13.3243
*END
*D_NET *243 0.00220497
*CONN
*I *1557:C I *D sky130_fd_sc_hd__or3_1
*I *1604:C I *D sky130_fd_sc_hd__nor3_1
*I *1404:B I *D sky130_fd_sc_hd__or3b_2
*I *1599:C_N I *D sky130_fd_sc_hd__or3b_1
*I *1628:A I *D sky130_fd_sc_hd__and2_1
*I *1402:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1557:C 2.61162e-05
2 *1604:C 0
3 *1404:B 0
4 *1599:C_N 0
5 *1628:A 2.21303e-05
6 *1402:X 0.000121531
7 *243:16 0.000104648
8 *243:12 0.000190647
9 *243:9 0.000255777
10 *1557:C *1608:A 6.49003e-05
11 *1628:A *1633:A 0.000169041
12 *243:9 *1608:A 0.000303915
13 *243:12 *1557:B 1.07248e-05
14 *243:12 *1743:A 2.15656e-05
15 *243:12 *244:24 0.000211007
16 *243:12 *395:17 0.000122098
17 *243:12 *471:12 9.98029e-06
18 *243:16 *1743:A 2.33193e-05
19 *1557:A *1557:C 0.000167076
20 *1557:A *243:9 3.31745e-05
21 *1599:A *1628:A 0.000111708
22 *1599:A *243:16 2.47663e-05
23 *1604:A *243:9 2.16355e-05
24 *171:32 *1628:A 2.41483e-05
25 *229:22 *243:12 1.07248e-05
26 *229:22 *243:16 0.000146562
27 *242:30 *243:12 4.57241e-06
28 *242:30 *243:16 3.20069e-06
*RES
1 *1402:X *243:9 13.903
2 *243:9 *243:12 8.82351
3 *243:12 *243:16 7.1625
4 *243:16 *1628:A 11.0817
5 *243:16 *1599:C_N 9.24915
6 *243:12 *1404:B 13.7491
7 *243:9 *1604:C 9.24915
8 *243:9 *1557:C 11.0817
*END
*D_NET *244 0.0027737
*CONN
*I *1404:C_N I *D sky130_fd_sc_hd__or3b_2
*I *1599:B I *D sky130_fd_sc_hd__or3b_1
*I *1557:B I *D sky130_fd_sc_hd__or3_1
*I *1604:B I *D sky130_fd_sc_hd__nor3_1
*I *1630:A I *D sky130_fd_sc_hd__and2_1
*I *1403:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1404:C_N 0
2 *1599:B 5.81502e-05
3 *1557:B 0.000189912
4 *1604:B 0
5 *1630:A 5.11115e-05
6 *1403:X 7.35121e-05
7 *244:24 0.000273988
8 *244:13 0.000205782
9 *244:11 0.000204286
10 *244:7 0.000149053
11 *1557:B *1608:A 0.000220183
12 *1557:B *390:11 1.07248e-05
13 *1557:B *453:98 2.30271e-05
14 *1557:B *471:12 0
15 *1599:B *1558:A2 0
16 *1599:B *1743:A 9.60216e-05
17 *1630:A *395:17 3.24735e-05
18 *244:7 *471:7 0
19 *244:7 *471:12 0.000222149
20 *244:11 *471:12 5.99691e-05
21 *244:13 *471:12 5.04829e-06
22 *244:24 *453:98 1.12605e-05
23 *1404:A *1630:A 6.08467e-05
24 *1404:A *244:13 0.000160617
25 *229:22 *1599:B 2.95757e-05
26 *229:22 *244:11 4.66492e-05
27 *229:22 *244:13 0.000107496
28 *242:20 *1630:A 6.50586e-05
29 *242:30 *244:24 2.95757e-05
30 *242:41 *244:24 0.000165495
31 *243:12 *1557:B 1.07248e-05
32 *243:12 *244:24 0.000211007
*RES
1 *1403:X *244:7 12.2151
2 *244:7 *244:11 2.41132
3 *244:11 *244:13 2.38721
4 *244:13 *1630:A 11.1059
5 *244:13 *244:24 8.40826
6 *244:24 *1604:B 13.7491
7 *244:24 *1557:B 17.5531
8 *244:11 *1599:B 20.0811
9 *244:7 *1404:C_N 9.24915
*END
*D_NET *245 0.00987531
*CONN
*I *1405:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *1511:B I *D sky130_fd_sc_hd__or2_1
*I *1514:B I *D sky130_fd_sc_hd__or2_1
*I *1517:B I *D sky130_fd_sc_hd__or2_1
*I *1520:B I *D sky130_fd_sc_hd__or2_1
*I *1404:X O *D sky130_fd_sc_hd__or3b_2
*CAP
1 *1405:A 0
2 *1511:B 0.000178749
3 *1514:B 0
4 *1517:B 0
5 *1520:B 0
6 *1404:X 0.00139846
7 *245:33 0.000603336
8 *245:23 0.000482888
9 *245:17 0.000737035
10 *245:7 0.00207719
11 *1511:B *1501:A1 1.92172e-05
12 *1511:B *428:33 0.000113968
13 *245:7 *1699:CLK 0.000370815
14 *245:7 *471:7 7.14652e-05
15 *245:7 *471:12 0.000188077
16 *245:17 *1406:A 6.50727e-05
17 *245:17 *1520:A 0
18 *245:17 *1660:CLK 3.31736e-05
19 *245:17 *246:11 0.000524312
20 *245:17 *246:26 0.000116627
21 *245:17 *484:10 5.95606e-05
22 *245:17 *484:15 0.000136106
23 *245:23 *1520:A 0.000241718
24 *245:23 *246:26 0.000375027
25 *245:33 *1501:A1 0.000441022
26 *245:33 *1502:B1 6.50727e-05
27 *245:33 *1517:A 6.50727e-05
28 *245:33 *1520:A 0.000554646
29 *245:33 *246:26 0.000471586
30 *1320:A *245:7 1.03403e-05
31 *1501:B1 *245:33 0.000118166
32 *1505:A *245:33 6.50727e-05
33 *1699:D *245:7 7.90842e-05
34 *171:6 *245:17 0.000179271
35 *171:24 *245:17 3.31882e-05
*RES
1 *1404:X *245:7 31.4815
2 *245:7 *245:17 24.4932
3 *245:17 *1520:B 9.24915
4 *245:17 *245:23 4.05102
5 *245:23 *1517:B 9.24915
6 *245:23 *245:33 18.109
7 *245:33 *1514:B 9.24915
8 *245:33 *1511:B 13.8789
9 *245:7 *1405:A 9.24915
*END
*D_NET *246 0.00971361
*CONN
*I *1610:A1 I *D sky130_fd_sc_hd__a31oi_1
*I *1504:B I *D sky130_fd_sc_hd__or2_1
*I *1508:B I *D sky130_fd_sc_hd__or2_1
*I *1502:A2 I *D sky130_fd_sc_hd__o211a_1
*I *1406:B I *D sky130_fd_sc_hd__or2_1
*I *1405:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *1610:A1 0.000540116
2 *1504:B 0
3 *1508:B 0.000242784
4 *1502:A2 0.000288897
5 *1406:B 0
6 *1405:X 4.96673e-05
7 *246:40 0.000703742
8 *246:26 0.00119705
9 *246:11 0.000706968
10 *246:6 0.000849554
11 *1502:A2 *1378:A1 0.000170592
12 *1502:A2 *1502:B1 4.04393e-05
13 *1502:A2 *1520:A 7.45404e-05
14 *1502:A2 *272:20 0
15 *1502:A2 *430:8 0.000160467
16 *1502:A2 *446:7 0.000267328
17 *1508:B *1461:A0 0.000262509
18 *1508:B *1511:A 6.33748e-05
19 *1508:B *428:33 6.15995e-05
20 *1610:A1 *1610:A3 0.00014804
21 *1610:A1 *454:7 6.08467e-05
22 *246:6 *1660:CLK 0.00015324
23 *246:11 *1660:CLK 8.16827e-05
24 *246:26 *1406:A 2.65831e-05
25 *246:26 *1407:B1 7.48797e-05
26 *246:26 *1518:B1 2.39581e-05
27 *246:26 *1520:A 0.000118485
28 *246:26 *1521:B1 0.000587456
29 *246:26 *318:8 6.92705e-05
30 *246:40 *1501:A1 1.03403e-05
31 *246:40 *1503:A0 7.92757e-06
32 *246:40 *1504:A 0.000213725
33 *246:40 *1670:CLK 0.000147197
34 *246:40 *430:7 2.78496e-05
35 *246:40 *441:7 0.000229005
36 *1319:A *1610:A1 6.50727e-05
37 *1502:C1 *1502:A2 0.000110306
38 *1505:A *1502:A2 0
39 *1505:A *246:26 6.50727e-05
40 *1610:B1 *1610:A1 8.12793e-05
41 *1670:D *246:40 6.54102e-05
42 *94:9 *1508:B 1.07248e-05
43 *170:20 *246:11 2.71397e-05
44 *170:29 *246:6 6.49002e-05
45 *170:29 *246:11 1.09738e-05
46 *238:26 *246:40 6.50586e-05
47 *245:17 *246:11 0.000524312
48 *245:17 *246:26 0.000116627
49 *245:23 *246:26 0.000375027
50 *245:33 *246:26 0.000471586
*RES
1 *1405:X *246:6 16.4116
2 *246:6 *246:11 13.2954
3 *246:11 *1406:B 9.24915
4 *246:11 *246:26 23.9024
5 *246:26 *1502:A2 24.4999
6 *246:26 *246:40 19.0885
7 *246:40 *1508:B 25.5145
8 *246:40 *1504:B 9.24915
9 *246:6 *1610:A1 26.6979
*END
*D_NET *247 0.000572093
*CONN
*I *1407:B1 I *D sky130_fd_sc_hd__o211a_1
*I *1406:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *1407:B1 0.000196132
2 *1406:X 0.000196132
3 *1407:B1 *482:25 4.10825e-05
4 *1407:C1 *1407:B1 6.03127e-05
5 *238:6 *1407:B1 3.5534e-06
6 *246:26 *1407:B1 7.48797e-05
*RES
1 *1406:X *1407:B1 31.9934
*END
*D_NET *248 0.0125631
*CONN
*I *1443:A I *D sky130_fd_sc_hd__or2_1
*I *1455:A I *D sky130_fd_sc_hd__or2_1
*I *1449:A I *D sky130_fd_sc_hd__or2_1
*I *1458:A I *D sky130_fd_sc_hd__or2_1
*I *1409:A I *D sky130_fd_sc_hd__nor2_1
*I *1408:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *1443:A 0.000129572
2 *1455:A 0
3 *1449:A 0.000227751
4 *1458:A 0
5 *1409:A 8.21525e-05
6 *1408:X 0.00104632
7 *248:31 0.000672575
8 *248:19 0.000863166
9 *248:15 0.00123143
10 *248:9 0.00236234
11 *1409:A *1448:A0 0.000334808
12 *1409:A *1459:A 3.25584e-05
13 *1443:A *1687:CLK 1.58551e-05
14 *1449:A *1448:A1 1.05601e-05
15 *1449:A *1449:B 0.000151741
16 *1449:A *1457:S 1.26939e-05
17 *1449:A *271:44 3.36842e-05
18 *1449:A *479:20 6.50727e-05
19 *1449:A *489:8 8.57612e-05
20 *248:9 *1408:A 7.50872e-05
21 *248:9 *1444:A 0.000114833
22 *248:9 *1687:CLK 4.11567e-05
23 *248:9 *439:27 9.48956e-06
24 *248:9 *486:23 0.000903358
25 *248:15 *1366:B2 0.00106415
26 *248:15 *1454:S 6.50586e-05
27 *248:15 *1739:A 0
28 *248:15 *271:44 0
29 *248:15 *434:28 1.51223e-05
30 *248:15 *435:18 0
31 *248:15 *489:8 0.000666347
32 *248:19 *1454:S 1.92336e-05
33 *248:19 *1455:B 4.31603e-06
34 *248:31 *1330:A 1.17054e-05
35 *248:31 *1448:A0 0.000380524
36 *248:31 *1454:S 6.99486e-05
37 *248:31 *1459:A 0.000245998
38 *248:31 *1537:A1 0
39 *248:31 *271:44 0
40 *248:31 *455:15 0.000193382
41 *1324:B1 *248:15 0.000144546
42 *1378:A2 *248:15 5.15445e-05
43 *1380:B1 *248:15 9.24241e-05
44 *1658:D *248:31 1.77537e-06
45 *1687:D *248:9 1.87611e-05
46 *199:16 *248:15 0.000941239
47 *221:8 *248:9 7.50872e-05
*RES
1 *1408:X *248:9 36.4728
2 *248:9 *248:15 47.6823
3 *248:15 *248:19 1.30211
4 *248:19 *248:31 27.7219
5 *248:31 *1409:A 13.3243
6 *248:31 *1458:A 9.24915
7 *248:19 *1449:A 25.3723
8 *248:15 *1455:A 9.24915
9 *248:9 *1443:A 11.5158
*END
*D_NET *249 0.00201271
*CONN
*I *1522:B1 I *D sky130_fd_sc_hd__o211a_1
*I *1410:B1 I *D sky130_fd_sc_hd__o21a_1
*I *1409:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *1522:B1 8.26608e-05
2 *1410:B1 0.000121967
3 *1409:Y 9.49432e-05
4 *249:7 0.000299571
5 *1410:B1 *1410:A1 0.000101246
6 *1410:B1 *1774:A 0
7 *1522:B1 *1410:A1 1.09738e-05
8 *1522:B1 *477:14 0
9 *249:7 *1409:B 0.000468427
10 *249:7 *1448:A0 0.000114523
11 *249:7 *1522:A1 0.000113968
12 *249:7 *511:5 0.00020444
13 *535:DIODE *1410:B1 6.92705e-05
14 *550:DIODE *1410:B1 0
15 *1410:A2 *1410:B1 8.62625e-06
16 *1522:C1 *1522:B1 5.22654e-06
17 *1644:D *1410:B1 7.56859e-06
18 *1:13 *1410:B1 4.30017e-06
19 *1:14 *1410:B1 0.000170577
20 *1:14 *1522:B1 0.000134421
*RES
1 *1409:Y *249:7 19.464
2 *249:7 *1410:B1 18.7961
3 *249:7 *1522:B1 16.4116
*END
*D_NET *250 0.00550903
*CONN
*I *1414:A I *D sky130_fd_sc_hd__and2_1
*I *1417:A I *D sky130_fd_sc_hd__and2_1
*I *1420:A I *D sky130_fd_sc_hd__and2_1
*I *1426:A I *D sky130_fd_sc_hd__and2_1
*I *1423:A I *D sky130_fd_sc_hd__and2_1
*I *1411:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1414:A 0.000157808
2 *1417:A 0.000226132
3 *1420:A 2.64699e-05
4 *1426:A 0.000197095
5 *1423:A 0.000103569
6 *1411:X 8.13321e-05
7 *250:23 0.000664817
8 *250:14 0.000635868
9 *250:12 0.000692776
10 *250:6 0.000460539
11 *1414:A *1414:B 6.36477e-05
12 *1414:A *1415:A 9.14505e-05
13 *1414:A *512:8 4.58003e-05
14 *1417:A *1317:A 0.000431788
15 *1423:A *1419:A1 1.80257e-05
16 *1423:A *1423:B 0.000171273
17 *1426:A *1423:B 0
18 *1426:A *1426:B 9.34396e-06
19 *1426:A *1488:S 0
20 *1426:A *251:22 0
21 *1426:A *296:14 0
22 *250:6 *295:22 0.000139532
23 *250:6 *521:6 0
24 *250:12 *251:11 0.000155808
25 *250:12 *295:22 0.000135566
26 *250:12 *513:6 0
27 *250:12 *521:6 0
28 *250:12 *521:12 0
29 *250:14 *1416:A0 0
30 *250:14 *1420:B 3.31882e-05
31 *250:14 *251:11 0.000216103
32 *250:14 *295:22 8.79128e-05
33 *250:14 *521:12 0
34 *250:23 *1420:B 0.000127179
35 *250:23 *1423:B 0.0003588
36 *250:23 *1424:A 8.43842e-05
37 *250:23 *295:22 6.62565e-05
38 *250:23 *521:12 0
39 *1481:A *1420:A 2.65667e-05
*RES
1 *1411:X *250:6 16.4116
2 *250:6 *250:12 6.8883
3 *250:12 *250:14 7.64553
4 *250:14 *250:23 15.8433
5 *250:23 *1423:A 12.191
6 *250:23 *1426:A 22.1574
7 *250:14 *1420:A 14.4725
8 *250:12 *1417:A 20.0427
9 *250:6 *1414:A 18.3548
*END
*D_NET *251 0.00393671
*CONN
*I *1413:S I *D sky130_fd_sc_hd__mux2_1
*I *1419:S I *D sky130_fd_sc_hd__mux2_1
*I *1422:S I *D sky130_fd_sc_hd__mux2_1
*I *1425:S I *D sky130_fd_sc_hd__mux2_1
*I *1416:S I *D sky130_fd_sc_hd__mux2_1
*I *1412:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *1413:S 0
2 *1419:S 0
3 *1422:S 3.50109e-05
4 *1425:S 0.000139737
5 *1416:S 5.88896e-05
6 *1412:X 7.23116e-05
7 *251:22 0.00032979
8 *251:20 0.000414608
9 *251:11 0.000514062
10 *251:5 0.000267918
11 *1416:S *1416:A0 6.50586e-05
12 *1416:S *1416:A1 6.64392e-05
13 *1422:S *451:7 0.000211492
14 *1422:S *483:23 0.000211492
15 *1425:S *1419:A0 5.04734e-05
16 *1425:S *1425:A0 6.92705e-05
17 *1425:S *1426:B 0
18 *1425:S *1427:A 0
19 *1425:S *295:22 1.46079e-05
20 *251:5 *1418:A 0.000217937
21 *251:11 *1416:A0 0.000203753
22 *251:11 *1417:B 2.16355e-05
23 *251:11 *295:22 0.00037551
24 *251:20 *295:22 0.000111203
25 *251:22 *1419:A0 5.47736e-05
26 *251:22 *1423:B 4.0625e-05
27 *251:22 *295:22 1.8202e-05
28 *1426:A *251:22 0
29 *250:12 *251:11 0.000155808
30 *250:14 *251:11 0.000216103
*RES
1 *1412:X *251:5 11.6364
2 *251:5 *251:11 18.2022
3 *251:11 *1416:S 11.0817
4 *251:11 *251:20 11.315
5 *251:20 *251:22 3.90826
6 *251:22 *1425:S 17.5503
7 *251:22 *1422:S 16.1364
8 *251:20 *1419:S 13.7491
9 *251:5 *1413:S 9.24915
*END
*D_NET *252 0.000555104
*CONN
*I *1414:B I *D sky130_fd_sc_hd__and2_1
*I *1413:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *1414:B 0.000214784
2 *1413:X 0.000214784
3 *1414:B *1418:A 3.18815e-05
4 *1414:B *295:22 0
5 *1414:B *512:8 3.00073e-05
6 *1414:A *1414:B 6.36477e-05
*RES
1 *1413:X *1414:B 32.1622
*END
*D_NET *253 0.00135131
*CONN
*I *1415:A I *D sky130_fd_sc_hd__clkbuf_1
*I *1414:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *1415:A 0.000532634
2 *1414:X 0.000532634
3 *1415:A *1469:A 0
4 *1415:A *262:10 6.4628e-05
5 *1415:A *262:61 6.06688e-05
6 *1414:A *1415:A 9.14505e-05
7 *1645:D *1415:A 6.92979e-05
*RES
1 *1414:X *1415:A 40.4812
*END
*D_NET *254 0.00124386
*CONN
*I *1417:B I *D sky130_fd_sc_hd__and2_1
*I *1416:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *1417:B 0.00054202
2 *1416:X 0.00054202
3 *1417:B *1416:A0 6.04131e-05
4 *1417:B *1469:A 3.29257e-05
5 *1417:B *262:10 3.56479e-05
6 *1417:B *447:10 9.19886e-06
7 *251:11 *1417:B 2.16355e-05
*RES
1 *1416:X *1417:B 36.4302
*END
*D_NET *255 0.0021029
*CONN
*I *1418:A I *D sky130_fd_sc_hd__clkbuf_1
*I *1417:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *1418:A 0.000493964
2 *1417:X 0.000493964
3 *1418:A *1413:A1 0.000744545
4 *1418:A *1469:A 0
5 *1418:A *262:10 0.000120605
6 *1414:B *1418:A 3.18815e-05
7 *251:5 *1418:A 0.000217937
*RES
1 *1417:X *1418:A 43.2248
*END
*D_NET *256 0.000314971
*CONN
*I *1420:B I *D sky130_fd_sc_hd__and2_1
*I *1419:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *1420:B 7.73016e-05
2 *1419:X 7.73016e-05
3 *1420:B *521:12 0
4 *250:14 *1420:B 3.31882e-05
5 *250:23 *1420:B 0.000127179
*RES
1 *1419:X *1420:B 30.1608
*END
*D_NET *257 0.00123481
*CONN
*I *1421:A I *D sky130_fd_sc_hd__clkbuf_1
*I *1420:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *1421:A 0.000456003
2 *1420:X 0.000456003
3 *1421:A *312:8 0
4 *1421:A *447:10 0
5 *1481:A *1421:A 0.000102625
6 *1648:D *1421:A 0.000220183
*RES
1 *1420:X *1421:A 38.643
*END
*D_NET *258 0.00135081
*CONN
*I *1423:B I *D sky130_fd_sc_hd__and2_1
*I *1422:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *1423:B 0.000153977
2 *1422:X 0.000153977
3 *1423:B *1419:A1 4.82966e-05
4 *1423:B *1424:A 0.000423859
5 *1423:A *1423:B 0.000171273
6 *1426:A *1423:B 0
7 *250:23 *1423:B 0.0003588
8 *251:22 *1423:B 4.0625e-05
*RES
1 *1422:X *1423:B 35.4604
*END
*D_NET *259 0.00238982
*CONN
*I *1424:A I *D sky130_fd_sc_hd__clkbuf_1
*I *1423:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *1424:A 0.000408955
2 *1423:X 0.000408955
3 *1424:A *1419:A1 0.000574505
4 *1424:A *262:10 0.000244579
5 *1424:A *521:12 0.000244579
6 *1423:B *1424:A 0.000423859
7 *250:23 *1424:A 8.43842e-05
*RES
1 *1423:X *1424:A 43.6373
*END
*D_NET *260 0.000710109
*CONN
*I *1426:B I *D sky130_fd_sc_hd__and2_1
*I *1425:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *1426:B 0.000249886
2 *1425:X 0.000249886
3 *1426:B *1422:A1 6.50586e-05
4 *1426:B *1427:A 7.50872e-05
5 *1426:B *1488:S 0
6 *1426:B *483:23 6.08467e-05
7 *1425:S *1426:B 0
8 *1426:A *1426:B 9.34396e-06
*RES
1 *1425:X *1426:B 33.066
*END
*D_NET *261 0.00122325
*CONN
*I *1427:A I *D sky130_fd_sc_hd__clkbuf_1
*I *1426:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *1427:A 0.000460372
2 *1426:X 0.000460372
3 *1427:A *1425:A1 0
4 *1427:A *1488:S 0
5 *1427:A *1491:A0 0
6 *1427:A *295:22 0
7 *1427:A *451:7 6.3657e-05
8 *1425:S *1427:A 0
9 *1426:B *1427:A 7.50872e-05
10 *1649:D *1427:A 0.000163758
*RES
1 *1426:X *1427:A 39.3608
*END
*D_NET *262 0.0199918
*CONN
*I *528:DIODE I *D sky130_fd_sc_hd__diode_2
*I *527:DIODE I *D sky130_fd_sc_hd__diode_2
*I *1452:A I *D sky130_fd_sc_hd__and2_1
*I *1446:A I *D sky130_fd_sc_hd__and2_1
*I *525:DIODE I *D sky130_fd_sc_hd__diode_2
*I *1433:A I *D sky130_fd_sc_hd__and2_1
*I *526:DIODE I *D sky130_fd_sc_hd__diode_2
*I *1436:A I *D sky130_fd_sc_hd__and2_1
*I *1430:A I *D sky130_fd_sc_hd__and2_1
*I *524:DIODE I *D sky130_fd_sc_hd__diode_2
*I *1428:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *528:DIODE 2.31637e-05
2 *527:DIODE 0
3 *1452:A 0.000280152
4 *1446:A 0
5 *525:DIODE 5.0318e-05
6 *1433:A 1.23957e-05
7 *526:DIODE 5.86461e-05
8 *1436:A 0
9 *1430:A 0
10 *524:DIODE 8.37498e-05
11 *1428:X 0
12 *262:73 0.000384509
13 *262:69 0.00081473
14 *262:64 0.000849258
15 *262:61 0.00366353
16 *262:35 0.000532497
17 *262:17 0.000202834
18 *262:16 0.000688818
19 *262:12 0.000160759
20 *262:10 0.000872074
21 *262:4 0.00431708
22 *525:DIODE *1432:S 2.16355e-05
23 *526:DIODE *312:31 0.000362155
24 *526:DIODE *477:14 0.000152868
25 *528:DIODE *1687:CLK 6.50727e-05
26 *1433:A *1432:S 0.00011818
27 *1433:A *516:20 0.00011818
28 *1452:A *1447:A 0.000175485
29 *1452:A *1451:S 0
30 *1452:A *476:8 7.38623e-05
31 *262:10 *1469:A 0
32 *262:10 *447:10 0.000456617
33 *262:10 *521:6 0.000481768
34 *262:10 *521:12 0.000864245
35 *262:12 *521:12 5.56367e-05
36 *262:12 *521:14 2.24484e-05
37 *262:16 *1434:A 0
38 *262:16 *521:14 0.000174205
39 *262:17 *1431:A 0.000115934
40 *262:35 *1429:A0 0
41 *262:35 *1431:A 0.000159322
42 *262:35 *1432:A1 7.77309e-06
43 *262:35 *1434:A 9.34396e-06
44 *262:35 *1499:A 6.50727e-05
45 *262:35 *312:8 0.000363715
46 *262:35 *312:31 4.88955e-05
47 *262:35 *477:14 5.0715e-05
48 *262:61 *1382:A1 1.86698e-05
49 *262:61 *1683:CLK 0.00021632
50 *262:61 *1685:CLK 0.000177033
51 *262:61 *1686:CLK 0.000185377
52 *262:61 *438:11 0.000184864
53 *262:61 *438:37 4.26441e-06
54 *262:61 *440:5 7.85874e-06
55 *262:64 *1502:A1 0.000128678
56 *262:64 *1653:CLK 8.52652e-05
57 *262:64 *424:22 2.63397e-05
58 *262:69 *1443:B 0.000137839
59 *262:69 *272:35 0.000317679
60 *262:69 *272:47 0.000230146
61 *262:69 *424:22 0
62 *262:73 *272:47 0.000170181
63 *529:DIODE *262:61 7.98416e-05
64 *544:DIODE *1452:A 5.99527e-05
65 *544:DIODE *262:73 2.15348e-05
66 *1415:A *262:10 6.4628e-05
67 *1415:A *262:61 6.06688e-05
68 *1417:B *262:10 3.56479e-05
69 *1418:A *262:10 0.000120605
70 *1424:A *262:10 0.000244579
71 *1428:A *262:61 1.43983e-05
72 *1637:D *262:64 0
73 *1645:D *262:10 0
74 *1647:D *262:10 0.000151726
75 *1650:D *524:DIODE 2.41274e-06
76 *1650:D *262:17 4.38847e-05
77 *1651:D *262:35 2.65667e-05
78 *1653:D *262:61 2.32942e-05
79 *1656:D *1452:A 2.77564e-05
80 *1683:D *262:61 1.65445e-05
81 *172:36 *262:64 4.21279e-05
82 *228:7 *262:61 0.000107496
*RES
1 *1428:X *262:4 9.24915
2 *262:4 *262:10 35.4729
3 *262:10 *262:12 1.41674
4 *262:12 *262:16 7.57775
5 *262:16 *262:17 3.49641
6 *262:17 *524:DIODE 11.0817
7 *262:17 *1430:A 9.24915
8 *262:16 *262:35 23.9171
9 *262:35 *1436:A 9.24915
10 *262:35 *526:DIODE 13.3002
11 *262:12 *1433:A 15.0271
12 *262:10 *525:DIODE 14.4725
13 *262:4 *262:61 53.4107
14 *262:61 *262:64 8.82351
15 *262:64 *262:69 17.3142
16 *262:69 *262:73 3.37585
17 *262:73 *1446:A 9.24915
18 *262:73 *1452:A 26.4871
19 *262:69 *527:DIODE 9.24915
20 *262:64 *528:DIODE 14.4725
*END
*D_NET *263 0.000763951
*CONN
*I *1430:B I *D sky130_fd_sc_hd__and2_1
*I *1429:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *1430:B 0.000268663
2 *1429:X 0.000268663
3 *1430:B *1429:S 0
4 *1430:B *1494:A1 0.000122378
5 *1430:B *295:22 0
6 *1430:B *295:39 0
7 *1430:B *521:14 5.23264e-05
8 *1650:D *1430:B 5.19205e-05
*RES
1 *1429:X *1430:B 34.3456
*END
*D_NET *264 0.000451509
*CONN
*I *1431:A I *D sky130_fd_sc_hd__clkbuf_1
*I *1430:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *1431:A 5.20018e-05
2 *1430:X 5.20018e-05
3 *1650:D *1431:A 7.22498e-05
4 *262:17 *1431:A 0.000115934
5 *262:35 *1431:A 0.000159322
*RES
1 *1430:X *1431:A 21.4401
*END
*D_NET *265 0.000577356
*CONN
*I *1433:B I *D sky130_fd_sc_hd__and2_1
*I *1432:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *1433:B 0.000119766
2 *1432:X 0.000119766
3 *1433:B *1432:S 0.000337824
*RES
1 *1432:X *1433:B 22.9833
*END
*D_NET *266 0.000612783
*CONN
*I *1434:A I *D sky130_fd_sc_hd__clkbuf_1
*I *1433:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *1434:A 0.000216083
2 *1433:X 0.000216083
3 *1434:A *312:8 0
4 *1434:A *516:20 0.000171273
5 *262:16 *1434:A 0
6 *262:35 *1434:A 9.34396e-06
*RES
1 *1433:X *1434:A 31.9934
*END
*D_NET *267 0.000538396
*CONN
*I *1436:B I *D sky130_fd_sc_hd__and2_1
*I *1435:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *1436:B 0.000184174
2 *1435:X 0.000184174
3 *1436:B *1432:A1 5.82465e-05
4 *1436:B *517:31 0.000111802
*RES
1 *1435:X *1436:B 31.4388
*END
*D_NET *268 0.00182312
*CONN
*I *1437:A I *D sky130_fd_sc_hd__clkbuf_1
*I *1436:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *1437:A 0.000399319
2 *1436:X 0.000399319
3 *1437:A *1432:A1 0
4 *1437:A *1435:A1 2.1588e-05
5 *1437:A *312:31 0.000271674
6 *1437:A *452:38 3.0863e-05
7 *1437:A *501:16 0.000524137
8 *1651:D *1437:A 0.000143047
9 *1652:D *1437:A 3.31736e-05
*RES
1 *1436:X *1437:A 39.7466
*END
*D_NET *269 0.00129543
*CONN
*I *1440:A I *D sky130_fd_sc_hd__or2_2
*I *1438:Y O *D sky130_fd_sc_hd__clkinv_2
*CAP
1 *1440:A 0.000345107
2 *1438:Y 0.000345107
3 *1440:A *1468:S 9.32891e-05
4 *1440:A *1545:A2 3.49097e-05
5 *1440:A *443:17 0.000477015
*RES
1 *1438:Y *1440:A 34.4905
*END
*D_NET *270 0.0036011
*CONN
*I *1601:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *1552:A2 I *D sky130_fd_sc_hd__a211o_1
*I *1440:B I *D sky130_fd_sc_hd__or2_2
*I *1545:A2 I *D sky130_fd_sc_hd__o21a_1
*I *1439:Y O *D sky130_fd_sc_hd__nand3_1
*CAP
1 *1601:A1 0.000244551
2 *1552:A2 0.00011931
3 *1440:B 0
4 *1545:A2 0.000133612
5 *1439:Y 0.000155548
6 *270:25 0.00060301
7 *270:9 0.000303411
8 *270:6 0.000564496
9 *1545:A2 *1468:S 3.33173e-06
10 *1545:A2 *1545:B1 0.000110477
11 *1545:A2 *1735:A 6.50727e-05
12 *1545:A2 *443:17 6.50727e-05
13 *1545:A2 *443:35 0
14 *1545:A2 *520:20 0
15 *1552:A2 *1552:A1 6.38454e-05
16 *1601:A1 *1684:CLK 2.19131e-05
17 *1601:A1 *443:8 6.64609e-05
18 *1601:A1 *443:12 7.65861e-05
19 *1601:A1 *454:23 5.9332e-05
20 *270:6 *1468:A0 2.58518e-05
21 *270:6 *1661:CLK 3.20797e-05
22 *270:6 *485:24 0.000144531
23 *270:6 *485:28 0.000127179
24 *270:6 *520:20 4.87693e-05
25 *270:9 *1317:A 6.99486e-05
26 *270:9 *1545:B1 2.65667e-05
27 *270:25 *1545:B1 0
28 *270:25 *1661:CLK 6.14756e-06
29 *270:25 *443:12 0.000197503
30 *270:25 *520:20 1.00691e-05
31 *1374:A *1601:A1 2.57847e-05
32 *1440:A *1545:A2 3.49097e-05
33 *1546:A *1601:A1 0
34 *1552:B1 *1601:A1 0.000135987
35 *1552:C1 *1552:A2 2.65667e-05
36 *1697:D *1601:A1 3.31733e-05
37 *219:10 *1601:A1 0
38 *219:10 *270:25 0
*RES
1 *1439:Y *270:6 19.7337
2 *270:6 *270:9 9.10562
3 *270:9 *1545:A2 22.0503
4 *270:9 *1440:B 9.24915
5 *270:6 *270:25 6.39977
6 *270:25 *1552:A2 17.2697
7 *270:25 *1601:A1 21.8663
*END
*D_NET *271 0.0119138
*CONN
*I *1454:S I *D sky130_fd_sc_hd__mux2_1
*I *1448:S I *D sky130_fd_sc_hd__mux2_1
*I *1457:S I *D sky130_fd_sc_hd__mux2_1
*I *1441:A I *D sky130_fd_sc_hd__buf_2
*I *1440:X O *D sky130_fd_sc_hd__or2_2
*CAP
1 *1454:S 0.000142247
2 *1448:S 0.000167158
3 *1457:S 0.000293059
4 *1441:A 0
5 *1440:X 0.000843183
6 *271:44 0.000639608
7 *271:42 0.00041534
8 *271:39 0.00185635
9 *271:17 0.00260583
10 *1448:S *1448:A1 0.000111708
11 *1448:S *455:23 0.000124869
12 *1454:S *1330:A 0.000818751
13 *1454:S *1450:A 0.000459901
14 *1454:S *1455:B 7.92757e-06
15 *1457:S *1449:B 3.89004e-05
16 *1457:S *1459:A 0
17 *1457:S *479:20 0.000475412
18 *271:17 *1382:B2 0.000251696
19 *271:17 *1544:B1 7.9244e-05
20 *271:17 *1545:B1 2.72636e-05
21 *271:17 *1690:CLK 9.4711e-05
22 *271:17 *272:15 2.65831e-05
23 *271:17 *498:21 0.000164829
24 *271:39 *523:DIODE 0.000244112
25 *271:39 *1323:A1 0
26 *271:39 *1345:B2 7.32199e-05
27 *271:39 *1349:A1 8.01527e-05
28 *271:39 *1538:B 1.32509e-05
29 *271:39 *1539:A 0.000492883
30 *271:39 *1690:CLK 8.62523e-06
31 *271:39 *312:33 3.95516e-05
32 *271:39 *434:28 3.98812e-06
33 *271:39 *455:10 0
34 *271:39 *474:14 2.0456e-06
35 *271:39 *504:19 2.16928e-05
36 *1324:A2 *271:39 0
37 *1335:A *271:39 0
38 *1346:B1 *271:39 0.00013543
39 *1349:A2 *271:39 2.47918e-05
40 *1349:B1 *271:39 2.62932e-05
41 *1449:A *1457:S 1.26939e-05
42 *1449:A *271:44 3.36842e-05
43 *1544:A1 *271:17 0.000163163
44 *1544:A3 *271:17 0.000115689
45 *1549:A2 *271:39 8.6953e-05
46 *1658:D *1457:S 6.64392e-05
47 *1690:D *271:17 0.000116271
48 *1766:A *271:39 1.32772e-05
49 *1766:A *271:42 2.74564e-05
50 *1766:A *271:44 9.32419e-06
51 *50:16 *271:39 0.000162739
52 *187:65 *271:39 4.0143e-05
53 *190:10 *271:39 0.000101133
54 *190:33 *271:39 0
55 *199:16 *271:39 0
56 *248:15 *1454:S 6.50586e-05
57 *248:15 *271:44 0
58 *248:19 *1454:S 1.92336e-05
59 *248:31 *1454:S 6.99486e-05
60 *248:31 *271:44 0
*RES
1 *1440:X *271:17 31.4815
2 *271:17 *1441:A 9.24915
3 *271:17 *271:39 49.2834
4 *271:39 *271:42 6.74725
5 *271:42 *271:44 3.90826
6 *271:44 *1457:S 22.7052
7 *271:44 *1448:S 18.3548
8 *271:42 *1454:S 22.7916
*END
*D_NET *272 0.0105652
*CONN
*I *1451:S I *D sky130_fd_sc_hd__mux2_1
*I *1445:S I *D sky130_fd_sc_hd__mux2_1
*I *1442:S I *D sky130_fd_sc_hd__mux2_1
*I *1461:S I *D sky130_fd_sc_hd__mux2_1
*I *1464:S I *D sky130_fd_sc_hd__mux2_1
*I *1441:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *1451:S 0.000327068
2 *1445:S 0.000363427
3 *1442:S 0
4 *1461:S 0.000137343
5 *1464:S 0.000377697
6 *1441:X 0.000395741
7 *272:47 0.000865056
8 *272:35 0.000761168
9 *272:20 0.000851397
10 *272:15 0.0013187
11 *1445:S *1362:A1 0
12 *1445:S *1446:B 3.33529e-05
13 *1445:S *425:17 3.55126e-05
14 *1445:S *476:11 0.000111722
15 *1451:S *1360:A 0
16 *1451:S *1362:A1 0
17 *1451:S *1446:B 1.39717e-06
18 *1451:S *427:10 7.8732e-05
19 *1461:S *1461:A0 0.000111708
20 *1461:S *1462:B 5.04829e-06
21 *1464:S *1462:B 0.000189558
22 *1464:S *482:25 0.000210067
23 *272:15 *1517:A 3.03351e-05
24 *272:15 *1553:B1_N 8.22812e-05
25 *272:15 *1559:A1 0.000107496
26 *272:20 *1551:B 0.000442784
27 *272:35 *1378:A1 9.95542e-06
28 *272:35 *1378:B2 0.000161234
29 io_wbs_data_o[13] *1445:S 0.000116755
30 *544:DIODE *272:35 0.00012444
31 *544:DIODE *272:47 0.000473481
32 *1378:A2 *272:35 6.23875e-05
33 *1452:A *1451:S 0
34 *1502:A2 *272:20 0
35 *1502:C1 *1461:S 7.92757e-06
36 *1502:C1 *1464:S 7.92757e-06
37 *1502:C1 *272:20 0.000127164
38 *1505:A *272:20 5.56367e-05
39 *1538:A *272:15 3.52557e-05
40 *1538:A *272:20 0.000655206
41 *1549:A2 *272:15 3.34025e-05
42 *1549:A2 *272:35 0.000217937
43 *1549:B1 *272:35 3.84112e-05
44 *1685:D *272:35 6.50727e-05
45 *1706:D *1451:S 0
46 *1763:A *1445:S 0.000217951
47 *51:22 *1445:S 0.000271838
48 *178:50 *272:15 6.1449e-05
49 *220:42 *1464:S 5.07314e-05
50 *220:50 *1464:S 0.000172971
51 *221:7 *1464:S 1.58551e-05
52 *262:69 *272:35 0.000317679
53 *262:69 *272:47 0.000230146
54 *262:73 *272:47 0.000170181
55 *271:17 *272:15 2.65831e-05
*RES
1 *1441:X *272:15 26.1643
2 *272:15 *272:20 22.0438
3 *272:20 *1464:S 21.0646
4 *272:20 *1461:S 12.7456
5 *272:15 *272:35 16.2764
6 *272:35 *1442:S 9.24915
7 *272:35 *272:47 13.7354
8 *272:47 *1445:S 24.7545
9 *272:47 *1451:S 20.9794
*END
*D_NET *273 0.000520248
*CONN
*I *1443:B I *D sky130_fd_sc_hd__or2_1
*I *1442:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *1443:B 9.18614e-05
2 *1442:X 9.18614e-05
3 *1443:B *1687:CLK 6.08467e-05
4 *172:36 *1443:B 0.000137839
5 *262:69 *1443:B 0.000137839
*RES
1 *1442:X *1443:B 30.8842
*END
*D_NET *274 0.000467753
*CONN
*I *1444:A I *D sky130_fd_sc_hd__clkbuf_1
*I *1443:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *1444:A 4.16435e-05
2 *1443:X 4.16435e-05
3 *1444:A *1687:CLK 0.000269633
4 *248:9 *1444:A 0.000114833
*RES
1 *1443:X *1444:A 21.4401
*END
*D_NET *275 0.000833438
*CONN
*I *1446:B I *D sky130_fd_sc_hd__and2_1
*I *1445:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *1446:B 0.000212816
2 *1445:X 0.000212816
3 *1446:B *476:8 0
4 *544:DIODE *1446:B 0.000272795
5 *1445:S *1446:B 3.33529e-05
6 *1451:S *1446:B 1.39717e-06
7 *51:22 *1446:B 0.000100262
*RES
1 *1445:X *1446:B 33.791
*END
*D_NET *276 0.00026538
*CONN
*I *1447:A I *D sky130_fd_sc_hd__clkbuf_1
*I *1446:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *1447:A 4.49474e-05
2 *1446:X 4.49474e-05
3 *1452:A *1447:A 0.000175485
*RES
1 *1446:X *1447:A 20.3309
*END
*D_NET *277 0.000331682
*CONN
*I *1449:B I *D sky130_fd_sc_hd__or2_1
*I *1448:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *1449:B 7.05201e-05
2 *1448:X 7.05201e-05
3 *1449:B *1459:A 0
4 *1449:A *1449:B 0.000151741
5 *1457:S *1449:B 3.89004e-05
*RES
1 *1448:X *1449:B 30.1608
*END
*D_NET *278 0.00237484
*CONN
*I *1450:A I *D sky130_fd_sc_hd__clkbuf_1
*I *1449:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *1450:A 0.000385098
2 *1449:X 0.000385098
3 *1450:A *1330:A 0.000166425
4 *1450:A *1454:A1 6.08467e-05
5 *1450:A *1455:B 1.65872e-05
6 *1450:A *479:20 0.000295387
7 *1450:A *479:21 0.000313481
8 *1450:A *489:8 0.000285867
9 *1454:S *1450:A 0.000459901
10 *1768:A *1450:A 6.14756e-06
*RES
1 *1449:X *1450:A 42.8334
*END
*D_NET *279 0.000546497
*CONN
*I *1452:B I *D sky130_fd_sc_hd__and2_1
*I *1451:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *1452:B 0.000146424
2 *1451:X 0.000146424
3 *1452:B *1451:A0 4.31539e-05
4 *1656:D *1452:B 0.00011557
5 *1706:D *1452:B 9.49244e-05
*RES
1 *1451:X *1452:B 31.0235
*END
*D_NET *280 0.000531422
*CONN
*I *1453:A I *D sky130_fd_sc_hd__clkbuf_1
*I *1452:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *1453:A 4.84347e-05
2 *1452:X 4.84347e-05
3 *1656:D *1453:A 0.000103943
4 *94:27 *1453:A 0.00033061
*RES
1 *1452:X *1453:A 21.9947
*END
*D_NET *281 0.000496474
*CONN
*I *1455:B I *D sky130_fd_sc_hd__or2_1
*I *1454:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *1455:B 0.000180074
2 *1454:X 0.000180074
3 *1455:B *479:21 0.000107496
4 *1450:A *1455:B 1.65872e-05
5 *1454:S *1455:B 7.92757e-06
6 *248:19 *1455:B 4.31603e-06
*RES
1 *1454:X *1455:B 21.4642
*END
*D_NET *282 0.00151788
*CONN
*I *1456:A I *D sky130_fd_sc_hd__clkbuf_1
*I *1455:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *1456:A 0.00042938
2 *1455:X 0.00042938
3 *1456:A *1330:A 0.000521432
4 *1456:A *1330:B 7.14746e-05
5 *1456:A *1534:A1 2.15812e-05
6 *198:14 *1456:A 4.46283e-05
*RES
1 *1455:X *1456:A 35.8756
*END
*D_NET *283 0.000919086
*CONN
*I *1458:B I *D sky130_fd_sc_hd__or2_1
*I *1457:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *1458:B 0.000244929
2 *1457:X 0.000244929
3 *1458:B *1457:A1 0
4 *1458:B *1782:A 0.000151741
5 *1458:B *429:15 0
6 *1458:B *506:8 0.000277488
*RES
1 *1457:X *1458:B 33.1026
*END
*D_NET *284 0.00180118
*CONN
*I *1459:A I *D sky130_fd_sc_hd__clkbuf_1
*I *1458:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *1459:A 0.00071567
2 *1458:X 0.00071567
3 *1459:A *1537:A1 0
4 *1459:A *455:15 1.62073e-05
5 *1409:A *1459:A 3.25584e-05
6 *1449:B *1459:A 0
7 *1457:S *1459:A 0
8 *1658:D *1459:A 7.50722e-05
9 *248:31 *1459:A 0.000245998
*RES
1 *1458:X *1459:A 42.1692
*END
*D_NET *285 0.0128911
*CONN
*I *1462:A I *D sky130_fd_sc_hd__and2_1
*I *1465:A I *D sky130_fd_sc_hd__and2_1
*I *1469:A I *D sky130_fd_sc_hd__and2_1
*I *1476:A I *D sky130_fd_sc_hd__and2_1
*I *1479:A I *D sky130_fd_sc_hd__and2_1
*I *1460:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *1462:A 0.000207307
2 *1465:A 0.000203261
3 *1469:A 0.000982343
4 *1476:A 0
5 *1479:A 0.000366112
6 *1460:X 0
7 *285:56 0.00167338
8 *285:29 0.00272029
9 *285:11 0.000727614
10 *285:4 0.000836641
11 *1462:A *423:7 1.43983e-05
12 *1462:A *441:7 0.000257301
13 *1465:A *1406:A 0
14 *1465:A *1465:B 0.000164829
15 *1465:A *1540:A1 3.20069e-06
16 *1465:A *423:8 0
17 *1465:A *484:15 0.00010126
18 *1469:A *1469:B 3.75382e-05
19 *1469:A *1470:A 0.000125713
20 *1469:A *1600:A 7.65861e-05
21 *1469:A *1600:B 0
22 *1469:A *1645:CLK 0.000406515
23 *1469:A *1737:A 0.0002817
24 *1469:A *1738:A 0
25 *1469:A *447:10 1.61631e-05
26 *1469:A *521:6 0
27 *1479:A *1477:A 1.03403e-05
28 *1479:A *1662:CLK 6.08473e-05
29 *1479:A *485:19 0.0005966
30 *285:11 *1555:B 4.82966e-05
31 *285:11 *1555:C 0.000216075
32 *285:11 *1556:A 0.000387912
33 *285:29 *1555:C 0.000153932
34 *285:56 *1558:A2 6.3781e-05
35 *285:56 *1600:A 8.62625e-06
36 *285:56 *1600:B 0
37 *285:56 *1660:CLK 6.50586e-05
38 *285:56 *1738:A 6.75138e-05
39 *285:56 *441:7 0.000474797
40 *285:56 *448:10 8.62625e-06
41 io_wbs_data_o[27] *1479:A 0
42 *1415:A *1469:A 0
43 *1417:B *1469:A 3.29257e-05
44 *1418:A *1469:A 0
45 *1460:A *1469:A 0
46 *1552:B1 *285:29 0.000134849
47 *1554:C *285:29 9.82896e-06
48 *1558:B1 *285:56 1.65351e-05
49 *1607:A_N *285:56 0.000116903
50 *1645:D *1469:A 5.19205e-05
51 *1660:D *285:56 1.87611e-05
52 *1662:D *1479:A 0.000149628
53 *1688:D *285:11 0.000417401
54 *1709:D *285:56 0.000213739
55 *150:5 *285:56 0.000364011
56 *220:8 *1469:A 0
57 *262:10 *1469:A 0
*RES
1 *1460:X *285:4 9.24915
2 *285:4 *285:11 13.6963
3 *285:11 *1479:A 31.328
4 *285:11 *1476:A 9.24915
5 *285:4 *285:29 12.4332
6 *285:29 *1469:A 41.7479
7 *285:29 *285:56 31.6722
8 *285:56 *1465:A 23.99
9 *285:56 *1462:A 16.0732
*END
*D_NET *286 0.00159376
*CONN
*I *1462:B I *D sky130_fd_sc_hd__and2_1
*I *1461:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *1462:B 0.00048775
2 *1461:X 0.00048775
3 *1462:B *1463:A 4.31539e-05
4 *1462:B *441:7 1.19721e-05
5 *1462:B *457:24 0.000123582
6 *1407:C1 *1462:B 3.1218e-05
7 *1461:S *1462:B 5.04829e-06
8 *1464:S *1462:B 0.000189558
9 *1659:D *1462:B 0.000213725
*RES
1 *1461:X *1462:B 38.4263
*END
*D_NET *287 0.000563962
*CONN
*I *1463:A I *D sky130_fd_sc_hd__clkbuf_1
*I *1462:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *1463:A 0.000201636
2 *1462:X 0.000201636
3 *1463:A *441:7 2.18523e-06
4 *1463:A *482:25 6.31809e-05
5 *1407:C1 *1463:A 2.21765e-05
6 *1462:B *1463:A 4.31539e-05
7 *1659:D *1463:A 2.99929e-05
*RES
1 *1462:X *1463:A 31.9934
*END
*D_NET *288 0.000462501
*CONN
*I *1465:B I *D sky130_fd_sc_hd__and2_1
*I *1464:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *1465:B 0.000121013
2 *1464:X 0.000121013
3 *1465:A *1465:B 0.000164829
4 *1660:D *1465:B 5.56461e-05
*RES
1 *1464:X *1465:B 22.5975
*END
*D_NET *289 0.000332445
*CONN
*I *1466:A I *D sky130_fd_sc_hd__clkbuf_1
*I *1465:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *1466:A 9.51122e-05
2 *1465:X 9.51122e-05
3 *1660:D *1466:A 1.41291e-05
4 *170:20 *1466:A 0.000128091
*RES
1 *1465:X *1466:A 21.9947
*END
*D_NET *290 0.00164964
*CONN
*I *1468:S I *D sky130_fd_sc_hd__mux2_1
*I *1467:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *1468:S 0.000411313
2 *1467:X 0.000411313
3 *1468:S *1325:A 0.0002817
4 *1468:S *1345:A1 0.000277488
5 *1468:S *1735:A 2.61147e-05
6 *1468:S *443:35 0.000145092
7 *1468:S *520:20 0
8 *1440:A *1468:S 9.32891e-05
9 *1545:A2 *1468:S 3.33173e-06
*RES
1 *1467:X *1468:S 38.9512
*END
*D_NET *291 0.000532039
*CONN
*I *1469:B I *D sky130_fd_sc_hd__and2_1
*I *1468:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *1469:B 0.000240151
2 *1468:X 0.000240151
3 *1469:B *1325:A 1.41976e-05
4 *1469:A *1469:B 3.75382e-05
*RES
1 *1468:X *1469:B 24.2613
*END
*D_NET *292 0.000907119
*CONN
*I *1470:A I *D sky130_fd_sc_hd__clkbuf_1
*I *1469:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *1470:A 0.000360294
2 *1469:X 0.000360294
3 *1470:A *1737:A 6.08167e-05
4 *1469:A *1470:A 0.000125713
5 *1661:D *1470:A 0
*RES
1 *1469:X *1470:A 35.4548
*END
*D_NET *293 0.00213055
*CONN
*I *1603:A I *D sky130_fd_sc_hd__nand3_1
*I *1609:B1 I *D sky130_fd_sc_hd__a31o_1
*I *1473:B I *D sky130_fd_sc_hd__or3_4
*I *1471:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *1603:A 0
2 *1609:B1 1.97437e-05
3 *1473:B 0.000107417
4 *1471:Y 0.000186302
5 *293:15 0.000409102
6 *293:8 0.000468243
7 *1473:B *1473:C 5.22654e-06
8 *1473:B *1607:C 0
9 *1473:B *487:36 1.51658e-05
10 *1609:B1 *487:36 1.06147e-05
11 *293:8 *1603:C 1.2819e-05
12 *293:8 *346:28 0.000117164
13 *293:15 *1603:C 4.61429e-05
14 *293:15 *1609:A3 0.000299797
15 *293:15 *294:10 0
16 *293:15 *346:28 1.91391e-05
17 *1394:A *1473:B 0.000153886
18 *1394:A *1609:B1 8.61737e-06
19 *1609:A2 *1609:B1 5.22859e-06
20 *229:67 *293:8 8.47016e-05
21 *231:27 *293:8 0.000161243
*RES
1 *1471:Y *293:8 18.2442
2 *293:8 *293:15 7.77799
3 *293:15 *1473:B 16.6193
4 *293:15 *1609:B1 14.3026
5 *293:8 *1603:A 13.7491
*END
*D_NET *294 0.00405616
*CONN
*I *1473:C I *D sky130_fd_sc_hd__or3_4
*I *1548:A I *D sky130_fd_sc_hd__nor2_1
*I *1614:B I *D sky130_fd_sc_hd__and3_1
*I *1472:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *1473:C 5.86941e-05
2 *1548:A 0.000214066
3 *1614:B 0
4 *1472:Y 0.000276799
5 *294:17 0.000730121
6 *294:10 0.00073416
7 *1473:C *1605:A2 6.50586e-05
8 *1473:C *1607:C 2.652e-05
9 *1548:A *1607:C 0.000124781
10 *1548:A *1608:A 0.000115632
11 *294:10 *1603:C 9.06434e-05
12 *294:10 *389:8 0
13 *294:10 *394:12 0
14 *294:10 *397:8 0.00036936
15 *294:10 *397:45 8.62625e-06
16 *294:17 *390:11 0.000712437
17 *1394:A *1473:C 1.25301e-05
18 *1394:A *1548:A 3.64684e-05
19 *1473:B *1473:C 5.22654e-06
20 *1607:A_N *1548:A 1.07248e-05
21 *1614:A *1473:C 6.50586e-05
22 *171:24 *1548:A 0.000271711
23 *229:67 *294:10 1.0779e-05
24 *231:17 *294:10 6.50727e-05
25 *231:27 *294:10 5.16917e-05
26 *293:15 *294:10 0
*RES
1 *1472:Y *294:10 26.3422
2 *294:10 *1614:B 9.24915
3 *294:10 *294:17 12.4332
4 *294:17 *1548:A 22.6811
5 *294:17 *1473:C 15.8893
*END
*D_NET *295 0.0135447
*CONN
*I *1494:S I *D sky130_fd_sc_hd__mux2_1
*I *1498:S I *D sky130_fd_sc_hd__mux2_1
*I *1491:S I *D sky130_fd_sc_hd__mux2_1
*I *1474:A I *D sky130_fd_sc_hd__clkbuf_2
*I *1473:X O *D sky130_fd_sc_hd__or3_4
*CAP
1 *1494:S 9.36721e-06
2 *1498:S 0.000727333
3 *1491:S 0.000225016
4 *1474:A 5.26276e-05
5 *1473:X 0.000333096
6 *295:39 0.000872225
7 *295:22 0.00167502
8 *295:10 0.00221885
9 *295:7 0.00118484
10 *1491:S *1495:A 0.000220809
11 *1491:S *1495:B 6.36477e-05
12 *1491:S *1650:CLK 0.000309155
13 *1494:S *1429:A1 6.50586e-05
14 *1494:S *1494:A1 6.50586e-05
15 *1498:S *1432:A1 0
16 *1498:S *1435:A1 4.27767e-05
17 *1498:S *1494:A1 0
18 *1498:S *1496:A 4.77259e-05
19 *1498:S *1498:A0 0
20 *1498:S *1498:A1 4.0625e-05
21 *1498:S *1499:B 0.000430366
22 *1498:S *1500:A 0.000629844
23 *1498:S *421:5 2.85139e-05
24 *1498:S *421:21 6.04266e-05
25 *1498:S *422:8 3.32593e-05
26 *295:7 *1547:A 6.50586e-05
27 *295:7 *1547:C_N 0.000152878
28 *295:7 *1614:C 0.000129063
29 *295:7 *1698:CLK 4.0752e-05
30 *295:7 *346:11 2.85274e-05
31 *295:7 *390:11 0.00102749
32 *295:7 *470:13 0.000129784
33 *295:7 *472:21 0.000277502
34 *295:10 *453:8 0
35 *295:10 *453:13 0
36 *295:10 *453:39 0
37 *295:10 *453:98 0
38 *295:10 *472:21 8.50941e-05
39 *295:22 *1413:A0 1.51692e-05
40 *295:22 *1419:A0 0.000247794
41 *295:22 *1425:A0 0
42 *295:22 *1425:A1 1.79807e-05
43 *295:22 *1647:CLK 0
44 *295:22 *512:8 6.53438e-05
45 *295:22 *515:6 0
46 *295:22 *521:6 0
47 *295:22 *521:12 0
48 *295:22 *521:14 0
49 *295:39 *1429:S 0
50 *295:39 *1495:B 0
51 *295:39 *1496:A 3.08133e-05
52 *1414:B *295:22 0
53 *1425:S *295:22 1.46079e-05
54 *1427:A *295:22 0
55 *1428:A *295:22 6.50586e-05
56 *1430:B *295:22 0
57 *1430:B *295:39 0
58 *1614:A *295:7 7.58194e-05
59 *1630:B *295:10 0
60 *1632:A *295:10 0.000148114
61 *1650:D *295:22 3.90891e-05
62 *1688:D *295:10 7.45854e-05
63 *1698:D *295:10 0
64 *171:45 *295:10 0.0003579
65 *242:8 *295:10 0.000186445
66 *242:41 *295:10 0
67 *250:6 *295:22 0.000139532
68 *250:12 *295:22 0.000135566
69 *250:14 *295:22 8.79128e-05
70 *250:23 *295:22 6.62565e-05
71 *251:11 *295:22 0.00037551
72 *251:20 *295:22 0.000111203
73 *251:22 *295:22 1.8202e-05
*RES
1 *1473:X *295:7 30.556
2 *295:7 *295:10 27.9251
3 *295:10 *1474:A 10.5271
4 *295:10 *295:22 43.2092
5 *295:22 *1491:S 22.0776
6 *295:22 *295:39 3.07775
7 *295:39 *1498:S 44.8782
8 *295:39 *1494:S 14.4725
*END
*D_NET *296 0.00377598
*CONN
*I *1475:S I *D sky130_fd_sc_hd__mux2_1
*I *1478:S I *D sky130_fd_sc_hd__mux2_1
*I *1482:S I *D sky130_fd_sc_hd__mux2_1
*I *1485:S I *D sky130_fd_sc_hd__mux2_1
*I *1488:S I *D sky130_fd_sc_hd__mux2_1
*I *1474:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *1475:S 0
2 *1478:S 0
3 *1482:S 2.31818e-05
4 *1485:S 0
5 *1488:S 0.000247213
6 *1474:X 0.00016491
7 *296:14 0.000603348
8 *296:12 0.000521099
9 *296:10 0.00056911
10 *296:5 0.000592237
11 *1482:S *1416:A1 6.50586e-05
12 *1488:S *1425:A1 7.92757e-06
13 *1488:S *1488:A0 8.67988e-05
14 *1488:S *1488:A1 2.41274e-06
15 *1488:S *1649:CLK 6.50727e-05
16 *1488:S *418:21 5.04879e-05
17 *1488:S *419:17 8.16827e-05
18 *296:10 *1475:A0 3.40268e-05
19 *296:10 *1475:A1 5.04829e-06
20 *296:10 *1478:A0 2.22788e-05
21 *296:10 *415:8 5.68225e-06
22 *296:10 *415:10 0.000116956
23 *296:10 *416:8 0
24 *296:12 *416:12 0
25 *296:14 *1482:A1 0.000200221
26 *296:14 *1485:A0 7.14746e-05
27 *296:14 *1487:A 0
28 *296:14 *416:12 0
29 *296:14 *417:6 0.000192594
30 *296:14 *418:21 1.69932e-05
31 *296:14 *474:8 0
32 *1426:A *1488:S 0
33 *1426:A *296:14 0
34 *1426:B *1488:S 0
35 *1427:A *1488:S 0
36 *1646:D *296:10 3.01634e-05
37 *1649:D *1488:S 0
*RES
1 *1474:X *296:5 13.3002
2 *296:5 *296:10 16.3303
3 *296:10 *296:12 3.07775
4 *296:12 *296:14 10.5523
5 *296:14 *1488:S 21.2876
6 *296:14 *1485:S 13.7491
7 *296:12 *1482:S 14.4725
8 *296:10 *1478:S 13.7491
9 *296:5 *1475:S 9.24915
*END
*D_NET *297 0.00105289
*CONN
*I *1476:B I *D sky130_fd_sc_hd__and2_1
*I *1475:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *1476:B 0.000215154
2 *1475:X 0.000215154
3 *1476:B *1477:A 0.000273262
4 *1476:B *474:8 0.000325369
5 *1476:B *485:19 2.39535e-05
*RES
1 *1475:X *1476:B 34.9002
*END
*D_NET *298 0.000952408
*CONN
*I *1477:A I *D sky130_fd_sc_hd__clkbuf_1
*I *1476:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *1477:A 0.000139663
2 *1476:X 0.000139663
3 *1477:A *474:8 3.8079e-05
4 *1477:A *485:19 0.0003514
5 *1476:B *1477:A 0.000273262
6 *1479:A *1477:A 1.03403e-05
*RES
1 *1476:X *1477:A 34.2062
*END
*D_NET *299 0.000934721
*CONN
*I *1479:B I *D sky130_fd_sc_hd__and2_1
*I *1478:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *1479:B 0.000189588
2 *1478:X 0.000189588
3 *1479:B *1317:A 5.0715e-05
4 *1479:B *474:8 0.0001549
5 *1479:B *485:19 0.000349931
*RES
1 *1478:X *1479:B 34.7608
*END
*D_NET *300 0.000282687
*CONN
*I *1480:A I *D sky130_fd_sc_hd__clkbuf_1
*I *1479:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *1480:A 0.000141344
2 *1479:X 0.000141344
*RES
1 *1479:X *1480:A 21.4401
*END
*D_NET *301 0.0067762
*CONN
*I *1483:A I *D sky130_fd_sc_hd__and2_1
*I *1486:A I *D sky130_fd_sc_hd__and2_1
*I *1492:A I *D sky130_fd_sc_hd__and2_1
*I *1495:A I *D sky130_fd_sc_hd__and2_1
*I *1489:A I *D sky130_fd_sc_hd__and2_1
*I *1481:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *1483:A 0
2 *1486:A 0
3 *1492:A 0.000129385
4 *1495:A 0.000629285
5 *1489:A 0
6 *1481:X 0.000613772
7 *301:30 0.000850555
8 *301:21 0.000409677
9 *301:16 0.000739343
10 *301:11 0.00103532
11 *1492:A *1492:B 0.00010308
12 *1495:A *1491:A1 5.04879e-05
13 *1495:A *1495:B 0
14 *1495:A *1650:CLK 0.000220809
15 *1495:A *420:15 0.00011818
16 *1495:A *477:7 5.383e-06
17 *301:11 *1482:A1 0
18 *301:11 *417:6 0
19 *301:11 *474:8 7.22861e-05
20 *301:16 *1483:B 0
21 *301:16 *1484:A 3.14978e-05
22 *301:16 *1486:B 0.00014568
23 *301:16 *451:8 7.7321e-05
24 *301:16 *451:10 7.55529e-05
25 *301:16 *451:71 0.000118873
26 *301:21 *1486:B 5.22654e-06
27 *301:21 *1489:B 0.000118166
28 *301:21 *1490:A 0.00011818
29 *301:21 *1650:CLK 0.000167076
30 *301:21 *451:10 2.71542e-05
31 *301:21 *451:12 6.4628e-05
32 *301:21 *451:19 5.50867e-05
33 *301:30 *1489:B 6.50727e-05
34 *301:30 *1492:B 2.61208e-05
35 *301:30 *1650:CLK 9.97706e-05
36 *533:DIODE *301:30 9.98029e-06
37 *545:DIODE *1492:A 9.74396e-05
38 *545:DIODE *1495:A 2.26985e-05
39 *545:DIODE *301:21 0
40 *545:DIODE *301:30 3.99133e-05
41 *1491:S *1495:A 0.000220809
42 *1665:D *301:16 0
43 *1666:D *301:21 0.000101133
44 *1753:A *1495:A 4.43826e-05
45 *79:8 *1495:A 6.68703e-05
*RES
1 *1481:X *301:11 32.7566
2 *301:11 *301:16 18.1335
3 *301:16 *301:21 15.2267
4 *301:21 *1489:A 9.24915
5 *301:21 *301:30 7.81762
6 *301:30 *1495:A 35.8736
7 *301:30 *1492:A 18.2803
8 *301:16 *1486:A 13.7491
9 *301:11 *1483:A 9.24915
*END
*D_NET *302 0.000903973
*CONN
*I *1483:B I *D sky130_fd_sc_hd__and2_1
*I *1482:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *1483:B 0.000312824
2 *1482:X 0.000312824
3 *1483:B *1484:A 0.000278325
4 *1483:B *1487:A 0
5 *1483:B *417:6 0
6 *1483:B *451:71 0
7 *1483:B *474:8 0
8 *301:16 *1483:B 0
*RES
1 *1482:X *1483:B 35.3154
*END
*D_NET *303 0.000866029
*CONN
*I *1484:A I *D sky130_fd_sc_hd__clkbuf_1
*I *1483:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *1484:A 0.000227529
2 *1483:X 0.000227529
3 *1484:A *451:71 0
4 *1484:A *451:80 0
5 *1484:A *474:8 0
6 *1483:B *1484:A 0.000278325
7 *1664:D *1484:A 0.000101148
8 *301:16 *1484:A 3.14978e-05
*RES
1 *1483:X *1484:A 34.5143
*END
*D_NET *304 0.00122843
*CONN
*I *1486:B I *D sky130_fd_sc_hd__and2_1
*I *1485:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *1486:B 0.000373455
2 *1485:X 0.000373455
3 *1486:B *1487:A 0
4 *1486:B *451:7 0.00033061
5 *1665:D *1486:B 0
6 *301:16 *1486:B 0.00014568
7 *301:21 *1486:B 5.22654e-06
*RES
1 *1485:X *1486:B 36.564
*END
*D_NET *305 0.00146212
*CONN
*I *1487:A I *D sky130_fd_sc_hd__clkbuf_1
*I *1486:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *1487:A 0.000513499
2 *1486:X 0.000513499
3 *1487:A *1485:A0 2.12377e-05
4 *1487:A *1488:A0 0.000109636
5 *1487:A *1649:CLK 7.0656e-05
6 *1487:A *417:6 1.78514e-05
7 *1487:A *418:21 6.57275e-05
8 *1483:B *1487:A 0
9 *1486:B *1487:A 0
10 *1665:D *1487:A 0.000150009
11 *296:14 *1487:A 0
*RES
1 *1486:X *1487:A 40.4406
*END
*D_NET *306 0.00085136
*CONN
*I *1489:B I *D sky130_fd_sc_hd__and2_1
*I *1488:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *1489:B 0.00027227
2 *1488:X 0.00027227
3 *1489:B *1491:A0 0
4 *1489:B *419:17 0
5 *545:DIODE *1489:B 0.000123582
6 *301:21 *1489:B 0.000118166
7 *301:30 *1489:B 6.50727e-05
*RES
1 *1488:X *1489:B 34.9002
*END
*D_NET *307 0.000350211
*CONN
*I *1490:A I *D sky130_fd_sc_hd__clkbuf_1
*I *1489:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *1490:A 0.000116015
2 *1489:X 0.000116015
3 *301:21 *1490:A 0.00011818
*RES
1 *1489:X *1490:A 21.4401
*END
*D_NET *308 0.00101697
*CONN
*I *1492:B I *D sky130_fd_sc_hd__and2_1
*I *1491:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *1492:B 0.000289205
2 *1491:X 0.000289205
3 *1492:B *1650:CLK 0.000164829
4 *533:DIODE *1492:B 0.000144531
5 *1492:A *1492:B 0.00010308
6 *1667:D *1492:B 0
7 *79:8 *1492:B 0
8 *301:30 *1492:B 2.61208e-05
*RES
1 *1491:X *1492:B 34.9002
*END
*D_NET *309 0.000462005
*CONN
*I *1493:A I *D sky130_fd_sc_hd__clkbuf_1
*I *1492:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *1493:A 3.31013e-05
2 *1492:X 3.31013e-05
3 *1493:A *1668:CLK 0.000275256
4 *536:DIODE *1493:A 0.000120546
*RES
1 *1492:X *1493:A 21.4401
*END
*D_NET *310 0.000904476
*CONN
*I *1495:B I *D sky130_fd_sc_hd__and2_1
*I *1494:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *1495:B 0.000272536
2 *1494:X 0.000272536
3 *1495:B *1496:A 7.50872e-05
4 *1495:B *420:15 0.00022067
5 *1491:S *1495:B 6.36477e-05
6 *1495:A *1495:B 0
7 *295:39 *1495:B 0
*RES
1 *1494:X *1495:B 33.2714
*END
*D_NET *311 0.00127548
*CONN
*I *1496:A I *D sky130_fd_sc_hd__clkbuf_1
*I *1495:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *1496:A 0.000391141
2 *1495:X 0.000391141
3 *1496:A *480:16 0.000100755
4 *1495:B *1496:A 7.50872e-05
5 *1498:S *1496:A 4.77259e-05
6 *1668:D *1496:A 0.000201293
7 *1:10 *1496:A 0
8 *1:13 *1496:A 3.75224e-05
9 *295:39 *1496:A 3.08133e-05
*RES
1 *1495:X *1496:A 39.192
*END
*D_NET *312 0.015639
*CONN
*I *1526:A I *D sky130_fd_sc_hd__and2_1
*I *1529:A I *D sky130_fd_sc_hd__and2_1
*I *1532:A I *D sky130_fd_sc_hd__and2_1
*I *1535:A I *D sky130_fd_sc_hd__and2_1
*I *1499:A I *D sky130_fd_sc_hd__and2_1
*I *1497:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *1526:A 3.97081e-05
2 *1529:A 0.000286354
3 *1532:A 0
4 *1535:A 0
5 *1499:A 0.000342614
6 *1497:X 0.000773917
7 *312:63 0.000852522
8 *312:56 0.00142677
9 *312:33 0.000986638
10 *312:31 0.00204548
11 *312:8 0.00299626
12 *1499:A *1429:A0 0.00010623
13 *1499:A *1494:A1 4.72727e-05
14 *1499:A *477:14 0.000123131
15 *1526:A *1681:CLK 9.32983e-05
16 *1529:A *436:22 0.000107496
17 *1529:A *456:35 2.57674e-05
18 *1529:A *456:37 8.12388e-06
19 *312:8 *1432:A1 0.000525666
20 *312:8 *1651:CLK 5.7358e-05
21 *312:8 *1661:CLK 0
22 *312:8 *452:20 0
23 *312:8 *452:67 0
24 *312:31 *1641:CLK 2.65831e-05
25 *312:31 *477:14 0.000313897
26 *312:31 *500:5 2.53863e-05
27 *312:31 *506:8 0.000101133
28 *312:33 *1539:A 0.000122378
29 *312:56 *1536:A 0.000139043
30 *312:56 *426:26 0
31 *312:63 *1532:B 6.78596e-05
32 *312:63 *1533:A 0.00016553
33 *312:63 *1681:CLK 0.000216458
34 *312:63 *1777:A 0
35 *312:63 *426:26 7.29365e-05
36 io_wbs_data_o[13] *1529:A 6.63226e-05
37 *526:DIODE *312:31 0.000362155
38 *531:DIODE *1526:A 1.82679e-05
39 *531:DIODE *312:63 1.41181e-05
40 *546:DIODE *1499:A 0
41 *550:DIODE *312:31 1.4091e-06
42 *1368:B1 *312:63 0.000310094
43 *1369:A1 *312:56 5.28741e-05
44 *1369:A2 *312:56 0.000133878
45 *1381:B *312:31 6.44979e-05
46 *1385:A1 *312:31 3.92275e-05
47 *1421:A *312:8 0
48 *1434:A *312:8 0
49 *1437:A *312:31 0.000271674
50 *1522:A2 *312:31 4.58003e-05
51 *1641:D *312:31 3.18826e-06
52 *1648:D *312:8 0
53 *1679:D *1529:A 0.000218319
54 *1680:D *1529:A 4.58003e-05
55 *1680:D *312:63 5.81245e-05
56 *1768:A *312:33 0.000269633
57 *1768:A *312:56 0.000137194
58 *24:15 *312:56 1.45447e-05
59 *50:16 *312:33 0.000426105
60 *50:16 *312:56 0.000282863
61 *51:22 *1529:A 0.00018983
62 *262:35 *1499:A 6.50727e-05
63 *262:35 *312:8 0.000363715
64 *262:35 *312:31 4.88955e-05
65 *271:39 *312:33 3.95516e-05
*RES
1 *1497:X *312:8 40.4285
2 *312:8 *1499:A 27.8722
3 *312:8 *312:31 47.1585
4 *312:31 *312:33 7.65594
5 *312:33 *1535:A 9.24915
6 *312:33 *312:56 28.9034
7 *312:56 *312:63 25.5753
8 *312:63 *1532:A 9.24915
9 *312:63 *1529:A 27.6202
10 *312:56 *1526:A 10.5271
*END
*D_NET *313 0.00103017
*CONN
*I *1499:B I *D sky130_fd_sc_hd__and2_1
*I *1498:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *1499:B 0.000172861
2 *1498:X 0.000172861
3 *1499:B *1432:A1 2.04806e-05
4 *1499:B *1498:A0 4.5539e-05
5 *1499:B *421:21 0.00018806
6 *546:DIODE *1499:B 0
7 *1498:S *1499:B 0.000430366
*RES
1 *1498:X *1499:B 35.1817
*END
*D_NET *314 0.00257325
*CONN
*I *1500:A I *D sky130_fd_sc_hd__clkbuf_1
*I *1499:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *1500:A 0.000843869
2 *1499:X 0.000843869
3 *1500:A *1644:CLK 5.4373e-05
4 *1500:A *422:8 7.72156e-06
5 *1498:S *1500:A 0.000629844
6 *1669:D *1500:A 0.000193569
*RES
1 *1499:X *1500:A 32.9662
*END
*D_NET *315 0.00128221
*CONN
*I *1502:B1 I *D sky130_fd_sc_hd__o211a_1
*I *1501:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *1502:B1 0.000487595
2 *1501:X 0.000487595
3 *1502:B1 *1502:A1 1.55025e-05
4 *1502:B1 *1740:A 9.68437e-05
5 *1502:B1 *1747:A 7.96198e-05
6 *1502:B1 *446:7 9.54357e-06
7 *1502:A2 *1502:B1 4.04393e-05
8 *245:33 *1502:B1 6.50727e-05
*RES
1 *1501:X *1502:B1 38.7179
*END
*D_NET *316 0.000521342
*CONN
*I *1506:A2 I *D sky130_fd_sc_hd__o211a_1
*I *1503:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *1506:A2 0.000113188
2 *1503:X 0.000113188
3 *1506:A2 *1503:A0 4.87301e-05
4 *1506:A2 *1506:B1 3.60363e-05
5 *1506:A2 *1509:B1 0.000113096
6 *1506:A2 *481:8 0
7 *238:26 *1506:A2 3.34559e-05
8 *238:35 *1506:A2 6.36477e-05
*RES
1 *1503:X *1506:A2 31.6023
*END
*D_NET *317 0.000401951
*CONN
*I *1506:B1 I *D sky130_fd_sc_hd__o211a_1
*I *1504:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *1506:B1 7.31623e-05
2 *1504:X 7.31623e-05
3 *1506:B1 *1503:A0 0.000109795
4 *1506:B1 *1504:A 0
5 *1506:A2 *1506:B1 3.60363e-05
6 *238:26 *1506:B1 0.000109795
*RES
1 *1504:X *1506:B1 22.0188
*END
*D_NET *318 0.00646239
*CONN
*I *1518:C1 I *D sky130_fd_sc_hd__o211a_1
*I *1512:C1 I *D sky130_fd_sc_hd__o211a_1
*I *1509:C1 I *D sky130_fd_sc_hd__o211a_1
*I *1506:C1 I *D sky130_fd_sc_hd__o211a_1
*I *1515:C1 I *D sky130_fd_sc_hd__o211a_1
*I *1505:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *1518:C1 0.000229163
2 *1512:C1 0
3 *1509:C1 0.000106393
4 *1506:C1 9.30141e-05
5 *1515:C1 0
6 *1505:X 0.000130419
7 *318:26 0.000310593
8 *318:23 0.000529862
9 *318:11 0.000626157
10 *318:8 0.000567063
11 *1506:C1 *481:8 0.000177787
12 *1518:C1 *1518:B1 0.000617265
13 *1518:C1 *1521:A2 0.000357137
14 *1518:C1 *365:17 0.000113968
15 *1518:C1 *457:49 1.12605e-05
16 *1518:C1 *457:58 5.58587e-05
17 *318:8 *1518:B1 0.000152749
18 *318:8 *1521:A2 5.15155e-05
19 *318:8 *1521:B1 4.3116e-06
20 *318:11 *1400:A0 0.000127184
21 *318:11 *1519:A0 0.00057743
22 *318:23 *1512:B1 0.000154145
23 *318:23 *1515:A2 0.000126535
24 *318:23 *1515:B1 4.31603e-06
25 *318:26 *1512:A2 1.13951e-05
26 *318:26 *481:8 0.000215542
27 *1400:S *318:11 0.00042732
28 *1501:B1 *318:8 0
29 *1519:S *318:11 0.000583244
30 *1674:D *318:23 3.14978e-05
31 *246:26 *318:8 6.92705e-05
*RES
1 *1505:X *318:8 17.9655
2 *318:8 *318:11 16.8701
3 *318:11 *1515:C1 9.24915
4 *318:11 *318:23 8.97011
5 *318:23 *318:26 8.40826
6 *318:26 *1506:C1 16.8269
7 *318:26 *1509:C1 16.1364
8 *318:23 *1512:C1 9.24915
9 *318:8 *1518:C1 24.7489
*END
*D_NET *319 0.000511216
*CONN
*I *1509:A2 I *D sky130_fd_sc_hd__o211a_1
*I *1507:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *1509:A2 0.000196525
2 *1507:X 0.000196525
3 *1503:S *1509:A2 5.54078e-05
4 *1672:D *1509:A2 7.34948e-06
5 *1706:D *1509:A2 5.54078e-05
*RES
1 *1507:X *1509:A2 32.7115
*END
*D_NET *320 0.000911399
*CONN
*I *1509:B1 I *D sky130_fd_sc_hd__o211a_1
*I *1508:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *1509:B1 0.000299786
2 *1508:X 0.000299786
3 *1509:B1 *1503:A0 9.75356e-05
4 *1509:B1 *481:8 0
5 *1503:S *1509:B1 2.04012e-05
6 *1506:A2 *1509:B1 0.000113096
7 *238:35 *1509:B1 8.07939e-05
*RES
1 *1508:X *1509:B1 35.87
*END
*D_NET *321 0.000463135
*CONN
*I *1512:A2 I *D sky130_fd_sc_hd__o211a_1
*I *1510:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *1512:A2 0.000208906
2 *1510:X 0.000208906
3 *1512:A2 *1512:B1 3.39278e-05
4 *1512:A2 *481:8 0
5 *318:26 *1512:A2 1.13951e-05
*RES
1 *1510:X *1512:A2 32.1916
*END
*D_NET *322 0.000707448
*CONN
*I *1512:B1 I *D sky130_fd_sc_hd__o211a_1
*I *1511:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *1512:B1 0.000196098
2 *1511:X 0.000196098
3 *1512:B1 *496:12 0.000127179
4 *1512:A2 *1512:B1 3.39278e-05
5 *238:26 *1512:B1 0
6 *318:23 *1512:B1 0.000154145
*RES
1 *1511:X *1512:B1 33.1576
*END
*D_NET *323 0.000700362
*CONN
*I *1515:A2 I *D sky130_fd_sc_hd__o211a_1
*I *1513:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *1515:A2 0.000215496
2 *1513:X 0.000215496
3 *1515:A2 *1515:B1 9.95922e-06
4 *1674:D *1515:A2 1.47102e-05
5 *172:32 *1515:A2 0
6 *240:40 *1515:A2 0.000118166
7 *318:23 *1515:A2 0.000126535
*RES
1 *1513:X *1515:A2 32.465
*END
*D_NET *324 0.000309053
*CONN
*I *1515:B1 I *D sky130_fd_sc_hd__o211a_1
*I *1514:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *1515:B1 7.29188e-05
2 *1514:X 7.29188e-05
3 *1515:A2 *1515:B1 9.95922e-06
4 *172:32 *1515:B1 0.000102767
5 *238:26 *1515:B1 4.61732e-05
6 *318:23 *1515:B1 4.31603e-06
*RES
1 *1514:X *1515:B1 30.3189
*END
*D_NET *325 0.00118862
*CONN
*I *1518:A2 I *D sky130_fd_sc_hd__o211a_1
*I *1516:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *1518:A2 0.000112883
2 *1516:X 0.000112883
3 *1518:A2 *1516:A1 1.41976e-05
4 *1518:A2 *1518:B1 1.05106e-05
5 *1518:A2 *365:17 1.05106e-05
6 *1518:A2 *365:22 0.000594592
7 *1398:B *1518:A2 0.000218461
8 *1518:A1 *1518:A2 0.000114584
*RES
1 *1516:X *1518:A2 26.4798
*END
*D_NET *326 0.00288496
*CONN
*I *1518:B1 I *D sky130_fd_sc_hd__o211a_1
*I *1517:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *1518:B1 0.00054485
2 *1517:X 0.00054485
3 *1518:B1 *1521:B1 0.000284523
4 *1518:B1 *365:17 6.02829e-05
5 *1398:B *1518:B1 0.000263924
6 *1518:A2 *1518:B1 1.05106e-05
7 *1518:C1 *1518:B1 0.000617265
8 *172:11 *1518:B1 0.000382053
9 *246:26 *1518:B1 2.39581e-05
10 *318:8 *1518:B1 0.000152749
*RES
1 *1517:X *1518:B1 46.3133
*END
*D_NET *327 0.00146393
*CONN
*I *1521:A2 I *D sky130_fd_sc_hd__o211a_1
*I *1519:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *1521:A2 0.000374859
2 *1519:X 0.000374859
3 *1521:A2 *431:10 0
4 *1521:A2 *457:49 0.000153225
5 *1400:S *1521:A2 6.3657e-05
6 *1501:B1 *1521:A2 0
7 *1518:C1 *1521:A2 0.000357137
8 *1521:A1 *1521:A2 1.09551e-05
9 *1521:C1 *1521:A2 3.01683e-06
10 *172:11 *1521:A2 2.16355e-05
11 *172:32 *1521:A2 3.31745e-05
12 *237:39 *1521:A2 1.98996e-05
13 *318:8 *1521:A2 5.15155e-05
*RES
1 *1519:X *1521:A2 38.9725
*END
*D_NET *328 0.00221379
*CONN
*I *1521:B1 I *D sky130_fd_sc_hd__o211a_1
*I *1520:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *1521:B1 0.000488945
2 *1520:X 0.000488945
3 *1521:B1 *347:8 0
4 *1518:B1 *1521:B1 0.000284523
5 *1676:D *1521:B1 0
6 *172:11 *1521:B1 0.000359605
7 *246:26 *1521:B1 0.000587456
8 *318:8 *1521:B1 4.3116e-06
*RES
1 *1520:X *1521:B1 43.4895
*END
*D_NET *329 0.00611441
*CONN
*I *1524:A I *D sky130_fd_sc_hd__buf_2
*I *1540:S I *D sky130_fd_sc_hd__mux2_1
*I *1523:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *1524:A 0.000382007
2 *1540:S 0.000792369
3 *1523:X 0.000340917
4 *329:5 0.00151529
5 *1524:A *1345:A1 3.31745e-05
6 *1540:S *1383:A1 0
7 *1540:S *1540:A0 0.000252327
8 *1540:S *1541:A 0.000267083
9 *1540:S *1541:B 2.01186e-05
10 *1540:S *1544:B1 0.000297327
11 *1540:S *498:21 0
12 *1344:A *1524:A 0.000206099
13 *1345:A2 *1524:A 0.000107496
14 *1383:B1 *1524:A 0.000119171
15 *1384:B1 *1524:A 6.08467e-05
16 *1439:C *329:5 0.000224381
17 *1467:A *1540:S 3.07924e-05
18 *176:7 *329:5 0.000406808
19 *176:8 *1540:S 6.08697e-06
20 *176:33 *1540:S 1.89195e-05
21 *187:25 *1524:A 0.000517261
22 *187:25 *329:5 0.000153575
23 *187:47 *1524:A 0.000101925
24 *191:8 *1524:A 2.04089e-05
25 *204:10 *1524:A 0.000198507
26 *220:42 *1540:S 2.57986e-05
27 *221:26 *1524:A 1.5714e-05
*RES
1 *1523:X *329:5 18.8462
2 *329:5 *1540:S 39.4909
3 *329:5 *1524:A 31.3686
*END
*D_NET *330 0.0108402
*CONN
*I *1531:S I *D sky130_fd_sc_hd__mux2_1
*I *1525:S I *D sky130_fd_sc_hd__mux2_1
*I *1528:S I *D sky130_fd_sc_hd__mux2_1
*I *1534:S I *D sky130_fd_sc_hd__mux2_1
*I *1537:S I *D sky130_fd_sc_hd__mux2_1
*I *1524:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *1531:S 0.000166412
2 *1525:S 0.000210716
3 *1528:S 0
4 *1534:S 0.00031396
5 *1537:S 0
6 *1524:X 0.000126621
7 *330:36 0.000401654
8 *330:30 0.0014069
9 *330:11 0.000888324
10 *330:6 0.00208336
11 *1525:S *1528:A0 6.1438e-05
12 *1525:S *456:35 4.37999e-05
13 *1525:S *456:37 8.53577e-05
14 *1525:S *475:21 0.000155231
15 *1531:S *437:9 0.000118166
16 *1531:S *437:18 0.000409739
17 *1534:S *1537:A0 0.000113968
18 *1534:S *1538:B 3.14978e-05
19 *330:6 *1517:A 5.79544e-05
20 *330:11 *1371:B2 5.49916e-05
21 *330:11 *1517:A 6.63153e-05
22 *330:11 *429:15 5.63284e-05
23 *330:11 *516:26 7.63448e-05
24 *330:30 *523:DIODE 5.69718e-06
25 *330:30 *1357:B2 5.2305e-05
26 *330:30 *1362:A1 1.57302e-05
27 *330:30 *1528:A1 6.08467e-05
28 *330:30 *1529:B 6.50586e-05
29 *330:30 *437:18 0.000461052
30 *330:30 *504:19 0.000114716
31 *330:36 *437:18 6.08467e-05
32 *1344:A *330:6 0.000120584
33 *1352:B1 *330:30 0.000535951
34 *1357:B1 *330:30 1.24753e-05
35 *1361:A2 *330:30 0.000393863
36 *1362:A2 *330:30 1.92172e-05
37 *1362:C1 *330:30 7.92757e-06
38 *1373:B1 *330:11 0.000263526
39 *1377:A1 *330:11 0.000122098
40 *1385:A2 *330:11 5.23101e-05
41 *178:38 *330:6 0.000105227
42 *178:38 *330:11 0.000188416
43 *181:7 *330:30 0.000457683
44 *187:65 *330:30 3.03403e-05
45 *188:16 *330:30 0.000578294
46 *197:16 *330:11 0.000171297
47 *197:30 *330:11 1.5714e-05
*RES
1 *1524:X *330:6 18.4879
2 *330:6 *330:11 21.7314
3 *330:11 *1537:S 9.24915
4 *330:11 *1534:S 16.6278
5 *330:6 *330:30 38.7166
6 *330:30 *1528:S 9.24915
7 *330:30 *330:36 0.723396
8 *330:36 *1525:S 25.0642
9 *330:36 *1531:S 14.964
*END
*D_NET *331 0.000777535
*CONN
*I *1526:B I *D sky130_fd_sc_hd__and2_1
*I *1525:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *1526:B 0.000132876
2 *1525:X 0.000132876
3 *1526:B *1777:A 0.000306984
4 *1526:B *434:10 2.12377e-05
5 *1526:B *456:37 0
6 *1526:B *456:39 0
7 *180:9 *1526:B 0.000183563
*RES
1 *1525:X *1526:B 33.4828
*END
*D_NET *332 0.000485324
*CONN
*I *1527:A I *D sky130_fd_sc_hd__clkbuf_1
*I *1526:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *1527:A 9.01558e-05
2 *1526:X 9.01558e-05
3 *1527:A *1634:CLK 0.000122083
4 *1527:A *1638:CLK 0.000122083
5 *1527:A *1681:CLK 6.08467e-05
*RES
1 *1526:X *1527:A 30.4689
*END
*D_NET *333 0.000590816
*CONN
*I *1529:B I *D sky130_fd_sc_hd__and2_1
*I *1528:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *1529:B 0.000142871
2 *1528:X 0.000142871
3 *1529:B *1530:A 6.96846e-05
4 *1529:B *436:22 2.57847e-05
5 io_wbs_data_o[13] *1529:B 0.000144546
6 *330:30 *1529:B 6.50586e-05
*RES
1 *1528:X *1529:B 31.6076
*END
*D_NET *334 0.000866165
*CONN
*I *1530:A I *D sky130_fd_sc_hd__clkbuf_1
*I *1529:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *1530:A 0.000233875
2 *1529:X 0.000233875
3 *1530:A *1362:A1 0
4 *1530:A *436:22 0.000114584
5 *1530:A *437:18 0.000165521
6 io_wbs_data_o[13] *1530:A 1.86953e-05
7 *1529:B *1530:A 6.96846e-05
8 *1679:D *1530:A 2.99287e-05
*RES
1 *1529:X *1530:A 33.2714
*END
*D_NET *335 0.00177913
*CONN
*I *1532:B I *D sky130_fd_sc_hd__and2_1
*I *1531:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *1532:B 0.000292565
2 *1531:X 0.000292565
3 *1532:B *1528:A0 0.000111708
4 *1532:B *426:26 0
5 *1532:B *437:18 0.000604089
6 io_wbs_data_o[13] *1532:B 0.000139435
7 *1362:C1 *1532:B 0
8 *1679:D *1532:B 0.000207266
9 *1680:D *1532:B 6.36477e-05
10 *312:63 *1532:B 6.78596e-05
*RES
1 *1531:X *1532:B 38.2869
*END
*D_NET *336 0.000522639
*CONN
*I *1533:A I *D sky130_fd_sc_hd__clkbuf_1
*I *1532:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *1533:A 5.25702e-05
2 *1532:X 5.25702e-05
3 *1362:C1 *1533:A 6.92705e-05
4 *1368:B1 *1533:A 1.43848e-05
5 *1680:D *1533:A 0.000168313
6 *312:63 *1533:A 0.00016553
*RES
1 *1532:X *1533:A 21.4401
*END
*D_NET *337 0.000430236
*CONN
*I *1535:B I *D sky130_fd_sc_hd__and2_1
*I *1534:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *1535:B 0.000105493
2 *1534:X 0.000105493
3 *1535:B *1534:A0 6.08467e-05
4 *1535:B *489:8 0.000122083
5 *1768:A *1535:B 1.44467e-05
6 *198:14 *1535:B 2.18741e-05
*RES
1 *1534:X *1535:B 30.4689
*END
*D_NET *338 0.000791193
*CONN
*I *1536:A I *D sky130_fd_sc_hd__clkbuf_1
*I *1535:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *1536:A 0.000120558
2 *1535:X 0.000120558
3 *50:16 *1536:A 0.000411034
4 *312:56 *1536:A 0.000139043
*RES
1 *1535:X *1536:A 23.6826
*END
*D_NET *339 0.000769286
*CONN
*I *1538:B I *D sky130_fd_sc_hd__and2_1
*I *1537:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *1538:B 0.000229796
2 *1537:X 0.000229796
3 *1538:B *1539:A 9.35889e-05
4 *1538:B *455:8 6.1061e-06
5 *1335:A *1538:B 0.000107169
6 *1534:S *1538:B 3.14978e-05
7 *1682:D *1538:B 5.80823e-05
8 *271:39 *1538:B 1.32509e-05
*RES
1 *1537:X *1538:B 33.791
*END
*D_NET *340 0.00127825
*CONN
*I *1539:A I *D sky130_fd_sc_hd__clkbuf_1
*I *1538:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *1539:A 0.000284698
2 *1538:X 0.000284698
3 *1539:A *455:10 0
4 *1538:B *1539:A 9.35889e-05
5 *1682:D *1539:A 0
6 *271:39 *1539:A 0.000492883
7 *312:33 *1539:A 0.000122378
*RES
1 *1538:X *1539:A 37.6676
*END
*D_NET *341 0.0014171
*CONN
*I *1541:B I *D sky130_fd_sc_hd__or2_1
*I *1540:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *1541:B 0.00034123
2 *1540:X 0.00034123
3 *1541:B *1540:A0 0.000196623
4 *1541:B *1541:A 0.000270544
5 *1541:B *484:15 0.000224068
6 *1540:S *1541:B 2.01186e-05
7 *220:42 *1541:B 2.32834e-05
*RES
1 *1540:X *1541:B 38.6374
*END
*D_NET *342 0.0016767
*CONN
*I *1542:A I *D sky130_fd_sc_hd__clkbuf_1
*I *1541:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *1542:A 0.000517662
2 *1541:X 0.000517662
3 *1542:A *1383:A1 0.000486578
4 *1542:A *1540:A0 0
5 *1542:A *1735:A 7.58194e-05
6 *220:42 *1542:A 7.89747e-05
*RES
1 *1541:X *1542:A 41.0246
*END
*D_NET *343 0.0010994
*CONN
*I *1544:B1 I *D sky130_fd_sc_hd__a31o_1
*I *1543:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *1544:B1 0.000225533
2 *1543:Y 0.000225533
3 *1467:A *1544:B1 1.09738e-05
4 *1540:S *1544:B1 0.000297327
5 *1544:A1 *1544:B1 0.000107496
6 *1544:A3 *1544:B1 4.03381e-05
7 *176:33 *1544:B1 0.000112955
8 *271:17 *1544:B1 7.9244e-05
*RES
1 *1543:Y *1544:B1 35.4548
*END
*D_NET *344 0.000960552
*CONN
*I *1545:B1 I *D sky130_fd_sc_hd__o21a_1
*I *1544:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *1545:B1 0.000398122
2 *1544:X 0.000398122
3 *1545:A2 *1545:B1 0.000110477
4 *270:9 *1545:B1 2.65667e-05
5 *270:25 *1545:B1 0
6 *271:17 *1545:B1 2.72636e-05
*RES
1 *1544:X *1545:B1 34.3512
*END
*D_NET *345 0.00128536
*CONN
*I *1546:B I *D sky130_fd_sc_hd__nor2_1
*I *1545:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *1546:B 0.000240887
2 *1545:X 0.000240887
3 *1546:B *1684:CLK 1.1246e-05
4 *1546:B *1735:A 3.14978e-05
5 *1546:B *443:12 4.43395e-05
6 *1546:B *486:40 2.54335e-05
7 *1552:C1 *1546:B 0.000201734
8 *1684:D *1546:B 0.000315161
9 *228:19 *1546:B 0.000174175
*RES
1 *1545:X *1546:B 37.5282
*END
*D_NET *346 0.00507303
*CONN
*I *1548:B I *D sky130_fd_sc_hd__nor2_1
*I *1606:B I *D sky130_fd_sc_hd__or2_1
*I *1603:B I *D sky130_fd_sc_hd__nand3_1
*I *1547:X O *D sky130_fd_sc_hd__or3b_1
*CAP
1 *1548:B 0.000269429
2 *1606:B 0
3 *1603:B 0
4 *1547:X 0.000353078
5 *346:28 0.00100484
6 *346:11 0.00108849
7 *1548:B *1607:C 0
8 *1548:B *1660:CLK 0.000353477
9 *1548:B *347:8 2.41274e-06
10 *1548:B *353:7 5.56873e-05
11 *346:11 *1560:C 0.000171288
12 *346:28 *1603:C 0.000880756
13 *346:28 *1609:A3 9.84167e-06
14 *346:28 *1660:CLK 0
15 *346:28 *353:7 6.78364e-06
16 *346:28 *389:8 2.51863e-05
17 *346:28 *454:57 0
18 *346:28 *487:14 0
19 *1572:A *1548:B 2.36813e-05
20 *1602:A1 *1548:B 0.000330596
21 *170:29 *1548:B 8.50941e-05
22 *171:24 *1548:B 2.65667e-05
23 *229:22 *346:11 3.42931e-05
24 *229:67 *346:11 0.000128493
25 *229:67 *346:28 4.17531e-06
26 *233:8 *346:28 2.01428e-05
27 *233:12 *346:28 3.38919e-05
28 *293:8 *346:28 0.000117164
29 *293:15 *346:28 1.91391e-05
30 *295:7 *346:11 2.85274e-05
*RES
1 *1547:X *346:11 27.074
2 *346:11 *1603:B 9.24915
3 *346:11 *346:28 23.9531
4 *346:28 *1606:B 9.24915
5 *346:28 *1548:B 28.8687
*END
*D_NET *347 0.00602055
*CONN
*I *1550:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *1553:A2 I *D sky130_fd_sc_hd__o21ba_1
*I *1549:A3 I *D sky130_fd_sc_hd__o31ai_1
*I *1548:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *1550:A2 0
2 *1553:A2 0
3 *1549:A3 0.000134327
4 *1548:Y 0.00177903
5 *347:14 0.000243779
6 *347:10 0.000109452
7 *347:8 0.00177903
8 *1549:A3 *1517:A 0.000313677
9 *1549:A3 *1550:A1 5.22654e-06
10 *1549:A3 *439:8 0.000109033
11 *347:8 *1517:A 0.000128997
12 *347:8 *1643:CLK 0.000185264
13 *347:8 *457:9 5.05707e-05
14 *347:8 *457:11 1.43983e-05
15 *347:8 *457:20 0
16 *347:8 *490:10 0.000113968
17 *347:14 *1517:A 0.000216103
18 *347:14 *1550:A1 0.000219744
19 *347:14 *446:7 9.14669e-05
20 *347:14 *457:24 0.000195154
21 *1505:A *347:8 0.000170113
22 *1521:B1 *347:8 0
23 *1538:A *347:8 5.47736e-05
24 *1548:B *347:8 2.41274e-06
25 *1676:D *347:8 0.000102632
26 *171:24 *347:8 1.4091e-06
27 *221:8 *1549:A3 0
*RES
1 *1548:Y *347:8 48.1676
2 *347:8 *347:10 4.5
3 *347:10 *347:14 10.7955
4 *347:14 *1549:A3 19.3184
5 *347:14 *1553:A2 13.7491
6 *347:10 *1550:A2 9.24915
*END
*D_NET *348 0.00192273
*CONN
*I *1551:A I *D sky130_fd_sc_hd__nor2_1
*I *1553:B1_N I *D sky130_fd_sc_hd__o21ba_1
*I *1549:Y O *D sky130_fd_sc_hd__o31ai_1
*CAP
1 *1551:A 6.29369e-05
2 *1553:B1_N 0.000212783
3 *1549:Y 2.10245e-05
4 *348:5 0.000296745
5 *1553:B1_N *1517:A 0.000390041
6 *1553:B1_N *1559:A1 5.41685e-05
7 *1553:B1_N *1685:CLK 6.50727e-05
8 *1549:A2 *1551:A 4.0752e-05
9 *1549:B1 *1551:A 0.000208971
10 *1549:B1 *348:5 0.000169041
11 *178:38 *1551:A 6.08467e-05
12 *178:38 *348:5 0.000164815
13 *178:50 *1551:A 5.08751e-05
14 *178:50 *1553:B1_N 4.23733e-05
15 *272:15 *1553:B1_N 8.22812e-05
*RES
1 *1549:Y *348:5 11.0817
2 *348:5 *1553:B1_N 26.2028
3 *348:5 *1551:A 12.2151
*END
*D_NET *349 0.00144084
*CONN
*I *1551:B I *D sky130_fd_sc_hd__nor2_1
*I *1550:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *1551:B 0.000323414
2 *1550:Y 0.000323414
3 *1551:B *1378:A1 0
4 *1551:B *446:7 6.08467e-05
5 *1538:A *1551:B 1.37385e-05
6 *1549:A2 *1551:B 5.47232e-06
7 *1685:D *1551:B 0.000271174
8 *272:20 *1551:B 0.000442784
*RES
1 *1550:Y *1551:B 37.5282
*END
*D_NET *350 0.00130215
*CONN
*I *1555:C I *D sky130_fd_sc_hd__and3_1
*I *1554:Y O *D sky130_fd_sc_hd__nor3_1
*CAP
1 *1555:C 0.00021027
2 *1554:Y 0.00021027
3 *1555:C *1556:A 9.68673e-05
4 *1460:A *1555:C 0.000387614
5 *1554:C *1555:C 2.71182e-05
6 *285:11 *1555:C 0.000216075
7 *285:29 *1555:C 0.000153932
*RES
1 *1554:Y *1555:C 27.9748
*END
*D_NET *351 0.00102942
*CONN
*I *1556:A I *D sky130_fd_sc_hd__clkbuf_1
*I *1555:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *1556:A 0.000241897
2 *1555:X 0.000241897
3 *1556:A *1555:A 6.08467e-05
4 *1555:C *1556:A 9.68673e-05
5 *285:11 *1556:A 0.000387912
*RES
1 *1555:X *1556:A 25.3706
*END
*D_NET *352 0.00263111
*CONN
*I *1614:C I *D sky130_fd_sc_hd__and3_1
*I *1558:A2 I *D sky130_fd_sc_hd__o21ai_1
*I *1557:X O *D sky130_fd_sc_hd__or3_1
*CAP
1 *1614:C 0.000239381
2 *1558:A2 0.000532204
3 *1557:X 0
4 *352:5 0.000771585
5 *1558:A2 *1738:A 0.00027329
6 *1558:A2 *1743:A 0
7 *1558:A2 *448:10 1.72464e-05
8 *1558:A2 *486:63 0.000289027
9 *1614:C *397:8 0
10 *1558:B1 *1558:A2 0.000110306
11 *1599:B *1558:A2 0
12 *1709:D *1558:A2 8.15803e-05
13 *171:32 *1558:A2 0
14 *229:22 *1558:A2 7.95938e-05
15 *229:22 *1614:C 4.40506e-05
16 *285:56 *1558:A2 6.3781e-05
17 *295:7 *1614:C 0.000129063
*RES
1 *1557:X *352:5 13.7491
2 *352:5 *1558:A2 29.7376
3 *352:5 *1614:C 20.1304
*END
*D_NET *353 0.0060101
*CONN
*I *1567:A2 I *D sky130_fd_sc_hd__a31oi_1
*I *1574:A2 I *D sky130_fd_sc_hd__o211a_1
*I *1602:B1_N I *D sky130_fd_sc_hd__a21bo_1
*I *1560:X O *D sky130_fd_sc_hd__and3b_1
*CAP
1 *1567:A2 0.000355799
2 *1574:A2 5.33184e-05
3 *1602:B1_N 0.000211828
4 *1560:X 0.00107157
5 *353:19 0.000482095
6 *353:7 0.00135638
7 *1567:A2 *1567:B1 0.000164843
8 *1567:A2 *367:7 0.000119442
9 *1574:A2 *367:7 0.000113968
10 *1602:B1_N *1602:A2 2.37827e-05
11 *1602:B1_N *1660:CLK 5.01501e-05
12 *1602:B1_N *365:17 5.04829e-06
13 *353:7 *1473:A 9.64926e-07
14 *353:7 *1602:A2 4.58003e-05
15 *353:7 *1609:A3 2.09997e-05
16 *353:7 *389:8 0.000209388
17 *353:19 *1660:CLK 3.88655e-05
18 *353:19 *484:10 1.55462e-05
19 *1396:A *1567:A2 6.50727e-05
20 *1396:A *1574:A2 0.000271044
21 *1548:B *353:7 5.56873e-05
22 *1567:A1 *1567:A2 0.000263455
23 *1602:A1 *353:7 7.12973e-06
24 *1609:A2 *353:7 0.000413252
25 *229:67 *353:7 0.000255962
26 *231:27 *1574:A2 1.03434e-05
27 *235:17 *1574:A2 9.39633e-05
28 *235:17 *1602:B1_N 0.000121037
29 *235:17 *353:19 7.14746e-05
30 *236:7 *1567:A2 0
31 *236:7 *1574:A2 3.51034e-05
32 *346:28 *353:7 6.78364e-06
*RES
1 *1560:X *353:7 31.1106
2 *353:7 *1602:B1_N 17.829
3 *353:7 *353:19 6.74725
4 *353:19 *1574:A2 13.3243
5 *353:19 *1567:A2 19.449
*END
*D_NET *354 0.00518631
*CONN
*I *1579:B I *D sky130_fd_sc_hd__and3_1
*I *1583:D1 I *D sky130_fd_sc_hd__o2111a_1
*I *1564:A_N I *D sky130_fd_sc_hd__and3b_1
*I *1576:A I *D sky130_fd_sc_hd__xor2_1
*I *1580:A1 I *D sky130_fd_sc_hd__a21o_1
*I *1561:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *1579:B 0.000172149
2 *1583:D1 0
3 *1564:A_N 8.62484e-05
4 *1576:A 0
5 *1580:A1 0.000212434
6 *1561:X 0
7 *354:30 0.000182716
8 *354:27 0.000291882
9 *354:11 0.000448891
10 *354:4 0.000259723
11 *1564:A_N *1584:B 3.87866e-05
12 *1564:A_N *356:8 5.92192e-05
13 *1564:A_N *356:17 7.14746e-05
14 *1564:A_N *356:34 5.41377e-05
15 *1564:A_N *457:67 0
16 *1579:B *1581:A_N 0.000182661
17 *1579:B *1586:A 1.92172e-05
18 *1579:B *371:9 0.000418517
19 *1579:B *464:9 0.000210197
20 *1579:B *464:11 0.000670144
21 *1580:A1 *1580:A2 0.000484215
22 *1580:A1 *368:19 0.000150632
23 *1580:A1 *457:77 7.92757e-06
24 *1580:A1 *465:8 0.000172954
25 *1580:A1 *484:10 0
26 *354:11 *1578:A 3.20069e-06
27 *354:11 *1579:A 2.89823e-05
28 *354:11 *1579:C 0.000208218
29 *354:11 *1581:A_N 6.92705e-05
30 *354:11 *368:19 6.92705e-05
31 *354:11 *457:67 0
32 *354:11 *464:11 6.50727e-05
33 *354:11 *465:8 0
34 *354:11 *490:10 0
35 *354:27 *1581:A_N 0.000148652
36 *354:27 *464:11 0.000164815
37 *354:30 *1579:A 4.42033e-05
38 *354:30 *1584:B 4.80148e-05
39 *354:30 *356:34 0.000142485
40 *354:30 *457:67 0
*RES
1 *1561:X *354:4 9.24915
2 *354:4 *354:11 16.1582
3 *354:11 *1580:A1 26.7658
4 *354:11 *1576:A 9.24915
5 *354:4 *354:27 1.8326
6 *354:27 *354:30 7.993
7 *354:30 *1564:A_N 16.8269
8 *354:30 *1583:D1 13.7491
9 *354:27 *1579:B 19.8348
*END
*D_NET *355 0.00391537
*CONN
*I *1564:B I *D sky130_fd_sc_hd__and3b_1
*I *1565:C I *D sky130_fd_sc_hd__and3b_1
*I *1562:Y O *D sky130_fd_sc_hd__nor4_1
*CAP
1 *1564:B 0
2 *1565:C 0.000485945
3 *1562:Y 0.00114727
4 *355:17 0.00163322
5 *1565:C *1583:A2 1.00846e-05
6 *1565:C *356:8 4.18032e-05
7 *1565:C *356:17 1.29348e-05
8 *355:17 *1562:D 7.49459e-05
9 *355:17 *1564:C 9.09602e-06
10 *355:17 *1693:CLK 0.000141241
11 *355:17 *457:67 0.000164815
12 *355:17 *466:5 8.18978e-05
13 *1583:A1 *1565:C 0.000108054
14 *1693:D *355:17 4.05943e-06
*RES
1 *1562:Y *355:17 31.6262
2 *355:17 *1565:C 26.9024
3 *355:17 *1564:B 9.24915
*END
*D_NET *356 0.0077793
*CONN
*I *1579:A I *D sky130_fd_sc_hd__and3_1
*I *1580:B1 I *D sky130_fd_sc_hd__a21o_1
*I *1570:B I *D sky130_fd_sc_hd__and4_1
*I *1583:C1 I *D sky130_fd_sc_hd__o2111a_1
*I *1564:C I *D sky130_fd_sc_hd__and3b_1
*I *1563:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1579:A 0.000996998
2 *1580:B1 0.000683483
3 *1570:B 0.000324311
4 *1583:C1 0
5 *1564:C 0.000129938
6 *1563:X 0.000188312
7 *356:34 0.00178792
8 *356:19 0.000324311
9 *356:17 0.000152187
10 *356:8 0.000363001
11 *1570:B *1570:C 6.64392e-05
12 *1570:B *1570:D 0.000117376
13 *1570:B *1583:B1 0.000278329
14 *1570:B *374:5 4.91225e-06
15 *1570:B *374:9 4.03336e-05
16 *1579:A *1579:C 0.000103906
17 *1579:A *457:67 3.93117e-06
18 *1579:A *464:9 4.23268e-05
19 *1579:A *465:8 0
20 *1580:B1 *1565:B 4.59081e-05
21 *1580:B1 *1581:A_N 0.000483474
22 *1580:B1 *1581:C 0.000637419
23 *1580:B1 *1582:A 0.000173119
24 *356:8 *1575:B 0
25 *356:8 *363:11 0.000122378
26 *356:8 *457:67 0
27 *356:34 *1563:A 0
28 *356:34 *1583:A2 0
29 *356:34 *465:8 0
30 *1564:A_N *356:8 5.92192e-05
31 *1564:A_N *356:17 7.14746e-05
32 *1564:A_N *356:34 5.41377e-05
33 *1565:C *356:8 4.18032e-05
34 *1565:C *356:17 1.29348e-05
35 *1691:D *1579:A 0.000244655
36 *354:11 *1579:A 2.89823e-05
37 *354:30 *1579:A 4.42033e-05
38 *354:30 *356:34 0.000142485
39 *355:17 *1564:C 9.09602e-06
*RES
1 *1563:X *356:8 18.5201
2 *356:8 *1564:C 15.5817
3 *356:8 *356:17 1.41674
4 *356:17 *356:19 4.5
5 *356:19 *1583:C1 9.24915
6 *356:19 *1570:B 16.2661
7 *356:17 *356:34 3.493
8 *356:34 *1580:B1 30.7972
9 *356:34 *1579:A 40.0237
*END
*D_NET *357 0.00302662
*CONN
*I *1567:A3 I *D sky130_fd_sc_hd__a31oi_1
*I *1602:A2 I *D sky130_fd_sc_hd__a21bo_1
*I *1564:X O *D sky130_fd_sc_hd__and3b_1
*CAP
1 *1567:A3 3.05385e-05
2 *1602:A2 0.000317082
3 *1564:X 0.000261974
4 *357:7 0.000609594
5 *1567:A3 *1566:C 2.82537e-05
6 *1567:A3 *368:8 8.01837e-05
7 *1602:A2 *1566:C 2.68066e-05
8 *1602:A2 *363:11 0.000393863
9 *1602:A2 *365:17 0.000697338
10 *1602:A2 *368:8 7.50722e-05
11 *1602:A2 *490:10 1.70077e-05
12 *357:7 *367:7 0.000247443
13 *1602:A1 *1602:A2 1.43983e-05
14 *1602:B1_N *1602:A2 2.37827e-05
15 *237:9 *1602:A2 9.32076e-05
16 *237:21 *1602:A2 6.42783e-05
17 *353:7 *1602:A2 4.58003e-05
*RES
1 *1564:X *357:7 17.8002
2 *357:7 *1602:A2 26.7027
3 *357:7 *1567:A3 15.1659
*END
*D_NET *358 0.00152888
*CONN
*I *1566:C I *D sky130_fd_sc_hd__and3_1
*I *1565:X O *D sky130_fd_sc_hd__and3b_1
*CAP
1 *1566:C 0.000291947
2 *1565:X 0.000291947
3 *1566:C *1605:C1 9.25797e-05
4 *1566:C *365:17 0.000332298
5 *1566:C *368:8 3.08133e-05
6 *1566:C *482:25 0.000341815
7 *1567:A3 *1566:C 2.82537e-05
8 *1602:A2 *1566:C 2.68066e-05
9 *237:21 *1566:C 9.24241e-05
*RES
1 *1565:X *1566:C 37.7562
*END
*D_NET *359 0.00253786
*CONN
*I *1567:B1 I *D sky130_fd_sc_hd__a31oi_1
*I *1605:C1 I *D sky130_fd_sc_hd__a211o_1
*I *1566:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *1567:B1 0.000205579
2 *1605:C1 0.000405154
3 *1566:X 0
4 *359:4 0.000610734
5 *1567:B1 *1571:B 8.2214e-05
6 *1567:B1 *1780:A 2.80021e-05
7 *1567:B1 *498:28 0.000155239
8 *1605:C1 *1572:B 4.31703e-05
9 *1605:C1 *1605:A1 1.67988e-05
10 *1605:C1 *365:17 0.000179077
11 *1566:A *1567:B1 0
12 *1566:A *1605:C1 6.64392e-05
13 *1566:C *1605:C1 9.25797e-05
14 *1567:A1 *1567:B1 0.000164843
15 *1567:A2 *1567:B1 0.000164843
16 *1572:A *1605:C1 0.000164829
17 *235:17 *1605:C1 0.000158357
*RES
1 *1566:X *359:4 9.24915
2 *359:4 *1605:C1 28.0438
3 *359:4 *1567:B1 24.8205
*END
*D_NET *360 0.00155949
*CONN
*I *1572:B I *D sky130_fd_sc_hd__and3_1
*I *1567:Y O *D sky130_fd_sc_hd__a31oi_1
*CAP
1 *1572:B 0.000417362
2 *1567:Y 0.000417362
3 *1572:B *1780:A 2.27135e-05
4 *1572:B *365:17 6.50727e-05
5 *1572:B *390:11 0
6 *1572:B *482:25 0.000346912
7 *1572:B *498:28 0.000132433
8 *1605:C1 *1572:B 4.31703e-05
9 *235:17 *1572:B 4.12994e-05
10 *235:32 *1572:B 7.31697e-05
*RES
1 *1567:Y *1572:B 40.7462
*END
*D_NET *361 0.00298404
*CONN
*I *1591:B I *D sky130_fd_sc_hd__and3_1
*I *1587:A I *D sky130_fd_sc_hd__or2_1
*I *1569:C I *D sky130_fd_sc_hd__nor3_1
*I *1588:A I *D sky130_fd_sc_hd__nand2_1
*I *1592:A1 I *D sky130_fd_sc_hd__a21o_1
*I *1568:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1591:B 0
2 *1587:A 0
3 *1569:C 9.34436e-05
4 *1588:A 0.000110178
5 *1592:A1 5.25239e-05
6 *1568:X 0.00013587
7 *361:24 0.000283889
8 *361:21 0.000360924
9 *361:12 0.000469623
10 *361:7 0.000272312
11 *1569:C *1562:A 0.000168313
12 *1569:C *1562:C 0.000104225
13 *1569:C *1569:B 6.64392e-05
14 *1569:C *469:23 9.76046e-05
15 *1588:A *1562:C 5.56367e-05
16 *1588:A *1588:B 6.68481e-05
17 *1588:A *458:89 6.23202e-05
18 *1592:A1 *374:23 7.92757e-06
19 *1592:A1 *374:29 5.04829e-06
20 *361:7 *1593:A_N 2.99287e-05
21 *361:7 *1596:B 5.10995e-05
22 *361:21 *1587:B 1.65872e-05
23 *361:21 *366:42 7.98171e-06
24 *361:21 *374:29 9.04906e-05
25 *361:24 *1562:C 0.000139517
26 *361:24 *458:89 4.01573e-05
27 *85:12 *361:12 0.000195154
*RES
1 *1568:X *361:7 12.7697
2 *361:7 *361:12 12.493
3 *361:12 *1592:A1 9.97254
4 *361:12 *361:21 4.62973
5 *361:21 *361:24 7.1625
6 *361:24 *1588:A 17.6574
7 *361:24 *1569:C 17.8002
8 *361:21 *1587:A 9.24915
9 *361:7 *1591:B 9.24915
*END
*D_NET *362 0.00268153
*CONN
*I *1570:D I *D sky130_fd_sc_hd__and4_1
*I *1569:Y O *D sky130_fd_sc_hd__nor3_1
*CAP
1 *1570:D 0.000692021
2 *1569:Y 0.000692021
3 *1570:D *1562:A 0.000156955
4 *1570:D *1562:B 2.15184e-05
5 *1570:D *1562:C 1.00937e-05
6 *1570:D *1570:C 5.8334e-05
7 *1570:D *1573:A 6.50727e-05
8 *1570:D *1586:A 6.92705e-05
9 *1570:D *374:9 0.000759638
10 *1570:D *496:12 3.92275e-05
11 *1570:B *1570:D 0.000117376
*RES
1 *1569:Y *1570:D 47.6081
*END
*D_NET *363 0.00722928
*CONN
*I *1609:A3 I *D sky130_fd_sc_hd__a31o_1
*I *1571:B I *D sky130_fd_sc_hd__nand2_1
*I *1570:X O *D sky130_fd_sc_hd__and4_1
*CAP
1 *1609:A3 0.00100389
2 *1571:B 0.000169283
3 *1570:X 0.000569257
4 *363:11 0.00174243
5 *1571:B *365:17 0
6 *1571:B *498:28 4.29092e-05
7 *1609:A3 *1473:A 2.49891e-06
8 *1609:A3 *1603:C 0.00106972
9 *1609:A3 *389:8 7.65608e-05
10 *363:11 *1583:B1 9.75356e-05
11 *363:11 *1585:C 7.86847e-05
12 *363:11 *365:17 0.000933734
13 *363:11 *457:58 0.000144546
14 *363:11 *457:67 2.19276e-05
15 *1566:A *1571:B 0
16 *1567:B1 *1571:B 8.2214e-05
17 *1602:A2 *363:11 0.000393863
18 *1693:D *363:11 0
19 *237:21 *363:11 0.000347214
20 *293:15 *1609:A3 0.000299797
21 *346:28 *1609:A3 9.84167e-06
22 *353:7 *1609:A3 2.09997e-05
23 *356:8 *363:11 0.000122378
*RES
1 *1570:X *363:11 43.0462
2 *363:11 *1571:B 17.6574
3 *363:11 *1609:A3 24.5704
*END
*D_NET *364 0.00159773
*CONN
*I *1572:C I *D sky130_fd_sc_hd__and3_1
*I *1605:A1 I *D sky130_fd_sc_hd__a211o_1
*I *1571:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *1572:C 0
2 *1605:A1 0.000307358
3 *1571:Y 0.000163149
4 *364:5 0.000470507
5 *1605:A1 *1605:A2 3.75603e-05
6 *1605:A1 *390:11 4.80635e-06
7 *1572:A *1605:A1 0.0002646
8 *1605:C1 *1605:A1 1.67988e-05
9 *1614:A *1605:A1 1.19721e-05
10 *235:17 *1605:A1 7.40302e-05
11 *235:17 *364:5 0.000231448
12 *235:32 *364:5 1.55025e-05
*RES
1 *1571:Y *364:5 12.0342
2 *364:5 *1605:A1 15.0001
3 *364:5 *1572:C 9.24915
*END
*D_NET *365 0.00676806
*CONN
*I *1593:B I *D sky130_fd_sc_hd__and3b_1
*I *1573:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *1572:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *1593:B 0.000386829
2 *1573:A 9.34923e-06
3 *1572:X 0.000574362
4 *365:22 0.000883642
5 *365:17 0.00106183
6 *1573:A *374:9 6.50727e-05
7 *1593:B *1586:A 0
8 *1593:B *1593:A_N 6.08167e-05
9 *365:17 *1563:A 0.000217937
10 *365:17 *484:10 0.000235539
11 *365:17 *498:28 0
12 *365:22 *1570:C 0
13 *1398:B *365:17 0.000130803
14 *1398:B *365:22 6.78364e-06
15 *1518:A2 *365:17 1.05106e-05
16 *1518:A2 *365:22 0.000594592
17 *1518:B1 *365:17 6.02829e-05
18 *1518:C1 *365:17 0.000113968
19 *1566:A *365:17 7.81037e-05
20 *1566:C *365:17 0.000332298
21 *1570:D *1573:A 6.50727e-05
22 *1571:B *365:17 0
23 *1572:B *365:17 6.50727e-05
24 *1602:A2 *365:17 0.000697338
25 *1602:B1_N *365:17 5.04829e-06
26 *1605:C1 *365:17 0.000179077
27 *1693:D *365:22 0
28 *363:11 *365:17 0.000933734
*RES
1 *1572:X *365:17 44.7568
2 *365:17 *365:22 17.3086
3 *365:22 *1573:A 14.4725
4 *365:22 *1593:B 20.4627
*END
*D_NET *366 0.00833458
*CONN
*I *1597:A I *D sky130_fd_sc_hd__and3_1
*I *1589:A I *D sky130_fd_sc_hd__and3_1
*I *1577:A I *D sky130_fd_sc_hd__and2_1
*I *1581:B I *D sky130_fd_sc_hd__and3b_1
*I *1585:B I *D sky130_fd_sc_hd__and3b_1
*I *1573:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *1597:A 0.000101124
2 *1589:A 9.50795e-05
3 *1577:A 0.00027601
4 *1581:B 0.000496394
5 *1585:B 0
6 *1573:X 0
7 *366:42 0.000674046
8 *366:18 0.00102899
9 *366:8 0.000593517
10 *366:4 0.000814774
11 *1577:A *1578:A 0
12 *1577:A *1579:C 0.000156055
13 *1577:A *1585:C 1.91391e-05
14 *1577:A *457:67 1.34199e-05
15 *1581:B *1565:B 6.84456e-05
16 *1581:B *1770:A 0.00119508
17 *1581:B *368:8 4.31485e-06
18 *1581:B *482:25 3.35739e-05
19 *1589:A *1589:B 3.74593e-05
20 *1589:A *1589:C 1.07248e-05
21 *1589:A *458:89 0.000174175
22 *1589:A *458:100 2.95757e-05
23 *1589:A *476:8 0
24 *1597:A *1597:B 4.29785e-05
25 *1597:A *458:100 0.00017875
26 *1597:A *476:8 0
27 *366:8 *1570:C 2.27445e-05
28 *366:8 *1585:C 2.27645e-05
29 *366:8 *374:9 0.000761136
30 *366:18 *1570:C 1.2551e-05
31 *366:18 *1585:C 1.18561e-05
32 *366:18 *1770:A 0.000204272
33 *366:42 *1587:B 2.16355e-05
34 *366:42 *1589:B 7.97098e-06
35 *366:42 *1694:CLK 6.08467e-05
36 *366:42 *374:9 0.000553416
37 *366:42 *374:23 0.000200794
38 *366:42 *374:29 8.54423e-05
39 *366:42 *467:7 0.000266832
40 io_wbs_data_o[24] *1577:A 5.0715e-05
41 *361:21 *366:42 7.98171e-06
*RES
1 *1573:X *366:4 9.24915
2 *366:4 *366:8 14.5425
3 *366:8 *1585:B 13.7491
4 *366:8 *366:18 5.349
5 *366:18 *1581:B 20.322
6 *366:18 *1577:A 24.5881
7 *366:4 *366:42 18.8112
8 *366:42 *1589:A 17.2421
9 *366:42 *1597:A 17.2421
*END
*D_NET *367 0.00339124
*CONN
*I *1583:A2 I *D sky130_fd_sc_hd__o2111a_1
*I *1575:B I *D sky130_fd_sc_hd__or2_1
*I *1574:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *1583:A2 0.000198772
2 *1575:B 0.000124417
3 *1574:X 0.000478096
4 *367:7 0.000801284
5 *1575:B *1563:A 0.000160384
6 *1583:A2 *1563:A 0.00023862
7 *1565:C *1583:A2 1.00846e-05
8 *1567:A1 *367:7 0.000548908
9 *1567:A2 *367:7 0.000119442
10 *1574:A2 *367:7 0.000113968
11 *1583:A1 *1575:B 1.2693e-05
12 *1583:A1 *1583:A2 0.000171288
13 *235:17 *367:7 0.000160617
14 *235:32 *1575:B 5.22654e-06
15 *356:8 *1575:B 0
16 *356:34 *1583:A2 0
17 *357:7 *367:7 0.000247443
*RES
1 *1574:X *367:7 27.2284
2 *367:7 *1575:B 17.2421
3 *367:7 *1583:A2 20.4599
*END
*D_NET *368 0.00575405
*CONN
*I *1579:C I *D sky130_fd_sc_hd__and3_1
*I *1576:B I *D sky130_fd_sc_hd__xor2_1
*I *1580:A2 I *D sky130_fd_sc_hd__a21o_1
*I *1575:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *1579:C 0.000581454
2 *1576:B 0
3 *1580:A2 0.000144141
4 *1575:X 0.000321233
5 *368:19 0.000616384
6 *368:8 0.000500303
7 *1579:C *1578:A 0
8 *1579:C *1585:C 0.000162739
9 *1579:C *457:67 0.000120584
10 *1579:C *457:77 0.000236357
11 *1579:C *464:9 0.000205923
12 *1579:C *464:11 0.000174018
13 *1580:A2 *1780:A 0.000195139
14 *1580:A2 *457:77 0.000151436
15 *1580:A2 *484:10 0
16 *368:8 *1565:B 0.000137789
17 *368:8 *482:25 0
18 *368:8 *490:10 0.00069676
19 *368:19 *457:77 9.14669e-05
20 io_wbs_data_o[24] *1579:C 0
21 *1566:C *368:8 3.08133e-05
22 *1567:A3 *368:8 8.01837e-05
23 *1577:A *1579:C 0.000156055
24 *1579:A *1579:C 0.000103906
25 *1580:A1 *1580:A2 0.000484215
26 *1580:A1 *368:19 0.000150632
27 *1581:B *368:8 4.31485e-06
28 *1602:A2 *368:8 7.50722e-05
29 *1691:D *1579:C 5.56461e-05
30 *354:11 *1579:C 0.000208218
31 *354:11 *368:19 6.92705e-05
*RES
1 *1575:X *368:8 30.8777
2 *368:8 *1580:A2 25.7932
3 *368:8 *368:19 2.38721
4 *368:19 *1576:B 9.24915
5 *368:19 *1579:C 36.4478
*END
*D_NET *369 0.000636866
*CONN
*I *1577:B I *D sky130_fd_sc_hd__and2_1
*I *1576:X O *D sky130_fd_sc_hd__xor2_1
*CAP
1 *1577:B 0.000291395
2 *1576:X 0.000291395
3 *1577:B *1578:A 5.40768e-05
4 *1577:B *490:10 0
*RES
1 *1576:X *1577:B 34.6271
*END
*D_NET *370 0.000447906
*CONN
*I *1578:A I *D sky130_fd_sc_hd__clkbuf_1
*I *1577:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *1578:A 0.000195314
2 *1577:X 0.000195314
3 *1578:A *457:67 0
4 *1578:A *490:10 0
5 *1577:A *1578:A 0
6 *1577:B *1578:A 5.40768e-05
7 *1579:C *1578:A 0
8 *354:11 *1578:A 3.20069e-06
*RES
1 *1577:X *1578:A 31.4388
*END
*D_NET *371 0.00317407
*CONN
*I *1581:A_N I *D sky130_fd_sc_hd__and3b_1
*I *1584:B I *D sky130_fd_sc_hd__or2_1
*I *1579:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *1581:A_N 0.00014632
2 *1584:B 0.00017002
3 *1579:X 0.000281511
4 *371:9 0.00059785
5 *1581:A_N *1565:B 9.63981e-05
6 *1581:A_N *464:11 4.09471e-05
7 *1584:B *457:67 0.000284018
8 *371:9 *1586:A 0.00016763
9 *1564:A_N *1584:B 3.87866e-05
10 *1579:B *1581:A_N 0.000182661
11 *1579:B *371:9 0.000418517
12 *1580:B1 *1581:A_N 0.000483474
13 *354:11 *1581:A_N 6.92705e-05
14 *354:27 *1581:A_N 0.000148652
15 *354:30 *1584:B 4.80148e-05
*RES
1 *1579:X *371:9 16.5434
2 *371:9 *1584:B 23.8493
3 *371:9 *1581:A_N 17.4838
*END
*D_NET *372 0.00103498
*CONN
*I *1581:C I *D sky130_fd_sc_hd__and3b_1
*I *1580:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *1581:C 0.000131582
2 *1580:X 0.000131582
3 *1581:C *1582:A 0.000134399
4 *1580:B1 *1581:C 0.000637419
*RES
1 *1580:X *1581:C 25.2018
*END
*D_NET *373 0.000852421
*CONN
*I *1582:A I *D sky130_fd_sc_hd__clkbuf_1
*I *1581:X O *D sky130_fd_sc_hd__and3b_1
*CAP
1 *1582:A 0.000272452
2 *1581:X 0.000272452
3 *1580:B1 *1582:A 0.000173119
4 *1581:C *1582:A 0.000134399
*RES
1 *1581:X *1582:A 25.9252
*END
*D_NET *374 0.00591107
*CONN
*I *1585:A_N I *D sky130_fd_sc_hd__and3b_1
*I *1587:B I *D sky130_fd_sc_hd__or2_1
*I *1588:B I *D sky130_fd_sc_hd__nand2_1
*I *1592:A2 I *D sky130_fd_sc_hd__a21o_1
*I *1591:C I *D sky130_fd_sc_hd__and3_1
*I *1583:X O *D sky130_fd_sc_hd__o2111a_1
*CAP
1 *1585:A_N 6.44944e-05
2 *1587:B 2.21085e-05
3 *1588:B 0.000288098
4 *1592:A2 0
5 *1591:C 0.000268978
6 *1583:X 0.000130705
7 *374:29 0.000356688
8 *374:23 0.000132854
9 *374:9 0.000602769
10 *374:5 0.000442617
11 *1585:A_N *1585:C 9.32927e-05
12 *1585:A_N *457:67 3.24554e-05
13 *1588:B *1562:C 3.70066e-05
14 *1588:B *469:23 0.000133878
15 *1591:C *1593:A_N 7.95508e-05
16 *1591:C *1593:C 0.000166411
17 *1591:C *1594:A 1.77537e-06
18 *1591:C *496:12 0.000235885
19 *374:5 *1583:B1 1.62995e-05
20 *374:9 *1586:A 6.50727e-05
21 *374:23 *1592:B1 6.08467e-05
22 *1570:B *374:5 4.91225e-06
23 *1570:B *374:9 4.03336e-05
24 *1570:D *374:9 0.000759638
25 *1573:A *374:9 6.50727e-05
26 *1588:A *1588:B 6.68481e-05
27 *1592:A1 *374:23 7.92757e-06
28 *1592:A1 *374:29 5.04829e-06
29 *85:12 *1588:B 0
30 *361:21 *1587:B 1.65872e-05
31 *361:21 *374:29 9.04906e-05
32 *366:8 *374:9 0.000761136
33 *366:42 *1587:B 2.16355e-05
34 *366:42 *374:9 0.000553416
35 *366:42 *374:23 0.000200794
36 *366:42 *374:29 8.54423e-05
*RES
1 *1583:X *374:5 11.0817
2 *374:5 *374:9 17.2409
3 *374:9 *1591:C 25.0563
4 *374:9 *374:23 2.96592
5 *374:23 *1592:A2 9.24915
6 *374:23 *374:29 2.38721
7 *374:29 *1588:B 25.968
8 *374:29 *1587:B 9.97254
9 *374:5 *1585:A_N 20.0811
*END
*D_NET *375 0.00115561
*CONN
*I *1585:C I *D sky130_fd_sc_hd__and3b_1
*I *1584:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *1585:C 0.000281552
2 *1584:X 0.000281552
3 *1585:C *1583:B1 1.55462e-05
4 *1585:C *457:67 2.574e-05
5 *1585:C *464:11 0.000162739
6 *1577:A *1585:C 1.91391e-05
7 *1579:C *1585:C 0.000162739
8 *1585:A_N *1585:C 9.32927e-05
9 *363:11 *1585:C 7.86847e-05
10 *366:8 *1585:C 2.27645e-05
11 *366:18 *1585:C 1.18561e-05
*RES
1 *1584:X *1585:C 35.7307
*END
*D_NET *376 0.000885659
*CONN
*I *1586:A I *D sky130_fd_sc_hd__clkbuf_1
*I *1585:X O *D sky130_fd_sc_hd__and3b_1
*CAP
1 *1586:A 0.000266098
2 *1585:X 0.000266098
3 *1586:A *1570:C 3.22726e-05
4 *1570:D *1586:A 6.92705e-05
5 *1579:B *1586:A 1.92172e-05
6 *1593:B *1586:A 0
7 *371:9 *1586:A 0.00016763
8 *374:9 *1586:A 6.50727e-05
*RES
1 *1585:X *1586:A 33.2714
*END
*D_NET *377 0.000737444
*CONN
*I *1589:B I *D sky130_fd_sc_hd__and3_1
*I *1587:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *1589:B 0.000274146
2 *1587:X 0.000274146
3 *1589:B *1589:C 0.000111311
4 *1589:B *1694:CLK 3.24105e-05
5 *1589:B *476:8 0
6 *1589:A *1589:B 3.74593e-05
7 *366:42 *1589:B 7.97098e-06
*RES
1 *1587:X *1589:B 33.3785
*END
*D_NET *378 0.000981829
*CONN
*I *1589:C I *D sky130_fd_sc_hd__and3_1
*I *1588:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *1589:C 0.000337348
2 *1588:Y 0.000337348
3 *1589:C *458:89 7.55529e-05
4 *1589:C *476:8 0
5 *1589:C *522:13 1.8078e-05
6 *537:DIODE *1589:C 9.14669e-05
7 *1589:A *1589:C 1.07248e-05
8 *1589:B *1589:C 0.000111311
*RES
1 *1588:Y *1589:C 34.8923
*END
*D_NET *379 0.000331662
*CONN
*I *1590:A I *D sky130_fd_sc_hd__clkbuf_1
*I *1589:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *1590:A 0.000165831
2 *1589:X 0.000165831
*RES
1 *1589:X *1590:A 22.4287
*END
*D_NET *380 0.00257459
*CONN
*I *1595:B I *D sky130_fd_sc_hd__or2_1
*I *1596:B I *D sky130_fd_sc_hd__nand2_1
*I *1593:A_N I *D sky130_fd_sc_hd__and3b_1
*I *1591:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *1595:B 0.000114648
2 *1596:B 0.000358356
3 *1593:A_N 0.000300569
4 *1591:X 0
5 *380:7 0.000717219
6 *380:4 0.000172942
7 *1593:A_N *1593:C 0.000330596
8 *1595:B *469:23 3.89558e-05
9 *1596:B *1568:A 6.50727e-05
10 *1596:B *1597:C 0.000254832
11 *1591:C *1593:A_N 7.95508e-05
12 *1593:B *1593:A_N 6.08167e-05
13 *85:12 *1595:B 0
14 *361:7 *1593:A_N 2.99287e-05
15 *361:7 *1596:B 5.10995e-05
*RES
1 *1591:X *380:4 9.24915
2 *380:4 *380:7 1.30211
3 *380:7 *1593:A_N 18.8703
4 *380:7 *1596:B 19.5937
5 *380:4 *1595:B 20.8045
*END
*D_NET *381 0.000976802
*CONN
*I *1593:C I *D sky130_fd_sc_hd__and3b_1
*I *1592:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *1593:C 0.000178708
2 *1592:X 0.000178708
3 *1593:C *1594:A 0.000122378
4 *1591:C *1593:C 0.000166411
5 *1593:A_N *1593:C 0.000330596
*RES
1 *1592:X *1593:C 34.0725
*END
*D_NET *382 0.000796314
*CONN
*I *1594:A I *D sky130_fd_sc_hd__clkbuf_1
*I *1593:X O *D sky130_fd_sc_hd__and3b_1
*CAP
1 *1594:A 0.00030449
2 *1593:X 0.00030449
3 *1594:A *496:12 0
4 *1591:C *1594:A 1.77537e-06
5 *1593:C *1594:A 0.000122378
6 *1695:D *1594:A 6.31809e-05
7 *85:12 *1594:A 0
*RES
1 *1593:X *1594:A 34.7608
*END
*D_NET *383 0.00105376
*CONN
*I *1597:B I *D sky130_fd_sc_hd__and3_1
*I *1595:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *1597:B 0.00031671
2 *1595:X 0.00031671
3 *1597:B *1598:A 2.99929e-05
4 *1597:B *458:100 2.32176e-05
5 *1597:B *469:17 0.000324151
6 *1597:B *476:8 0
7 *1597:A *1597:B 4.29785e-05
*RES
1 *1595:X *1597:B 34.4877
*END
*D_NET *384 0.000493204
*CONN
*I *1597:C I *D sky130_fd_sc_hd__and3_1
*I *1596:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *1597:C 0.000116662
2 *1596:Y 0.000116662
3 io_wbs_data_o[10] *1597:C 5.04829e-06
4 *1596:B *1597:C 0.000254832
*RES
1 *1596:Y *1597:C 21.8018
*END
*D_NET *385 0.000705472
*CONN
*I *1598:A I *D sky130_fd_sc_hd__clkbuf_1
*I *1597:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *1598:A 0.000246738
2 *1597:X 0.000246738
3 *1598:A *458:100 0
4 *1598:A *476:7 5.0715e-05
5 *1598:A *476:8 0.000129514
6 io_wbs_data_o[10] *1598:A 0
7 io_wbs_data_o[15] *1598:A 0
8 *1597:B *1598:A 2.99929e-05
9 *1696:D *1598:A 0
10 *68:8 *1598:A 1.77537e-06
*RES
1 *1597:X *1598:A 34.7608
*END
*D_NET *386 0.0023229
*CONN
*I *1610:A2 I *D sky130_fd_sc_hd__a31oi_1
*I *1600:B I *D sky130_fd_sc_hd__nand2_1
*I *1599:X O *D sky130_fd_sc_hd__or3b_1
*CAP
1 *1610:A2 0.000308684
2 *1600:B 0.000386939
3 *1599:X 0
4 *386:4 0.000695622
5 *1600:B *1633:A 2.83829e-05
6 *1600:B *1743:A 0.000118166
7 *1600:B *1744:A 0.000103983
8 *1600:B *448:10 1.79672e-05
9 *1610:A2 *1744:A 0.000530762
10 *1469:A *1600:B 0
11 *229:22 *1600:B 0.000132396
12 *285:56 *1600:B 0
*RES
1 *1599:X *386:4 9.24915
2 *386:4 *1600:B 27.1755
3 *386:4 *1610:A2 14.964
*END
*D_NET *387 0.00178839
*CONN
*I *1601:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *1600:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *1601:A2 0.000536706
2 *1600:Y 0.000536706
3 *1601:A2 *1600:A 0.000169041
4 *1374:A *1601:A2 3.01797e-05
5 *1552:B1 *1601:A2 0.000411734
6 *1697:D *1601:A2 0.000104026
*RES
1 *1600:Y *1601:A2 40.6447
*END
*D_NET *388 0.00332226
*CONN
*I *1603:C I *D sky130_fd_sc_hd__nand3_1
*I *1602:X O *D sky130_fd_sc_hd__a21bo_1
*CAP
1 *1603:C 0.000599096
2 *1602:X 0.000599096
3 *1603:C *1660:CLK 1.22756e-05
4 *1603:C *487:14 0
5 *1609:A3 *1603:C 0.00106972
6 *229:67 *1603:C 1.17108e-05
7 *293:8 *1603:C 1.2819e-05
8 *293:15 *1603:C 4.61429e-05
9 *294:10 *1603:C 9.06434e-05
10 *346:28 *1603:C 0.000880756
*RES
1 *1602:X *1603:C 38.5397
*END
*D_NET *389 0.00295752
*CONN
*I *1605:A2 I *D sky130_fd_sc_hd__a211o_1
*I *1611:B I *D sky130_fd_sc_hd__and3_1
*I *1603:Y O *D sky130_fd_sc_hd__nand3_1
*CAP
1 *1605:A2 0.000387118
2 *1611:B 0.000264208
3 *1603:Y 0.000156911
4 *389:8 0.000808237
5 *1605:A2 *1473:A 2.16355e-05
6 *1611:B *1473:A 5.41227e-05
7 *1611:B *1608:A 0.00021498
8 *1611:B *394:12 0.000176159
9 *1611:B *454:57 4.81001e-05
10 *389:8 *1473:A 7.50872e-05
11 *389:8 *394:12 6.29219e-05
12 *389:8 *454:57 2.97513e-05
13 *1473:C *1605:A2 6.50586e-05
14 *1605:A1 *1605:A2 3.75603e-05
15 *1609:A3 *389:8 7.65608e-05
16 *1614:A *1605:A2 0.00024453
17 *294:10 *389:8 0
18 *346:28 *389:8 2.51863e-05
19 *353:7 *389:8 0.000209388
*RES
1 *1603:Y *389:8 19.2141
2 *389:8 *1611:B 19.6294
3 *389:8 *1605:A2 23.0086
*END
*D_NET *390 0.00431874
*CONN
*I *1605:B1 I *D sky130_fd_sc_hd__a211o_1
*I *1604:Y O *D sky130_fd_sc_hd__nor3_1
*CAP
1 *1605:B1 0
2 *1604:Y 0.00059173
3 *390:11 0.00059173
4 *390:11 *1607:B 0.000171288
5 *390:11 *397:8 6.50586e-05
6 *390:11 *453:98 9.96342e-05
7 *390:11 *470:13 0.000167076
8 *390:11 *470:16 6.08697e-06
9 *390:11 *471:12 0
10 *1557:B *390:11 1.07248e-05
11 *1572:A *390:11 1.19856e-05
12 *1572:B *390:11 0
13 *1605:A1 *390:11 4.80635e-06
14 *1614:A *390:11 0.000858692
15 *294:17 *390:11 0.000712437
16 *295:7 *390:11 0.00102749
*RES
1 *1604:Y *390:11 43.4227
2 *390:11 *1605:B1 9.24915
*END
*D_NET *391 0.000546301
*CONN
*I *1607:B I *D sky130_fd_sc_hd__and3b_1
*I *1605:X O *D sky130_fd_sc_hd__a211o_1
*CAP
1 *1607:B 0.000148165
2 *1605:X 0.000148165
3 *1607:B *1607:C 7.86847e-05
4 *170:29 *1607:B 0
5 *390:11 *1607:B 0.000171288
*RES
1 *1605:X *1607:B 30.7476
*END
*D_NET *392 0.000835557
*CONN
*I *1607:C I *D sky130_fd_sc_hd__and3b_1
*I *1606:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *1607:C 0.000263709
2 *1606:X 0.000263709
3 *1607:C *487:36 3.20069e-06
4 *1473:B *1607:C 0
5 *1473:C *1607:C 2.652e-05
6 *1548:A *1607:C 0.000124781
7 *1548:B *1607:C 0
8 *1572:A *1607:C 0
9 *1607:A_N *1607:C 5.68225e-06
10 *1607:B *1607:C 7.86847e-05
11 *170:29 *1607:C 0
12 *171:24 *1607:C 6.92705e-05
*RES
1 *1606:X *1607:C 34.6215
*END
*D_NET *393 0.00363583
*CONN
*I *1608:A I *D sky130_fd_sc_hd__clkbuf_1
*I *1607:X O *D sky130_fd_sc_hd__and3b_1
*CAP
1 *1608:A 0.00114754
2 *1607:X 0.00114754
3 *1608:A *395:17 8.75434e-05
4 *1608:A *472:9 0.00013479
5 *1608:A *472:21 3.6455e-05
6 *1548:A *1608:A 0.000115632
7 *1557:A *1608:A 7.92757e-06
8 *1557:B *1608:A 0.000220183
9 *1557:C *1608:A 6.49003e-05
10 *1611:B *1608:A 0.00021498
11 *171:24 *1608:A 8.91945e-05
12 *171:32 *1608:A 6.52302e-05
13 *243:9 *1608:A 0.000303915
*RES
1 *1607:X *1608:A 47.6029
*END
*D_NET *394 0.00244614
*CONN
*I *1611:C I *D sky130_fd_sc_hd__and3_1
*I *1610:A3 I *D sky130_fd_sc_hd__a31oi_1
*I *1609:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *1611:C 0.000112873
2 *1610:A3 0.00027542
3 *1609:X 0.000325831
4 *394:12 0.000714124
5 *1610:A3 *1744:A 0.000184275
6 *1610:A3 *454:8 1.14086e-05
7 *1610:A3 *454:46 4.20175e-05
8 *1610:A3 *454:57 1.4897e-05
9 *1610:A1 *1610:A3 0.00014804
10 *1610:B1 *1610:A3 6.64392e-05
11 *1611:B *394:12 0.000176159
12 *1699:D *1610:A3 0.000141641
13 *171:24 *1611:C 4.66492e-05
14 *171:32 *1610:A3 0
15 *171:32 *1611:C 0.000123443
16 *294:10 *394:12 0
17 *389:8 *394:12 6.29219e-05
*RES
1 *1609:X *394:12 22.2008
2 *394:12 *1610:A3 23.0077
3 *394:12 *1611:C 15.6782
*END
*D_NET *395 0.00443204
*CONN
*I *1612:A I *D sky130_fd_sc_hd__clkbuf_1
*I *1611:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *1612:A 0
2 *1611:X 0.000888764
3 *395:17 0.000888764
4 *395:17 *1629:A 0.000543025
5 *395:17 *1631:A 0.000890964
6 *395:17 *1743:A 0.00034524
7 *395:17 *471:12 3.60268e-05
8 *1404:A *395:17 0.000158371
9 *1557:A *395:17 0.000160617
10 *1608:A *395:17 8.75434e-05
11 *1630:A *395:17 3.24735e-05
12 *171:32 *395:17 6.3657e-05
13 *242:20 *395:17 0.000214493
14 *243:12 *395:17 0.000122098
*RES
1 *1611:X *395:17 46.5628
2 *395:17 *1612:A 9.24915
*END
*D_NET *396 0.00414382
*CONN
*I *1623:A2 I *D sky130_fd_sc_hd__a31o_1
*I *1620:A2 I *D sky130_fd_sc_hd__a21boi_1
*I *1615:A_N I *D sky130_fd_sc_hd__and2b_1
*I *1618:A2 I *D sky130_fd_sc_hd__o21ai_1
*I *1619:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *1613:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *1623:A2 0.000167313
2 *1620:A2 0.000361644
3 *1615:A_N 0.00011648
4 *1618:A2 6.91318e-05
5 *1619:A2 6.99529e-05
6 *1613:X 0
7 *396:15 0.000185611
8 *396:7 0.000226754
9 *396:5 0.00054799
10 *396:4 0.000196858
11 *1615:A_N *1615:B 6.49002e-05
12 *1615:A_N *1622:B 2.71542e-05
13 *1615:A_N *459:6 0
14 *1618:A2 *1615:B 5.9708e-05
15 *1618:A2 *1622:B 1.44467e-05
16 *1618:A2 *1622:C 3.90689e-06
17 *1618:A2 *399:13 0.000101133
18 *1619:A2 *1619:A1 6.73186e-05
19 *1619:A2 *399:13 5.04829e-06
20 *1620:A2 *1389:B 0
21 *1620:A2 *1620:A1 0.000383136
22 *1620:A2 *1620:B1_N 0
23 *1620:A2 *1701:CLK 0
24 *1620:A2 *397:25 6.09039e-05
25 *1620:A2 *453:109 0
26 *1623:A2 *1623:B1 1.43983e-05
27 *1623:A2 *402:9 0.000642796
28 *396:5 *402:9 0.00011818
29 *396:7 *1613:A 0.000216467
30 *396:7 *1622:B 0.000154145
31 *396:7 *1623:A3 3.54024e-05
32 *396:7 *399:13 1.65872e-05
33 *396:7 *402:9 0.000216458
*RES
1 *1613:X *396:4 9.24915
2 *396:4 *396:5 1.278
3 *396:5 *396:7 5.71483
4 *396:7 *1619:A2 11.0817
5 *396:7 *396:15 4.5
6 *396:15 *1618:A2 16.4116
7 *396:15 *1615:A_N 17.135
8 *396:5 *1620:A2 28.8014
9 *396:4 *1623:A2 16.0732
*END
*D_NET *397 0.00734659
*CONN
*I *1626:B1_N I *D sky130_fd_sc_hd__a21boi_1
*I *1624:C I *D sky130_fd_sc_hd__and3b_1
*I *1621:B1 I *D sky130_fd_sc_hd__o21a_1
*I *1618:B1 I *D sky130_fd_sc_hd__o21ai_1
*I *1615:B I *D sky130_fd_sc_hd__and2b_1
*I *1614:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *1626:B1_N 0.00020196
2 *1624:C 0
3 *1621:B1 1.81599e-05
4 *1618:B1 0
5 *1615:B 0.000254633
6 *1614:X 0.000270103
7 *397:45 0.000578889
8 *397:25 0.000726406
9 *397:15 0.00111707
10 *397:8 0.00127417
11 *1615:B *1619:B1 0
12 *1615:B *399:13 1.18802e-05
13 *1615:B *474:8 0
14 *1626:B1_N *1625:A 5.53934e-05
15 *1626:B1_N *1626:A1 9.22013e-06
16 *1626:B1_N *1626:A2 0.000240104
17 *1626:B1_N *1627:B1 5.04829e-06
18 *1626:B1_N *402:12 0.000104731
19 *1626:B1_N *482:15 0.000118166
20 *397:8 *1560:B 1.79672e-05
21 *397:8 *471:30 0
22 *397:25 *1389:B 7.14918e-05
23 *397:25 *399:7 0.000362176
24 *397:25 *460:7 4.23874e-05
25 *397:45 *1388:A 0
26 *397:45 *1395:C_N 0
27 *397:45 *1560:B 8.62321e-06
28 *397:45 *1623:A3 0
29 *397:45 *402:12 3.31882e-05
30 *1472:A *397:45 0
31 *1614:C *397:8 0
32 *1615:A_N *1615:B 6.49002e-05
33 *1618:A2 *1615:B 5.9708e-05
34 *1620:A2 *397:25 6.09039e-05
35 *1703:D *397:25 0.000262434
36 *26:11 *1615:B 2.71542e-05
37 *54:11 *1615:B 0
38 *229:22 *397:8 0
39 *229:67 *397:45 0
40 *231:7 *1621:B1 3.01683e-06
41 *231:7 *397:15 4.23874e-05
42 *231:7 *397:25 2.82583e-05
43 *231:17 *1621:B1 0
44 *231:17 *397:15 0.000833017
45 *294:10 *397:8 0.00036936
46 *294:10 *397:45 8.62625e-06
47 *390:11 *397:8 6.50586e-05
*RES
1 *1614:X *397:8 21.2876
2 *397:8 *397:15 16.9183
3 *397:15 *397:25 22.8322
4 *397:25 *1615:B 25.4794
5 *397:25 *1618:B1 9.24915
6 *397:15 *1621:B1 9.82786
7 *397:8 *397:45 8.47603
8 *397:45 *1624:C 13.7491
9 *397:45 *1626:B1_N 21.4269
*END
*D_NET *398 0.000852346
*CONN
*I *1616:A I *D sky130_fd_sc_hd__clkbuf_1
*I *1615:X O *D sky130_fd_sc_hd__and2b_1
*CAP
1 *1616:A 0.000152679
2 *1615:X 0.000152679
3 *1616:A *482:15 9.14505e-05
4 *538:DIODE *1616:A 0.000217923
5 *26:11 *1616:A 8.9472e-05
6 *54:11 *1616:A 0.000148144
*RES
1 *1615:X *1616:A 33.2714
*END
*D_NET *399 0.00370968
*CONN
*I *1623:A1 I *D sky130_fd_sc_hd__a31o_1
*I *1620:A1 I *D sky130_fd_sc_hd__a21boi_1
*I *1618:A1 I *D sky130_fd_sc_hd__o21ai_1
*I *1622:A I *D sky130_fd_sc_hd__and4_1
*I *1619:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *1617:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *1623:A1 0.00032357
2 *1620:A1 0.000280552
3 *1618:A1 0
4 *1622:A 0
5 *1619:A1 0.00013406
6 *1617:X 1.99732e-05
7 *399:31 0.000678328
8 *399:13 0.000249015
9 *399:7 0.0001953
10 *399:5 0.000174524
11 *1620:A1 *1621:A2 1.90569e-05
12 *1620:A1 *453:109 0
13 *1623:A1 *1622:C 0.000120974
14 *1623:A1 *1623:B1 2.652e-05
15 *1623:A1 *1701:CLK 3.11022e-05
16 *1623:A1 *1704:CLK 6.50727e-05
17 *1623:A1 *453:109 6.08697e-06
18 *1623:A1 *462:5 1.41291e-05
19 *399:5 *460:7 3.01683e-06
20 *399:7 *1622:C 3.33645e-05
21 *399:7 *460:7 8.65358e-05
22 *399:13 *1622:C 4.27003e-05
23 *399:13 *461:21 1.89331e-05
24 *399:13 *474:8 0
25 *399:31 *1622:C 3.57646e-05
26 *1615:B *399:13 1.18802e-05
27 *1618:A2 *399:13 0.000101133
28 *1619:A2 *1619:A1 6.73186e-05
29 *1619:A2 *399:13 5.04829e-06
30 *1620:A2 *1620:A1 0.000383136
31 *1704:D *1623:A1 0.000203818
32 *396:7 *399:13 1.65872e-05
33 *397:25 *399:7 0.000362176
*RES
1 *1617:X *399:5 9.82786
2 *399:5 *399:7 4.05102
3 *399:7 *399:13 12.8011
4 *399:13 *1619:A1 12.625
5 *399:13 *1622:A 9.24915
6 *399:7 *1618:A1 9.24915
7 *399:5 *399:31 1.8326
8 *399:31 *1620:A1 26.7574
9 *399:31 *1623:A1 28.7444
*END
*D_NET *400 0.00080395
*CONN
*I *1619:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *1618:Y O *D sky130_fd_sc_hd__o21ai_1
*CAP
1 *1619:B1 0.000269193
2 *1618:Y 0.000269193
3 *1619:B1 *460:7 0.000222149
4 *1619:B1 *474:8 4.34143e-05
5 *1615:B *1619:B1 0
*RES
1 *1618:Y *1619:B1 33.1026
*END
*D_NET *401 0.000942486
*CONN
*I *1621:A2 I *D sky130_fd_sc_hd__o21a_1
*I *1620:Y O *D sky130_fd_sc_hd__a21boi_1
*CAP
1 *1621:A2 0.000452724
2 *1620:Y 0.000452724
3 *1621:A2 *453:109 1.79807e-05
4 *1620:A1 *1621:A2 1.90569e-05
*RES
1 *1620:Y *1621:A2 34.6299
*END
*D_NET *402 0.00544348
*CONN
*I *1624:A_N I *D sky130_fd_sc_hd__and3b_1
*I *1626:A2 I *D sky130_fd_sc_hd__a21boi_1
*I *1627:A2 I *D sky130_fd_sc_hd__o21a_1
*I *1622:X O *D sky130_fd_sc_hd__and4_1
*CAP
1 *1624:A_N 5.32798e-05
2 *1626:A2 0.000110185
3 *1627:A2 0.000459682
4 *1622:X 0.000355429
5 *402:12 0.000616162
6 *402:9 0.000455003
7 *1624:A_N *1388:B 0.000118792
8 *1626:A2 *1388:B 0.000133775
9 *1626:A2 *1626:A1 0.000109859
10 *1626:A2 *1627:B1 0.000110306
11 *1626:A2 *482:15 7.84221e-05
12 *1627:A2 *1627:A1 2.02808e-05
13 *1627:A2 *1705:CLK 1.88014e-05
14 *1627:A2 *463:5 1.83795e-06
15 *402:9 *1623:A3 0.00100017
16 *402:9 *1624:B 0.00013549
17 *402:12 *1388:B 0.000101118
18 *402:12 *1705:CLK 0
19 *1623:A2 *402:9 0.000642796
20 *1626:B1_N *1626:A2 0.000240104
21 *1626:B1_N *402:12 0.000104731
22 *1705:D *1627:A2 0.000209435
23 *396:5 *402:9 0.00011818
24 *396:7 *402:9 0.000216458
25 *397:45 *402:12 3.31882e-05
*RES
1 *1622:X *402:9 26.8036
2 *402:9 *402:12 6.74725
3 *402:12 *1627:A2 21.7306
4 *402:12 *1626:A2 19.9294
5 *402:9 *1624:A_N 11.0817
*END
*D_NET *403 0.000506488
*CONN
*I *1624:B I *D sky130_fd_sc_hd__and3b_1
*I *1623:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *1624:B 0.000185499
2 *1623:X 0.000185499
3 *402:9 *1624:B 0.00013549
*RES
1 *1623:X *1624:B 23.6826
*END
*D_NET *404 0.000623736
*CONN
*I *1625:A I *D sky130_fd_sc_hd__clkbuf_1
*I *1624:X O *D sky130_fd_sc_hd__and3b_1
*CAP
1 *1625:A 0.000255785
2 *1624:X 0.000255785
3 *1625:A *463:5 5.67722e-05
4 *1626:B1_N *1625:A 5.53934e-05
*RES
1 *1624:X *1625:A 34.3512
*END
*D_NET *405 0.00158439
*CONN
*I *1627:B1 I *D sky130_fd_sc_hd__o21a_1
*I *1626:Y O *D sky130_fd_sc_hd__a21boi_1
*CAP
1 *1627:B1 0.000433971
2 *1626:Y 0.000433971
3 *1627:B1 *1475:A0 0
4 *1627:B1 *482:15 0.000601097
5 *1626:A2 *1627:B1 0.000110306
6 *1626:B1_N *1627:B1 5.04829e-06
7 *1705:D *1627:B1 0
*RES
1 *1626:Y *1627:B1 39.0639
*END
*D_NET *406 0.00269698
*CONN
*I *1629:A I *D sky130_fd_sc_hd__clkbuf_1
*I *1628:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *1629:A 0.000885366
2 *1628:X 0.000885366
3 *1629:A *1555:A 4.28856e-07
4 *1629:A *453:8 9.75356e-05
5 *1632:B *1629:A 0.000113968
6 *1708:D *1629:A 0
7 *171:32 *1629:A 0.000171288
8 *395:17 *1629:A 0.000543025
*RES
1 *1628:X *1629:A 46.1854
*END
*D_NET *407 0.00159196
*CONN
*I *1631:A I *D sky130_fd_sc_hd__clkbuf_1
*I *1630:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *1631:A 0.0001641
2 *1630:X 0.0001641
3 *1631:A *1743:A 0.000228593
4 *1631:A *453:7 0.000130023
5 *1631:A *453:98 1.41853e-05
6 *395:17 *1631:A 0.000890964
*RES
1 *1630:X *1631:A 28.0953
*END
*D_NET *408 0.00177913
*CONN
*I *1633:A I *D sky130_fd_sc_hd__clkbuf_1
*I *1632:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *1633:A 0.000323361
2 *1632:X 0.000323361
3 *1633:A *1743:A 0.000113968
4 *1633:A *1744:A 0.000370829
5 *1599:A *1633:A 0.000132733
6 *1600:B *1633:A 2.83829e-05
7 *1628:A *1633:A 0.000169041
8 *1628:B *1633:A 6.50586e-05
9 *1632:B *1633:A 2.41274e-06
10 *171:32 *1633:A 0.000249984
*RES
1 *1632:X *1633:A 31.0613
*END
*D_NET *409 0.00371327
*CONN
*I *1503:A0 I *D sky130_fd_sc_hd__mux2_1
*I *1501:A1 I *D sky130_fd_sc_hd__a21o_1
*I *1670:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1503:A0 0.0008281
2 *1501:A1 0.000442565
3 *1670:Q 0
4 *409:4 0.00127066
5 *1501:A1 *1366:B2 0
6 *1501:A1 *1670:CLK 3.20069e-06
7 *1503:A0 *1503:A1 8.92568e-06
8 *1503:A0 *1504:A 0.000132219
9 *1503:A0 *458:55 0
10 *1503:A0 *481:8 0
11 *1503:S *1503:A0 6.27284e-05
12 *1506:A2 *1503:A0 4.87301e-05
13 *1506:B1 *1503:A0 0.000109795
14 *1509:B1 *1503:A0 9.75356e-05
15 *1511:B *1501:A1 1.92172e-05
16 *172:32 *1501:A1 0.000196638
17 *238:26 *1503:A0 1.78095e-05
18 *238:35 *1503:A0 1.58551e-05
19 *245:33 *1501:A1 0.000441022
20 *246:40 *1501:A1 1.03403e-05
21 *246:40 *1503:A0 7.92757e-06
*RES
1 *1670:Q *409:4 9.24915
2 *409:4 *1501:A1 27.6258
3 *409:4 *1503:A0 33.3112
*END
*D_NET *410 0.0029614
*CONN
*I *1507:A0 I *D sky130_fd_sc_hd__mux2_1
*I *1503:A1 I *D sky130_fd_sc_hd__mux2_1
*I *1671:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1507:A0 0.000388831
2 *1503:A1 0.000584711
3 *1671:Q 0.000165229
4 *410:5 0.00113877
5 *1503:A1 *458:55 6.31665e-05
6 *1507:A0 *1507:A1 2.65831e-05
7 *1507:A0 *1776:A 0.000143719
8 *1507:A0 *1781:A 6.34704e-05
9 *1507:A0 *499:26 0.000102853
10 *1503:A0 *1503:A1 8.92568e-06
11 *1503:S *1503:A1 0.000127194
12 *1507:S *1507:A0 0.000113968
13 *1671:D *1503:A1 3.39801e-05
*RES
1 *1671:Q *410:5 11.6364
2 *410:5 *1503:A1 28.2903
3 *410:5 *1507:A0 30.9155
*END
*D_NET *411 0.00271075
*CONN
*I *1510:A0 I *D sky130_fd_sc_hd__mux2_1
*I *1507:A1 I *D sky130_fd_sc_hd__mux2_1
*I *1672:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1510:A0 0.000324236
2 *1507:A1 4.51676e-05
3 *1672:Q 0.00063479
4 *411:12 0.00100419
5 *1510:A0 *412:11 4.56831e-05
6 *1510:A0 *458:70 6.43474e-05
7 *1510:A0 *458:74 1.55995e-05
8 *1510:A0 *476:8 2.652e-05
9 *411:12 *458:70 0.000201869
10 *411:12 *476:8 8.82609e-05
11 *1507:A0 *1507:A1 2.65831e-05
12 *1672:D *411:12 7.84085e-06
13 *1706:D *1510:A0 0.000107496
14 *240:40 *1510:A0 0.000118166
*RES
1 *1672:Q *411:12 25.5246
2 *411:12 *1507:A1 14.4725
3 *411:12 *1510:A0 19.7715
*END
*D_NET *412 0.00317037
*CONN
*I *1510:A1 I *D sky130_fd_sc_hd__mux2_1
*I *1513:A0 I *D sky130_fd_sc_hd__mux2_1
*I *1673:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1510:A1 0
2 *1513:A0 0.000206126
3 *1673:Q 0.000719928
4 *412:11 0.000926054
5 *1513:A0 *1513:A1 6.50586e-05
6 *412:11 *1673:CLK 5.04829e-06
7 *412:11 *458:74 0.000266793
8 *412:11 *458:89 5.92342e-05
9 *412:11 *476:8 0
10 *1510:A0 *412:11 4.56831e-05
11 *1510:S *412:11 9.2346e-06
12 *1513:S *1513:A0 1.92172e-05
13 *1673:D *412:11 0.000268166
14 *239:23 *1513:A0 2.15348e-05
15 *240:40 *1513:A0 0.000509394
16 *240:40 *412:11 4.88955e-05
*RES
1 *1673:Q *412:11 33.3084
2 *412:11 *1513:A0 17.2065
3 *412:11 *1510:A1 9.24915
*END
*D_NET *413 0.00143868
*CONN
*I *1513:A1 I *D sky130_fd_sc_hd__mux2_1
*I *1516:A0 I *D sky130_fd_sc_hd__mux2_1
*I *1674:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1513:A1 0.000340443
2 *1516:A0 0.000179513
3 *1674:Q 0
4 *413:4 0.000519955
5 *1516:A0 *1516:A1 0
6 *1513:A0 *1513:A1 6.50586e-05
7 *1674:D *1513:A1 0.000200236
8 *172:32 *1513:A1 0
9 *172:32 *1516:A0 5.0715e-05
10 *239:20 *1513:A1 2.19131e-05
11 *239:20 *1516:A0 0
12 *239:23 *1513:A1 6.08467e-05
*RES
1 *1674:Q *413:4 9.24915
2 *413:4 *1516:A0 21.4985
3 *413:4 *1513:A1 26.2379
*END
*D_NET *414 0.00228504
*CONN
*I *1516:A1 I *D sky130_fd_sc_hd__mux2_1
*I *1519:A0 I *D sky130_fd_sc_hd__mux2_1
*I *1675:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1516:A1 0.000252916
2 *1519:A0 0.000406823
3 *1675:Q 0
4 *414:5 0.000659738
5 *1519:A0 *1400:A0 6.64392e-05
6 *1519:A0 *1747:A 0
7 *1398:B *1516:A1 0.000277502
8 *1501:B1 *1516:A1 0
9 *1501:B1 *1519:A0 0
10 *1516:A0 *1516:A1 0
11 *1518:A1 *1516:A1 0
12 *1518:A2 *1516:A1 1.41976e-05
13 *1519:S *1519:A0 2.99979e-05
14 *1675:D *1516:A1 0
15 *172:32 *1516:A1 0
16 *172:32 *1519:A0 0
17 *240:8 *1519:A0 0
18 *318:11 *1519:A0 0.00057743
*RES
1 *1675:Q *414:5 13.7491
2 *414:5 *1519:A0 25.1726
3 *414:5 *1516:A1 21.0145
*END
*D_NET *415 0.00307634
*CONN
*I *1475:A1 I *D sky130_fd_sc_hd__mux2_1
*I *1413:A1 I *D sky130_fd_sc_hd__mux2_1
*I *1478:A0 I *D sky130_fd_sc_hd__mux2_1
*I *1662:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1475:A1 9.1431e-05
2 *1413:A1 0.000341966
3 *1478:A0 5.82066e-05
4 *1662:Q 0.000246375
5 *415:10 0.000594285
6 *415:8 0.000531918
7 *1413:A1 *416:8 6.50727e-05
8 *1478:A0 *416:8 7.50722e-05
9 *1478:A0 *474:8 0
10 *415:8 *1475:A0 2.42661e-05
11 *415:8 *474:8 0
12 *415:10 *474:8 0
13 *1418:A *1413:A1 0.000744545
14 *1663:D *415:10 0.00015324
15 *296:10 *1475:A1 5.04829e-06
16 *296:10 *1478:A0 2.22788e-05
17 *296:10 *415:8 5.68225e-06
18 *296:10 *415:10 0.000116956
*RES
1 *1662:Q *415:8 18.6595
2 *415:8 *415:10 6.81502
3 *415:10 *1478:A0 15.5811
4 *415:10 *1413:A1 23.9008
5 *415:8 *1475:A1 15.5817
*END
*D_NET *416 0.00193427
*CONN
*I *1478:A1 I *D sky130_fd_sc_hd__mux2_1
*I *1416:A1 I *D sky130_fd_sc_hd__mux2_1
*I *1482:A0 I *D sky130_fd_sc_hd__mux2_1
*I *1663:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1478:A1 1.23957e-05
2 *1416:A1 0.00032539
3 *1482:A0 0
4 *1663:Q 0.000170491
5 *416:12 0.000456482
6 *416:8 0.000313979
7 *1416:A1 *1482:A1 5.0715e-05
8 *1478:A1 *1317:A 0.00011818
9 *1478:A1 *1646:CLK 0.00011818
10 *416:8 *474:8 3.51249e-05
11 *416:12 *474:8 5.6638e-05
12 *1413:A1 *416:8 6.50727e-05
13 *1416:S *1416:A1 6.64392e-05
14 *1478:A0 *416:8 7.50722e-05
15 *1482:S *1416:A1 6.50586e-05
16 *1663:D *416:8 5.04829e-06
17 *296:10 *416:8 0
18 *296:12 *416:12 0
19 *296:14 *416:12 0
*RES
1 *1663:Q *416:8 17.6896
2 *416:8 *416:12 7.993
3 *416:12 *1482:A0 9.24915
4 *416:12 *1416:A1 17.737
5 *416:8 *1478:A1 15.0271
*END
*D_NET *417 0.00304107
*CONN
*I *1482:A1 I *D sky130_fd_sc_hd__mux2_1
*I *1419:A1 I *D sky130_fd_sc_hd__mux2_1
*I *1485:A0 I *D sky130_fd_sc_hd__mux2_1
*I *1664:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1482:A1 0.000170866
2 *1419:A1 0.000494762
3 *1485:A0 0.000115943
4 *1664:Q 0
5 *417:6 0.000708913
6 *417:5 0.000269074
7 *1482:A1 *474:8 0
8 *1485:A0 *1422:A1 6.50727e-05
9 *1485:A0 *483:23 2.15184e-05
10 *1416:A1 *1482:A1 5.0715e-05
11 *1423:A *1419:A1 1.80257e-05
12 *1423:B *1419:A1 4.82966e-05
13 *1424:A *1419:A1 0.000574505
14 *1483:B *417:6 0
15 *1487:A *1485:A0 2.12377e-05
16 *1487:A *417:6 1.78514e-05
17 *296:14 *1482:A1 0.000200221
18 *296:14 *1485:A0 7.14746e-05
19 *296:14 *417:6 0.000192594
20 *301:11 *1482:A1 0
21 *301:11 *417:6 0
*RES
1 *1664:Q *417:5 13.7491
2 *417:5 *417:6 3.493
3 *417:6 *1485:A0 16.4439
4 *417:6 *1419:A1 22.237
5 *417:5 *1482:A1 18.5201
*END
*D_NET *418 0.00257504
*CONN
*I *1422:A1 I *D sky130_fd_sc_hd__mux2_1
*I *1485:A1 I *D sky130_fd_sc_hd__mux2_1
*I *1488:A0 I *D sky130_fd_sc_hd__mux2_1
*I *1665:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1422:A1 0.0003208
2 *1485:A1 0
3 *1488:A0 0.000145418
4 *1665:Q 5.38534e-05
5 *418:21 0.000413118
6 *418:7 0.000291589
7 *1422:A1 *1422:A0 6.08467e-05
8 *1422:A1 *483:23 0.000702445
9 *1488:A0 *1488:A1 6.50586e-05
10 *1488:A0 *1649:CLK 3.25584e-05
11 *1488:A0 *419:17 2.95757e-05
12 *1426:B *1422:A1 6.50586e-05
13 *1485:A0 *1422:A1 6.50727e-05
14 *1487:A *1488:A0 0.000109636
15 *1487:A *418:21 6.57275e-05
16 *1488:S *1488:A0 8.67988e-05
17 *1488:S *418:21 5.04879e-05
18 *296:14 *418:21 1.69932e-05
*RES
1 *1665:Q *418:7 14.4725
2 *418:7 *1488:A0 19.5141
3 *418:7 *418:21 7.57775
4 *418:21 *1485:A1 9.24915
5 *418:21 *1422:A1 19.4008
*END
*D_NET *419 0.00244222
*CONN
*I *1425:A1 I *D sky130_fd_sc_hd__mux2_1
*I *1488:A1 I *D sky130_fd_sc_hd__mux2_1
*I *1491:A0 I *D sky130_fd_sc_hd__mux2_1
*I *1666:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1425:A1 0.000585867
2 *1488:A1 8.14076e-05
3 *1491:A0 0.000258213
4 *1666:Q 0
5 *419:17 0.000797519
6 *419:5 0.000388458
7 *1425:A1 *1649:CLK 5.04686e-05
8 *1488:A1 *1649:CLK 4.89898e-06
9 *1491:A0 *1650:CLK 3.33532e-05
10 *1427:A *1425:A1 0
11 *1427:A *1491:A0 0
12 *1488:A0 *1488:A1 6.50586e-05
13 *1488:A0 *419:17 2.95757e-05
14 *1488:S *1425:A1 7.92757e-06
15 *1488:S *1488:A1 2.41274e-06
16 *1488:S *419:17 8.16827e-05
17 *1489:B *1491:A0 0
18 *1489:B *419:17 0
19 *1649:D *1425:A1 3.73977e-05
20 *1649:D *1491:A0 0
21 *1649:D *419:17 0
22 *295:22 *1425:A1 1.79807e-05
*RES
1 *1666:Q *419:5 13.7491
2 *419:5 *1491:A0 19.0748
3 *419:5 *419:17 7.993
4 *419:17 *1488:A1 10.5271
5 *419:17 *1425:A1 27.5991
*END
*D_NET *420 0.00210356
*CONN
*I *1429:A1 I *D sky130_fd_sc_hd__mux2_1
*I *1494:A0 I *D sky130_fd_sc_hd__mux2_1
*I *1491:A1 I *D sky130_fd_sc_hd__mux2_1
*I *1667:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1429:A1 7.49316e-05
2 *1494:A0 0
3 *1491:A1 0.000111244
4 *1667:Q 0
5 *420:15 0.000212563
6 *420:4 0.000248875
7 *1429:A1 *1494:A1 0.000233955
8 *1429:A1 *477:14 0.000640564
9 *420:15 *1494:A1 3.75603e-05
10 *420:15 *477:7 8.94752e-05
11 *533:DIODE *1491:A1 0
12 *1494:S *1429:A1 6.50586e-05
13 *1495:A *1491:A1 5.04879e-05
14 *1495:A *420:15 0.00011818
15 *1495:B *420:15 0.00022067
*RES
1 *1667:Q *420:4 9.24915
2 *420:4 *1491:A1 20.9116
3 *420:4 *420:15 6.14887
4 *420:15 *1494:A0 9.24915
5 *420:15 *1429:A1 16.0732
*END
*D_NET *421 0.00470242
*CONN
*I *1432:A1 I *D sky130_fd_sc_hd__mux2_1
*I *1498:A0 I *D sky130_fd_sc_hd__mux2_1
*I *1494:A1 I *D sky130_fd_sc_hd__mux2_1
*I *1668:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1432:A1 0.000473031
2 *1498:A0 0.000159714
3 *1494:A1 0.000403186
4 *1668:Q 5.02018e-05
5 *421:21 0.000847594
6 *421:5 0.000668237
7 *1432:A1 *1432:S 6.3657e-05
8 *1432:A1 *1435:A1 0
9 *1432:A1 *452:22 0
10 *1432:A1 *452:38 0
11 *1432:A1 *516:20 6.3657e-05
12 *1494:A1 *1429:A0 0.000102632
13 *1494:A1 *1429:S 3.31733e-05
14 *1494:A1 *477:14 2.42138e-05
15 *1498:A0 *480:16 5.36586e-05
16 *1498:A0 *502:11 0.000100598
17 *421:21 *422:8 0.000217937
18 *546:DIODE *1432:A1 0
19 *546:DIODE *1494:A1 0
20 *546:DIODE *1498:A0 0
21 *1429:A1 *1494:A1 0.000233955
22 *1430:B *1494:A1 0.000122378
23 *1436:B *1432:A1 5.82465e-05
24 *1437:A *1432:A1 0
25 *1494:S *1494:A1 6.50586e-05
26 *1498:S *1432:A1 0
27 *1498:S *1494:A1 0
28 *1498:S *1498:A0 0
29 *1498:S *421:5 2.85139e-05
30 *1498:S *421:21 6.04266e-05
31 *1499:A *1494:A1 4.72727e-05
32 *1499:B *1432:A1 2.04806e-05
33 *1499:B *1498:A0 4.5539e-05
34 *1499:B *421:21 0.00018806
35 *262:35 *1432:A1 7.77309e-06
36 *312:8 *1432:A1 0.000525666
37 *420:15 *1494:A1 3.75603e-05
*RES
1 *1668:Q *421:5 10.5271
2 *421:5 *1494:A1 30.9453
3 *421:5 *421:21 11.8786
4 *421:21 *1498:A0 18.1049
5 *421:21 *1432:A1 28.3469
*END
*D_NET *422 0.00250185
*CONN
*I *1435:A1 I *D sky130_fd_sc_hd__mux2_1
*I *1498:A1 I *D sky130_fd_sc_hd__mux2_1
*I *1669:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1435:A1 0.000395739
2 *1498:A1 0.000134923
3 *1669:Q 0.000443198
4 *422:8 0.00097386
5 *1498:A1 *480:16 7.58194e-05
6 *1498:A1 *501:14 4.27251e-05
7 *1498:A1 *502:11 7.16754e-05
8 *1432:A1 *1435:A1 0
9 *1437:A *1435:A1 2.1588e-05
10 *1498:S *1435:A1 4.27767e-05
11 *1498:S *1498:A1 4.0625e-05
12 *1498:S *422:8 3.32593e-05
13 *1500:A *422:8 7.72156e-06
14 *421:21 *422:8 0.000217937
*RES
1 *1669:Q *422:8 20.7514
2 *422:8 *1498:A1 18.2442
3 *422:8 *1435:A1 22.1275
*END
*D_NET *423 0.00453986
*CONN
*I *1406:A I *D sky130_fd_sc_hd__or2_1
*I *1464:A1 I *D sky130_fd_sc_hd__mux2_1
*I *1383:A1 I *D sky130_fd_sc_hd__a211o_1
*I *1660:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1406:A 0.000162738
2 *1464:A1 6.21092e-05
3 *1383:A1 0.000719709
4 *1660:Q 4.85009e-05
5 *423:8 0.000895536
6 *423:7 0.000324957
7 *1383:A1 *1382:A1 0
8 *1383:A1 *1382:B2 0.000140472
9 *1383:A1 *1543:A 0.000260388
10 *1383:A1 *442:11 7.50722e-05
11 *1383:A1 *484:15 0
12 *1383:A1 *498:21 0.000342188
13 *1383:A1 *498:28 0.000266521
14 *1406:A *484:15 0
15 *1406:A *498:28 8.79472e-05
16 *1464:A1 *482:25 0.000165521
17 *423:8 *498:28 6.74667e-05
18 *1382:B1 *1383:A1 4.55535e-05
19 *1383:A2 *1383:A1 1.09551e-05
20 *1383:C1 *1383:A1 1.03434e-05
21 *1462:A *423:7 1.43983e-05
22 *1465:A *1406:A 0
23 *1465:A *423:8 0
24 *1540:S *1383:A1 0
25 *1542:A *1383:A1 0.000486578
26 *1683:D *1383:A1 0.000148144
27 *187:25 *1383:A1 9.14669e-05
28 *187:47 *1383:A1 2.16355e-05
29 *204:10 *1383:A1 0
30 *245:17 *1406:A 6.50727e-05
31 *246:26 *1406:A 2.65831e-05
*RES
1 *1660:Q *423:7 14.4725
2 *423:7 *423:8 3.07775
3 *423:8 *1383:A1 39.449
4 *423:8 *1464:A1 15.5817
5 *423:7 *1406:A 18.3808
*END
*D_NET *424 0.00532139
*CONN
*I *1442:A1 I *D sky130_fd_sc_hd__mux2_1
*I *1346:A1 I *D sky130_fd_sc_hd__a211o_1
*I *1502:A1 I *D sky130_fd_sc_hd__o211a_1
*I *1653:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1442:A1 0
2 *1346:A1 0.000568451
3 *1502:A1 0.000265278
4 *1653:Q 0
5 *424:22 0.000960682
6 *424:4 0.000657509
7 *1346:A1 *1380:A1 7.74397e-05
8 *1346:A1 *1442:A0 0
9 *1346:A1 *1468:A1 0
10 *1346:A1 *1504:A 0.000276407
11 *1346:A1 *1739:A 1.65872e-05
12 *1346:A1 *1746:A 0.00011818
13 *1346:A1 *449:5 0.000357964
14 *1502:A1 *1653:CLK 5.64929e-05
15 *1502:A1 *1748:A 0.000364356
16 *1502:A1 *446:7 2.57847e-05
17 *424:22 *1504:A 0.000277897
18 *548:DIODE *1502:A1 1.03403e-05
19 *1346:A2 *1346:A1 0.000288678
20 *1346:C1 *1346:A1 5.43999e-06
21 *1349:B1 *1346:A1 0.000165521
22 *1502:B1 *1502:A1 1.55025e-05
23 *1637:D *424:22 7.50722e-05
24 *1653:D *424:22 0.000213725
25 *1670:D *1502:A1 6.50586e-05
26 *172:36 *1502:A1 0.00026818
27 *172:36 *424:22 0
28 *194:31 *1346:A1 3.5821e-05
29 *262:64 *1502:A1 0.000128678
30 *262:64 *424:22 2.63397e-05
31 *262:69 *424:22 0
*RES
1 *1653:Q *424:4 9.24915
2 *424:4 *1502:A1 28.7027
3 *424:4 *424:22 14.606
4 *424:22 *1346:A1 30.0435
5 *424:22 *1442:A1 13.7491
*END
*D_NET *425 0.00740716
*CONN
*I *1445:A1 I *D sky130_fd_sc_hd__mux2_1
*I *1504:A I *D sky130_fd_sc_hd__or2_1
*I *1352:B2 I *D sky130_fd_sc_hd__a221o_1
*I *1654:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1445:A1 0
2 *1504:A 0.000584858
3 *1352:B2 1.81218e-06
4 *1654:Q 0.000675178
5 *425:17 0.00129714
6 *425:9 0.00138565
7 *1352:B2 *1746:A 1.47046e-05
8 *1504:A *1670:CLK 0
9 *1504:A *1745:A 5.66868e-06
10 *1504:A *428:33 0.00128117
11 *1504:A *458:8 0
12 *425:9 *1531:A1 6.26227e-05
13 *425:9 *476:11 0.000115632
14 *425:17 *1746:A 5.15064e-05
15 *425:17 *456:7 5.99529e-06
16 *425:17 *476:11 2.16355e-05
17 io_wbs_data_o[13] *425:17 7.28784e-05
18 *1346:A1 *1504:A 0.000276407
19 *1352:B1 *1504:A 5.22654e-06
20 *1352:C1 *1352:B2 2.62482e-07
21 *1352:C1 *425:17 0.000265853
22 *1445:S *425:17 3.55126e-05
23 *1503:A0 *1504:A 0.000132219
24 *1506:B1 *1504:A 0
25 *1637:D *1504:A 0.000332543
26 *1763:A *425:9 2.41916e-05
27 *172:32 *1504:A 0.000221185
28 *238:26 *1504:A 4.56831e-05
29 *246:40 *1504:A 0.000213725
30 *424:22 *1504:A 0.000277897
*RES
1 *1654:Q *425:9 30.23
2 *425:9 *425:17 14.2509
3 *425:17 *1352:B2 9.42397
4 *425:17 *1504:A 45.7494
5 *425:9 *1445:A1 9.24915
*END
*D_NET *426 0.00992265
*CONN
*I *1508:A I *D sky130_fd_sc_hd__or2_1
*I *1357:A1 I *D sky130_fd_sc_hd__a22o_1
*I *1448:A1 I *D sky130_fd_sc_hd__mux2_1
*I *1655:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1508:A 0.00199784
2 *1357:A1 0.000202678
3 *1448:A1 0.000593078
4 *1655:Q 0.000272243
5 *426:26 0.00309613
6 *426:7 0.00176094
7 *1357:A1 *504:19 0.000112538
8 *1448:A1 *455:23 0.000107496
9 *1448:A1 *489:8 1.5714e-05
10 *1508:A *496:12 4.69495e-06
11 *426:7 *1634:CLK 0.000183251
12 *426:26 *1323:B1 5.65354e-05
13 *426:26 *1359:A1 5.78637e-05
14 *426:26 *1636:CLK 0
15 *426:26 *428:8 0
16 *426:26 *428:21 0
17 *426:26 *495:16 3.65198e-05
18 *426:26 *504:19 5.83513e-05
19 io_wbs_data_o[13] *426:26 0
20 *1357:A2 *1357:A1 6.50727e-05
21 *1359:B1 *1357:A1 6.08467e-05
22 *1359:C1 *1508:A 0
23 *1361:A2 *1357:A1 1.65872e-05
24 *1361:B1 *1357:A1 3.28316e-05
25 *1361:B1 *426:26 0.000159307
26 *1362:A2 *1357:A1 4.66492e-05
27 *1362:C1 *426:26 7.77309e-06
28 *1367:A2 *1357:A1 4.25398e-05
29 *1368:B1 *426:26 0.000132367
30 *1369:A2 *426:26 0
31 *1448:S *1448:A1 0.000111708
32 *1449:A *1448:A1 1.05601e-05
33 *1532:B *426:26 0
34 *1636:D *426:26 5.66868e-06
35 *1655:D *1448:A1 3.09152e-06
36 *1655:D *426:26 4.46284e-06
37 *1681:D *426:26 0
38 *50:16 *1448:A1 8.79081e-06
39 *50:16 *426:26 6.24974e-05
40 *52:15 *1448:A1 6.54691e-05
41 *52:15 *426:26 1.69846e-05
42 *178:16 *1448:A1 0.000214025
43 *188:23 *1357:A1 0.000188843
44 *190:57 *1357:A1 3.77568e-05
45 *312:56 *426:26 0
46 *312:63 *426:26 7.29365e-05
*RES
1 *1655:Q *426:7 18.3548
2 *426:7 *1448:A1 24.4404
3 *426:7 *426:26 24.7511
4 *426:26 *1357:A1 22.1274
5 *426:26 *1508:A 22.5429
*END
*D_NET *427 0.00715095
*CONN
*I *1451:A1 I *D sky130_fd_sc_hd__mux2_1
*I *1362:A1 I *D sky130_fd_sc_hd__a211o_1
*I *1511:A I *D sky130_fd_sc_hd__or2_1
*I *1656:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1451:A1 0
2 *1362:A1 0.00112443
3 *1511:A 0.000670193
4 *1656:Q 0.00092603
5 *427:11 0.0020478
6 *427:10 0.00117921
7 *1362:A1 *1323:B1 2.10852e-05
8 *1362:A1 *1360:A 0.000110117
9 *1362:A1 *1361:A1 8.25797e-05
10 *1362:A1 *437:18 7.92757e-06
11 *1362:A1 *504:19 0
12 *1511:A *1360:A 0
13 *1511:A *1653:CLK 4.31703e-05
14 *1511:A *428:33 0
15 *1511:A *496:12 0.000242179
16 *427:10 *1635:CLK 0
17 *427:10 *458:37 7.09148e-05
18 *427:10 *458:45 1.00846e-05
19 *548:DIODE *1362:A1 6.19663e-05
20 *548:DIODE *1511:A 0.000196638
21 *1360:B *1362:A1 4.23622e-05
22 *1362:C1 *1362:A1 9.91017e-05
23 *1445:S *1362:A1 0
24 *1451:S *1362:A1 0
25 *1451:S *427:10 7.8732e-05
26 *1508:B *1511:A 6.33748e-05
27 *1530:A *1362:A1 0
28 *1656:D *427:10 3.18826e-06
29 *1706:D *427:10 0
30 *51:22 *1362:A1 5.41377e-05
31 *94:9 *1511:A 0
32 *238:26 *1511:A 0
33 *330:30 *1362:A1 1.57302e-05
*RES
1 *1656:Q *427:10 33.003
2 *427:10 *427:11 3.49641
3 *427:11 *1511:A 35.2341
4 *427:11 *1362:A1 42.2827
5 *427:10 *1451:A1 9.24915
*END
*D_NET *428 0.00898166
*CONN
*I *1514:A I *D sky130_fd_sc_hd__or2_1
*I *1367:A1 I *D sky130_fd_sc_hd__a211o_1
*I *1454:A1 I *D sky130_fd_sc_hd__mux2_1
*I *1657:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1514:A 0
2 *1367:A1 2.7855e-05
3 *1454:A1 3.07124e-05
4 *1657:Q 0.000568509
5 *428:33 0.00104688
6 *428:21 0.00174017
7 *428:8 0.00126466
8 *428:8 *1772:A 8.87207e-05
9 *428:21 *1359:A1 0
10 *428:21 *1534:A1 9.22142e-05
11 *428:21 *1636:CLK 0.000217319
12 *428:33 *1352:A1 3.2555e-05
13 *428:33 *1363:A1 0.00031751
14 *428:33 *1636:CLK 0.000553591
15 *428:33 *1687:CLK 0.000131925
16 *428:33 *436:22 2.04806e-05
17 *428:33 *456:19 5.11824e-05
18 io_wbs_data_o[2] *428:8 0.000113968
19 *548:DIODE *428:33 0
20 *1352:B1 *428:33 3.90689e-06
21 *1359:B1 *428:21 6.25615e-05
22 *1359:B1 *428:33 7.15726e-07
23 *1367:C1 *1367:A1 6.08467e-05
24 *1368:A2 *428:21 1.08142e-05
25 *1450:A *1454:A1 6.08467e-05
26 *1504:A *428:33 0.00128117
27 *1508:B *428:33 6.15995e-05
28 *1511:A *428:33 0
29 *1511:B *428:33 0.000113968
30 *1636:D *428:21 0
31 *1655:D *428:8 0
32 *1657:D *428:8 0.000278866
33 *1657:D *428:21 0.000141865
34 *1681:D *428:21 5.74583e-05
35 *94:9 *428:33 0.000201553
36 *172:32 *428:33 7.06474e-05
37 *198:14 *428:21 0
38 *206:10 *428:21 0.0002212
39 *238:26 *428:33 5.53934e-05
40 *426:26 *428:8 0
41 *426:26 *428:21 0
*RES
1 *1657:Q *428:8 25.1726
2 *428:8 *1454:A1 14.4725
3 *428:8 *428:21 18.5154
4 *428:21 *1367:A1 14.4725
5 *428:21 *428:33 41.6607
6 *428:33 *1514:A 9.24915
*END
*D_NET *429 0.00847448
*CONN
*I *1517:A I *D sky130_fd_sc_hd__or2_1
*I *1372:A1 I *D sky130_fd_sc_hd__a211o_1
*I *1457:A1 I *D sky130_fd_sc_hd__mux2_1
*I *1658:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1517:A 0.00119878
2 *1372:A1 5.53818e-05
3 *1457:A1 0.000155789
4 *1658:Q 0
5 *429:15 0.00186556
6 *429:5 0.00076718
7 *1457:A1 *1782:A 0
8 *1457:A1 *479:20 0.000277488
9 *1457:A1 *506:8 0.000161109
10 *1517:A *1520:A 6.50727e-05
11 *1517:A *1550:B1 0
12 *1517:A *442:22 0
13 *1517:A *457:20 6.25467e-05
14 *1517:A *457:24 0.000142701
15 *1517:A *506:8 0.000148367
16 *429:15 *1782:A 0
17 *429:15 *506:8 0.00116409
18 *1344:A *1517:A 0.000143032
19 *1371:A2 *1517:A 6.04584e-05
20 *1372:A2 *1372:A1 6.08467e-05
21 *1373:B1 *429:15 0.000263526
22 *1377:A1 *429:15 0.000336155
23 *1458:B *1457:A1 0
24 *1458:B *429:15 0
25 *1538:A *1517:A 0
26 *1549:A3 *1517:A 0.000313677
27 *1553:B1_N *1517:A 0.000390041
28 *1641:D *429:15 5.78492e-05
29 *178:16 *429:15 0
30 *178:38 *1517:A 0
31 *187:65 *1372:A1 0.000116175
32 *223:17 *1517:A 4.75499e-05
33 *245:33 *1517:A 6.50727e-05
34 *272:15 *1517:A 3.03351e-05
35 *330:6 *1517:A 5.79544e-05
36 *330:11 *1517:A 6.63153e-05
37 *330:11 *429:15 5.63284e-05
38 *347:8 *1517:A 0.000128997
39 *347:14 *1517:A 0.000216103
*RES
1 *1658:Q *429:5 13.7491
2 *429:5 *1457:A1 18.9382
3 *429:5 *429:15 21.7641
4 *429:15 *1372:A1 15.6059
5 *429:15 *1517:A 49.94
*END
*D_NET *430 0.00489976
*CONN
*I *1520:A I *D sky130_fd_sc_hd__or2_1
*I *1461:A1 I *D sky130_fd_sc_hd__mux2_1
*I *1378:A1 I *D sky130_fd_sc_hd__a22o_1
*I *1659:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1520:A 0.000665683
2 *1461:A1 3.07124e-05
3 *1378:A1 0.000610331
4 *1659:Q 8.24802e-05
5 *430:8 0.000744537
6 *430:7 0.000851656
7 *1378:A1 *1349:A1 2.02035e-05
8 *1378:A1 *1378:B2 7.58194e-05
9 *1378:A1 *1739:A 0
10 *1378:A1 *439:27 0
11 *1378:A1 *486:23 3.26582e-06
12 *1461:A1 *1461:A0 6.08467e-05
13 *1378:B1 *1378:A1 1.13617e-05
14 *1502:A2 *1378:A1 0.000170592
15 *1502:A2 *1520:A 7.45404e-05
16 *1502:A2 *430:8 0.000160467
17 *1517:A *1520:A 6.50727e-05
18 *1549:A2 *1378:A1 1.04624e-05
19 *1549:B1 *1378:A1 0.000219249
20 *1551:B *1378:A1 0
21 *1685:D *1378:A1 0
22 *1687:D *1378:A1 8.98279e-05
23 *245:17 *1520:A 0
24 *245:23 *1520:A 0.000241718
25 *245:33 *1520:A 0.000554646
26 *246:26 *1520:A 0.000118485
27 *246:40 *430:7 2.78496e-05
28 *272:35 *1378:A1 9.95542e-06
*RES
1 *1659:Q *430:7 15.0271
2 *430:7 *430:8 3.07775
3 *430:8 *1378:A1 29.5954
4 *430:8 *1461:A1 14.4725
5 *430:7 *1520:A 28.1413
*END
*D_NET *431 0.00187715
*CONN
*I *1519:A1 I *D sky130_fd_sc_hd__mux2_1
*I *1400:A0 I *D sky130_fd_sc_hd__mux2_1
*I *1676:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1519:A1 0
2 *1400:A0 0.000478161
3 *1676:Q 0.000174868
4 *431:10 0.000653029
5 *431:10 *457:5 2.84661e-05
6 *1400:S *1400:A0 1.55995e-05
7 *1501:B1 *431:10 6.65668e-05
8 *1519:A0 *1400:A0 6.64392e-05
9 *1521:A2 *431:10 0
10 *172:11 *1400:A0 0.000266832
11 *318:11 *1400:A0 0.000127184
*RES
1 *1676:Q *431:10 21.4985
2 *431:10 *1400:A0 17.1824
3 *431:10 *1519:A1 9.24915
*END
*D_NET *432 0.00117396
*CONN
*I *1382:B2 I *D sky130_fd_sc_hd__a22o_1
*I *1690:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1382:B2 0.000373558
2 *1690:Q 0.000373558
3 *1382:B2 *1382:A1 3.46704e-05
4 *1383:A1 *1382:B2 0.000140472
5 *271:17 *1382:B2 0.000251696
*RES
1 *1690:Q *1382:B2 34.7664
*END
*D_NET *433 0.0042796
*CONN
*I *1324:A1 I *D sky130_fd_sc_hd__a21o_1
*I *1537:A0 I *D sky130_fd_sc_hd__mux2_1
*I *1371:A1 I *D sky130_fd_sc_hd__a22o_1
*I *1682:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1324:A1 5.14342e-05
2 *1537:A0 0.000219764
3 *1371:A1 0.000355341
4 *1682:Q 7.33664e-05
5 *433:9 0.00105864
6 *433:5 0.00060834
7 *1371:A1 *1331:C 1.03403e-05
8 *1371:A1 *1690:CLK 0
9 *1371:A1 *455:8 0
10 *1371:A1 *506:8 0.000396649
11 *1537:A0 *455:8 1.38715e-05
12 *1537:A0 *455:10 9.02651e-05
13 *1537:A0 *506:8 2.64974e-05
14 *1537:A0 *516:26 5.97435e-05
15 *433:5 *1368:A1 9.97706e-05
16 *433:9 *1368:A1 0.000771937
17 *1534:S *1537:A0 0.000113968
18 *1538:A *1371:A1 1.32509e-05
19 *199:16 *1324:A1 7.50872e-05
20 *223:17 *1371:A1 0.000241331
*RES
1 *1682:Q *433:5 10.5271
2 *433:5 *433:9 12.9878
3 *433:9 *1371:A1 24.199
4 *433:9 *1537:A0 19.9735
5 *433:5 *1324:A1 19.6659
*END
*D_NET *434 0.00771489
*CONN
*I *1525:A0 I *D sky130_fd_sc_hd__mux2_1
*I *1322:B1 I *D sky130_fd_sc_hd__a22o_1
*I *1345:B2 I *D sky130_fd_sc_hd__a22o_1
*I *1678:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1525:A0 3.67592e-05
2 *1322:B1 0.00031396
3 *1345:B2 0.000206349
4 *1678:Q 0.000657302
5 *434:30 0.000520309
6 *434:28 0.00124964
7 *434:10 0.00194371
8 *1322:B1 *1361:B2 4.82173e-05
9 *1322:B1 *442:37 0.000204931
10 *1345:B2 *1690:CLK 7.5909e-06
11 *1345:B2 *442:37 4.33073e-05
12 *434:10 *1678:CLK 2.65667e-05
13 *434:10 *456:37 0.000123582
14 *434:28 *1323:A1 7.18916e-05
15 *434:28 *1359:A1 0.000215671
16 *434:28 *1366:B2 0.000138887
17 *434:28 *1636:CLK 6.50727e-05
18 *434:28 *1745:A 6.92705e-05
19 *434:28 *1777:A 2.57847e-05
20 *434:28 *435:18 7.10178e-05
21 *434:28 *455:7 0.000164815
22 *434:28 *504:19 1.05354e-05
23 *1324:A2 *1322:B1 3.07848e-05
24 *1324:B1 *434:28 0.000144546
25 *1352:B1 *434:28 8.77941e-05
26 *1357:B1 *434:28 0.000107604
27 *1383:C1 *1345:B2 6.23875e-05
28 *1526:B *434:10 2.12377e-05
29 *1636:D *434:28 7.02539e-05
30 *1678:D *434:10 6.67095e-06
31 *188:8 *434:28 0.000207821
32 *189:12 *1345:B2 0.000211464
33 *189:12 *434:28 3.39313e-06
34 *190:10 *1345:B2 4.17467e-05
35 *190:33 *434:28 7.76831e-05
36 *194:18 *1345:B2 0.000162873
37 *204:40 *434:28 5.85325e-05
38 *206:10 *434:28 0.0001126
39 *248:15 *434:28 1.51223e-05
40 *271:39 *1345:B2 7.32199e-05
41 *271:39 *434:28 3.98812e-06
*RES
1 *1678:Q *434:10 28.9842
2 *434:10 *434:28 47.8248
3 *434:28 *434:30 4.5
4 *434:30 *1345:B2 25.7932
5 *434:30 *1322:B1 18.1951
6 *434:10 *1525:A0 9.97254
*END
*D_NET *435 0.00318406
*CONN
*I *1366:A1 I *D sky130_fd_sc_hd__a22o_1
*I *1323:A2 I *D sky130_fd_sc_hd__a22o_1
*I *1534:A0 I *D sky130_fd_sc_hd__mux2_1
*I *1681:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1366:A1 4.38359e-05
2 *1323:A2 0.000153233
3 *1534:A0 0.000130381
4 *1681:Q 6.35495e-05
5 *435:18 0.000511948
6 *435:7 0.00050881
7 *1323:A2 *1323:B1 7.45798e-05
8 *1534:A0 *1534:A1 0.000364356
9 *435:7 *1534:A1 0.000111722
10 *435:18 *489:8 9.37838e-05
11 *1367:B1 *1366:A1 2.53145e-06
12 *1367:C1 *1366:A1 0
13 *1535:B *1534:A0 6.08467e-05
14 *185:5 *1323:A2 6.08467e-05
15 *185:51 *1323:A2 0.000360159
16 *198:14 *435:18 0.000170577
17 *198:25 *435:18 4.39767e-05
18 *198:40 *435:18 0.000342187
19 *204:40 *435:18 1.5714e-05
20 *248:15 *435:18 0
21 *434:28 *435:18 7.10178e-05
*RES
1 *1681:Q *435:7 11.1059
2 *435:7 *1534:A0 13.3002
3 *435:7 *435:18 19.5523
4 *435:18 *1323:A2 14.4335
5 *435:18 *1366:A1 10.2378
*END
*D_NET *436 0.00616431
*CONN
*I *1352:A1 I *D sky130_fd_sc_hd__a221o_1
*I *1322:A2 I *D sky130_fd_sc_hd__a22o_1
*I *1528:A0 I *D sky130_fd_sc_hd__mux2_1
*I *1679:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1352:A1 0.000106964
2 *1322:A2 0.000428266
3 *1528:A0 0.000214281
4 *1679:Q 0.000632861
5 *436:22 0.00110767
6 *436:5 0.00141958
7 *1322:A2 *1361:B2 0.000220407
8 *1322:A2 *442:37 0.000109653
9 *1352:A1 *1745:A 1.41629e-05
10 *1352:A1 *1746:A 3.31745e-05
11 *1352:A1 *449:5 5.04829e-06
12 *1528:A0 *456:35 0.000170113
13 *1528:A0 *475:21 2.39197e-05
14 *436:5 *1679:CLK 1.19856e-05
15 *436:22 *1361:B2 0.000298304
16 *436:22 *1363:A1 7.25761e-05
17 *436:22 *1679:CLK 2.65831e-05
18 *436:22 *1745:A 1.55462e-05
19 *1352:B1 *1352:A1 3.55968e-05
20 *1352:C1 *1352:A1 0.000107496
21 *1361:A2 *436:22 0.000253916
22 *1362:B1 *436:22 0.000160617
23 *1363:A2 *436:22 6.28168e-05
24 *1363:B1 *1322:A2 6.88589e-05
25 *1363:B1 *436:22 4.77014e-05
26 *1364:A2 *436:22 5.51483e-06
27 *1525:S *1528:A0 6.1438e-05
28 *1529:A *436:22 0.000107496
29 *1529:B *436:22 2.57847e-05
30 *1530:A *436:22 0.000114584
31 *1532:B *1528:A0 0.000111708
32 *1679:D *436:5 2.07904e-05
33 *1679:D *436:22 1.58551e-05
34 *428:33 *1352:A1 3.2555e-05
35 *428:33 *436:22 2.04806e-05
*RES
1 *1679:Q *436:5 18.2916
2 *436:5 *1528:A0 23.0201
3 *436:5 *436:22 20.9446
4 *436:22 *1322:A2 21.1854
5 *436:22 *1352:A1 16.8591
*END
*D_NET *437 0.00676679
*CONN
*I *1531:A0 I *D sky130_fd_sc_hd__mux2_1
*I *1361:A1 I *D sky130_fd_sc_hd__a22o_1
*I *1323:B1 I *D sky130_fd_sc_hd__a22o_1
*I *1680:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1531:A0 0
2 *1361:A1 0.000157866
3 *1323:B1 0.00113105
4 *1680:Q 0.000223797
5 *437:18 0.00160054
6 *437:9 0.000535421
7 *1361:A1 *504:19 1.23606e-05
8 *437:9 *1531:A1 6.50586e-05
9 *437:18 *1528:A1 6.50586e-05
10 *1323:A2 *1323:B1 7.45798e-05
11 *1361:B1 *1323:B1 2.05376e-05
12 *1361:B1 *1361:A1 2.82537e-05
13 *1362:A1 *1323:B1 2.10852e-05
14 *1362:A1 *1361:A1 8.25797e-05
15 *1362:A1 *437:18 7.92757e-06
16 *1362:B1 *1323:B1 3.40268e-05
17 *1362:B1 *1361:A1 0.000106446
18 *1362:C1 *1323:B1 0.000303917
19 *1362:C1 *437:18 5.04829e-06
20 *1366:A2 *1323:B1 3.82228e-05
21 *1367:B1 *1323:B1 2.3527e-05
22 *1367:C1 *1323:B1 0.000300565
23 *1530:A *437:18 0.000165521
24 *1531:S *437:9 0.000118166
25 *1531:S *437:18 0.000409739
26 *1532:B *437:18 0.000604089
27 *1679:D *437:18 7.5301e-06
28 *94:50 *437:9 0
29 *185:5 *1323:B1 4.44609e-05
30 *185:51 *1323:B1 9.80242e-07
31 *330:30 *437:18 0.000461052
32 *330:36 *437:18 6.08467e-05
33 *426:26 *1323:B1 5.65354e-05
*RES
1 *1680:Q *437:9 23.9928
2 *437:9 *437:18 18.905
3 *437:18 *1323:B1 33.2668
4 *437:18 *1361:A1 18.5612
5 *437:9 *1531:A0 9.24915
*END
*D_NET *438 0.00699134
*CONN
*I *1322:B2 I *D sky130_fd_sc_hd__a22o_1
*I *1357:B2 I *D sky130_fd_sc_hd__a22o_1
*I *1550:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *1559:A1 I *D sky130_fd_sc_hd__o21a_1
*I *1685:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1322:B2 0
2 *1357:B2 0.000141997
3 *1550:B1 0.000180821
4 *1559:A1 0.00037101
5 *1685:Q 0
6 *438:37 0.000673419
7 *438:11 0.000921117
8 *438:4 0.000900708
9 *1550:B1 *1550:A1 5.04829e-06
10 *1550:B1 *446:7 0.000107496
11 *1559:A1 *442:22 6.50586e-05
12 *438:11 *1685:CLK 0.000154145
13 *438:37 *1366:B2 0.000150571
14 *1352:B1 *1357:B2 0.000171206
15 *1352:B1 *438:37 9.1307e-05
16 *1353:B1 *438:37 6.39754e-06
17 *1357:B1 *1357:B2 0.000121379
18 *1380:C1 *438:37 1.9101e-05
19 *1467:C *1559:A1 2.41274e-06
20 *1517:A *1550:B1 0
21 *1538:A *1550:B1 0.000186445
22 *1538:A *1559:A1 0.000311975
23 *1549:A2 *1559:A1 0.000181696
24 *1553:B1_N *1559:A1 5.41685e-05
25 *1653:D *438:37 0.000212162
26 *1685:D *438:11 0.000100285
27 *1687:D *438:11 9.3703e-05
28 *172:36 *438:37 0.000360527
29 *172:38 *438:37 9.42726e-05
30 *178:38 *1559:A1 0.000164791
31 *178:50 *1559:A1 0.000122725
32 *198:40 *1357:B2 0.000184794
33 *198:40 *438:37 0.000312039
34 *223:17 *438:37 0.000179633
35 *262:61 *438:11 0.000184864
36 *262:61 *438:37 4.26441e-06
37 *272:15 *1559:A1 0.000107496
38 *330:30 *1357:B2 5.2305e-05
*RES
1 *1685:Q *438:4 9.24915
2 *438:4 *438:11 15.8091
3 *438:11 *1559:A1 26.0272
4 *438:11 *1550:B1 19.0989
5 *438:4 *438:37 21.8679
6 *438:37 *1357:B2 19.2194
7 *438:37 *1322:B2 13.7491
*END
*D_NET *439 0.00590167
*CONN
*I *1323:A1 I *D sky130_fd_sc_hd__a22o_1
*I *1378:B2 I *D sky130_fd_sc_hd__a22o_1
*I *1550:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *1553:A1 I *D sky130_fd_sc_hd__o21ba_1
*I *1687:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1323:A1 0.000716402
2 *1378:B2 5.77887e-05
3 *1550:A1 0.000297474
4 *1553:A1 0
5 *1687:Q 0
6 *439:27 0.00152811
7 *439:8 0.000533804
8 *439:4 0.000990245
9 *1323:A1 *1349:A1 0
10 *1323:A1 *1739:A 0.0003518
11 *1550:A1 *446:7 2.3527e-05
12 *1550:A1 *457:24 0.000217602
13 *439:8 *486:23 1.53125e-05
14 *439:27 *1687:CLK 4.87301e-05
15 *439:27 *1739:A 3.58843e-05
16 *439:27 *486:23 6.13264e-05
17 *1324:A2 *1323:A1 0.000242819
18 *1346:B1 *1323:A1 0
19 *1353:C1 *1323:A1 1.22858e-05
20 *1378:A1 *1378:B2 7.58194e-05
21 *1378:A1 *439:27 0
22 *1378:B1 *1323:A1 9.98511e-06
23 *1378:B1 *1378:B2 1.5714e-05
24 *1549:A3 *1550:A1 5.22654e-06
25 *1549:A3 *439:8 0.000109033
26 *1550:B1 *1550:A1 5.04829e-06
27 *1687:D *439:27 4.05943e-06
28 *185:13 *1323:A1 8.13225e-05
29 *221:8 *1550:A1 0
30 *221:8 *439:8 0
31 *248:9 *439:27 9.48956e-06
32 *271:39 *1323:A1 0
33 *272:35 *1378:B2 0.000161234
34 *347:14 *1550:A1 0.000219744
35 *434:28 *1323:A1 7.18916e-05
*RES
1 *1687:Q *439:4 9.24915
2 *439:4 *439:8 10.1015
3 *439:8 *1553:A1 13.7491
4 *439:8 *1550:A1 22.1237
5 *439:4 *439:27 16.1367
6 *439:27 *1378:B2 16.5391
7 *439:27 *1323:A1 31.0554
*END
*D_NET *440 0.00380077
*CONN
*I *1382:A1 I *D sky130_fd_sc_hd__a22o_1
*I *1540:A0 I *D sky130_fd_sc_hd__mux2_1
*I *1683:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1382:A1 0.000782788
2 *1540:A0 8.00515e-05
3 *1683:Q 0.000238909
4 *440:5 0.00110175
5 *1382:A1 *1408:A 7.14746e-05
6 *1382:A1 *1683:CLK 1.82679e-05
7 *1382:A1 *1735:A 0.000122098
8 *1382:A1 *1736:A 0.000200206
9 *1382:A1 *498:28 1.97952e-05
10 *1540:A0 *484:15 2.54335e-05
11 *529:DIODE *440:5 0.000118166
12 *1378:B1 *1382:A1 5.9622e-05
13 *1382:B2 *1382:A1 3.46704e-05
14 *1383:A1 *1382:A1 0
15 *1540:S *1540:A0 0.000252327
16 *1541:B *1540:A0 0.000196623
17 *1542:A *1540:A0 0
18 *1683:D *1382:A1 3.95644e-05
19 *1690:D *1382:A1 9.34404e-05
20 *204:10 *1382:A1 0.000253121
21 *221:8 *1382:A1 6.59329e-05
22 *262:61 *1382:A1 1.86698e-05
23 *262:61 *440:5 7.85874e-06
*RES
1 *1683:Q *440:5 12.7456
2 *440:5 *1540:A0 22.9879
3 *440:5 *1382:A1 37.1471
*END
*D_NET *441 0.00931025
*CONN
*I *1366:B2 I *D sky130_fd_sc_hd__a22o_1
*I *1689:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1366:B2 0.00102403
2 *1689:Q 0.00187302
3 *441:7 0.00289705
4 *1366:B2 *1670:CLK 0
5 *1366:B2 *1739:A 0
6 *1366:B2 *1740:A 0
7 *1366:B2 *1747:A 4.3116e-06
8 *1352:B1 *1366:B2 0.000266977
9 *1462:A *441:7 0.000257301
10 *1462:B *441:7 1.19721e-05
11 *1463:A *441:7 2.18523e-06
12 *1501:A1 *1366:B2 0
13 *1653:D *1366:B2 0.000175877
14 *1659:D *441:7 0.00019187
15 *1670:D *1366:B2 0.000182534
16 *1670:D *441:7 6.54102e-05
17 *172:36 *1366:B2 0.000110553
18 *198:40 *1366:B2 6.69861e-06
19 *223:17 *1366:B2 0.000183058
20 *246:40 *441:7 0.000229005
21 *248:15 *1366:B2 0.00106415
22 *285:56 *441:7 0.000474797
23 *434:28 *1366:B2 0.000138887
24 *438:37 *1366:B2 0.000150571
*RES
1 *1689:Q *441:7 43.8665
2 *441:7 *1366:B2 47.9708
*END
*D_NET *442 0.0102901
*CONN
*I *1361:B2 I *D sky130_fd_sc_hd__a22o_1
*I *1322:A1 I *D sky130_fd_sc_hd__a22o_1
*I *1543:A I *D sky130_fd_sc_hd__inv_2
*I *1559:A2 I *D sky130_fd_sc_hd__o21a_1
*I *1684:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1361:B2 0.000573342
2 *1322:A1 0
3 *1543:A 0.000573638
4 *1559:A2 0
5 *1684:Q 0.000889615
6 *442:37 0.00153234
7 *442:22 0.00188687
8 *442:11 0.00124385
9 *1361:B2 *504:19 7.50872e-05
10 *442:11 *1735:A 4.31539e-05
11 *442:11 *486:25 1.94301e-05
12 *442:11 *486:30 1.83961e-05
13 *442:11 *498:28 7.14746e-05
14 *1322:A2 *1361:B2 0.000220407
15 *1322:A2 *442:37 0.000109653
16 *1322:B1 *1361:B2 4.82173e-05
17 *1322:B1 *442:37 0.000204931
18 *1345:B2 *442:37 4.33073e-05
19 *1361:A2 *1361:B2 5.05252e-05
20 *1363:B1 *1361:B2 2.69577e-05
21 *1383:A1 *1543:A 0.000260388
22 *1383:A1 *442:11 7.50722e-05
23 *1383:C1 *1543:A 6.50727e-05
24 *1383:C1 *442:37 0.00078098
25 *1467:C *442:11 1.10258e-05
26 *1467:C *442:22 0.00031873
27 *1517:A *442:22 0
28 *1559:A1 *442:22 6.50586e-05
29 *1559:B1 *442:11 2.23124e-05
30 *178:38 *442:22 0.000493477
31 *187:25 *1543:A 8.01648e-05
32 *187:47 *1543:A 1.99131e-05
33 *191:8 *442:22 0.000136827
34 *221:8 *442:22 2.63779e-05
35 *221:26 *442:22 5.1493e-06
36 *436:22 *1361:B2 0.000298304
*RES
1 *1684:Q *442:11 31.6501
2 *442:11 *1559:A2 9.24915
3 *442:11 *442:22 20.415
4 *442:22 *1543:A 18.7256
5 *442:22 *442:37 17.6991
6 *442:37 *1322:A1 9.24915
7 *442:37 *1361:B2 31.0232
*END
*D_NET *443 0.00982997
*CONN
*I *1552:A1 I *D sky130_fd_sc_hd__a211o_1
*I *1438:A I *D sky130_fd_sc_hd__clkinv_2
*I *1323:B2 I *D sky130_fd_sc_hd__a22o_1
*I *1371:B2 I *D sky130_fd_sc_hd__a22o_1
*I *1545:A1 I *D sky130_fd_sc_hd__o21a_1
*I *1686:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1552:A1 0.000193484
2 *1438:A 0
3 *1323:B2 0.000389038
4 *1371:B2 5.67483e-05
5 *1545:A1 0
6 *1686:Q 8.18483e-05
7 *443:35 0.00167736
8 *443:17 0.00133754
9 *443:12 0.000223258
10 *443:8 0.000392633
11 *1323:B2 *523:DIODE 0.000102079
12 *1323:B2 *1363:A1 0.00021321
13 *1323:B2 *495:16 0.00073882
14 *443:8 *1684:CLK 7.14746e-05
15 *443:8 *1686:CLK 2.16355e-05
16 *443:12 *1684:CLK 5.41227e-05
17 *443:17 *1735:A 0.000175646
18 *443:35 *1332:A_N 0.000161243
19 *443:35 *1363:A1 0.000199579
20 *443:35 *1735:A 0.000224381
21 *443:35 *495:16 0.000530527
22 *1332:C *443:35 1.65872e-05
23 *1335:A *1323:B2 2.1203e-06
24 *1337:C *443:35 0.000123251
25 *1337:D *443:35 0.000557376
26 *1371:B1 *1371:B2 6.08467e-05
27 *1372:C1 *1323:B2 0.000117544
28 *1384:B1 *443:35 0.000103042
29 *1440:A *443:17 0.000477015
30 *1467:B *443:35 0
31 *1468:S *443:35 0.000145092
32 *1545:A2 *443:17 6.50727e-05
33 *1545:A2 *443:35 0
34 *1546:B *443:12 4.43395e-05
35 *1552:A2 *1552:A1 6.38454e-05
36 *1552:C1 *1552:A1 0.000123435
37 *1601:A1 *443:8 6.64609e-05
38 *1601:A1 *443:12 7.65861e-05
39 *175:8 *443:35 0
40 *175:37 *443:35 0
41 *178:38 *1371:B2 5.84166e-05
42 *180:31 *443:35 5.07314e-05
43 *180:33 *443:35 3.82228e-05
44 *181:7 *1371:B2 2.16355e-05
45 *185:5 *1323:B2 6.53312e-05
46 *186:9 *443:35 5.75508e-05
47 *187:10 *443:35 0.00023961
48 *187:65 *1323:B2 1.49935e-05
49 *193:6 *443:35 6.60196e-05
50 *193:20 *443:35 3.95141e-05
51 *203:9 *443:35 3.82083e-05
52 *270:25 *443:12 0.000197503
53 *330:11 *1371:B2 5.49916e-05
*RES
1 *1686:Q *443:8 15.8893
2 *443:8 *443:12 9.23876
3 *443:12 *443:17 6.31766
4 *443:17 *1545:A1 9.24915
5 *443:17 *443:35 49.106
6 *443:35 *1371:B2 19.0504
7 *443:35 *1323:B2 22.589
8 *443:12 *1438:A 9.24915
9 *443:8 *1552:A1 18.0172
*END
*D_NET *444 0.00429085
*CONN
*I *1736:A I *D sky130_fd_sc_hd__clkbuf_2
*I *1735:A I *D sky130_fd_sc_hd__clkbuf_2
*I *1734:X O *D sky130_fd_sc_hd__clkbuf_16
*CAP
1 *1736:A 0.000276039
2 *1735:A 0.000862189
3 *1734:X 0
4 *444:5 0.00113823
5 *1735:A *1541:A 6.08467e-05
6 *1735:A *498:28 0.000122098
7 *1736:A *1408:A 1.12605e-05
8 *1736:A *498:28 0.000468434
9 *1382:A1 *1735:A 0.000122098
10 *1382:A1 *1736:A 0.000200206
11 *1467:A *1735:A 3.82228e-05
12 *1467:C *1735:A 0.000177587
13 *1468:S *1735:A 2.61147e-05
14 *1542:A *1735:A 7.58194e-05
15 *1545:A2 *1735:A 6.50727e-05
16 *1546:B *1735:A 3.14978e-05
17 *220:42 *1736:A 0.000167076
18 *221:8 *1736:A 4.88112e-06
19 *442:11 *1735:A 4.31539e-05
20 *443:17 *1735:A 0.000175646
21 *443:35 *1735:A 0.000224381
*RES
1 *1734:X *444:5 13.7491
2 *444:5 *1735:A 40.0373
3 *444:5 *1736:A 24.9616
*END
*D_NET *445 0.0041429
*CONN
*I *1738:A I *D sky130_fd_sc_hd__clkbuf_2
*I *1737:A I *D sky130_fd_sc_hd__clkbuf_2
*I *1735:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *1738:A 0.000589474
2 *1737:A 0.000268957
3 *1735:X 0
4 *445:5 0.000858431
5 *1738:A *1558:A1 1.55462e-05
6 *1738:A *1600:A 0
7 *1738:A *1645:CLK 0
8 *1738:A *486:63 6.49003e-05
9 *1469:A *1737:A 0.0002817
10 *1469:A *1738:A 0
11 *1470:A *1737:A 6.08167e-05
12 *1558:A2 *1738:A 0.00027329
13 *1558:B1 *1738:A 0.000362218
14 *1661:D *1737:A 0.000107063
15 *1709:D *1738:A 0.000268812
16 *219:10 *1737:A 0.000420061
17 *219:10 *1738:A 0.000504119
18 *285:56 *1738:A 6.75138e-05
*RES
1 *1735:X *445:5 13.7491
2 *445:5 *1737:A 24.3365
3 *445:5 *1738:A 34.7206
*END
*D_NET *446 0.0043914
*CONN
*I *1740:A I *D sky130_fd_sc_hd__clkbuf_2
*I *1739:A I *D sky130_fd_sc_hd__clkbuf_2
*I *1736:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *1740:A 0.000110435
2 *1739:A 0.000712193
3 *1736:X 0.00049406
4 *446:7 0.00131669
5 *1739:A *1353:A1 2.02035e-05
6 *1740:A *1748:A 5.88662e-05
7 *1323:A1 *1739:A 0.0003518
8 *1346:A1 *1739:A 1.65872e-05
9 *1346:A2 *1739:A 0.000107496
10 *1366:B2 *1739:A 0
11 *1366:B2 *1740:A 0
12 *1378:A1 *1739:A 0
13 *1378:A2 *1739:A 6.53385e-05
14 *1502:A1 *446:7 2.57847e-05
15 *1502:A2 *446:7 0.000267328
16 *1502:B1 *1740:A 9.68437e-05
17 *1502:B1 *446:7 9.54357e-06
18 *1502:C1 *446:7 9.65932e-05
19 *1550:A1 *446:7 2.3527e-05
20 *1550:B1 *446:7 0.000107496
21 *1551:B *446:7 6.08467e-05
22 *1670:D *1740:A 1.32509e-05
23 *185:13 *1739:A 0.000147736
24 *194:31 *1739:A 0.000158357
25 *220:42 *446:7 3.072e-06
26 *248:15 *1739:A 0
27 *347:14 *446:7 9.14669e-05
28 *439:27 *1739:A 3.58843e-05
*RES
1 *1736:X *446:7 26.6738
2 *446:7 *1739:A 31.9476
3 *446:7 *1740:A 17.2421
*END
*D_NET *447 0.00231703
*CONN
*I *1742:A I *D sky130_fd_sc_hd__clkbuf_2
*I *1741:A I *D sky130_fd_sc_hd__clkbuf_2
*I *1737:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *1742:A 0.000242958
2 *1741:A 5.15528e-05
3 *1737:X 0.000385
4 *447:10 0.000679512
5 *1741:A *483:23 0.000111722
6 *1742:A *483:23 0.000364302
7 *1417:B *447:10 9.19886e-06
8 *1421:A *447:10 0
9 *1469:A *447:10 1.61631e-05
10 *262:10 *447:10 0.000456617
*RES
1 *1737:X *447:10 29.5276
2 *447:10 *1741:A 10.5271
3 *447:10 *1742:A 16.6278
*END
*D_NET *448 0.004385
*CONN
*I *1744:A I *D sky130_fd_sc_hd__clkbuf_2
*I *1743:A I *D sky130_fd_sc_hd__clkbuf_2
*I *1738:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *1744:A 0.000205947
2 *1743:A 0.000330485
3 *1738:X 0.000110225
4 *448:10 0.000646658
5 *1743:A *453:98 0.000441022
6 *1743:A *471:12 3.31733e-05
7 *1404:A *1743:A 1.92172e-05
8 *1558:A2 *1743:A 0
9 *1558:A2 *448:10 1.72464e-05
10 *1599:B *1743:A 9.60216e-05
11 *1600:B *1743:A 0.000118166
12 *1600:B *1744:A 0.000103983
13 *1600:B *448:10 1.79672e-05
14 *1610:A2 *1744:A 0.000530762
15 *1610:A3 *1744:A 0.000184275
16 *1610:B1 *1744:A 0.000216458
17 *1631:A *1743:A 0.000228593
18 *1633:A *1743:A 0.000113968
19 *1633:A *1744:A 0.000370829
20 *1709:D *448:10 6.92705e-05
21 *171:32 *1744:A 4.23874e-05
22 *229:22 *1743:A 8.95933e-05
23 *229:22 *448:10 0
24 *243:12 *1743:A 2.15656e-05
25 *243:16 *1743:A 2.33193e-05
26 *285:56 *448:10 8.62625e-06
27 *395:17 *1743:A 0.00034524
*RES
1 *1738:X *448:10 20.8045
2 *448:10 *1743:A 31.6445
3 *448:10 *1744:A 19.9554
*END
*D_NET *449 0.00368201
*CONN
*I *1746:A I *D sky130_fd_sc_hd__clkbuf_2
*I *1745:A I *D sky130_fd_sc_hd__clkbuf_2
*I *1739:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *1746:A 0.000156993
2 *1745:A 0.000423755
3 *1739:X 7.19085e-05
4 *449:5 0.000652657
5 *1746:A *456:7 4.73169e-05
6 *1346:A1 *1746:A 0.00011818
7 *1346:A1 *449:5 0.000357964
8 *1352:A1 *1745:A 1.41629e-05
9 *1352:A1 *1746:A 3.31745e-05
10 *1352:A1 *449:5 5.04829e-06
11 *1352:B1 *1745:A 6.01588e-05
12 *1352:B2 *1746:A 1.47046e-05
13 *1352:C1 *1746:A 0.000115028
14 *1352:C1 *449:5 5.51483e-06
15 *1363:A2 *1745:A 0.000390632
16 *1363:C1 *1745:A 8.07572e-05
17 *1366:A2 *1745:A 0.000174638
18 *1368:A2 *1745:A 0.000144546
19 *1504:A *1745:A 5.66868e-06
20 *194:31 *449:5 0.000302322
21 *194:40 *1745:A 0.000327252
22 *194:40 *449:5 1.67329e-05
23 *198:40 *1745:A 0
24 *206:10 *1745:A 2.65667e-05
25 *425:17 *1746:A 5.15064e-05
26 *434:28 *1745:A 6.92705e-05
27 *436:22 *1745:A 1.55462e-05
*RES
1 *1739:X *449:5 13.3002
2 *449:5 *1745:A 32.8469
3 *449:5 *1746:A 14.4335
*END
*D_NET *450 0.00416202
*CONN
*I *1747:A I *D sky130_fd_sc_hd__clkbuf_2
*I *1748:A I *D sky130_fd_sc_hd__clkbuf_2
*I *1740:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *1747:A 0.000472179
2 *1748:A 0.000484271
3 *1740:X 0
4 *450:5 0.00095645
5 *1748:A *458:7 0.000974336
6 *1748:A *496:12 2.44829e-05
7 *548:DIODE *1748:A 9.63563e-05
8 *1366:B2 *1747:A 4.3116e-06
9 *1501:A2 *1747:A 5.044e-05
10 *1501:B1 *1747:A 0.000147622
11 *1502:A1 *1748:A 0.000364356
12 *1502:B1 *1747:A 7.96198e-05
13 *1519:A0 *1747:A 0
14 *1637:D *1748:A 1.87611e-05
15 *1670:D *1747:A 0.00026818
16 *1670:D *1748:A 0.000127194
17 *1740:A *1748:A 5.88662e-05
18 *240:8 *1747:A 3.45882e-05
*RES
1 *1740:X *450:5 13.7491
2 *450:5 *1748:A 31.6941
3 *450:5 *1747:A 27.9316
*END
*D_NET *451 0.0143785
*CONN
*I *1647:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1663:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1646:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1664:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1665:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1649:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1666:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1667:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1650:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1668:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1741:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *1647:CLK 0.00010832
2 *1663:CLK 0.000131585
3 *1646:CLK 0.000270599
4 *1664:CLK 3.57807e-05
5 *1665:CLK 3.58004e-05
6 *1649:CLK 0.000522261
7 *1666:CLK 3.71062e-05
8 *1667:CLK 0
9 *1650:CLK 0.000650255
10 *1668:CLK 0.00061455
11 *1741:X 0
12 *451:80 0.000649579
13 *451:71 0.000544582
14 *451:21 0.0012648
15 *451:19 0.000312778
16 *451:12 0.000444221
17 *451:10 0.000719395
18 *451:8 0.000211045
19 *451:7 0.00103791
20 *451:4 0.000812375
21 *1646:CLK *1317:A 0.000361109
22 *1646:CLK *1771:A 0.000103943
23 *1646:CLK *485:19 0.000895459
24 *1647:CLK *483:23 2.65667e-05
25 *1647:CLK *521:12 0.000148129
26 *1663:CLK *485:19 0
27 *1668:CLK *480:10 5.04829e-06
28 *451:7 *483:23 0.000864587
29 *451:80 *474:8 0
30 *451:80 *485:19 0
31 io_spi_cs *1663:CLK 0.000113066
32 io_wbs_data_o[21] *451:71 7.86825e-06
33 *533:DIODE *1650:CLK 6.50586e-05
34 *536:DIODE *1668:CLK 0.000131059
35 *539:DIODE *451:8 7.61575e-05
36 *539:DIODE *451:10 5.88662e-05
37 *539:DIODE *451:71 4.31485e-06
38 *545:DIODE *1650:CLK 4.15143e-05
39 *545:DIODE *1668:CLK 4.12119e-05
40 *545:DIODE *451:19 0
41 *1422:S *451:7 0.000211492
42 *1425:A1 *1649:CLK 5.04686e-05
43 *1427:A *451:7 6.3657e-05
44 *1478:A1 *1646:CLK 0.00011818
45 *1483:B *451:71 0
46 *1484:A *451:71 0
47 *1484:A *451:80 0
48 *1486:B *451:7 0.00033061
49 *1487:A *1649:CLK 7.0656e-05
50 *1488:A0 *1649:CLK 3.25584e-05
51 *1488:A1 *1649:CLK 4.89898e-06
52 *1488:S *1649:CLK 6.50727e-05
53 *1491:A0 *1650:CLK 3.33532e-05
54 *1491:S *1650:CLK 0.000309155
55 *1492:B *1650:CLK 0.000164829
56 *1493:A *1668:CLK 0.000275256
57 *1495:A *1650:CLK 0.000220809
58 *1664:D *451:80 0
59 *1666:D *1666:CLK 5.04829e-06
60 *1666:D *451:19 0.000104731
61 *1753:A *451:19 0.000277488
62 *1759:A *451:71 7.86825e-06
63 *295:22 *1647:CLK 0
64 *301:16 *451:8 7.7321e-05
65 *301:16 *451:10 7.55529e-05
66 *301:16 *451:71 0.000118873
67 *301:21 *1650:CLK 0.000167076
68 *301:21 *451:10 2.71542e-05
69 *301:21 *451:12 6.4628e-05
70 *301:21 *451:19 5.50867e-05
71 *301:30 *1650:CLK 9.97706e-05
*RES
1 *1741:X *451:4 9.24915
2 *451:4 *451:7 26.2983
3 *451:7 *451:8 3.07775
4 *451:8 *451:10 3.90826
5 *451:10 *451:12 2.6625
6 *451:12 *451:19 14.4203
7 *451:19 *451:21 4.5
8 *451:21 *1668:CLK 29.2211
9 *451:21 *1650:CLK 32.6881
10 *451:19 *1667:CLK 9.24915
11 *451:12 *1666:CLK 14.4725
12 *451:10 *1649:CLK 26.6979
13 *451:8 *1665:CLK 14.4725
14 *451:7 *451:71 7.64553
15 *451:71 *1664:CLK 14.4725
16 *451:71 *451:80 5.98452
17 *451:80 *1646:CLK 27.8071
18 *451:80 *1663:CLK 17.6574
19 *451:4 *1647:CLK 21.635
*END
*D_NET *452 0.0079653
*CONN
*I *1661:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1648:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1639:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1677:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1669:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1644:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1652:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1651:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1742:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *1661:CLK 0.000538075
2 *1648:CLK 0.000207803
3 *1639:CLK 0.000200232
4 *1677:CLK 0
5 *1669:CLK 0
6 *1644:CLK 0.000185273
7 *1652:CLK 5.71509e-05
8 *1651:CLK 0.000135427
9 *1742:X 4.83494e-05
10 *452:67 0.000831858
11 *452:43 0.00039482
12 *452:38 0.00040366
13 *452:22 0.000369804
14 *452:20 0.000605356
15 *452:19 0.000519953
16 *452:5 0.000331166
17 *1639:CLK *1379:A1 1.41291e-05
18 *1639:CLK *1432:A0 0.000137098
19 *1639:CLK *516:20 0.000253916
20 *1651:CLK *1342:A 2.53145e-06
21 *1661:CLK *1317:A 0.000546962
22 *1661:CLK *483:33 0.000138196
23 *1661:CLK *485:24 0.000201047
24 *1661:CLK *485:28 0.000164283
25 *452:5 *483:23 1.41181e-05
26 *452:19 *1342:A 2.57847e-05
27 *452:19 *483:23 3.49805e-05
28 *452:20 *483:33 6.69183e-05
29 *452:38 *1435:A0 0.0002646
30 *452:38 *1435:S 1.55025e-05
31 *452:38 *517:31 3.21733e-05
32 *452:43 *501:16 0.000381652
33 *452:67 *483:33 0.000136993
34 *1432:A1 *452:22 0
35 *1432:A1 *452:38 0
36 *1437:A *452:38 3.0863e-05
37 *1500:A *1644:CLK 5.4373e-05
38 *1651:D *1652:CLK 1.78942e-05
39 *1651:D *452:20 6.60603e-05
40 *1651:D *452:22 1.51628e-05
41 *1652:D *452:22 0.000140383
42 *1652:D *452:38 9.89388e-06
43 *1661:D *1661:CLK 9.73548e-05
44 *1669:D *1644:CLK 0.000144747
45 *1669:D *452:43 3.31733e-05
46 *219:10 *1661:CLK 0
47 *270:6 *1661:CLK 3.20797e-05
48 *270:25 *1661:CLK 6.14756e-06
49 *312:8 *1651:CLK 5.7358e-05
50 *312:8 *1661:CLK 0
51 *312:8 *452:20 0
52 *312:8 *452:67 0
*RES
1 *1742:X *452:5 9.97254
2 *452:5 *1651:CLK 22.7361
3 *452:5 *452:19 6.88721
4 *452:19 *452:20 8.06078
5 *452:20 *452:22 3.493
6 *452:22 *1652:CLK 15.2053
7 *452:22 *452:38 10.683
8 *452:38 *452:43 15.815
9 *452:43 *1644:CLK 14.9881
10 *452:43 *1669:CLK 9.24915
11 *452:38 *1677:CLK 9.24915
12 *452:20 *1639:CLK 20.5732
13 *452:19 *452:67 2.6625
14 *452:67 *1648:CLK 18.3548
15 *452:67 *1661:CLK 30.595
*END
*D_NET *453 0.0140866
*CONN
*I *1701:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1704:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1698:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1700:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1702:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1703:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1708:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1662:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1707:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1709:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1645:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1688:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1743:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *1701:CLK 0.000248853
2 *1704:CLK 1.26312e-05
3 *1698:CLK 0.000475513
4 *1700:CLK 0
5 *1702:CLK 0.000252044
6 *1703:CLK 0
7 *1708:CLK 0
8 *1662:CLK 0.000282557
9 *1707:CLK 7.01134e-05
10 *1709:CLK 0
11 *1645:CLK 0.000659817
12 *1688:CLK 2.1308e-05
13 *1743:X 0
14 *453:109 0.000622183
15 *453:98 0.00135061
16 *453:73 0.000656368
17 *453:57 0.000394688
18 *453:44 0.000420139
19 *453:43 0.000700911
20 *453:39 0.00054132
21 *453:13 0.000962447
22 *453:8 0.00056182
23 *453:7 0.000978502
24 *453:4 0.000729777
25 *1662:CLK *485:19 0
26 *1698:CLK *1547:C_N 0.000113968
27 *1698:CLK *472:21 0.00027329
28 *1701:CLK *1623:B1 7.50872e-05
29 *1702:CLK *485:8 0
30 *1704:CLK *1622:C 2.65831e-05
31 *453:8 *1555:A 0.000142485
32 *453:13 *1555:A 0.000210977
33 *453:39 *472:9 0.000224381
34 *453:44 *485:8 0
35 *453:44 *485:19 0
36 *453:57 *485:19 0
37 *453:73 *485:8 0
38 *453:98 *1402:A 0
39 *453:98 *470:16 0
40 *453:98 *472:21 0
41 *453:98 *472:26 1.09738e-05
42 *453:109 *1395:A 0.000247231
43 *453:109 *1395:C_N 0
44 *453:109 *470:16 0
45 *453:109 *472:26 4.72872e-05
46 io_wbs_data_o[8] *1662:CLK 0
47 *1460:A *1645:CLK 6.50727e-05
48 *1469:A *1645:CLK 0.000406515
49 *1479:A *1662:CLK 6.08473e-05
50 *1557:B *453:98 2.30271e-05
51 *1620:A1 *453:109 0
52 *1620:A2 *1701:CLK 0
53 *1620:A2 *453:109 0
54 *1621:A2 *453:109 1.79807e-05
55 *1623:A1 *1701:CLK 3.11022e-05
56 *1623:A1 *1704:CLK 6.50727e-05
57 *1623:A1 *453:109 6.08697e-06
58 *1629:A *453:8 9.75356e-05
59 *1631:A *453:7 0.000130023
60 *1631:A *453:98 1.41853e-05
61 *1632:A *453:8 0
62 *1662:D *1662:CLK 3.20069e-06
63 *1688:D *1645:CLK 1.87611e-05
64 *1688:D *453:13 0
65 *1698:D *1698:CLK 1.87611e-05
66 *1698:D *453:39 2.85139e-05
67 *1700:D *453:39 0.000163552
68 *1702:D *1702:CLK 2.73873e-05
69 *1704:D *1701:CLK 0
70 *1707:D *453:44 0.000156823
71 *1708:D *453:8 0
72 *1738:A *1645:CLK 0
73 *1743:A *453:98 0.000441022
74 *219:38 *1645:CLK 0.000217923
75 *220:8 *1645:CLK 0.000381471
76 *220:42 *1645:CLK 0.000148652
77 *242:8 *453:8 0
78 *242:8 *453:39 0
79 *242:41 *453:98 9.75356e-05
80 *244:24 *453:98 1.12605e-05
81 *295:7 *1698:CLK 4.0752e-05
82 *295:10 *453:8 0
83 *295:10 *453:13 0
84 *295:10 *453:39 0
85 *295:10 *453:98 0
86 *390:11 *453:98 9.96342e-05
*RES
1 *1743:X *453:4 9.24915
2 *453:4 *453:7 7.99641
3 *453:7 *453:8 8.06078
4 *453:8 *453:13 11.2079
5 *453:13 *1688:CLK 9.82786
6 *453:13 *1645:CLK 38.4041
7 *453:8 *1709:CLK 13.7491
8 *453:7 *453:39 17.4535
9 *453:39 *453:43 5.778
10 *453:43 *453:44 7.02265
11 *453:44 *1707:CLK 15.584
12 *453:44 *453:57 2.87013
13 *453:57 *1662:CLK 21.2876
14 *453:57 *1708:CLK 13.7491
15 *453:43 *453:73 10.137
16 *453:73 *1703:CLK 13.7491
17 *453:73 *1702:CLK 19.7928
18 *453:39 *1700:CLK 9.24915
19 *453:4 *453:98 16.06
20 *453:98 *1698:CLK 25.5887
21 *453:98 *453:109 9.72179
22 *453:109 *1704:CLK 14.4725
23 *453:109 *1701:CLK 20.1489
*END
*D_NET *454 0.008318
*CONN
*I *1705:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1642:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1699:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1697:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1686:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1684:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1689:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1744:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *1705:CLK 0.000189861
2 *1642:CLK 5.41791e-05
3 *1699:CLK 8.9646e-05
4 *1697:CLK 5.19586e-05
5 *1686:CLK 0.000292498
6 *1684:CLK 6.9079e-05
7 *1689:CLK 5.01541e-05
8 *1744:X 4.51842e-05
9 *454:57 0.000897671
10 *454:46 0.000818062
11 *454:23 0.00050113
12 *454:21 0.000313217
13 *454:8 0.00033099
14 *454:7 0.000279098
15 *1689:CLK *486:63 1.78942e-05
16 *1697:CLK *486:63 6.50586e-05
17 *1705:CLK *1388:B 0
18 *1705:CLK *1627:A1 2.20457e-05
19 *454:8 *1558:A1 0
20 *454:21 *1558:A1 0
21 *454:21 *486:63 0.000118485
22 *454:57 *1473:A 0.000118485
23 *454:57 *487:14 0.00017702
24 *454:57 *487:36 7.52198e-05
25 *1374:A *1697:CLK 1.92336e-05
26 *1374:A *454:21 6.03391e-06
27 *1374:A *454:23 7.77309e-06
28 *1394:A *454:8 7.65861e-05
29 *1394:A *454:46 0.000170577
30 *1394:A *454:57 0.000651114
31 *1472:A *454:57 4.54106e-05
32 *1546:B *1684:CLK 1.1246e-05
33 *1552:C1 *1684:CLK 0.000144546
34 *1552:C1 *454:23 5.92342e-05
35 *1601:A1 *1684:CLK 2.19131e-05
36 *1601:A1 *454:23 5.9332e-05
37 *1610:A1 *454:7 6.08467e-05
38 *1610:A3 *454:8 1.14086e-05
39 *1610:A3 *454:46 4.20175e-05
40 *1610:A3 *454:57 1.4897e-05
41 *1611:B *454:57 4.81001e-05
42 *1627:A2 *1705:CLK 1.88014e-05
43 *1642:D *454:57 0
44 *1686:D *1686:CLK 0.000112059
45 *1697:D *1697:CLK 0.000110297
46 *1697:D *454:23 0.000195124
47 *1699:D *1699:CLK 0.000216688
48 *1705:D *1705:CLK 9.32234e-05
49 *150:5 *1689:CLK 6.64392e-05
50 *228:19 *454:23 0.000189496
51 *228:38 *454:8 5.75924e-05
52 *228:38 *454:21 0.000221185
53 *228:38 *454:23 0.000135905
54 *229:78 *454:57 0.000118485
55 *230:22 *1642:CLK 2.23259e-05
56 *245:7 *1699:CLK 0.000370815
57 *262:61 *1686:CLK 0.000185377
58 *346:28 *454:57 0
59 *389:8 *454:57 2.97513e-05
60 *402:12 *1705:CLK 0
61 *443:8 *1684:CLK 7.14746e-05
62 *443:8 *1686:CLK 2.16355e-05
63 *443:12 *1684:CLK 5.41227e-05
*RES
1 *1744:X *454:7 14.4725
2 *454:7 *454:8 4.32351
3 *454:8 *1689:CLK 15.2053
4 *454:8 *454:21 4.64105
5 *454:21 *454:23 6.81502
6 *454:23 *1684:CLK 16.8269
7 *454:23 *1686:CLK 22.237
8 *454:21 *1697:CLK 15.5817
9 *454:7 *454:46 3.07775
10 *454:46 *1699:CLK 18.3789
11 *454:46 *454:57 19.6878
12 *454:57 *1642:CLK 15.0271
13 *454:57 *1705:CLK 18.1049
*END
*D_NET *455 0.0129371
*CONN
*I *1690:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1682:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1641:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1634:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1655:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1657:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1658:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1745:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *1690:CLK 0.00101959
2 *1682:CLK 0
3 *1641:CLK 0.000113835
4 *1634:CLK 0.000709821
5 *1655:CLK 0
6 *1657:CLK 9.38877e-05
7 *1658:CLK 2.1308e-05
8 *1745:X 0.000477994
9 *455:35 0.000812201
10 *455:23 0.000398904
11 *455:15 0.000484297
12 *455:10 0.000616124
13 *455:8 0.000360288
14 *455:7 0.00161594
15 *1634:CLK *1638:CLK 0.00015295
16 *1634:CLK *1681:CLK 0.000113968
17 *1634:CLK *1777:A 0
18 *1634:CLK *456:39 7.77309e-06
19 *1690:CLK *523:DIODE 7.05604e-05
20 *1690:CLK *474:14 1.00004e-05
21 *455:10 *1537:A1 0.000287114
22 *455:10 *506:8 0
23 *455:15 *1448:A0 0.00047556
24 *455:15 *1537:A1 0.000180902
25 *455:23 *1448:A0 0.00042034
26 *1324:B1 *455:7 0.000115934
27 *1335:A *1690:CLK 9.34502e-05
28 *1335:A *455:8 3.21991e-05
29 *1345:B2 *1690:CLK 7.5909e-06
30 *1349:B1 *1690:CLK 2.84577e-05
31 *1371:A1 *1690:CLK 0
32 *1371:A1 *455:8 0
33 *1372:C1 *1690:CLK 5.95477e-05
34 *1381:B *1641:CLK 0.000110684
35 *1448:A1 *455:23 0.000107496
36 *1448:S *455:23 0.000124869
37 *1459:A *455:15 1.62073e-05
38 *1527:A *1634:CLK 0.000122083
39 *1537:A0 *455:8 1.38715e-05
40 *1537:A0 *455:10 9.02651e-05
41 *1538:A *1690:CLK 0.000794701
42 *1538:A *455:7 2.65831e-05
43 *1538:B *455:8 6.1061e-06
44 *1539:A *455:10 0
45 *1638:D *1634:CLK 0.000217345
46 *1655:D *1634:CLK 7.44658e-05
47 *1657:D *1657:CLK 6.65725e-05
48 *1658:D *455:15 1.87611e-05
49 *1682:D *455:8 9.12416e-06
50 *1682:D *455:10 0.000334316
51 *1766:A *455:10 0
52 *1766:A *455:15 0
53 *1768:A *455:23 0.000164829
54 *1768:A *455:35 0.00011818
55 *52:15 *1634:CLK 8.68814e-05
56 *52:15 *455:35 0.00014642
57 *180:9 *1634:CLK 0.000322156
58 *187:65 *1690:CLK 1.38595e-05
59 *194:18 *1690:CLK 0.000162873
60 *198:14 *1657:CLK 0.000159996
61 *206:10 *455:7 0.000176521
62 *248:31 *455:15 0.000193382
63 *271:17 *1690:CLK 9.4711e-05
64 *271:39 *1690:CLK 8.62523e-06
65 *271:39 *455:10 0
66 *312:31 *1641:CLK 2.65831e-05
67 *426:7 *1634:CLK 0.000183251
68 *434:28 *455:7 0.000164815
*RES
1 *1745:X *455:7 25.5646
2 *455:7 *455:8 2.6625
3 *455:8 *455:10 9.72179
4 *455:10 *455:15 13.1532
5 *455:15 *1658:CLK 9.82786
6 *455:15 *455:23 7.93324
7 *455:23 *1657:CLK 22.1574
8 *455:23 *455:35 3.52053
9 *455:35 *1655:CLK 9.24915
10 *455:35 *1634:CLK 41.619
11 *455:10 *1641:CLK 17.2697
12 *455:8 *1682:CLK 13.7491
13 *455:7 *1690:CLK 37.9276
*END
*D_NET *456 0.0126527
*CONN
*I *1687:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1654:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1679:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1680:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1678:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1681:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1638:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1640:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1636:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1746:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *1687:CLK 0.000629817
2 *1654:CLK 0
3 *1679:CLK 2.16945e-05
4 *1680:CLK 2.19663e-05
5 *1678:CLK 2.52739e-05
6 *1681:CLK 0.000312973
7 *1638:CLK 0.000339657
8 *1640:CLK 0
9 *1636:CLK 0.000483467
10 *1746:X 0.000119616
11 *456:39 0.000782871
12 *456:37 0.000386263
13 *456:35 0.000473109
14 *456:33 0.000508893
15 *456:30 0.000544304
16 *456:21 0.000277499
17 *456:19 0.000705179
18 *456:7 0.000678445
19 *1638:CLK *1365:A 0
20 *1638:CLK *1779:A 0
21 *456:30 *1380:A1 0.000100232
22 *456:30 *499:26 3.7368e-05
23 *456:33 *1528:A1 0.000150727
24 *456:33 *475:21 0
25 *456:35 *1528:A1 0.000188828
26 *456:35 *475:21 0
27 *456:37 *475:21 0
28 *528:DIODE *1687:CLK 6.50727e-05
29 *544:DIODE *456:33 4.64655e-05
30 *548:DIODE *1687:CLK 0
31 *1350:A2 *1638:CLK 0
32 *1352:C1 *1636:CLK 5.05252e-05
33 *1361:A2 *1636:CLK 1.13071e-05
34 *1361:B1 *1636:CLK 0
35 *1363:B1 *1636:CLK 0.000136534
36 *1364:A2 *1636:CLK 6.25268e-05
37 *1364:A2 *1687:CLK 0.000297016
38 *1364:A2 *456:19 0.000140303
39 *1364:B1 *1687:CLK 6.50586e-05
40 *1367:A2 *1636:CLK 0.000154047
41 *1369:A1 *1638:CLK 0.000115746
42 *1443:A *1687:CLK 1.58551e-05
43 *1443:B *1687:CLK 6.08467e-05
44 *1444:A *1687:CLK 0.000269633
45 *1525:S *456:35 4.37999e-05
46 *1525:S *456:37 8.53577e-05
47 *1526:A *1681:CLK 9.32983e-05
48 *1526:B *456:37 0
49 *1526:B *456:39 0
50 *1527:A *1638:CLK 0.000122083
51 *1527:A *1681:CLK 6.08467e-05
52 *1528:A0 *456:35 0.000170113
53 *1529:A *456:35 2.57674e-05
54 *1529:A *456:37 8.12388e-06
55 *1634:CLK *1638:CLK 0.00015295
56 *1634:CLK *1681:CLK 0.000113968
57 *1634:CLK *456:39 7.77309e-06
58 *1637:D *1687:CLK 1.03403e-05
59 *1638:D *1638:CLK 6.50586e-05
60 *1640:D *456:30 0.000128208
61 *1653:D *1687:CLK 0.000264572
62 *1678:D *1638:CLK 4.27003e-05
63 *1678:D *456:37 3.20069e-06
64 *1678:D *456:39 0.00010379
65 *1679:D *1679:CLK 0.00011818
66 *1679:D *456:35 1.8323e-05
67 *1680:D *1680:CLK 6.50727e-05
68 *1746:A *456:7 4.73169e-05
69 *41:8 *456:33 9.98029e-06
70 *94:50 *1638:CLK 0
71 *94:50 *456:37 0
72 *178:16 *1638:CLK 4.75721e-06
73 *180:9 *456:39 4.77968e-05
74 *190:57 *1636:CLK 1.50389e-06
75 *202:20 *456:30 0.00103856
76 *248:9 *1687:CLK 4.11567e-05
77 *312:63 *1681:CLK 0.000216458
78 *425:17 *456:7 5.99529e-06
79 *426:26 *1636:CLK 0
80 *428:21 *1636:CLK 0.000217319
81 *428:33 *1636:CLK 0.000553591
82 *428:33 *1687:CLK 0.000131925
83 *428:33 *456:19 5.11824e-05
84 *434:10 *1678:CLK 2.65667e-05
85 *434:10 *456:37 0.000123582
86 *434:28 *1636:CLK 6.50727e-05
87 *436:5 *1679:CLK 1.19856e-05
88 *436:22 *1679:CLK 2.65831e-05
89 *439:27 *1687:CLK 4.87301e-05
*RES
1 *1746:X *456:7 15.5817
2 *456:7 *1636:CLK 29.9102
3 *456:7 *456:19 2.6625
4 *456:19 *456:21 4.5
5 *456:21 *1640:CLK 9.24915
6 *456:21 *456:30 12.4183
7 *456:30 *456:33 11.315
8 *456:33 *456:35 6.81502
9 *456:35 *456:37 6.81502
10 *456:37 *456:39 4.32351
11 *456:39 *1638:CLK 23.7791
12 *456:39 *1681:CLK 21.7065
13 *456:37 *1678:CLK 14.4725
14 *456:35 *1680:CLK 14.4725
15 *456:33 *1679:CLK 15.0271
16 *456:30 *1654:CLK 9.24915
17 *456:19 *1687:CLK 32.519
*END
*D_NET *457 0.0155141
*CONN
*I *1693:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1692:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1660:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1691:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1675:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1676:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1659:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1685:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1683:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1643:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1747:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *1693:CLK 3.97163e-05
2 *1692:CLK 0
3 *1660:CLK 0.00105112
4 *1691:CLK 0
5 *1675:CLK 0
6 *1676:CLK 0
7 *1659:CLK 2.31637e-05
8 *1685:CLK 0.000152412
9 *1683:CLK 0.00017218
10 *1643:CLK 0.000316452
11 *1747:X 0.000124705
12 *457:77 0.00237972
13 *457:67 0.00173621
14 *457:58 0.000724795
15 *457:49 0.000373254
16 *457:24 0.000579405
17 *457:20 0.000713754
18 *457:11 0.000777053
19 *457:9 0.000395502
20 *457:5 0.000591174
21 *1643:CLK *490:10 5.0715e-05
22 *1660:CLK *484:10 0
23 *1660:CLK *484:15 2.11533e-05
24 *1660:CLK *487:10 1.66771e-05
25 *1683:CLK *1408:A 4.58003e-05
26 *1693:CLK *466:9 0.00011758
27 *457:20 *1400:A1 6.79329e-05
28 *457:67 *1583:B1 0.000143017
29 *457:67 *466:9 4.31539e-05
30 *1382:A1 *1683:CLK 1.82679e-05
31 *1407:C1 *457:20 0
32 *1407:C1 *457:24 0
33 *1462:B *457:24 0.000123582
34 *1517:A *457:20 6.25467e-05
35 *1517:A *457:24 0.000142701
36 *1518:C1 *457:49 1.12605e-05
37 *1518:C1 *457:58 5.58587e-05
38 *1521:A2 *457:49 0.000153225
39 *1548:B *1660:CLK 0.000353477
40 *1550:A1 *457:24 0.000217602
41 *1553:B1_N *1685:CLK 6.50727e-05
42 *1564:A_N *457:67 0
43 *1574:B1 *1660:CLK 0
44 *1577:A *457:67 1.34199e-05
45 *1578:A *457:67 0
46 *1579:A *457:67 3.93117e-06
47 *1579:C *457:67 0.000120584
48 *1579:C *457:77 0.000236357
49 *1580:A1 *457:77 7.92757e-06
50 *1580:A2 *457:77 0.000151436
51 *1584:B *457:67 0.000284018
52 *1585:A_N *457:67 3.24554e-05
53 *1585:C *457:67 2.574e-05
54 *1602:B1_N *1660:CLK 5.01501e-05
55 *1603:C *1660:CLK 1.22756e-05
56 *1643:D *1643:CLK 0.000166216
57 *1659:D *1659:CLK 6.50727e-05
58 *1660:D *1660:CLK 3.20069e-06
59 *1676:D *457:9 1.87611e-05
60 *1676:D *457:20 0
61 *1691:D *457:67 2.65667e-05
62 *1691:D *457:77 7.02172e-06
63 *1692:D *1660:CLK 3.01634e-05
64 *1692:D *457:77 1.60502e-06
65 *170:20 *1660:CLK 3.51113e-05
66 *170:29 *1660:CLK 4.79303e-05
67 *171:6 *1660:CLK 0.000182869
68 *171:24 *1660:CLK 0.000137389
69 *220:42 *457:24 1.90218e-05
70 *233:8 *1660:CLK 0
71 *235:17 *1660:CLK 1.72464e-05
72 *245:17 *1660:CLK 3.31736e-05
73 *246:6 *1660:CLK 0.00015324
74 *246:11 *1660:CLK 8.16827e-05
75 *262:61 *1683:CLK 0.00021632
76 *262:61 *1685:CLK 0.000177033
77 *285:56 *1660:CLK 6.50586e-05
78 *346:28 *1660:CLK 0
79 *347:8 *1643:CLK 0.000185264
80 *347:8 *457:9 5.05707e-05
81 *347:8 *457:11 1.43983e-05
82 *347:8 *457:20 0
83 *347:14 *457:24 0.000195154
84 *353:19 *1660:CLK 3.88655e-05
85 *354:11 *457:67 0
86 *354:30 *457:67 0
87 *355:17 *1693:CLK 0.000141241
88 *355:17 *457:67 0.000164815
89 *356:8 *457:67 0
90 *363:11 *457:58 0.000144546
91 *363:11 *457:67 2.19276e-05
92 *368:19 *457:77 9.14669e-05
93 *431:10 *457:5 2.84661e-05
94 *438:11 *1685:CLK 0.000154145
*RES
1 *1747:X *457:5 12.191
2 *457:5 *457:9 8.36728
3 *457:9 *457:11 0.723396
4 *457:11 *1643:CLK 18.8462
5 *457:11 *457:20 14.637
6 *457:20 *457:24 14.2218
7 *457:24 *1683:CLK 14.964
8 *457:24 *1685:CLK 14.4094
9 *457:20 *1659:CLK 14.4725
10 *457:9 *1676:CLK 9.24915
11 *457:5 *457:49 7.57775
12 *457:49 *1675:CLK 13.7491
13 *457:49 *457:58 10.8998
14 *457:58 *457:67 22.2718
15 *457:67 *1691:CLK 9.24915
16 *457:67 *457:77 21.5572
17 *457:77 *1660:CLK 49.0417
18 *457:77 *1692:CLK 9.24915
19 *457:58 *1693:CLK 12.191
*END
*D_NET *458 0.0140477
*CONN
*I *1672:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1706:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1695:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1696:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1694:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1673:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1674:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1671:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1635:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1656:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1670:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1637:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1653:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *1748:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *1672:CLK 0
2 *1706:CLK 0
3 *1695:CLK 0.00104194
4 *1696:CLK 0
5 *1694:CLK 6.35797e-05
6 *1673:CLK 3.49018e-05
7 *1674:CLK 0.000127783
8 *1671:CLK 0
9 *1635:CLK 0.000154574
10 *1656:CLK 0
11 *1670:CLK 0.000660023
12 *1637:CLK 0
13 *1653:CLK 0.000335066
14 *1748:X 0
15 *458:100 0.00129301
16 *458:89 0.000630554
17 *458:76 0.000162685
18 *458:74 0.000512857
19 *458:70 0.000334323
20 *458:65 0.000259318
21 *458:55 0.000275163
22 *458:45 0.00033606
23 *458:37 0.000181818
24 *458:8 0.000370941
25 *458:7 0.00134025
26 *458:4 0.000797891
27 *1635:CLK *1451:A0 0.000107496
28 *1694:CLK *467:7 6.98314e-05
29 *1695:CLK *468:8 4.58102e-06
30 *1695:CLK *469:17 4.55936e-05
31 *458:70 *476:8 4.10961e-05
32 *458:89 *1562:C 0
33 *458:89 *476:8 0
34 *458:100 *1562:C 0
35 *458:100 *1568:A 0
36 *1366:B2 *1670:CLK 0
37 *1501:A1 *1670:CLK 3.20069e-06
38 *1502:A1 *1653:CLK 5.64929e-05
39 *1503:A0 *458:55 0
40 *1503:A1 *458:55 6.31665e-05
41 *1503:S *458:55 1.78514e-05
42 *1503:S *458:65 5.75903e-05
43 *1504:A *1670:CLK 0
44 *1504:A *458:8 0
45 *1510:A0 *458:70 6.43474e-05
46 *1510:A0 *458:74 1.55995e-05
47 *1510:S *458:74 1.07248e-05
48 *1511:A *1653:CLK 4.31703e-05
49 *1588:A *458:89 6.23202e-05
50 *1589:A *458:89 0.000174175
51 *1589:A *458:100 2.95757e-05
52 *1589:B *1694:CLK 3.24105e-05
53 *1589:C *458:89 7.55529e-05
54 *1597:A *458:100 0.00017875
55 *1597:B *458:100 2.32176e-05
56 *1598:A *458:100 0
57 *1637:D *1653:CLK 6.69343e-05
58 *1637:D *458:8 3.67528e-06
59 *1653:D *1653:CLK 0.000522829
60 *1672:D *458:65 0.000111722
61 *1673:D *458:70 2.19131e-05
62 *1695:D *1695:CLK 4.40253e-05
63 *1696:D *458:100 3.67708e-05
64 *1706:D *1635:CLK 0.000196638
65 *1706:D *458:55 0.000372379
66 *1706:D *458:65 0.000195139
67 *1706:D *458:70 0.000363685
68 *1706:D *458:74 2.16355e-05
69 *1748:A *458:7 0.000974336
70 *172:32 *1670:CLK 4.4037e-05
71 *172:36 *1670:CLK 2.32311e-05
72 *172:36 *458:8 1.18938e-05
73 *246:40 *1670:CLK 0.000147197
74 *262:64 *1653:CLK 8.52652e-05
75 *361:24 *458:89 4.01573e-05
76 *366:42 *1694:CLK 6.08467e-05
77 *411:12 *458:70 0.000201869
78 *412:11 *1673:CLK 5.04829e-06
79 *412:11 *458:74 0.000266793
80 *412:11 *458:89 5.92342e-05
81 *427:10 *1635:CLK 0
82 *427:10 *458:37 7.09148e-05
83 *427:10 *458:45 1.00846e-05
*RES
1 *1748:X *458:4 9.24915
2 *458:4 *458:7 15.7609
3 *458:7 *458:8 1.00149
4 *458:8 *1653:CLK 25.036
5 *458:8 *1637:CLK 13.7491
6 *458:7 *1670:CLK 26.3006
7 *458:4 *458:37 4.48505
8 *458:37 *1656:CLK 9.24915
9 *458:37 *458:45 5.2234
10 *458:45 *1635:CLK 18.5201
11 *458:45 *458:55 6.39977
12 *458:55 *1671:CLK 13.7491
13 *458:55 *458:65 9.271
14 *458:65 *458:70 16.2303
15 *458:70 *458:74 10.3802
16 *458:74 *458:76 4.5
17 *458:76 *1674:CLK 12.191
18 *458:76 *1673:CLK 9.97254
19 *458:74 *458:89 10.137
20 *458:89 *1694:CLK 16.1364
21 *458:89 *458:100 11.315
22 *458:100 *1696:CLK 9.24915
23 *458:100 *1695:CLK 25.2603
24 *458:70 *1706:CLK 9.24915
25 *458:65 *1672:CLK 9.24915
*END
*D_NET *459 0.00224188
*CONN
*I *1613:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *1622:B I *D sky130_fd_sc_hd__and4_1
*I *1389:B I *D sky130_fd_sc_hd__and3b_1
*I *1701:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1613:A 6.4192e-05
2 *1622:B 0.000101482
3 *1389:B 0.000362006
4 *1701:Q 0.000103862
5 *459:23 0.000165674
6 *459:6 0.000465868
7 *1389:B *1389:C 0.000143047
8 *1389:B *1620:B1_N 1.44611e-05
9 *1389:B *461:7 4.80635e-06
10 *1389:B *461:21 0.000170548
11 *1622:B *1622:C 5.41377e-05
12 *1622:B *461:21 1.2693e-05
13 *459:6 *461:21 6.79599e-05
14 *1615:A_N *1622:B 2.71542e-05
15 *1615:A_N *459:6 0
16 *1618:A2 *1622:B 1.44467e-05
17 *1620:A2 *1389:B 0
18 *1703:D *1389:B 2.74378e-05
19 *396:7 *1613:A 0.000216467
20 *396:7 *1622:B 0.000154145
21 *397:25 *1389:B 7.14918e-05
*RES
1 *1701:Q *459:6 16.4116
2 *459:6 *1389:B 23.7399
3 *459:6 *459:23 4.5
4 *459:23 *1622:B 21.4985
5 *459:23 *1613:A 11.6364
*END
*D_NET *460 0.00150415
*CONN
*I *1617:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *1389:C I *D sky130_fd_sc_hd__and3b_1
*I *1702:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1617:A 0
2 *1389:C 0.000171261
3 *1702:Q 0.000167897
4 *460:7 0.000339159
5 *1389:C *461:21 0.000146645
6 *460:7 *1622:C 0.000115934
7 *1389:B *1389:C 0.000143047
8 *1619:B1 *460:7 0.000222149
9 *1703:D *1389:C 6.61183e-05
10 *397:25 *460:7 4.23874e-05
11 *399:5 *460:7 3.01683e-06
12 *399:7 *460:7 8.65358e-05
*RES
1 *1702:Q *460:7 15.5427
2 *460:7 *1389:C 22.7442
3 *460:7 *1617:A 9.24915
*END
*D_NET *461 0.00423325
*CONN
*I *1389:A_N I *D sky130_fd_sc_hd__and3b_1
*I *1623:A3 I *D sky130_fd_sc_hd__a31o_1
*I *1622:D I *D sky130_fd_sc_hd__and4_1
*I *1620:B1_N I *D sky130_fd_sc_hd__a21boi_1
*I *1703:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1389:A_N 0
2 *1623:A3 0.000700547
3 *1622:D 0
4 *1620:B1_N 0.000182198
5 *1703:Q 0.000117616
6 *461:21 0.000909615
7 *461:9 0.000391265
8 *461:7 0.000117616
9 *461:21 *1622:C 0.000169093
10 *1389:B *1620:B1_N 1.44611e-05
11 *1389:B *461:7 4.80635e-06
12 *1389:B *461:21 0.000170548
13 *1389:C *461:21 0.000146645
14 *1620:A2 *1620:B1_N 0
15 *1622:B *461:21 1.2693e-05
16 *1703:D *461:7 0.00012316
17 *1704:D *1623:A3 5.05252e-05
18 *396:7 *1623:A3 3.54024e-05
19 *397:45 *1623:A3 0
20 *399:13 *461:21 1.89331e-05
21 *402:9 *1623:A3 0.00100017
22 *459:6 *461:21 6.79599e-05
*RES
1 *1703:Q *461:7 12.7697
2 *461:7 *461:9 4.5
3 *461:9 *1620:B1_N 17.5503
4 *461:9 *461:21 12.5608
5 *461:21 *1622:D 9.24915
6 *461:21 *1623:A3 31.0661
7 *461:7 *1389:A_N 9.24915
*END
*D_NET *462 0.00434627
*CONN
*I *1388:A I *D sky130_fd_sc_hd__nor2_1
*I *1623:B1 I *D sky130_fd_sc_hd__a31o_1
*I *1622:C I *D sky130_fd_sc_hd__and4_1
*I *1704:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1388:A 0.000333711
2 *1623:B1 0.000126002
3 *1622:C 0.000712584
4 *1704:Q 0
5 *462:5 0.00142172
6 *462:4 0.000916846
7 *1392:A2 *1388:A 2.99287e-05
8 *1472:A *1388:A 6.96979e-05
9 *1618:A2 *1622:C 3.90689e-06
10 *1622:B *1622:C 5.41377e-05
11 *1623:A1 *1622:C 0.000120974
12 *1623:A1 *1623:B1 2.652e-05
13 *1623:A1 *462:5 1.41291e-05
14 *1623:A2 *1623:B1 1.43983e-05
15 *1701:CLK *1623:B1 7.50872e-05
16 *1704:CLK *1622:C 2.65831e-05
17 *1704:D *462:5 3.18826e-06
18 *397:45 *1388:A 0
19 *399:7 *1622:C 3.33645e-05
20 *399:13 *1622:C 4.27003e-05
21 *399:31 *1622:C 3.57646e-05
22 *460:7 *1622:C 0.000115934
23 *461:21 *1622:C 0.000169093
*RES
1 *1704:Q *462:4 9.24915
2 *462:4 *462:5 8.48785
3 *462:5 *1622:C 31.4786
4 *462:5 *1623:B1 21.3779
5 *462:4 *1388:A 24.4081
*END
*D_NET *463 0.00289709
*CONN
*I *1627:A1 I *D sky130_fd_sc_hd__o21a_1
*I *1626:A1 I *D sky130_fd_sc_hd__a21boi_1
*I *1388:B I *D sky130_fd_sc_hd__nor2_1
*I *1705:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1627:A1 0.000420547
2 *1626:A1 9.22182e-05
3 *1388:B 0.000312244
4 *1705:Q 0.000317731
5 *463:7 0.000404462
6 *463:5 0.000738279
7 *1388:B *1475:A0 0
8 *1626:A1 *1475:A0 0
9 *1472:A *1388:B 8.97566e-06
10 *1624:A_N *1388:B 0.000118792
11 *1625:A *463:5 5.67722e-05
12 *1626:A2 *1388:B 0.000133775
13 *1626:A2 *1626:A1 0.000109859
14 *1626:B1_N *1626:A1 9.22013e-06
15 *1627:A2 *1627:A1 2.02808e-05
16 *1627:A2 *463:5 1.83795e-06
17 *1705:CLK *1388:B 0
18 *1705:CLK *1627:A1 2.20457e-05
19 *1705:D *1388:B 0
20 *1705:D *1627:A1 2.59686e-05
21 *5:12 *1626:A1 0
22 *230:22 *1388:B 2.96784e-06
23 *402:12 *1388:B 0.000101118
*RES
1 *1705:Q *463:5 13.8548
2 *463:5 *463:7 4.5
3 *463:7 *1388:B 22.2871
4 *463:7 *1626:A1 16.4116
5 *463:5 *1627:A1 15.9526
*END
*D_NET *464 0.00423439
*CONN
*I *1570:C I *D sky130_fd_sc_hd__and4_1
*I *1561:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *1565:B I *D sky130_fd_sc_hd__and3b_1
*I *1691:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1570:C 0.000351587
2 *1561:A 0
3 *1565:B 0.000223401
4 *1691:Q 0.000135505
5 *464:11 0.000465852
6 *464:9 0.000729542
7 *1565:B *482:25 1.75625e-05
8 *1570:C *1570:A 3.0676e-05
9 *1570:B *1570:C 6.64392e-05
10 *1570:D *1570:C 5.8334e-05
11 *1579:A *464:9 4.23268e-05
12 *1579:B *464:9 0.000210197
13 *1579:B *464:11 0.000670144
14 *1579:C *464:9 0.000205923
15 *1579:C *464:11 0.000174018
16 *1580:B1 *1565:B 4.59081e-05
17 *1581:A_N *1565:B 9.63981e-05
18 *1581:A_N *464:11 4.09471e-05
19 *1581:B *1565:B 6.84456e-05
20 *1585:C *464:11 0.000162739
21 *1586:A *1570:C 3.22726e-05
22 *1693:D *1570:C 3.20069e-06
23 *354:11 *464:11 6.50727e-05
24 *354:27 *464:11 0.000164815
25 *365:22 *1570:C 0
26 *366:8 *1570:C 2.27445e-05
27 *366:18 *1570:C 1.2551e-05
28 *368:8 *1565:B 0.000137789
*RES
1 *1691:Q *464:9 23.4382
2 *464:9 *464:11 10.7063
3 *464:11 *1565:B 24.9627
4 *464:11 *1561:A 9.24915
5 *464:9 *1570:C 25.3751
*END
*D_NET *465 0.00273318
*CONN
*I *1565:A_N I *D sky130_fd_sc_hd__and3b_1
*I *1563:A I *D sky130_fd_sc_hd__clkbuf_1
*I *1692:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1565:A_N 0
2 *1563:A 0.000168441
3 *1692:Q 0.000499016
4 *465:8 0.000667456
5 *1563:A *490:10 2.5386e-05
6 *465:8 *490:10 0.000101
7 *1398:B *1563:A 0.000103943
8 *1575:B *1563:A 0.000160384
9 *1579:A *465:8 0
10 *1580:A1 *465:8 0.000172954
11 *1583:A1 *1563:A 0.000378039
12 *1583:A2 *1563:A 0.00023862
13 *354:11 *465:8 0
14 *356:34 *1563:A 0
15 *356:34 *465:8 0
16 *365:17 *1563:A 0.000217937
*RES
1 *1692:Q *465:8 23.5088
2 *465:8 *1563:A 23.7819
3 *465:8 *1565:A_N 13.7491
*END
*D_NET *466 0.00324922
*CONN
*I *1562:D I *D sky130_fd_sc_hd__nor4_1
*I *1570:A I *D sky130_fd_sc_hd__and4_1
*I *1584:A I *D sky130_fd_sc_hd__or2_1
*I *1583:B1 I *D sky130_fd_sc_hd__o2111a_1
*I *1693:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1562:D 0.000121212
2 *1570:A 8.38394e-05
3 *1584:A 0
4 *1583:B1 0.000237398
5 *1693:Q 0
6 *466:9 0.000408204
7 *466:5 0.000802039
8 *466:4 0.000668606
9 *1570:B *1583:B1 0.000278329
10 *1570:C *1570:A 3.0676e-05
11 *1585:C *1583:B1 1.55462e-05
12 *1693:CLK *466:9 0.00011758
13 *1693:D *1570:A 1.01794e-05
14 *1693:D *466:5 1.87611e-05
15 *355:17 *1562:D 7.49459e-05
16 *355:17 *466:5 8.18978e-05
17 *363:11 *1583:B1 9.75356e-05
18 *374:5 *1583:B1 1.62995e-05
19 *457:67 *1583:B1 0.000143017
20 *457:67 *466:9 4.31539e-05
*RES
1 *1693:Q *466:4 9.24915
2 *466:4 *466:5 8.48785
3 *466:5 *466:9 4.62973
4 *466:9 *1583:B1 25.7102
5 *466:9 *1584:A 9.24915
6 *466:5 *1570:A 20.0811
7 *466:4 *1562:D 12.7697
*END
*D_NET *467 0.0039526
*CONN
*I *1568:A I *D sky130_fd_sc_hd__clkbuf_1
*I *1562:C I *D sky130_fd_sc_hd__nor4_1
*I *1694:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1568:A 0.00011522
2 *1562:C 0.000364402
3 *1694:Q 0.000853547
4 *467:7 0.00133317
5 *1562:C *1562:B 4.0752e-05
6 *1562:C *1569:B 0.000165455
7 *1562:C *469:23 0.000199931
8 *1568:A *469:23 0.00012631
9 *1569:C *1562:C 0.000104225
10 *1570:D *1562:C 1.00937e-05
11 *1588:A *1562:C 5.56367e-05
12 *1588:B *1562:C 3.70066e-05
13 *1596:B *1568:A 6.50727e-05
14 *1694:CLK *467:7 6.98314e-05
15 *1694:D *467:7 5.59587e-06
16 *361:24 *1562:C 0.000139517
17 *366:42 *467:7 0.000266832
18 *458:89 *1562:C 0
19 *458:100 *1562:C 0
20 *458:100 *1568:A 0
*RES
1 *1694:Q *467:7 26.6738
2 *467:7 *1562:C 25.1726
3 *467:7 *1568:A 17.135
*END
*D_NET *468 0.00220594
*CONN
*I *1591:A I *D sky130_fd_sc_hd__and3_1
*I *1592:B1 I *D sky130_fd_sc_hd__a21o_1
*I *1569:B I *D sky130_fd_sc_hd__nor3_1
*I *1562:B I *D sky130_fd_sc_hd__nor4_1
*I *1695:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1591:A 0
2 *1592:B1 2.86212e-05
3 *1569:B 4.74671e-05
4 *1562:B 2.46403e-05
5 *1695:Q 0.000135014
6 *468:14 0.000140846
7 *468:10 0.000181116
8 *468:8 0.000218771
9 *1562:B *1562:A 6.08467e-05
10 *1569:B *1562:A 3.29841e-05
11 *468:8 *496:12 8.89094e-05
12 *468:10 *496:12 0.00022576
13 *468:14 *496:12 0.000165481
14 *1562:C *1562:B 4.0752e-05
15 *1562:C *1569:B 0.000165455
16 *1569:C *1569:B 6.64392e-05
17 *1570:D *1562:B 2.15184e-05
18 *1695:CLK *468:8 4.58102e-06
19 *85:12 *468:8 9.60216e-05
20 *85:12 *468:10 0.000234386
21 *85:12 *468:14 0.000165481
22 *374:23 *1592:B1 6.08467e-05
*RES
1 *1695:Q *468:8 16.8591
2 *468:8 *468:10 4.32351
3 *468:10 *468:14 7.57775
4 *468:14 *1562:B 10.5271
5 *468:14 *1569:B 11.6605
6 *468:10 *1592:B1 14.4725
7 *468:8 *1591:A 13.7491
*END
*D_NET *469 0.00377564
*CONN
*I *1595:A I *D sky130_fd_sc_hd__or2_1
*I *1569:A I *D sky130_fd_sc_hd__nor3_1
*I *1562:A I *D sky130_fd_sc_hd__nor4_1
*I *1596:A I *D sky130_fd_sc_hd__nand2_1
*I *1696:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1595:A 0
2 *1569:A 0
3 *1562:A 8.95563e-05
4 *1596:A 4.32714e-05
5 *1696:Q 0.000208445
6 *469:23 0.000439448
7 *469:17 0.000671939
8 *469:5 0.000573765
9 *1596:A *1781:A 0.000127164
10 io_wbs_data_o[10] *1596:A 0.000125695
11 *1562:B *1562:A 6.08467e-05
12 *1562:C *469:23 0.000199931
13 *1568:A *469:23 0.00012631
14 *1569:B *1562:A 3.29841e-05
15 *1569:C *1562:A 0.000168313
16 *1569:C *469:23 9.76046e-05
17 *1570:D *1562:A 0.000156955
18 *1588:B *469:23 0.000133878
19 *1595:B *469:23 3.89558e-05
20 *1597:B *469:17 0.000324151
21 *1695:CLK *469:17 4.55936e-05
22 *1696:D *469:17 0.000110833
23 *85:12 *469:23 0
*RES
1 *1696:Q *469:5 12.191
2 *469:5 *1596:A 20.4964
3 *469:5 *469:17 9.06656
4 *469:17 *469:23 19.1693
5 *469:23 *1562:A 12.7456
6 *469:23 *1569:A 9.24915
7 *469:17 *1595:A 9.24915
*END
*D_NET *470 0.00306226
*CONN
*I *1547:B I *D sky130_fd_sc_hd__or3b_1
*I *1560:B I *D sky130_fd_sc_hd__and3b_1
*I *1395:B I *D sky130_fd_sc_hd__nor3b_1
*I *1387:C I *D sky130_fd_sc_hd__and3b_2
*I *1402:A I *D sky130_fd_sc_hd__clkbuf_1
*I *1698:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1547:B 0
2 *1560:B 0.000171611
3 *1395:B 0
4 *1387:C 2.10476e-05
5 *1402:A 9.82915e-05
6 *1698:Q 0
7 *470:27 0.000288029
8 *470:16 0.000288707
9 *470:13 0.000454985
10 *470:4 0.000402035
11 *1387:C *1387:B 1.42325e-05
12 *1387:C *1560:C 9.16123e-05
13 *1402:A *472:21 6.31809e-05
14 *1560:B *1395:C_N 2.65831e-05
15 *470:16 *1395:A 9.35753e-06
16 *470:16 *471:12 3.00073e-05
17 *470:16 *471:21 5.79254e-05
18 *470:27 *1395:A 5.86178e-05
19 *470:27 *1395:C_N 3.88655e-05
20 *470:27 *471:21 1.44611e-05
21 *470:27 *471:30 3.46062e-05
22 *231:17 *1560:B 0.000426168
23 *236:7 *1560:B 0.000142393
24 *295:7 *470:13 0.000129784
25 *390:11 *470:13 0.000167076
26 *390:11 *470:16 6.08697e-06
27 *397:8 *1560:B 1.79672e-05
28 *397:45 *1560:B 8.62321e-06
29 *453:98 *1402:A 0
30 *453:98 *470:16 0
31 *453:109 *470:16 0
*RES
1 *1698:Q *470:4 9.24915
2 *470:4 *1402:A 20.9116
3 *470:4 *470:13 5.16022
4 *470:13 *470:16 8.40826
5 *470:16 *1387:C 14.7498
6 *470:16 *470:27 8.40826
7 *470:27 *1395:B 9.24915
8 *470:27 *1560:B 25.102
9 *470:13 *1547:B 9.24915
*END
*D_NET *471 0.00366734
*CONN
*I *1403:A I *D sky130_fd_sc_hd__clkbuf_1
*I *1395:C_N I *D sky130_fd_sc_hd__nor3b_1
*I *1387:B I *D sky130_fd_sc_hd__and3b_2
*I *1560:A_N I *D sky130_fd_sc_hd__and3b_1
*I *1547:A I *D sky130_fd_sc_hd__or3b_1
*I *1699:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1403:A 0
2 *1395:C_N 0.000251955
3 *1387:B 0.000154822
4 *1560:A_N 2.30027e-05
5 *1547:A 2.31818e-05
6 *1699:Q 8.97708e-05
7 *471:30 0.000467627
8 *471:21 0.000177267
9 *471:12 0.000422207
10 *471:7 0.000395382
11 *1387:B *1560:C 3.56969e-06
12 *1395:C_N *1395:A 3.14978e-05
13 *1560:A_N *1560:C 2.15348e-05
14 *1387:C *1387:B 1.42325e-05
15 *1557:B *471:12 0
16 *1560:B *1395:C_N 2.65831e-05
17 *1743:A *471:12 3.31733e-05
18 *229:22 *1560:A_N 6.08467e-05
19 *229:22 *471:12 0.000364249
20 *229:22 *471:21 0.000144531
21 *229:67 *1387:B 6.50586e-05
22 *229:67 *1560:A_N 5.07314e-05
23 *231:7 *1395:C_N 0
24 *231:17 *1395:C_N 1.24783e-05
25 *243:12 *471:12 9.98029e-06
26 *244:7 *471:7 0
27 *244:7 *471:12 0.000222149
28 *244:11 *471:12 5.99691e-05
29 *244:13 *471:12 5.04829e-06
30 *245:7 *471:7 7.14652e-05
31 *245:7 *471:12 0.000188077
32 *295:7 *1547:A 6.50586e-05
33 *390:11 *471:12 0
34 *395:17 *471:12 3.60268e-05
35 *397:8 *471:30 0
36 *397:45 *1395:C_N 0
37 *453:109 *1395:C_N 0
38 *470:16 *471:12 3.00073e-05
39 *470:16 *471:21 5.79254e-05
40 *470:27 *1395:C_N 3.88655e-05
41 *470:27 *471:21 1.44611e-05
42 *470:27 *471:30 3.46062e-05
*RES
1 *1699:Q *471:7 12.0704
2 *471:7 *471:12 16.4752
3 *471:12 *1547:A 14.4725
4 *471:12 *471:21 3.07775
5 *471:21 *1560:A_N 15.0271
6 *471:21 *471:30 1.41674
7 *471:30 *1387:B 16.1364
8 *471:30 *1395:C_N 19.971
9 *471:7 *1403:A 9.24915
*END
*D_NET *472 0.00479348
*CONN
*I *1547:C_N I *D sky130_fd_sc_hd__or3b_1
*I *1387:A_N I *D sky130_fd_sc_hd__and3b_2
*I *1560:C I *D sky130_fd_sc_hd__and3b_1
*I *1395:A I *D sky130_fd_sc_hd__nor3b_1
*I *1401:A I *D sky130_fd_sc_hd__clkbuf_1
*I *1700:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1547:C_N 3.11233e-05
2 *1387:A_N 0
3 *1560:C 0.000373594
4 *1395:A 0.000123673
5 *1401:A 0
6 *1700:Q 0.000217862
7 *472:29 0.000658057
8 *472:26 0.000280812
9 *472:21 0.000350011
10 *472:9 0.000416727
11 *1387:B *1560:C 3.56969e-06
12 *1387:C *1560:C 9.16123e-05
13 *1395:C_N *1395:A 3.14978e-05
14 *1402:A *472:21 6.31809e-05
15 *1560:A_N *1560:C 2.15348e-05
16 *1608:A *472:9 0.00013479
17 *1608:A *472:21 3.6455e-05
18 *1698:CLK *1547:C_N 0.000113968
19 *1698:CLK *472:21 0.00027329
20 *1698:D *472:21 0
21 *229:22 *1560:C 0.000111708
22 *229:22 *472:29 0
23 *229:67 *1560:C 4.89898e-06
24 *231:17 *1395:A 0.00011818
25 *242:8 *472:9 1.41976e-05
26 *242:8 *472:21 3.8122e-05
27 *295:7 *1547:C_N 0.000152878
28 *295:7 *472:21 0.000277502
29 *295:10 *472:21 8.50941e-05
30 *346:11 *1560:C 0.000171288
31 *453:39 *472:9 0.000224381
32 *453:98 *472:21 0
33 *453:98 *472:26 1.09738e-05
34 *453:109 *1395:A 0.000247231
35 *453:109 *472:26 4.72872e-05
36 *470:16 *1395:A 9.35753e-06
37 *470:27 *1395:A 5.86178e-05
*RES
1 *1700:Q *472:9 15.5668
2 *472:9 *1401:A 9.24915
3 *472:9 *472:21 17.6827
4 *472:21 *472:26 11.6625
5 *472:26 *472:29 2.41132
6 *472:29 *1395:A 23.8507
7 *472:29 *1560:C 15.5186
8 *472:26 *1387:A_N 9.24915
9 *472:21 *1547:C_N 11.0817
*END
*D_NET *473 0.00877856
*CONN
*I *1475:A0 I *D sky130_fd_sc_hd__mux2_1
*I *1749:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1475:A0 0.00435776
2 *1749:X 0.00435776
3 *1475:A0 *1349:A1 0
4 *1388:B *1475:A0 0
5 *1626:A1 *1475:A0 0
6 *1627:B1 *1475:A0 0
7 *1705:D *1475:A0 4.75721e-06
8 *296:10 *1475:A0 3.40268e-05
9 *415:8 *1475:A0 2.42661e-05
*RES
1 *1749:X *1475:A0 47.2839
*END
*D_NET *474 0.0172907
*CONN
*I *1331:B I *D sky130_fd_sc_hd__nor3_1
*I *523:DIODE I *D sky130_fd_sc_hd__diode_2
*I *1750:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *1331:B 3.13523e-05
2 *523:DIODE 0.000448464
3 *1750:X 0.000150313
4 *474:14 0.00354862
5 *474:8 0.00482619
6 *474:7 0.00190769
7 *523:DIODE *1331:C 5.04829e-06
8 *474:8 *1555:B 0
9 *474:8 *485:8 0.00150855
10 *474:8 *485:19 0.000220043
11 *474:14 *1363:A1 0.000286271
12 *474:14 *1468:A1 0
13 *474:14 *495:16 0.00255701
14 *1323:B2 *523:DIODE 0.000102079
15 *1335:A *523:DIODE 3.50347e-05
16 *1476:B *474:8 0.000325369
17 *1477:A *474:8 3.8079e-05
18 *1478:A0 *474:8 0
19 *1479:B *474:8 0.0001549
20 *1482:A1 *474:8 0
21 *1483:B *474:8 0
22 *1484:A *474:8 0
23 *1615:B *474:8 0
24 *1619:B1 *474:8 4.34143e-05
25 *1663:D *474:8 4.47713e-05
26 *1690:CLK *523:DIODE 7.05604e-05
27 *1690:CLK *474:14 1.00004e-05
28 *1700:D *474:8 0.000101133
29 *1708:D *474:8 0
30 *26:11 *474:7 0.000156351
31 *54:11 *474:8 2.82771e-05
32 *90:8 *474:8 5.66868e-06
33 *187:65 *523:DIODE 9.15727e-05
34 *187:65 *1331:B 8.96465e-06
35 *187:65 *474:14 3.5534e-06
36 *206:10 *523:DIODE 0.000165455
37 *221:26 *474:14 0
38 *223:17 *474:14 0
39 *271:39 *523:DIODE 0.000244112
40 *271:39 *474:14 2.0456e-06
41 *296:14 *474:8 0
42 *301:11 *474:8 7.22861e-05
43 *330:30 *523:DIODE 5.69718e-06
44 *399:13 *474:8 0
45 *415:8 *474:8 0
46 *415:10 *474:8 0
47 *416:8 *474:8 3.51249e-05
48 *416:12 *474:8 5.6638e-05
49 *451:80 *474:8 0
*RES
1 *1750:X *474:7 17.8002
2 *474:7 *474:8 60.1749
3 *474:8 *474:14 15.274
4 *474:14 *523:DIODE 33.1245
5 *474:14 *1331:B 14.4819
*END
*D_NET *475 0.0120923
*CONN
*I *1442:A0 I *D sky130_fd_sc_hd__mux2_1
*I *1468:A1 I *D sky130_fd_sc_hd__mux2_1
*I *1525:A1 I *D sky130_fd_sc_hd__mux2_1
*I *1751:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *1442:A0 0.000185931
2 *1468:A1 0.00202888
3 *1525:A1 7.49658e-05
4 *1751:X 0.000202203
5 *475:21 0.00421046
6 *475:7 0.00227282
7 *1468:A1 *1353:A1 0.00039605
8 *1468:A1 *1380:A1 8.33073e-06
9 *1468:A1 *1468:A0 2.26985e-05
10 *475:21 *476:8 0.000229535
11 *544:DIODE *1442:A0 0.000171288
12 *1346:A1 *1442:A0 0
13 *1346:A1 *1468:A1 0
14 *1349:C1 *1468:A1 0.000109043
15 *1351:B *475:21 0
16 *1352:B1 *1468:A1 6.78765e-05
17 *1353:B1 *1442:A0 2.85002e-05
18 *1353:B1 *1468:A1 0.000146406
19 *1380:A2 *1468:A1 5.27343e-05
20 *1380:A2 *475:21 9.47861e-05
21 *1525:S *475:21 0.000155231
22 *1528:A0 *475:21 2.39197e-05
23 *41:8 *475:21 0
24 *94:50 *475:21 0.000185443
25 *172:36 *1442:A0 3.20069e-06
26 *172:38 *1442:A0 2.33193e-05
27 *178:50 *475:21 0
28 *198:40 *1468:A1 9.96487e-06
29 *198:41 *1468:A1 9.54295e-05
30 *221:26 *1468:A1 0.000108801
31 *223:17 *1468:A1 0.00118448
32 *456:33 *475:21 0
33 *456:35 *475:21 0
34 *456:37 *475:21 0
35 *474:14 *1468:A1 0
*RES
1 *1751:X *475:7 13.8789
2 *475:7 *1525:A1 11.0817
3 *475:7 *475:21 31.1391
4 *475:21 *1468:A1 29.686
5 *475:21 *1442:A0 17.6214
*END
*D_NET *476 0.00784723
*CONN
*I *1445:A0 I *D sky130_fd_sc_hd__mux2_1
*I *1528:A1 I *D sky130_fd_sc_hd__mux2_1
*I *1752:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1445:A0 0
2 *1528:A1 0.000157317
3 *1752:X 0.000307562
4 *476:11 0.000227611
5 *476:8 0.0019678
6 *476:7 0.00220507
7 *476:8 *1781:A 0
8 *476:8 *499:26 0.000268777
9 io_wbs_data_o[10] *476:8 0.000154911
10 *544:DIODE *476:8 0
11 *1445:S *476:11 0.000111722
12 *1446:B *476:8 0
13 *1452:A *476:8 7.38623e-05
14 *1510:A0 *476:8 2.652e-05
15 *1589:A *476:8 0
16 *1589:B *476:8 0
17 *1589:C *476:8 0
18 *1597:A *476:8 0
19 *1597:B *476:8 0
20 *1598:A *476:7 5.0715e-05
21 *1598:A *476:8 0.000129514
22 *1635:D *476:8 0.000101133
23 *1654:D *476:8 3.59505e-05
24 *1656:D *476:8 0.000105057
25 *1673:D *476:8 0
26 *1679:D *1528:A1 0.000214687
27 *1694:D *476:8 0.000101133
28 *1706:D *476:8 0
29 *1763:A *476:11 8.4101e-05
30 *41:8 *1528:A1 4.41363e-05
31 *51:22 *1528:A1 9.71323e-06
32 *68:8 *476:7 0.000244442
33 *94:50 *476:8 0.000263877
34 *330:30 *1528:A1 6.08467e-05
35 *411:12 *476:8 8.82609e-05
36 *412:11 *476:8 0
37 *425:9 *476:11 0.000115632
38 *425:17 *476:11 2.16355e-05
39 *437:18 *1528:A1 6.50586e-05
40 *456:33 *1528:A1 0.000150727
41 *456:35 *1528:A1 0.000188828
42 *458:70 *476:8 4.10961e-05
43 *458:89 *476:8 0
44 *475:21 *476:8 0.000229535
*RES
1 *1752:X *476:7 22.237
2 *476:7 *476:8 56.23
3 *476:8 *476:11 7.99641
4 *476:11 *1528:A1 25.3723
5 *476:11 *1445:A0 9.24915
*END
*D_NET *477 0.0112232
*CONN
*I *1448:A0 I *D sky130_fd_sc_hd__mux2_1
*I *1753:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1448:A0 0.00124475
2 *1753:X 0.000926004
3 *477:14 0.00261343
4 *477:7 0.00229468
5 *477:14 *1429:A0 0.000217923
6 *526:DIODE *477:14 0.000152868
7 *550:DIODE *477:14 0.000130215
8 *1409:A *1448:A0 0.000334808
9 *1429:A1 *477:14 0.000640564
10 *1494:A1 *477:14 2.42138e-05
11 *1495:A *477:7 5.383e-06
12 *1499:A *477:14 0.000123131
13 *1522:A2 *477:14 4.31539e-05
14 *1522:B1 *477:14 0
15 *1667:D *477:7 0.000477395
16 *1753:A *477:7 0.000133093
17 *79:8 *477:7 1.65872e-05
18 *248:31 *1448:A0 0.000380524
19 *249:7 *1448:A0 0.000114523
20 *262:35 *477:14 5.0715e-05
21 *312:31 *477:14 0.000313897
22 *420:15 *477:7 8.94752e-05
23 *455:15 *1448:A0 0.00047556
24 *455:23 *1448:A0 0.00042034
*RES
1 *1753:X *477:7 24.971
2 *477:7 *477:14 46.4954
3 *477:14 *1448:A0 31.0475
*END
*D_NET *478 0.00424004
*CONN
*I *1531:A1 I *D sky130_fd_sc_hd__mux2_1
*I *1451:A0 I *D sky130_fd_sc_hd__mux2_1
*I *1754:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1531:A1 0.000658983
2 *1451:A0 0.000406018
3 *1754:X 0.000263765
4 *478:8 0.00132877
5 *1531:A1 *1351:A 7.6102e-05
6 *1531:A1 *486:23 1.91246e-05
7 *1531:A1 *495:16 0.00034446
8 *1531:A1 *499:26 4.32713e-05
9 *478:8 *1351:A 4.33056e-05
10 *478:8 *1776:A 0.000111565
11 *534:DIODE *478:8 6.73351e-05
12 *542:DIODE *1531:A1 4.02807e-05
13 *542:DIODE *478:8 0.000100573
14 *1351:B *1531:A1 0.000188471
15 *1354:A1 *1531:A1 0.000124145
16 *1452:B *1451:A0 4.31539e-05
17 *1635:CLK *1451:A0 0.000107496
18 *1635:D *1451:A0 6.54102e-05
19 *94:50 *1531:A1 0
20 *202:20 *1531:A1 8.01312e-05
21 *425:9 *1531:A1 6.26227e-05
22 *437:9 *1531:A1 6.50586e-05
*RES
1 *1754:X *478:8 21.5663
2 *478:8 *1451:A0 23.5244
3 *478:8 *1531:A1 34.9909
*END
*D_NET *479 0.00677003
*CONN
*I *1454:A0 I *D sky130_fd_sc_hd__mux2_1
*I *1534:A1 I *D sky130_fd_sc_hd__mux2_1
*I *1755:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1454:A0 0
2 *1534:A1 0.000532348
3 *1755:X 0.000666883
4 *479:21 0.000628558
5 *479:20 0.000763093
6 *1534:A1 *1330:B 7.14746e-05
7 *479:20 *1772:A 5.07314e-05
8 *479:20 *489:8 2.04806e-05
9 *479:20 *506:8 0.000557592
10 *550:DIODE *479:20 2.15348e-05
11 *1449:A *479:20 6.50727e-05
12 *1450:A *479:20 0.000295387
13 *1450:A *479:21 0.000313481
14 *1455:B *479:21 0.000107496
15 *1456:A *1534:A1 2.15812e-05
16 *1457:A1 *479:20 0.000277488
17 *1457:S *479:20 0.000475412
18 *1534:A0 *1534:A1 0.000364356
19 *1657:D *1534:A1 0.000148962
20 *1768:A *479:20 9.34145e-05
21 *53:12 *479:20 0.000898086
22 *83:8 *479:20 0.000110297
23 *198:14 *1534:A1 0
24 *198:14 *479:20 8.23667e-05
25 *428:21 *1534:A1 9.22142e-05
26 *435:7 *1534:A1 0.000111722
*RES
1 *1755:X *479:20 49.2149
2 *479:20 *479:21 3.49641
3 *479:21 *1534:A1 32.8581
4 *479:21 *1454:A0 9.24915
*END
*D_NET *480 0.012675
*CONN
*I *1537:A1 I *D sky130_fd_sc_hd__mux2_1
*I *1457:A0 I *D sky130_fd_sc_hd__mux2_1
*I *1756:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *1537:A1 0.000499668
2 *1457:A0 0
3 *1756:X 0.00018911
4 *480:20 0.00216031
5 *480:16 0.0037624
6 *480:10 0.00229087
7 *1537:A1 *506:8 0
8 *480:10 *501:8 6.28168e-05
9 *480:16 *501:14 2.652e-05
10 *480:16 *502:11 7.29141e-05
11 io_wbs_ack_o *480:16 7.14746e-05
12 io_wbs_data_o[12] *480:16 0.000908333
13 io_wbs_data_o[19] *480:16 0.000118245
14 io_wbs_data_o[29] *480:10 0
15 io_wbs_data_o[29] *480:16 7.48633e-05
16 io_wbs_data_o[7] *480:20 0
17 *545:DIODE *480:10 2.72638e-05
18 *545:DIODE *480:16 1.41291e-05
19 *1385:A1 *1537:A1 0.000125695
20 *1385:A2 *1537:A1 5.53789e-05
21 *1410:A2 *480:20 0.00143298
22 *1459:A *1537:A1 0
23 *1496:A *480:16 0.000100755
24 *1498:A0 *480:16 5.36586e-05
25 *1498:A1 *480:16 7.58194e-05
26 *1658:D *1537:A1 0
27 *1668:CLK *480:10 5.04829e-06
28 *1:13 *480:16 2.23499e-05
29 *48:8 *480:10 0
30 *48:8 *480:16 2.85274e-05
31 *53:12 *1537:A1 0
32 *53:12 *480:20 0
33 *84:10 *480:10 2.78666e-05
34 *248:31 *1537:A1 0
35 *455:10 *1537:A1 0.000287114
36 *455:15 *1537:A1 0.000180902
*RES
1 *1756:X *480:10 23.2961
2 *480:10 *480:16 44.4164
3 *480:16 *480:20 32.1519
4 *480:20 *1457:A0 13.7491
5 *480:20 *1537:A1 27.941
*END
*D_NET *481 0.00475234
*CONN
*I *1461:A0 I *D sky130_fd_sc_hd__mux2_1
*I *1757:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1461:A0 0.000721233
2 *1757:X 0.000479117
3 *481:8 0.00120035
4 *481:8 *496:12 0.0010958
5 *1321:A *1461:A0 0.000107496
6 *1461:A1 *1461:A0 6.08467e-05
7 *1461:S *1461:A0 0.000111708
8 *1503:A0 *481:8 0
9 *1506:A2 *481:8 0
10 *1506:C1 *481:8 0.000177787
11 *1508:B *1461:A0 0.000262509
12 *1509:B1 *481:8 0
13 *1510:S *481:8 0
14 *1512:A2 *481:8 0
15 *172:36 *1461:A0 0.000319954
16 *240:41 *481:8 0
17 *318:26 *481:8 0.000215542
*RES
1 *1757:X *481:8 37.5217
2 *481:8 *1461:A0 27.7199
*END
*D_NET *482 0.0126383
*CONN
*I *1464:A0 I *D sky130_fd_sc_hd__mux2_1
*I *1540:A1 I *D sky130_fd_sc_hd__mux2_1
*I *1758:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *1464:A0 0
2 *1540:A1 0.000159748
3 *1758:X 0.00141261
4 *482:25 0.00130652
5 *482:21 0.00204098
6 *482:15 0.00230682
7 *1540:A1 *484:15 0
8 *482:21 *1773:A 0.00145106
9 *482:25 *1780:A 0.000309006
10 *482:25 *490:10 0
11 *482:25 *498:28 0.000559227
12 *538:DIODE *482:15 0.000175091
13 *540:DIODE *482:15 0.000169038
14 *540:DIODE *482:21 7.02172e-06
15 *1407:B1 *482:25 4.10825e-05
16 *1407:C1 *482:25 0
17 *1463:A *482:25 6.31809e-05
18 *1464:A1 *482:25 0.000165521
19 *1464:S *482:25 0.000210067
20 *1465:A *1540:A1 3.20069e-06
21 *1565:B *482:25 1.75625e-05
22 *1566:C *482:25 0.000341815
23 *1572:B *482:25 0.000346912
24 *1581:B *482:25 3.35739e-05
25 *1616:A *482:15 9.14505e-05
26 *1626:A2 *482:15 7.84221e-05
27 *1626:B1_N *482:15 0.000118166
28 *1627:B1 *482:15 0.000601097
29 *1643:D *482:25 4.27003e-05
30 *1659:D *482:25 0
31 *1701:D *482:15 5.5214e-05
32 *5:12 *482:15 6.78596e-05
33 *86:8 *482:15 7.48633e-05
34 *237:21 *482:25 4.87343e-05
35 *238:6 *482:25 0.000339753
36 *368:8 *482:25 0
*RES
1 *1758:X *482:15 49.3253
2 *482:15 *482:21 21.1863
3 *482:21 *482:25 44.4337
4 *482:25 *1540:A1 21.7744
5 *482:25 *1464:A0 9.24915
*END
*D_NET *483 0.00682918
*CONN
*I *1523:A I *D sky130_fd_sc_hd__and3_1
*I *1326:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *1439:A I *D sky130_fd_sc_hd__nand3_1
*I *1759:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *1523:A 0
2 *1326:A 5.96855e-05
3 *1439:A 0
4 *1759:X 0.000972842
5 *483:41 0.000193338
6 *483:33 0.000390493
7 *483:23 0.00122968
8 *483:23 *1342:A 0.000110297
9 *483:23 *1342:B 7.34948e-06
10 *483:23 *1422:A0 0.000142276
11 *483:33 *1336:A_N 2.23855e-05
12 *483:33 *1523:B 6.50395e-05
13 *483:33 *484:28 0
14 *483:41 *1523:B 7.67539e-05
15 *1343:D *483:33 4.61732e-05
16 *1422:A1 *483:23 0.000702445
17 *1422:S *483:23 0.000211492
18 *1426:B *483:23 6.08467e-05
19 *1439:C *1326:A 0.000390932
20 *1439:C *483:41 7.24449e-05
21 *1485:A0 *483:23 2.15184e-05
22 *1523:C *1326:A 0.000263228
23 *1647:CLK *483:23 2.65667e-05
24 *1647:D *483:23 3.15767e-05
25 *1661:CLK *483:33 0.000138196
26 *1741:A *483:23 0.000111722
27 *1742:A *483:23 0.000364302
28 *451:7 *483:23 0.000864587
29 *452:5 *483:23 1.41181e-05
30 *452:19 *483:23 3.49805e-05
31 *452:20 *483:33 6.69183e-05
32 *452:67 *483:33 0.000136993
*RES
1 *1759:X *483:23 47.3721
2 *483:23 *483:33 17.2806
3 *483:33 *1439:A 9.24915
4 *483:33 *483:41 4.07513
5 *483:41 *1326:A 13.8789
6 *483:41 *1523:A 9.24915
*END
*D_NET *484 0.0100506
*CONN
*I *1333:C_N I *D sky130_fd_sc_hd__nor3b_2
*I *1342:C I *D sky130_fd_sc_hd__and3_1
*I *1336:B I *D sky130_fd_sc_hd__and3b_1
*I *1760:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *1333:C_N 8.98568e-05
2 *1342:C 0.00019159
3 *1336:B 5.91539e-05
4 *1760:X 0.00111787
5 *484:28 0.000454684
6 *484:15 0.00147652
7 *484:10 0.00236199
8 *1342:C *1333:B 3.41075e-05
9 *1342:C *1342:A 0.00030794
10 *1342:C *495:23 6.50586e-05
11 *484:10 *1773:A 6.50586e-05
12 *484:10 *1780:A 0
13 *484:10 *487:10 2.87136e-06
14 *484:10 *498:28 0
15 *484:28 *495:16 4.01315e-05
16 *484:28 *495:23 4.07932e-05
17 *529:DIODE *484:15 0.000143017
18 *543:DIODE *484:10 0
19 *1343:C *484:15 0.000115934
20 *1343:D *1336:B 1.03403e-05
21 *1343:D *484:15 0.000120573
22 *1383:A1 *484:15 0
23 *1406:A *484:15 0
24 *1465:A *484:15 0.00010126
25 *1467:A *484:15 0.000167433
26 *1540:A0 *484:15 2.54335e-05
27 *1540:A1 *484:15 0
28 *1541:B *484:15 0.000224068
29 *1566:A *484:10 1.69349e-05
30 *1567:A1 *484:10 0.000169093
31 *1580:A1 *484:10 0
32 *1580:A2 *484:10 0
33 *1660:CLK *484:10 0
34 *1660:CLK *484:15 2.11533e-05
35 *1660:D *484:15 0.000149628
36 *1692:D *484:10 0.000101133
37 *1:14 *484:15 0.000880164
38 *171:24 *484:10 2.75292e-05
39 *176:8 *484:15 0.000141935
40 *176:33 *484:15 0.00033721
41 *183:9 *484:28 7.26959e-06
42 *186:9 *1333:C_N 6.49003e-05
43 *186:9 *484:28 7.86847e-05
44 *187:10 *1333:C_N 6.92705e-05
45 *187:10 *484:28 0
46 *235:17 *484:10 0.00027278
47 *237:9 *484:10 5.04734e-05
48 *245:17 *484:10 5.95606e-05
49 *245:17 *484:15 0.000136106
50 *353:19 *484:10 1.55462e-05
51 *365:17 *484:10 0.000235539
52 *483:33 *484:28 0
*RES
1 *1760:X *484:10 43.9677
2 *484:10 *484:15 45.7461
3 *484:15 *1336:B 10.5513
4 *484:15 *484:28 12.9083
5 *484:28 *1342:C 14.7952
6 *484:28 *1333:C_N 12.191
*END
*D_NET *485 0.0139075
*CONN
*I *1325:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *1523:B I *D sky130_fd_sc_hd__and3_1
*I *1439:B I *D sky130_fd_sc_hd__nand3_1
*I *1761:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *1325:A 0.000311449
2 *1523:B 0.000242065
3 *1439:B 0
4 *1761:X 0.00074618
5 *485:28 0.0003145
6 *485:24 0.00128396
7 *485:19 0.00261916
8 *485:8 0.00246526
9 *1325:A *1345:A1 0.000180727
10 *485:19 *1317:A 0.00021643
11 *485:24 *1317:A 0.000221595
12 *541:DIODE *485:8 4.12533e-05
13 *1439:C *1523:B 0.000165651
14 *1468:S *1325:A 0.0002817
15 *1469:B *1325:A 1.41976e-05
16 *1476:B *485:19 2.39535e-05
17 *1477:A *485:19 0.0003514
18 *1479:A *485:19 0.0005966
19 *1479:B *485:19 0.000349931
20 *1646:CLK *485:19 0.000895459
21 *1661:CLK *485:24 0.000201047
22 *1661:CLK *485:28 0.000164283
23 *1661:D *485:24 2.0589e-05
24 *1662:CLK *485:19 0
25 *1663:CLK *485:19 0
26 *1702:CLK *485:8 0
27 *1702:D *485:8 0
28 *1707:D *485:8 0
29 *1707:D *485:19 2.72089e-05
30 *90:8 *485:8 2.57071e-05
31 *193:20 *1325:A 5.04829e-06
32 *270:6 *485:24 0.000144531
33 *270:6 *485:28 0.000127179
34 *451:80 *485:19 0
35 *453:44 *485:8 0
36 *453:44 *485:19 0
37 *453:57 *485:19 0
38 *453:73 *485:8 0
39 *474:8 *485:8 0.00150855
40 *474:8 *485:19 0.000220043
41 *483:33 *1523:B 6.50395e-05
42 *483:41 *1523:B 7.67539e-05
*RES
1 *1761:X *485:8 40.9604
2 *485:8 *485:19 49.7983
3 *485:19 *485:24 20.0872
4 *485:24 *485:28 7.57775
5 *485:28 *1439:B 9.24915
6 *485:28 *1523:B 14.8675
7 *485:24 *1325:A 23.3462
*END
*D_NET *486 0.016311
*CONN
*I *1408:A I *D sky130_fd_sc_hd__clkbuf_2
*I *1541:A I *D sky130_fd_sc_hd__or2_1
*I *1554:A I *D sky130_fd_sc_hd__nor3_1
*I *1318:A I *D sky130_fd_sc_hd__inv_2
*I *1386:A I *D sky130_fd_sc_hd__clkbuf_2
*I *1762:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *1408:A 0.000105592
2 *1541:A 9.35295e-05
3 *1554:A 0
4 *1318:A 0
5 *1386:A 0
6 *1762:X 0.00190961
7 *486:63 0.00102589
8 *486:40 0.00135556
9 *486:30 0.0010778
10 *486:25 0.00134428
11 *486:23 0.00251782
12 *486:23 *1351:A 1.5714e-05
13 *542:DIODE *486:23 6.08467e-05
14 *1351:B *486:23 0.001514
15 *1374:A *486:63 0.000140669
16 *1378:A1 *486:23 3.26582e-06
17 *1382:A1 *1408:A 7.14746e-05
18 *1467:C *1541:A 2.65667e-05
19 *1531:A1 *486:23 1.91246e-05
20 *1540:S *1541:A 0.000267083
21 *1541:B *1541:A 0.000270544
22 *1546:B *486:40 2.54335e-05
23 *1558:A2 *486:63 0.000289027
24 *1558:B1 *486:63 0.00021218
25 *1683:CLK *1408:A 4.58003e-05
26 *1684:D *486:30 5.88662e-05
27 *1684:D *486:40 5.30055e-05
28 *1685:D *486:23 8.10016e-06
29 *1687:D *486:23 7.09666e-06
30 *1689:CLK *486:63 1.78942e-05
31 *1697:CLK *486:63 6.50586e-05
32 *1709:D *486:63 0.000104553
33 *1735:A *1541:A 6.08467e-05
34 *1736:A *1408:A 1.12605e-05
35 *1738:A *486:63 6.49003e-05
36 *150:5 *486:63 4.20334e-05
37 *170:20 *486:40 6.75138e-05
38 *170:20 *486:63 5.08751e-05
39 *171:45 *486:63 0
40 *178:50 *486:23 0.00189655
41 *220:8 *486:63 0.000190042
42 *221:8 *1408:A 9.22013e-06
43 *228:19 *486:40 0
44 *228:38 *486:40 0
45 *248:9 *1408:A 7.50872e-05
46 *248:9 *486:23 0.000903358
47 *439:8 *486:23 1.53125e-05
48 *439:27 *486:23 6.13264e-05
49 *442:11 *486:25 1.94301e-05
50 *442:11 *486:30 1.83961e-05
51 *454:21 *486:63 0.000118485
*RES
1 *1762:X *486:23 42.6527
2 *486:23 *486:25 6.82404
3 *486:25 *486:30 16.3443
4 *486:30 *1386:A 13.7491
5 *486:30 *486:40 13.1476
6 *486:40 *1318:A 9.24915
7 *486:40 *486:63 42.5968
8 *486:63 *1554:A 9.24915
9 *486:25 *1541:A 23.7113
10 *486:23 *1408:A 21.3591
*END
*D_NET *487 0.0127996
*CONN
*I *1770:A I *D sky130_fd_sc_hd__buf_2
*I *1609:A1 I *D sky130_fd_sc_hd__a31o_1
*I *1473:A I *D sky130_fd_sc_hd__or3_4
*I *1393:A I *D sky130_fd_sc_hd__and4_2
*I *1392:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *1642:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1770:A 0.00371791
2 *1609:A1 5.83804e-05
3 *1473:A 0.000122212
4 *1393:A 0
5 *1392:B1 3.98865e-05
6 *1642:Q 5.86205e-05
7 *487:36 0.000707545
8 *487:16 3.98865e-05
9 *487:14 0.00107909
10 *487:10 0.00432867
11 *1770:A *1781:A 0
12 io_wbs_data_o[26] *1770:A 0
13 io_wbs_data_o[6] *1770:A 0
14 *1392:A1 *1392:B1 2.57847e-05
15 *1392:A2 *1392:B1 0.000161234
16 *1393:B *1392:B1 4.31703e-05
17 *1394:A *487:36 0.000132038
18 *1394:B *487:14 1.55462e-05
19 *1394:B *487:36 0.000172691
20 *1473:B *487:36 1.51658e-05
21 *1581:B *1770:A 0.00119508
22 *1603:C *487:14 0
23 *1605:A2 *1473:A 2.16355e-05
24 *1607:C *487:36 3.20069e-06
25 *1609:A2 *1609:A1 2.16355e-05
26 *1609:A2 *487:36 1.68307e-05
27 *1609:A3 *1473:A 2.49891e-06
28 *1609:B1 *487:36 1.06147e-05
29 *1611:B *1473:A 5.41227e-05
30 *1614:A *1473:A 6.36477e-05
31 *1642:D *487:14 0
32 *1660:CLK *487:10 1.66771e-05
33 *230:22 *487:14 2.18741e-05
34 *233:12 *487:36 0
35 *235:17 *487:36 0
36 *346:28 *487:14 0
37 *353:7 *1473:A 9.64926e-07
38 *366:18 *1770:A 0.000204272
39 *389:8 *1473:A 7.50872e-05
40 *454:57 *1473:A 0.000118485
41 *454:57 *487:14 0.00017702
42 *454:57 *487:36 7.52198e-05
43 *484:10 *487:10 2.87136e-06
*RES
1 *1642:Q *487:10 18.2199
2 *487:10 *487:14 8.00438
3 *487:14 *487:16 4.5
4 *487:16 *1392:B1 11.0817
5 *487:16 *1393:A 9.24915
6 *487:14 *487:36 16.7311
7 *487:36 *1473:A 21.9432
8 *487:36 *1609:A1 10.9612
9 *487:10 *1770:A 31.9488
*END
*D_NET *488 0.000230562
*CONN
*I *1771:A I *D sky130_fd_sc_hd__buf_2
*I *1317:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *1771:A 6.33098e-05
2 *1317:Y 6.33098e-05
3 *1646:CLK *1771:A 0.000103943
*RES
1 *1317:Y *1771:A 20.8855
*END
*D_NET *489 0.00518642
*CONN
*I *1772:A I *D sky130_fd_sc_hd__buf_2
*I *1324:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *1772:A 0.00111603
2 *1324:X 0.000596755
3 *489:8 0.00171279
4 io_spi_intr *1772:A 1.41976e-05
5 io_wbs_data_o[2] *1772:A 7.73576e-05
6 io_wbs_data_o[4] *1772:A 0.00011818
7 io_wbs_data_o[9] *1772:A 6.50727e-05
8 *1448:A1 *489:8 1.5714e-05
9 *1449:A *489:8 8.57612e-05
10 *1450:A *489:8 0.000285867
11 *1535:B *489:8 0.000122083
12 *1657:D *1772:A 2.13584e-05
13 *1768:A *489:8 3.51858e-05
14 *198:14 *489:8 0
15 *248:15 *489:8 0.000666347
16 *428:8 *1772:A 8.87207e-05
17 *435:18 *489:8 9.37838e-05
18 *479:20 *1772:A 5.07314e-05
19 *479:20 *489:8 2.04806e-05
*RES
1 *1324:X *489:8 37.937
2 *489:8 *1772:A 36.063
*END
*D_NET *490 0.00684243
*CONN
*I *1400:A1 I *D sky130_fd_sc_hd__mux2_1
*I *1773:A I *D sky130_fd_sc_hd__buf_2
*I *1643:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1400:A1 0.0002442
2 *1773:A 0.000401679
3 *1643:Q 0
4 *490:10 0.00110953
5 *490:4 0.000952053
6 io_wbs_data_o[11] *1773:A 0.000275256
7 io_wbs_data_o[5] *1773:A 6.86033e-05
8 *540:DIODE *1773:A 4.66876e-05
9 *1407:A2 *1400:A1 0.000169041
10 *1407:C1 *1400:A1 3.5534e-06
11 *1563:A *490:10 2.5386e-05
12 *1577:B *490:10 0
13 *1578:A *490:10 0
14 *1583:A1 *490:10 0.000391861
15 *1602:A2 *490:10 1.70077e-05
16 *1643:CLK *490:10 5.0715e-05
17 *1676:D *1400:A1 0
18 *1676:D *490:10 0
19 *1760:A *1773:A 2.65831e-05
20 *172:11 *1400:A1 0.000423936
21 *235:32 *490:10 0.000101133
22 *237:21 *490:10 3.94229e-05
23 *238:6 *1400:A1 0
24 *238:6 *490:10 0
25 *347:8 *490:10 0.000113968
26 *354:11 *490:10 0
27 *368:8 *490:10 0.00069676
28 *457:20 *1400:A1 6.79329e-05
29 *465:8 *490:10 0.000101
30 *482:21 *1773:A 0.00145106
31 *482:25 *490:10 0
32 *484:10 *1773:A 6.50586e-05
*RES
1 *1643:Q *490:4 9.24915
2 *490:4 *490:10 32.4574
3 *490:10 *1773:A 28.1539
4 *490:4 *1400:A1 26.763
*END
*D_NET *491 0.00310518
*CONN
*I *1409:B I *D sky130_fd_sc_hd__nor2_1
*I *1774:A I *D sky130_fd_sc_hd__buf_2
*I *1677:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1409:B 0.000252305
2 *1774:A 0.000221859
3 *1677:Q 0.000185812
4 *491:8 0.000659976
5 *1409:B *1522:A1 7.65976e-05
6 *1774:A *502:11 0.000200536
7 *1774:A *502:17 8.3647e-05
8 *491:8 *1522:A1 5.39608e-05
9 *491:8 *502:17 8.93743e-05
10 *491:8 *517:31 6.08467e-05
11 *535:DIODE *1774:A 0.0002817
12 *1410:B1 *1774:A 0
13 *1522:A2 *491:8 0.000116971
14 *1644:D *1409:B 6.08467e-05
15 *1644:D *1774:A 0.000174175
16 *1677:D *491:8 7.86847e-05
17 *1:13 *1774:A 2.42138e-05
18 *1:14 *1774:A 4.3116e-06
19 *1:14 *491:8 1.09327e-05
20 *249:7 *1409:B 0.000468427
*RES
1 *1677:Q *491:8 19.6266
2 *491:8 *1774:A 23.7875
3 *491:8 *1409:B 21.8753
*END
*D_NET *492 0.000842053
*CONN
*I *1775:A I *D sky130_fd_sc_hd__buf_2
*I *1329:A I *D sky130_fd_sc_hd__and2_1
*I *1634:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1775:A 6.74712e-05
2 *1329:A 0.000201662
3 *1634:Q 9.59587e-05
4 *492:6 0.000365092
5 io_wbs_data_o[0] *1329:A 5.65971e-05
6 io_wbs_data_o[0] *492:6 9.58043e-06
7 io_wbs_data_o[23] *1329:A 0
8 *1350:A1 *1329:A 0
9 *24:15 *1775:A 4.56924e-05
10 *94:50 *492:6 0
*RES
1 *1634:Q *492:6 15.9964
2 *492:6 *1329:A 18.5201
3 *492:6 *1775:A 15.5817
*END
*D_NET *493 0.00248363
*CONN
*I *1776:A I *D sky130_fd_sc_hd__buf_2
*I *1351:A I *D sky130_fd_sc_hd__and2_1
*I *1635:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1776:A 0.000542289
2 *1351:A 0.000250008
3 *1635:Q 0.000129765
4 *493:7 0.000922062
5 *1776:A *499:26 0
6 *542:DIODE *1776:A 0
7 *1351:B *1351:A 0.000188471
8 *1507:A0 *1776:A 0.000143719
9 *1531:A1 *1351:A 7.6102e-05
10 *82:10 *1776:A 5.35458e-05
11 *91:10 *1351:A 7.08723e-06
12 *478:8 *1351:A 4.33056e-05
13 *478:8 *1776:A 0.000111565
14 *486:23 *1351:A 1.5714e-05
*RES
1 *1635:Q *493:7 15.5817
2 *493:7 *1351:A 21.8099
3 *493:7 *1776:A 29.6997
*END
*D_NET *494 0.00432867
*CONN
*I *1777:A I *D sky130_fd_sc_hd__buf_2
*I *1359:A1 I *D sky130_fd_sc_hd__o221a_1
*I *1636:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1777:A 0.000712965
2 *1359:A1 0.000475468
3 *1636:Q 0
4 *494:4 0.00118843
5 *1359:A1 *1368:A1 7.48633e-05
6 *1777:A *1779:A 0
7 io_wbs_data_o[17] *1777:A 0.000356006
8 *531:DIODE *1777:A 1.5714e-05
9 *1368:C1 *1359:A1 0.000175485
10 *1369:A2 *1777:A 0.000256332
11 *1526:B *1777:A 0.000306984
12 *1634:CLK *1777:A 0
13 *1636:D *1359:A1 7.86847e-05
14 *1638:D *1777:A 0.000353721
15 *50:16 *1777:A 0
16 *180:9 *1777:A 3.4693e-05
17 *312:63 *1777:A 0
18 *426:26 *1359:A1 5.78637e-05
19 *428:21 *1359:A1 0
20 *434:28 *1359:A1 0.000215671
21 *434:28 *1777:A 2.57847e-05
*RES
1 *1636:Q *494:4 9.24915
2 *494:4 *1359:A1 29.0378
3 *494:4 *1777:A 40.0527
*END
*D_NET *495 0.0147611
*CONN
*I *1336:C I *D sky130_fd_sc_hd__and3b_1
*I *1333:A I *D sky130_fd_sc_hd__nor3b_2
*I *1342:A I *D sky130_fd_sc_hd__and3_1
*I *1763:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *1336:C 0
2 *1333:A 0
3 *1342:A 9.67981e-05
4 *1763:X 0.0024959
5 *495:23 0.000229196
6 *495:16 0.0026283
7 *1342:A *1333:B 0.000171288
8 *1342:A *1342:B 3.59302e-05
9 *495:16 *1336:A_N 0
10 *495:16 *1363:A1 0.000309578
11 *495:16 *504:19 0.00142305
12 *495:23 *1333:B 5.31074e-05
13 *495:23 *1336:A_N 0
14 io_wbs_data_o[13] *495:16 7.49735e-06
15 *1323:B2 *495:16 0.00073882
16 *1342:C *1342:A 0.00030794
17 *1342:C *495:23 6.50586e-05
18 *1372:C1 *495:16 0.000254316
19 *1531:A1 *495:16 0.00034446
20 *1651:CLK *1342:A 2.53145e-06
21 *41:8 *495:16 7.73834e-05
22 *51:22 *495:16 0.000895734
23 *94:50 *495:16 0
24 *190:57 *495:16 0.00128313
25 *223:17 *495:16 0
26 *426:26 *495:16 3.65198e-05
27 *443:35 *495:16 0.000530527
28 *452:19 *1342:A 2.57847e-05
29 *474:14 *495:16 0.00255701
30 *483:23 *1342:A 0.000110297
31 *484:28 *495:16 4.01315e-05
32 *484:28 *495:23 4.07932e-05
*RES
1 *1763:X *495:16 35.7669
2 *495:16 *495:23 8.20344
3 *495:23 *1342:A 14.4335
4 *495:23 *1333:A 9.24915
5 *495:16 *1336:C 13.7491
*END
*D_NET *496 0.0065655
*CONN
*I *1360:A I *D sky130_fd_sc_hd__and2_1
*I *1778:A I *D sky130_fd_sc_hd__buf_2
*I *1637:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1360:A 0.000273728
2 *1778:A 0.000281645
3 *1637:Q 0
4 *496:12 0.00144714
5 *496:4 0.00143922
6 *532:DIODE *1778:A 5.04829e-06
7 *548:DIODE *1360:A 3.13557e-05
8 *1362:A1 *1360:A 0.000110117
9 *1451:S *1360:A 0
10 *1508:A *496:12 4.69495e-06
11 *1511:A *1360:A 0
12 *1511:A *496:12 0.000242179
13 *1512:B1 *496:12 0.000127179
14 *1570:D *496:12 3.92275e-05
15 *1591:C *496:12 0.000235885
16 *1594:A *496:12 0
17 *1674:D *496:12 5.93461e-05
18 *1748:A *496:12 2.44829e-05
19 *85:12 *496:12 0.000377657
20 *238:26 *496:12 0
21 *239:20 *496:12 0.000290644
22 *468:8 *496:12 8.89094e-05
23 *468:10 *496:12 0.00022576
24 *468:14 *496:12 0.000165481
25 *481:8 *496:12 0.0010958
*RES
1 *1637:Q *496:4 9.24915
2 *496:4 *496:12 46.717
3 *496:12 *1778:A 19.898
4 *496:4 *1360:A 25.1374
*END
*D_NET *497 0.00140776
*CONN
*I *1365:A I *D sky130_fd_sc_hd__and2_1
*I *1779:A I *D sky130_fd_sc_hd__buf_2
*I *1638:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1365:A 0.000109255
2 *1779:A 0.000189545
3 *1638:Q 0.000395508
4 *497:7 0.000694309
5 io_wbs_data_o[9] *1779:A 0
6 *1638:CLK *1365:A 0
7 *1638:CLK *1779:A 0
8 *1777:A *1779:A 0
9 *178:16 *1365:A 1.91391e-05
*RES
1 *1638:Q *497:7 19.464
2 *497:7 *1779:A 18.4879
3 *497:7 *1365:A 15.9964
*END
*D_NET *498 0.0119299
*CONN
*I *1370:A I *D sky130_fd_sc_hd__and2_1
*I *1780:A I *D sky130_fd_sc_hd__buf_2
*I *1639:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1370:A 0.000746413
2 *1780:A 0.000543367
3 *1639:Q 0
4 *498:28 0.00173927
5 *498:21 0.00226743
6 *498:4 0.00181794
7 *1370:A *1368:A1 1.43983e-05
8 *1370:A *1379:A1 6.60619e-06
9 *1370:A *517:31 0.000108071
10 *498:21 *1355:A 7.14746e-05
11 *498:21 *502:27 0.000139435
12 *498:21 *502:42 0.00016737
13 io_wbs_data_o[11] *1780:A 9.82609e-05
14 *1341:B *498:21 1.95977e-05
15 *1355:B *498:21 1.07476e-05
16 *1370:B *1370:A 0.000249688
17 *1373:A2 *1370:A 1.00846e-05
18 *1373:B1 *1370:A 1.5326e-05
19 *1373:C1 *1370:A 1.41291e-05
20 *1382:A1 *498:28 1.97952e-05
21 *1382:B1 *498:21 4.55277e-05
22 *1383:A1 *498:21 0.000342188
23 *1383:A1 *498:28 0.000266521
24 *1406:A *498:28 8.79472e-05
25 *1407:C1 *498:28 0
26 *1540:S *498:21 0
27 *1544:A3 *498:21 0.000160617
28 *1567:B1 *1780:A 2.80021e-05
29 *1567:B1 *498:28 0.000155239
30 *1571:B *498:28 4.29092e-05
31 *1572:B *1780:A 2.27135e-05
32 *1572:B *498:28 0.000132433
33 *1580:A2 *1780:A 0.000195139
34 *1639:D *1370:A 2.41274e-06
35 *1639:D *498:21 9.2023e-05
36 *1643:D *498:28 0.000101133
37 *1683:D *498:28 0.000148144
38 *1690:D *498:28 9.34404e-05
39 *1735:A *498:28 0.000122098
40 *1736:A *498:28 0.000468434
41 *176:8 *498:21 8.26576e-05
42 *180:46 *498:21 0
43 *184:11 *1370:A 3.7003e-05
44 *184:11 *498:21 7.19439e-05
45 *221:8 *498:28 0
46 *271:17 *498:21 0.000164829
47 *365:17 *498:28 0
48 *423:8 *498:28 6.74667e-05
49 *442:11 *498:28 7.14746e-05
50 *482:25 *1780:A 0.000309006
51 *482:25 *498:28 0.000559227
52 *484:10 *1780:A 0
53 *484:10 *498:28 0
*RES
1 *1639:Q *498:4 9.24915
2 *498:4 *498:21 41.8749
3 *498:21 *498:28 40.365
4 *498:28 *1780:A 29.385
5 *498:4 *1370:A 24.9951
*END
*D_NET *499 0.00863318
*CONN
*I *1781:A I *D sky130_fd_sc_hd__buf_2
*I *1380:A1 I *D sky130_fd_sc_hd__o221a_1
*I *1640:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1781:A 0.00112539
2 *1380:A1 0.000792186
3 *1640:Q 0
4 *499:26 0.00234518
5 *499:4 0.00201198
6 *1781:A *522:13 0
7 io_wbs_data_o[10] *1781:A 0.000132283
8 io_wbs_data_o[14] *499:26 2.02035e-05
9 io_wbs_data_o[16] *1781:A 0
10 io_wbs_data_o[1] *1781:A 0
11 io_wbs_data_o[22] *1781:A 0
12 io_wbs_data_o[26] *1781:A 5.24776e-05
13 io_wbs_data_o[6] *1781:A 2.37478e-05
14 *542:DIODE *499:26 0.000510648
15 *1346:A1 *1380:A1 7.74397e-05
16 *1354:A1 *499:26 1.15258e-05
17 *1380:A2 *1380:A1 1.57662e-05
18 *1468:A1 *1380:A1 8.33073e-06
19 *1507:A0 *1781:A 6.34704e-05
20 *1507:A0 *499:26 0.000102853
21 *1531:A1 *499:26 4.32713e-05
22 *1596:A *1781:A 0.000127164
23 *1635:D *499:26 4.27003e-05
24 *1640:D *1380:A1 9.89122e-05
25 *1654:D *499:26 4.05943e-06
26 *1694:D *1781:A 2.69064e-05
27 *1770:A *1781:A 0
28 *1776:A *499:26 0
29 *94:50 *499:26 0.000298025
30 *202:20 *1380:A1 6.63616e-05
31 *202:20 *499:26 0.000225923
32 *456:30 *1380:A1 0.000100232
33 *456:30 *499:26 3.7368e-05
34 *476:8 *1781:A 0
35 *476:8 *499:26 0.000268777
*RES
1 *1640:Q *499:4 9.24915
2 *499:4 *1380:A1 30.9268
3 *499:4 *499:26 39.8105
4 *499:26 *1781:A 43.6501
*END
*D_NET *500 0.00298486
*CONN
*I *1381:A I *D sky130_fd_sc_hd__and2_1
*I *1782:A I *D sky130_fd_sc_hd__buf_2
*I *1641:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1381:A 0.000448036
2 *1782:A 0.000297013
3 *1641:Q 0.000296374
4 *500:5 0.00104142
5 *1381:B *1381:A 0.000659828
6 *1381:B *500:5 6.50586e-05
7 *1457:A1 *1782:A 0
8 *1458:B *1782:A 0.000151741
9 *312:31 *500:5 2.53863e-05
10 *429:15 *1782:A 0
*RES
1 *1641:Q *500:5 13.8548
2 *500:5 *1782:A 24.9665
3 *500:5 *1381:A 17.6164
*END
*D_NET *501 0.00927467
*CONN
*I *1336:A_N I *D sky130_fd_sc_hd__and3b_1
*I *1333:B I *D sky130_fd_sc_hd__nor3b_2
*I *1342:B I *D sky130_fd_sc_hd__and3_1
*I *1764:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *1336:A_N 0.000215019
2 *1333:B 0.000127085
3 *1342:B 0.000111686
4 *1764:X 8.13691e-05
5 *501:18 0.000238771
6 *501:16 0.00086207
7 *501:14 0.00324584
8 *501:8 0.00268015
9 *501:16 *1435:A0 0
10 *501:16 *516:20 0.000101133
11 *501:16 *518:8 0
12 io_wbs_data_o[29] *501:8 0
13 *1342:A *1333:B 0.000171288
14 *1342:A *1342:B 3.59302e-05
15 *1342:C *1333:B 3.41075e-05
16 *1343:D *1336:A_N 0.000166206
17 *1437:A *501:16 0.000524137
18 *1498:A1 *501:14 4.27251e-05
19 *1651:D *501:16 5.79399e-05
20 *1669:D *501:16 2.5386e-05
21 *48:8 *501:8 0
22 *183:9 *1336:A_N 0
23 *183:9 *501:16 0
24 *452:43 *501:16 0.000381652
25 *480:10 *501:8 6.28168e-05
26 *480:16 *501:14 2.652e-05
27 *483:23 *1342:B 7.34948e-06
28 *483:33 *1336:A_N 2.23855e-05
29 *495:16 *1336:A_N 0
30 *495:23 *1333:B 5.31074e-05
31 *495:23 *1336:A_N 0
*RES
1 *1764:X *501:8 20.4964
2 *501:8 *501:14 43.5998
3 *501:14 *501:16 20.4817
4 *501:16 *501:18 4.5
5 *501:18 *1342:B 11.0817
6 *501:18 *1333:B 13.7583
7 *501:16 *1336:A_N 18.7961
*END
*D_NET *502 0.00743943
*CONN
*I *1341:A I *D sky130_fd_sc_hd__and4_1
*I *1343:A_N I *D sky130_fd_sc_hd__and4b_1
*I *1332:A_N I *D sky130_fd_sc_hd__and3b_1
*I *1337:A_N I *D sky130_fd_sc_hd__and4b_2
*I *1355:A I *D sky130_fd_sc_hd__and4_1
*I *1765:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *1341:A 2.6271e-05
2 *1343:A_N 1.26553e-05
3 *1332:A_N 2.7664e-05
4 *1337:A_N 0
5 *1355:A 6.01186e-05
6 *1765:X 0.000295353
7 *502:42 0.000157225
8 *502:29 2.7664e-05
9 *502:27 0.000187556
10 *502:17 0.000928156
11 *502:11 0.00109413
12 *502:17 *1358:A1 0.000466387
13 *502:17 *518:8 0
14 io_wbs_ack_o *502:11 1.92926e-05
15 io_wbs_data_o[12] *502:11 9.16621e-05
16 *546:DIODE *502:11 6.50586e-05
17 *1334:A *502:17 0.000113968
18 *1337:C *1332:A_N 0.000161243
19 *1343:B *1341:A 3.73375e-05
20 *1343:B *1343:A_N 2.65667e-05
21 *1343:C *1341:A 0.000110306
22 *1343:C *1343:A_N 6.50586e-05
23 *1498:A0 *502:11 0.000100598
24 *1498:A1 *502:11 7.16754e-05
25 *1677:D *502:17 0.000148144
26 *1774:A *502:11 0.000200536
27 *1774:A *502:17 8.3647e-05
28 *1:13 *502:11 0.00141334
29 *49:20 *502:11 0.000175485
30 *175:8 *502:17 0.000111621
31 *175:10 *502:17 5.62332e-05
32 *176:8 *1355:A 3.14544e-05
33 *176:8 *502:27 5.50603e-05
34 *176:8 *502:42 5.98821e-05
35 *183:9 *502:17 0.000110701
36 *183:21 *1355:A 6.08467e-05
37 *183:21 *502:17 1.96574e-05
38 *184:11 *1355:A 6.50586e-05
39 *443:35 *1332:A_N 0.000161243
40 *480:16 *502:11 7.29141e-05
41 *491:8 *502:17 8.93743e-05
42 *498:21 *1355:A 7.14746e-05
43 *498:21 *502:27 0.000139435
44 *498:21 *502:42 0.00016737
*RES
1 *1765:X *502:11 33.522
2 *502:11 *502:17 34.2633
3 *502:17 *1355:A 15.8893
4 *502:17 *502:27 2.6625
5 *502:27 *502:29 4.5
6 *502:29 *1337:A_N 9.24915
7 *502:29 *1332:A_N 11.0817
8 *502:27 *502:42 7.993
9 *502:42 *1343:A_N 9.97254
10 *502:42 *1341:A 10.5271
*END
*D_NET *503 0.00336148
*CONN
*I *1330:A I *D sky130_fd_sc_hd__nor2_2
*I *1766:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1330:A 0.000368063
2 *1766:X 0.000368063
3 *1330:A *1330:B 0.00105832
4 io_wbs_data_o[17] *1330:A 4.87301e-05
5 *1450:A *1330:A 0.000166425
6 *1454:S *1330:A 0.000818751
7 *1456:A *1330:A 0.000521432
8 *248:31 *1330:A 1.17054e-05
*RES
1 *1766:X *1330:A 38.5122
*END
*D_NET *504 0.00516354
*CONN
*I *1331:A I *D sky130_fd_sc_hd__nor3_1
*I *1767:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1331:A 0
2 *1767:X 0.00119129
3 *504:19 0.00119129
4 *504:19 *1363:A1 0.000188908
5 *544:DIODE *504:19 0.00011818
6 *1357:A1 *504:19 0.000112538
7 *1361:A1 *504:19 1.23606e-05
8 *1361:A2 *504:19 1.41706e-05
9 *1361:B1 *504:19 0.000248439
10 *1361:B2 *504:19 7.50872e-05
11 *1362:A1 *504:19 0
12 *1364:A2 *504:19 0.000181611
13 *187:65 *504:19 0.000114716
14 *190:57 *504:19 8.66003e-05
15 *271:39 *504:19 2.16928e-05
16 *330:30 *504:19 0.000114716
17 *426:26 *504:19 5.83513e-05
18 *434:28 *504:19 1.05354e-05
19 *495:16 *504:19 0.00142305
*RES
1 *1767:X *504:19 43.9442
2 *504:19 *1331:A 9.24915
*END
*D_NET *505 0.00409101
*CONN
*I *1330:B I *D sky130_fd_sc_hd__nor2_2
*I *1768:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1330:B 0.00116294
2 *1768:X 0.00116294
3 *1330:A *1330:B 0.00105832
4 *1456:A *1330:B 7.14746e-05
5 *1534:A1 *1330:B 7.14746e-05
6 *50:16 *1330:B 0.00056387
*RES
1 *1768:X *1330:B 48.9531
*END
*D_NET *506 0.00548805
*CONN
*I *1331:C I *D sky130_fd_sc_hd__nor3_1
*I *1769:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *1331:C 0.00016424
2 *1769:X 0.000936806
3 *506:8 0.00110105
4 *506:8 *516:26 0.000154967
5 *523:DIODE *1331:C 5.04829e-06
6 *1371:A1 *1331:C 1.03403e-05
7 *1371:A1 *506:8 0.000396649
8 *1371:A2 *506:8 2.77419e-05
9 *1385:A1 *506:8 8.92568e-06
10 *1385:A2 *506:8 5.19205e-05
11 *1457:A1 *506:8 0.000161109
12 *1458:B *506:8 0.000277488
13 *1517:A *506:8 0.000148367
14 *1537:A0 *506:8 2.64974e-05
15 *1537:A1 *506:8 0
16 *181:7 *1331:C 0.000181422
17 *223:17 *506:8 1.26672e-05
18 *312:31 *506:8 0.000101133
19 *429:15 *506:8 0.00116409
20 *455:10 *506:8 0
21 *479:20 *506:8 0.000557592
*RES
1 *1769:X *506:8 46.9051
2 *506:8 *1331:C 17.9931
*END
*D_NET *507 0.00145727
*CONN
*I *1555:A I *D sky130_fd_sc_hd__and3_1
*I *1707:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1555:A 0.000521265
2 *1707:Q 0.000521265
3 *1555:A *1555:B 0
4 *1556:A *1555:A 6.08467e-05
5 *1629:A *1555:A 4.28856e-07
6 *1708:D *1555:A 0
7 *453:8 *1555:A 0.000142485
8 *453:13 *1555:A 0.000210977
*RES
1 *1707:Q *1555:A 38.6725
*END
*D_NET *508 0.00102006
*CONN
*I *1555:B I *D sky130_fd_sc_hd__and3_1
*I *1708:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1555:B 0.000326722
2 *1708:Q 0.000326722
3 *1555:A *1555:B 0
4 *1688:D *1555:B 0.000318319
5 *285:11 *1555:B 4.82966e-05
6 *474:8 *1555:B 0
*RES
1 *1708:Q *1555:B 33.5207
*END
*D_NET *509 0.000232989
*CONN
*I *1554:B I *D sky130_fd_sc_hd__nor3_1
*I *1709:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1554:B 4.6051e-05
2 *1709:Q 4.6051e-05
3 *220:8 *1554:B 9.96342e-05
4 *229:22 *1554:B 4.12533e-05
*RES
1 *1709:Q *1554:B 29.3303
*END
*D_NET *510 0.00161915
*CONN
*I *1558:A1 I *D sky130_fd_sc_hd__o21ai_1
*I *1600:A I *D sky130_fd_sc_hd__nand2_1
*I *1697:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1558:A1 0.000410033
2 *1600:A 8.15048e-05
3 *1697:Q 0
4 *510:4 0.000491538
5 *1469:A *1600:A 7.65861e-05
6 *1552:B1 *1558:A1 0.000109039
7 *1552:B1 *1600:A 0.000164815
8 *1558:B1 *1558:A1 9.24241e-05
9 *1601:A2 *1600:A 0.000169041
10 *1738:A *1558:A1 1.55462e-05
11 *1738:A *1600:A 0
12 *285:56 *1600:A 8.62625e-06
13 *454:8 *1558:A1 0
14 *454:21 *1558:A1 0
*RES
1 *1697:Q *510:4 9.24915
2 *510:4 *1600:A 21.4985
3 *510:4 *1558:A1 25.5173
*END
*D_NET *511 0.00164228
*CONN
*I *1410:A1 I *D sky130_fd_sc_hd__o21a_1
*I *1522:A1 I *D sky130_fd_sc_hd__o211a_1
*I *1644:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1410:A1 0.000149643
2 *1522:A1 0.000197425
3 *1644:Q 0.000132429
4 *511:5 0.000479497
5 *550:DIODE *1410:A1 0
6 *1409:B *1522:A1 7.65976e-05
7 *1410:B1 *1410:A1 0.000101246
8 *1522:B1 *1410:A1 1.09738e-05
9 *1:14 *1522:A1 0.000122098
10 *249:7 *1522:A1 0.000113968
11 *249:7 *511:5 0.00020444
12 *491:8 *1522:A1 5.39608e-05
*RES
1 *1644:Q *511:5 11.6364
2 *511:5 *1522:A1 22.8836
3 *511:5 *1410:A1 22.5727
*END
*D_NET *512 0.00811375
*CONN
*I *1349:A1 I *D sky130_fd_sc_hd__o211a_1
*I *1413:A0 I *D sky130_fd_sc_hd__mux2_1
*I *1645:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1349:A1 0.00256321
2 *1413:A0 3.77844e-05
3 *1645:Q 0.000181897
4 *512:8 0.0027829
5 *1349:A1 *1353:A1 0.00096433
6 *1323:A1 *1349:A1 0
7 *1346:B1 *1349:A1 0
8 *1349:A2 *1349:A1 3.85049e-05
9 *1353:C1 *1349:A1 1.05272e-06
10 *1378:A1 *1349:A1 2.02035e-05
11 *1378:B1 *1349:A1 0.00110886
12 *1414:A *512:8 4.58003e-05
13 *1414:B *512:8 3.00073e-05
14 *1475:A0 *1349:A1 0
15 *1549:A2 *1349:A1 6.82304e-05
16 *185:15 *1349:A1 0.000110306
17 *271:39 *1349:A1 8.01527e-05
18 *295:22 *1413:A0 1.51692e-05
19 *295:22 *512:8 6.53438e-05
*RES
1 *1645:Q *512:8 17.8973
2 *512:8 *1413:A0 14.543
3 *512:8 *1349:A1 32.6734
*END
*D_NET *513 0.00850747
*CONN
*I *1353:A1 I *D sky130_fd_sc_hd__o211a_1
*I *1416:A0 I *D sky130_fd_sc_hd__mux2_1
*I *1646:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1353:A1 0.00246311
2 *1416:A0 0.00033523
3 *1646:Q 2.91631e-05
4 *513:6 0.00282751
5 *1416:A0 *521:12 0.000223598
6 *513:6 *521:12 3.91944e-05
7 *1349:A1 *1353:A1 0.00096433
8 *1349:C1 *1353:A1 0.000598986
9 *1378:A2 *1353:A1 2.02035e-05
10 *1378:B1 *1353:A1 1.65175e-05
11 *1416:S *1416:A0 6.50586e-05
12 *1417:B *1416:A0 6.04131e-05
13 *1468:A1 *1353:A1 0.00039605
14 *1739:A *1353:A1 2.02035e-05
15 *178:50 *1353:A1 0
16 *198:41 *1353:A1 0.000244151
17 *250:12 *513:6 0
18 *250:14 *1416:A0 0
19 *251:11 *1416:A0 0.000203753
*RES
1 *1646:Q *513:6 14.543
2 *513:6 *1416:A0 23.5799
3 *513:6 *1353:A1 27.7228
*END
*D_NET *514 0.00665185
*CONN
*I *1358:A1 I *D sky130_fd_sc_hd__a31o_1
*I *1419:A0 I *D sky130_fd_sc_hd__mux2_1
*I *1647:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1358:A1 0.00154349
2 *1419:A0 0.00102891
3 *1647:Q 0
4 *514:4 0.0025724
5 *1419:A0 *1425:A0 6.47133e-05
6 *1334:A *1358:A1 0.000420068
7 *1379:A2 *1358:A1 1.09551e-05
8 *1425:S *1419:A0 5.04734e-05
9 *1647:D *1419:A0 3.18826e-06
10 *1651:D *1358:A1 2.40149e-05
11 *180:31 *1358:A1 6.50586e-05
12 *182:29 *1358:A1 3.51717e-05
13 *183:9 *1358:A1 6.44576e-05
14 *251:22 *1419:A0 5.47736e-05
15 *295:22 *1419:A0 0.000247794
16 *502:17 *1358:A1 0.000466387
*RES
1 *1647:Q *514:4 9.24915
2 *514:4 *1419:A0 35.9126
3 *514:4 *1358:A1 35.7013
*END
*D_NET *515 0.0107862
*CONN
*I *1422:A0 I *D sky130_fd_sc_hd__mux2_1
*I *1363:A1 I *D sky130_fd_sc_hd__o211a_1
*I *1648:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1422:A0 0.000232732
2 *1363:A1 0.00279995
3 *1648:Q 4.52785e-05
4 *515:6 0.00307796
5 *1363:A1 *521:12 4.04556e-05
6 *515:6 *521:12 7.50872e-05
7 *1323:B2 *1363:A1 0.00021321
8 *1335:A *1363:A1 0
9 *1355:B *1363:A1 0
10 *1358:B1 *1363:A1 1.0586e-05
11 *1359:B1 *1363:A1 9.93938e-05
12 *1361:B1 *1363:A1 0.000472398
13 *1363:A2 *1363:A1 3.63769e-05
14 *1363:B1 *1363:A1 3.75221e-05
15 *1372:C1 *1363:A1 0.000118545
16 *1422:A1 *1422:A0 6.08467e-05
17 *188:16 *1363:A1 5.88009e-05
18 *204:37 *1363:A1 0.00189033
19 *295:22 *515:6 0
20 *428:33 *1363:A1 0.00031751
21 *436:22 *1363:A1 7.25761e-05
22 *443:35 *1363:A1 0.000199579
23 *474:14 *1363:A1 0.000286271
24 *483:23 *1422:A0 0.000142276
25 *495:16 *1363:A1 0.000309578
26 *504:19 *1363:A1 0.000188908
*RES
1 *1648:Q *515:6 15.1659
2 *515:6 *1363:A1 36.2624
3 *515:6 *1422:A0 17.8002
*END
*D_NET *516 0.0108367
*CONN
*I *1368:A1 I *D sky130_fd_sc_hd__o211a_1
*I *1425:A0 I *D sky130_fd_sc_hd__mux2_1
*I *1649:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1368:A1 0.000889046
2 *1425:A0 0.000328781
3 *1649:Q 0
4 *516:26 0.00261764
5 *516:20 0.00237619
6 *516:4 0.000976382
7 *1425:A0 *521:12 0
8 *1425:A0 *521:14 0
9 *516:20 *1432:A0 3.40351e-05
10 *516:20 *1432:S 0.000610896
11 *516:26 *1384:A1 3.32272e-05
12 *1348:A *1368:A1 0.000158357
13 *1359:A1 *1368:A1 7.48633e-05
14 *1359:A2 *1368:A1 0.000129801
15 *1368:A2 *1368:A1 3.47021e-05
16 *1368:C1 *1368:A1 4.60283e-05
17 *1370:A *1368:A1 1.43983e-05
18 *1385:A2 *516:26 2.52287e-06
19 *1419:A0 *1425:A0 6.47133e-05
20 *1425:S *1425:A0 6.92705e-05
21 *1432:A1 *516:20 6.3657e-05
22 *1433:A *516:20 0.00011818
23 *1434:A *516:20 0.000171273
24 *1537:A0 *516:26 5.97435e-05
25 *1639:CLK *516:20 0.000253916
26 *1682:D *1368:A1 0.000103827
27 *197:5 *516:26 0.000199855
28 *197:16 *516:26 0.000201214
29 *295:22 *1425:A0 0
30 *330:11 *516:26 7.63448e-05
31 *433:5 *1368:A1 9.97706e-05
32 *433:9 *1368:A1 0.000771937
33 *501:16 *516:20 0.000101133
34 *506:8 *516:26 0.000154967
*RES
1 *1649:Q *516:4 9.24915
2 *516:4 *1425:A0 26.763
3 *516:4 *516:20 27.6389
4 *516:20 *516:26 36.6491
5 *516:26 *1368:A1 33.8446
*END
*D_NET *517 0.00591847
*CONN
*I *1373:A1 I *D sky130_fd_sc_hd__o211a_1
*I *1429:A0 I *D sky130_fd_sc_hd__mux2_1
*I *1650:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1373:A1 0
2 *1429:A0 0.000317827
3 *1650:Q 0
4 *517:31 0.00158787
5 *517:4 0.0019057
6 *1429:A0 *1429:S 5.22071e-05
7 *1429:A0 *1435:S 9.9028e-05
8 *517:31 *1435:A0 8.65484e-06
9 *517:31 *1435:S 0.000311816
10 *1327:A *517:31 0.000169041
11 *1327:B_N *517:31 5.0715e-05
12 *1355:B *517:31 1.1933e-05
13 *1370:A *517:31 0.000108071
14 *1373:A2 *517:31 9.75243e-05
15 *1377:A2 *517:31 0.000115866
16 *1384:A2 *517:31 1.1187e-05
17 *1436:B *517:31 0.000111802
18 *1494:A1 *1429:A0 0.000102632
19 *1499:A *1429:A0 0.00010623
20 *1522:C1 *517:31 0
21 *1639:D *517:31 9.87648e-05
22 *1677:D *517:31 0.000167588
23 *177:7 *517:31 5.99529e-06
24 *184:11 *517:31 0.000167076
25 *262:35 *1429:A0 0
26 *452:38 *517:31 3.21733e-05
27 *477:14 *1429:A0 0.000217923
28 *491:8 *517:31 6.08467e-05
*RES
1 *1650:Q *517:4 9.24915
2 *517:4 *1429:A0 26.9318
3 *517:4 *517:31 46.7629
4 *517:31 *1373:A1 9.24915
*END
*D_NET *518 0.00555876
*CONN
*I *1379:A1 I *D sky130_fd_sc_hd__a31o_1
*I *1432:A0 I *D sky130_fd_sc_hd__mux2_1
*I *1651:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1379:A1 0.00118931
2 *1432:A0 0.000606128
3 *1651:Q 6.82933e-05
4 *518:8 0.00186373
5 *1432:A0 *1432:S 5.36586e-05
6 *1370:A *1379:A1 6.60619e-06
7 *1373:A2 *1379:A1 0.000160617
8 *1373:B1 *1379:A1 0.000111722
9 *1379:A2 *1379:A1 3.31745e-05
10 *1379:A3 *1379:A1 0.000338125
11 *1379:B1 *1379:A1 0.000207266
12 *1639:CLK *1379:A1 1.41291e-05
13 *1639:CLK *1432:A0 0.000137098
14 *1639:D *1379:A1 0.000256821
15 *177:41 *1379:A1 8.3506e-05
16 *180:31 *1379:A1 2.80017e-05
17 *184:11 *1379:A1 0.00036654
18 *501:16 *518:8 0
19 *502:17 *518:8 0
20 *516:20 *1432:A0 3.40351e-05
*RES
1 *1651:Q *518:8 19.6659
2 *518:8 *1432:A0 18.8462
3 *518:8 *1379:A1 40.9632
*END
*D_NET *519 0.00383434
*CONN
*I *1384:A1 I *D sky130_fd_sc_hd__o211a_1
*I *1435:A0 I *D sky130_fd_sc_hd__mux2_1
*I *1652:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1384:A1 0.000962403
2 *1435:A0 0.000567801
3 *1652:Q 0
4 *519:4 0.0015302
5 *1435:A0 *1435:S 4.58907e-05
6 *1384:A2 *1384:A1 0.000159938
7 *1677:D *1435:A0 0.000130453
8 *197:5 *1384:A1 0.000113402
9 *197:16 *1384:A1 1.777e-05
10 *452:38 *1435:A0 0.0002646
11 *501:16 *1435:A0 0
12 *516:26 *1384:A1 3.32272e-05
13 *517:31 *1435:A0 8.65484e-06
*RES
1 *1652:Q *519:4 9.24915
2 *519:4 *1435:A0 28.1537
3 *519:4 *1384:A1 24.2717
*END
*D_NET *520 0.00875971
*CONN
*I *1345:A1 I *D sky130_fd_sc_hd__a22o_1
*I *1468:A0 I *D sky130_fd_sc_hd__mux2_1
*I *1317:A I *D sky130_fd_sc_hd__inv_2
*I *1661:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1345:A1 0.00106062
2 *1468:A0 4.30717e-05
3 *1317:A 0.00126134
4 *1661:Q 0
5 *520:20 0.00125697
6 *520:4 0.00141462
7 *1325:A *1345:A1 0.000180727
8 *1344:A *1345:A1 7.92757e-06
9 *1345:A2 *1345:A1 0.000319864
10 *1345:B1 *1345:A1 9.95922e-06
11 *1346:C1 *1345:A1 0.000205419
12 *1382:B1 *1345:A1 1.43983e-05
13 *1417:A *1317:A 0.000431788
14 *1468:A1 *1468:A0 2.26985e-05
15 *1468:S *1345:A1 0.000277488
16 *1468:S *520:20 0
17 *1478:A1 *1317:A 0.00011818
18 *1479:B *1317:A 5.0715e-05
19 *1524:A *1345:A1 3.31745e-05
20 *1545:A2 *520:20 0
21 *1646:CLK *1317:A 0.000361109
22 *1646:D *1317:A 0.000364994
23 *1661:CLK *1317:A 0.000546962
24 *175:7 *1345:A1 6.50727e-05
25 *193:20 *1345:A1 0.000119945
26 *270:6 *1468:A0 2.58518e-05
27 *270:6 *520:20 4.87693e-05
28 *270:9 *1317:A 6.99486e-05
29 *270:25 *520:20 1.00691e-05
30 *485:19 *1317:A 0.00021643
31 *485:24 *1317:A 0.000221595
*RES
1 *1661:Q *520:4 9.24915
2 *520:4 *1317:A 45.5636
3 *520:4 *520:20 8.40826
4 *520:20 *1468:A0 15.1659
5 *520:20 *1345:A1 40.9729
*END
*D_NET *521 0.00811447
*CONN
*I *1412:A I *D sky130_fd_sc_hd__clkbuf_2
*I *1432:S I *D sky130_fd_sc_hd__mux2_1
*I *1429:S I *D sky130_fd_sc_hd__mux2_1
*I *1435:S I *D sky130_fd_sc_hd__mux2_1
*I *1688:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1412:A 0
2 *1432:S 0.000220654
3 *1429:S 0.000157363
4 *1435:S 0.000407335
5 *1688:Q 0.000317633
6 *521:14 0.000787468
7 *521:12 0.00108233
8 *521:6 0.000956541
9 *525:DIODE *1432:S 2.16355e-05
10 *1363:A1 *521:12 4.04556e-05
11 *1416:A0 *521:12 0.000223598
12 *1420:B *521:12 0
13 *1424:A *521:12 0.000244579
14 *1425:A0 *521:12 0
15 *1425:A0 *521:14 0
16 *1429:A0 *1429:S 5.22071e-05
17 *1429:A0 *1435:S 9.9028e-05
18 *1430:B *1429:S 0
19 *1430:B *521:14 5.23264e-05
20 *1432:A0 *1432:S 5.36586e-05
21 *1432:A1 *1432:S 6.3657e-05
22 *1433:A *1432:S 0.00011818
23 *1433:B *1432:S 0.000337824
24 *1435:A0 *1435:S 4.58907e-05
25 *1469:A *521:6 0
26 *1494:A1 *1429:S 3.31733e-05
27 *1647:CLK *521:12 0.000148129
28 *171:45 *521:6 0
29 *250:6 *521:6 0
30 *250:12 *521:6 0
31 *250:12 *521:12 0
32 *250:14 *521:12 0
33 *250:23 *521:12 0
34 *262:10 *521:6 0.000481768
35 *262:10 *521:12 0.000864245
36 *262:12 *521:12 5.56367e-05
37 *262:12 *521:14 2.24484e-05
38 *262:16 *521:14 0.000174205
39 *295:22 *521:6 0
40 *295:22 *521:12 0
41 *295:22 *521:14 0
42 *295:39 *1429:S 0
43 *452:38 *1435:S 1.55025e-05
44 *513:6 *521:12 3.91944e-05
45 *515:6 *521:12 7.50872e-05
46 *516:20 *1432:S 0.000610896
47 *517:31 *1435:S 0.000311816
*RES
1 *1688:Q *521:6 23.4709
2 *521:6 *521:12 23.4984
3 *521:12 *521:14 6.81502
4 *521:14 *1435:S 26.1433
5 *521:14 *1429:S 17.9749
6 *521:12 *1432:S 25.4199
7 *521:6 *1412:A 13.7491
*END
*D_NET *522 0.0025227
*CONN
*I *1398:A I *D sky130_fd_sc_hd__nand2_1
*I *1706:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *1398:A 0
2 *1706:Q 0.00102993
3 *522:13 0.00102993
4 *537:DIODE *522:13 0.000207176
5 *1516:S *522:13 5.37234e-05
6 *1589:C *522:13 1.8078e-05
7 *1781:A *522:13 0
8 *85:12 *522:13 0.000118792
9 *239:20 *522:13 6.50586e-05
*RES
1 *1706:Q *522:13 42.6214
2 *522:13 *1398:A 9.24915
*END