blob: d038e7e828853f0b5fe3644b69038cc4a21c89ca [file] [log] [blame]
(DELAYFILE
(SDFVERSION "3.0")
(DESIGN "SPI")
(DATE "Fri Mar 18 12:02:49 2022")
(VENDOR "Parallax")
(PROGRAM "STA")
(VERSION "2.3.1")
(DIVIDER .)
(VOLTAGE 1.800::1.800)
(PROCESS "1.000::1.000")
(TEMPERATURE 25.000::25.000)
(TIMESCALE 1ns)
(CELL
(CELLTYPE "SPI")
(INSTANCE)
(DELAY
(ABSOLUTE
(INTERCONNECT clock clkbuf_0_clock.A (0.075:0.075:0.075) (0.036:0.036:0.036))
(INTERCONNECT clock ANTENNA_clkbuf_0_clock_A.DIODE (0.075:0.075:0.075) (0.036:0.036:0.036))
(INTERCONNECT io_spi_miso input1.A (0.018:0.018:0.018) (0.008:0.008:0.008))
(INTERCONNECT io_spi_miso ANTENNA_input1_A.DIODE (0.018:0.018:0.018) (0.008:0.008:0.008))
(INTERCONNECT io_spi_select input2.A (0.016:0.016:0.016) (0.007:0.007:0.007))
(INTERCONNECT io_spi_select ANTENNA_input2_A.DIODE (0.016:0.016:0.016) (0.007:0.007:0.007))
(INTERCONNECT io_wbs_m2s_addr[0] input3.A (0.030:0.030:0.030) (0.014:0.014:0.014))
(INTERCONNECT io_wbs_m2s_addr[0] ANTENNA_input3_A.DIODE (0.030:0.030:0.030) (0.014:0.014:0.014))
(INTERCONNECT io_wbs_m2s_addr[1] input4.A (0.021:0.021:0.021) (0.010:0.010:0.010))
(INTERCONNECT io_wbs_m2s_addr[1] ANTENNA_input4_A.DIODE (0.021:0.021:0.021) (0.010:0.010:0.010))
(INTERCONNECT io_wbs_m2s_addr[2] input5.A (0.018:0.018:0.018) (0.008:0.008:0.008))
(INTERCONNECT io_wbs_m2s_addr[2] ANTENNA_input5_A.DIODE (0.018:0.018:0.018) (0.008:0.008:0.008))
(INTERCONNECT io_wbs_m2s_addr[3] input6.A (0.029:0.029:0.029) (0.013:0.013:0.013))
(INTERCONNECT io_wbs_m2s_addr[3] ANTENNA_input6_A.DIODE (0.028:0.028:0.028) (0.013:0.013:0.013))
(INTERCONNECT io_wbs_m2s_addr[4] input7.A (0.037:0.037:0.037) (0.017:0.017:0.017))
(INTERCONNECT io_wbs_m2s_addr[4] ANTENNA_input7_A.DIODE (0.037:0.037:0.037) (0.017:0.017:0.017))
(INTERCONNECT io_wbs_m2s_addr[5] input8.A (0.034:0.034:0.034) (0.016:0.016:0.016))
(INTERCONNECT io_wbs_m2s_addr[5] ANTENNA_input8_A.DIODE (0.034:0.034:0.034) (0.016:0.016:0.016))
(INTERCONNECT io_wbs_m2s_addr[6] input9.A (0.025:0.025:0.025) (0.011:0.011:0.011))
(INTERCONNECT io_wbs_m2s_addr[6] ANTENNA_input9_A.DIODE (0.025:0.025:0.025) (0.011:0.011:0.011))
(INTERCONNECT io_wbs_m2s_addr[7] input10.A (0.024:0.024:0.024) (0.011:0.011:0.011))
(INTERCONNECT io_wbs_m2s_addr[7] ANTENNA_input10_A.DIODE (0.024:0.024:0.024) (0.011:0.011:0.011))
(INTERCONNECT io_wbs_m2s_data[0] input11.A (0.019:0.019:0.019) (0.008:0.008:0.008))
(INTERCONNECT io_wbs_m2s_data[0] ANTENNA_input11_A.DIODE (0.019:0.019:0.019) (0.008:0.008:0.008))
(INTERCONNECT io_wbs_m2s_data[1] input12.A (0.017:0.017:0.017) (0.008:0.008:0.008))
(INTERCONNECT io_wbs_m2s_data[1] ANTENNA_input12_A.DIODE (0.017:0.017:0.017) (0.008:0.008:0.008))
(INTERCONNECT io_wbs_m2s_data[2] input13.A (0.023:0.023:0.023) (0.010:0.010:0.010))
(INTERCONNECT io_wbs_m2s_data[2] ANTENNA_input13_A.DIODE (0.023:0.023:0.023) (0.010:0.010:0.010))
(INTERCONNECT io_wbs_m2s_data[3] input14.A (0.015:0.015:0.015) (0.006:0.006:0.006))
(INTERCONNECT io_wbs_m2s_data[3] ANTENNA_input14_A.DIODE (0.015:0.015:0.015) (0.006:0.006:0.006))
(INTERCONNECT io_wbs_m2s_data[4] input15.A (0.019:0.019:0.019) (0.008:0.008:0.008))
(INTERCONNECT io_wbs_m2s_data[4] ANTENNA_input15_A.DIODE (0.019:0.019:0.019) (0.008:0.008:0.008))
(INTERCONNECT io_wbs_m2s_data[5] input16.A (0.017:0.017:0.017) (0.007:0.007:0.007))
(INTERCONNECT io_wbs_m2s_data[5] ANTENNA_input16_A.DIODE (0.017:0.017:0.017) (0.007:0.007:0.007))
(INTERCONNECT io_wbs_m2s_data[6] input17.A (0.024:0.024:0.024) (0.011:0.011:0.011))
(INTERCONNECT io_wbs_m2s_data[6] ANTENNA_input17_A.DIODE (0.024:0.024:0.024) (0.011:0.011:0.011))
(INTERCONNECT io_wbs_m2s_data[7] input18.A (0.020:0.020:0.020) (0.009:0.009:0.009))
(INTERCONNECT io_wbs_m2s_data[7] ANTENNA_input18_A.DIODE (0.020:0.020:0.020) (0.009:0.009:0.009))
(INTERCONNECT io_wbs_m2s_stb input19.A (0.013:0.013:0.013) (0.005:0.005:0.005))
(INTERCONNECT io_wbs_m2s_stb ANTENNA_input19_A.DIODE (0.013:0.013:0.013) (0.005:0.005:0.005))
(INTERCONNECT io_wbs_m2s_we input20.A (0.017:0.017:0.017) (0.007:0.007:0.007))
(INTERCONNECT io_wbs_m2s_we ANTENNA_input20_A.DIODE (0.017:0.017:0.017) (0.007:0.007:0.007))
(INTERCONNECT reset input21.A (0.019:0.019:0.019) (0.008:0.008:0.008))
(INTERCONNECT reset ANTENNA_input21_A.DIODE (0.019:0.019:0.019) (0.008:0.008:0.008))
(INTERCONNECT _315_.Y output23.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _316_.Y _317_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _316_.Y _372_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _316_.Y _570_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _316_.Y _612_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _317_.X _318_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _317_.X _409_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _317_.X _426_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _317_.X _609_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _317_.X _630_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _318_.X _319_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _318_.X _357_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _318_.X _378_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _318_.X _519_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _318_.X _547_.B1 (0.003:0.003:0.003) (0.002:0.002:0.002))
(INTERCONNECT _319_.X _348_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _319_.X _352_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _319_.X _362_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _319_.X _367_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _319_.X _704_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _320_.X _322_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _321_.X _322_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _322_.X output24.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _323_.X _325_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _323_.X _345_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _323_.X _465_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _323_.X _520_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _323_.X _542_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _324_.X _325_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _324_.X _345_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _324_.X _465_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _324_.X _520_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _324_.X _542_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _325_.X _326_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _325_.X _356_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _325_.X _368_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _325_.X _377_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _325_.X _379_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _326_.X _327_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _326_.X _349_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _326_.X _358_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _326_.X _363_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _326_.X _547_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _327_.X _348_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _328_.Y _330_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _328_.Y _335_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _328_.Y _339_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _328_.Y _341_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _328_.Y _353_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _329_.Y _330_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _329_.Y _335_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _329_.Y _339_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _329_.Y _341_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _329_.Y _353_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _330_.X _332_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _330_.X _337_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _330_.X _356_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _330_.X _377_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _331_.Y _332_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _331_.Y _337_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _331_.Y _353_.D (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _331_.Y _356_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _331_.Y _377_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _332_.Y _333_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _332_.Y _371_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _332_.Y _382_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _333_.X _347_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _333_.X _351_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _333_.X _361_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _333_.X _366_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _333_.X _547_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _334_.X _335_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _334_.X _339_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _335_.X _336_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _335_.X _350_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _335_.X _370_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _335_.X _381_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _335_.X _437_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _336_.X _344_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _336_.X _355_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _336_.X _360_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _336_.X _365_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _336_.X _376_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _337_.X _338_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _337_.X _350_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _338_.X _344_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _338_.X _360_.C1 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _338_.X _365_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _338_.X _370_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _338_.X _381_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _339_.X _343_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _339_.X _465_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _340_.X _341_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _341_.X _342_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _341_.X _380_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _341_.X _521_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _342_.X _343_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _342_.X _350_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _342_.X _359_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _342_.X _364_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _342_.X _369_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _343_.X _344_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _344_.X _347_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _345_.X _346_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _345_.X _361_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _345_.X _366_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _345_.X _371_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _345_.X _382_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _346_.X _347_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _346_.X _351_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _346_.X _357_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _346_.X _378_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _346_.X _408_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _347_.X _348_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _348_.X _632_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _349_.X _352_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _350_.X _351_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _351_.X _352_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _352_.X _633_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _353_.X _354_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _353_.X _369_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _353_.X _380_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _354_.X _355_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _354_.X _359_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _354_.X _364_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _354_.X _376_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _354_.X _542_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _355_.X _357_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _356_.X _357_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _357_.X _634_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _358_.X _362_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _359_.X _360_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _360_.X _361_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _361_.X _362_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _362_.X _635_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _363_.X _367_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _364_.X _365_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _365_.X _366_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _366_.X _367_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _367_.X _636_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _368_.X _375_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _369_.X _370_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _370_.X _371_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _371_.X _375_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _372_.X _373_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _372_.X _458_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _372_.X _479_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _372_.X _495_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _372_.X _556_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _373_.X _374_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _373_.X _503_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _373_.X _536_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _373_.X _626_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _373_.X _628_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _374_.X _375_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _374_.X _383_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _374_.X _405_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _374_.X _500_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _374_.X _557_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _375_.X _637_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _376_.X _378_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _377_.X _378_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _378_.X _638_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _379_.X _383_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _380_.X _381_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _381_.X _382_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _382_.X _383_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _383_.X _639_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _384_.X _392_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _384_.X _544_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _384_.X _550_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _384_.X _599_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _384_.X _608_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _385_.X _390_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _385_.X _391_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _385_.X _469_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _385_.X _550_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _385_.X _552_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _386_.Y _388_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _386_.Y _391_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _386_.Y _470_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _386_.Y _572_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _387_.X _388_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _387_.X _391_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _387_.X _470_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _387_.X _572_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _387_.X _619_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _388_.X _389_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _388_.X _564_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _389_.X _390_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _389_.X _565_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _389_.X _600_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _389_.X _604_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _389_.X _607_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _390_.Y _392_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _391_.X _392_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _391_.X _396_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _391_.X _569_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _391_.X _573_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _391_.X _581_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _392_.Y _640_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _393_.Y _394_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _393_.Y _572_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _394_.X _395_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _394_.X _499_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _394_.X _516_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _394_.X _519_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _394_.X _564_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _395_.X _405_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _395_.X _504_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _395_.X _507_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _395_.X _510_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _395_.X _513_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _396_.Y _397_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _396_.Y _511_.S (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _396_.Y _514_.S (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _396_.Y _517_.S (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _397_.X _398_.S (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _397_.X _499_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _397_.X _501_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _397_.X _505_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _397_.X _508_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _398_.X _405_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _399_.X _402_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _399_.X _555_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _399_.X _597_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _399_.X _602_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _399_.X _630_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _400_.X _402_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _400_.X _555_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _400_.X _597_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _400_.X _602_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _400_.X _626_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _401_.X _402_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _401_.X _555_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _401_.X _597_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _401_.X _602_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _401_.X _628_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _402_.X _403_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _402_.X _509_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _402_.X _512_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _402_.X _515_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _402_.X _518_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _403_.X _404_.B (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _403_.X _500_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _403_.X _502_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _403_.X _506_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _403_.X _608_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _404_.X _405_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _405_.X _641_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _406_.X _407_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _406_.X _441_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _406_.X _447_.A (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT _406_.X _453_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _406_.X _456_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _407_.Y _408_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _407_.Y _520_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _408_.X _642_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _409_.X _412_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _409_.X _415_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _409_.X _418_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _409_.X _421_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _409_.X _424_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _410_.X _411_.S (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _410_.X _414_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _410_.X _417_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _410_.X _420_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _410_.X _423_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _411_.X _412_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _412_.X _413_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _413_.X _643_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _414_.X _415_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _415_.X _416_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _416_.X _644_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _417_.X _418_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _418_.X _419_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _419_.X _645_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _420_.X _421_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _421_.X _422_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _422_.X _646_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _423_.X _424_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _424_.X _425_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _425_.X _647_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _426_.X _428_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _426_.X _431_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _426_.X _434_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _426_.X _444_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _426_.X _450_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _426_.X ANTENNA__450__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _426_.X ANTENNA__444__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _426_.X ANTENNA__434__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _426_.X ANTENNA__431__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _426_.X ANTENNA__428__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _427_.X _428_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _428_.X _429_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _429_.X _648_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _430_.X _431_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _431_.X _432_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _432_.X _649_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _433_.X _434_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _434_.X _435_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _435_.X _650_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _436_.Y _438_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _437_.Y _438_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _437_.Y _543_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _437_.Y _550_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _437_.Y _599_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _438_.X _439_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _438_.X _446_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _438_.X _452_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _438_.X _455_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _439_.X _440_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _439_.X _443_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _439_.X _449_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _439_.X _459_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _439_.X _462_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _440_.X _441_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _441_.X _442_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _442_.X _651_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _443_.X _444_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _444_.X _445_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _445_.X _652_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _446_.X _447_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _447_.X _448_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _448_.X _653_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _449_.X _450_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _450_.X _451_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _451_.X _654_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _452_.X _453_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _453_.X _454_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _454_.X _655_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _455_.X _456_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _456_.X _457_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _457_.X _656_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _458_.X _460_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _458_.X _463_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _458_.X _467_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _458_.X _474_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _458_.X _477_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _459_.X _460_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _460_.X _461_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _461_.X _657_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _462_.X _463_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _463_.X _464_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _464_.X _658_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _465_.X _466_.S (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _466_.X _467_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _467_.X _468_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _468_.X _659_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _469_.Y _471_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _469_.Y _601_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _469_.Y _607_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _470_.Y _471_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _470_.Y _546_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _470_.Y _612_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _471_.X _472_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _471_.X _489_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _471_.X _492_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _471_.X _496_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _472_.X _473_.S (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _472_.X _476_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _472_.X _480_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _472_.X _483_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _472_.X _486_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _473_.X _474_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _474_.X _475_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _475_.X _660_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _476_.X _477_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _477_.X _478_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _478_.X _661_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _479_.X _481_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _479_.X _484_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _479_.X _487_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _479_.X _490_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _479_.X _493_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _480_.X _481_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _481_.X _482_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _482_.X _662_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _483_.X _484_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _484_.X _485_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _485_.X _663_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _486_.X _487_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _487_.X _488_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _488_.X _664_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _489_.X _490_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _490_.X _491_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _491_.X _665_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _492_.X _493_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _493_.X _494_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _494_.X _666_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _495_.X _497_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _495_.X _524_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _495_.X _527_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _495_.X _530_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _495_.X _533_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _496_.X _497_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _497_.X _498_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _498_.X _667_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _499_.X _500_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _500_.X _668_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _501_.X _504_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _502_.X _504_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _503_.X _504_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _503_.X _507_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _503_.X _510_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _503_.X _513_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _503_.X _516_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _504_.X _669_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _505_.X _507_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _506_.X _507_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _507_.X _670_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _508_.X _510_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _509_.X _510_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _510_.X _671_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _511_.X _513_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _512_.X _513_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _513_.X _672_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _514_.X _516_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _515_.X _516_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _516_.X _673_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _517_.X _519_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _518_.X _519_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _519_.X _674_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _520_.X _675_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _521_.X _522_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _521_.X _538_.S (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _522_.X _523_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _522_.X _526_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _522_.X _529_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _522_.X _532_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _522_.X _535_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _523_.X _524_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _524_.X _525_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _525_.X _676_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _526_.X _527_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _527_.X _528_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _528_.X _677_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _529_.X _530_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _530_.X _531_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _531_.X _678_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _532_.X _533_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _533_.X _534_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _534_.X _679_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _535_.X _536_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _536_.X _537_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _537_.X _680_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _538_.X _539_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _539_.X _540_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _540_.X _681_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _541_.Y _542_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _542_.X _543_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _543_.X _544_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _544_.Y _682_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _545_.X _546_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _545_.X _601_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _545_.X _604_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _546_.Y _547_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _546_.Y _548_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _546_.Y _551_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _547_.Y _549_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _547_.Y _551_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _548_.Y _549_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _549_.Y _683_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _550_.X _684_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _551_.X _685_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _552_.Y _553_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _553_.X _554_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _554_.X _686_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _555_.X _556_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _555_.X _612_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _556_.Y _605_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _556_.Y _687_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _557_.X _688_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _558_.X _565_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _558_.X _572_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _558_.X _600_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _559_.X _562_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _559_.X _574_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _559_.X _577_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _559_.X _578_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _559_.X _581_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _560_.Y _562_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _560_.Y _563_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _561_.X _562_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _561_.X _568_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _561_.X _577_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _561_.X _578_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _561_.X _581_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _562_.X _565_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _562_.X _600_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _563_.X _564_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _564_.X _565_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _564_.X _603_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _565_.Y _570_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _566_.X _567_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _566_.X _585_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _566_.X _586_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _566_.X _589_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _566_.X _590_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _567_.Y _568_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _568_.X _569_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _568_.X _607_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _569_.Y _570_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _569_.Y _603_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _570_.X _571_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _570_.X _591_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _571_.X _575_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _571_.X _579_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _571_.X _583_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _571_.X _587_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _571_.X _595_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _572_.X _573_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _572_.X _581_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _573_.X _574_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _573_.X _577_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _573_.X _578_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _574_.X _575_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _575_.X _576_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _576_.X _689_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _577_.X _579_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _577_.X _582_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _578_.X _579_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _579_.X _580_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _580_.X _690_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _581_.X _583_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _581_.X _585_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _581_.X _586_.B (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _581_.X _589_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _581_.X _590_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _582_.X _583_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _583_.X _584_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _584_.X _691_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _585_.X _587_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _586_.Y _587_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _587_.X _588_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _588_.X _692_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _589_.X _591_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _589_.X _593_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _589_.X _594_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _590_.X _591_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _591_.X _592_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _592_.X _693_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _593_.X _595_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _594_.Y _595_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _595_.X _596_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _596_.X _694_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _597_.X _598_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _597_.X _608_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _598_.Y _599_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _599_.Y _695_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _600_.X _601_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _601_.Y _603_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _601_.Y _609_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _602_.Y _603_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _603_.X _605_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _604_.X _605_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _605_.X _606_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _606_.X _696_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _607_.X _608_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _607_.X _609_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _608_.Y _697_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _609_.X _610_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _610_.X _698_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _611_.X _613_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _611_.X _616_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _611_.X _617_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _611_.X _618_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _611_.X _621_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _612_.X _613_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _612_.X _616_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _612_.X _619_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _612_.X _622_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _612_.X _624_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _613_.X _614_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _614_.X _699_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _615_.X _616_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _615_.X _617_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _615_.X _618_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _615_.X _620_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _615_.X _621_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _616_.Y _617_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _617_.Y _700_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _618_.Y _619_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _619_.X _701_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _620_.X _622_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _620_.X _624_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _620_.X _625_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _621_.X _622_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _622_.X _623_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _623_.X _702_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _624_.Y _625_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _625_.X _703_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _626_.X _627_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _627_.X _705_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _628_.X _629_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _629_.X _706_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _630_.X _631_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _631_.X _707_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _632_.Q _327_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _632_.Q output27.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _633_.Q _349_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _633_.Q output28.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _634_.Q _357_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _634_.Q output29.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _635_.Q _358_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _635_.Q output30.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _636_.Q _363_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _636_.Q output31.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _637_.Q _368_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _637_.Q output32.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _638_.Q _378_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _638_.Q output33.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _639_.Q _379_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _639_.Q output34.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _640_.Q _607_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _640_.Q _471_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _640_.Q _391_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _640_.Q _390_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _640_.Q output22.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _641_.Q _398_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _641_.Q output25.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _642_.Q _408_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _642_.Q _520_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _643_.Q _347_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _643_.Q _411_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _644_.Q _351_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _644_.Q _414_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _645_.Q _356_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _645_.Q _417_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _646_.Q _361_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _646_.Q _420_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _647_.Q _366_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _647_.Q _423_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _648_.Q _371_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _648_.Q _427_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _649_.Q _377_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _649_.Q _430_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _650_.Q _382_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _650_.Q _433_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _651_.Q _344_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _651_.Q _440_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _651_.Q _500_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _652_.Q _350_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _652_.Q _443_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _652_.Q _502_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _653_.Q _355_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _653_.Q _446_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _653_.Q _506_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _654_.Q _360_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _654_.Q _449_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _654_.Q _509_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _655_.Q _365_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _655_.Q _452_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _655_.Q _512_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _656_.Q _370_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _656_.Q _455_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _656_.Q _515_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _657_.Q _376_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _657_.Q _459_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _657_.Q _518_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _658_.Q _381_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _658_.Q _404_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _658_.Q _462_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _659_.Q _315_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _659_.Q _343_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _659_.Q _466_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _660_.Q _411_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _660_.Q _473_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _660_.Q _476_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _661_.Q _414_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _661_.Q _476_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _661_.Q _480_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _662_.Q _417_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _662_.Q _480_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _662_.Q _483_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _663_.Q _420_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _663_.Q _483_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _663_.Q _486_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _664_.Q _423_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _664_.Q _486_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _664_.Q _489_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _665_.Q _427_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _665_.Q _489_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _665_.Q _492_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _666_.Q _430_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _666_.Q _492_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _666_.Q _496_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _667_.Q _433_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _667_.Q _496_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _668_.Q _499_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _668_.Q _501_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _669_.Q _501_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _669_.Q _505_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _670_.Q _505_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _670_.Q _508_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _671_.Q _508_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _671_.Q _511_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _672_.Q _511_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _672_.Q _514_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _673_.Q _514_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _673_.Q _517_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _674_.Q _398_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _674_.Q _517_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _675_.Q _407_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _675_.Q output26.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _676_.Q _320_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _676_.Q _343_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _676_.Q _523_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _677_.Q _320_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _677_.Q _350_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _677_.Q _526_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _678_.Q _321_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _678_.Q _359_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _678_.Q _529_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _679_.Q _321_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _679_.Q _364_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _679_.Q _532_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _680_.Q _322_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _680_.Q _369_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _680_.Q _535_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _681_.Q _380_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _681_.Q _538_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _682_.Q _320_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _682_.Q _359_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _682_.Q _541_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _682_.Q _557_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _683_.Q _320_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _683_.Q _355_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _683_.Q _548_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _683_.Q _557_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _684_.Q _321_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _684_.Q _369_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _684_.Q _436_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _684_.Q _543_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _684_.Q _550_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _685_.Q _321_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _685_.Q _376_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _685_.Q _548_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _685_.Q _551_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _686_.Q _410_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _686_.Q _427_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _686_.Q _430_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _686_.Q _433_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _687_.Q _364_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _688_.Q _380_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _689_.Q _559_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _689_.Q _563_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _689_.Q _568_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _690_.Q _561_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _690_.Q _563_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _691_.Q _560_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _691_.Q _568_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _691_.Q _581_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _691_.Q _582_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _692_.Q _560_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _692_.Q _566_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _693_.Q _560_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _693_.Q _567_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _693_.Q _589_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _693_.Q _590_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _694_.Q _560_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _694_.Q _567_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _694_.Q _593_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _694_.Q _594_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _695_.Q _556_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _695_.Q _598_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _696_.Q _385_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _696_.Q _393_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _696_.Q _400_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _696_.Q _545_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _696_.Q _558_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _697_.Q _385_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _697_.Q _393_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _697_.Q _401_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _697_.Q _545_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _697_.Q _558_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _698_.Q _385_.A_N (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _698_.Q _393_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _698_.Q _399_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _698_.Q _545_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _698_.Q _558_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _699_.Q _387_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _699_.Q _611_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _699_.Q _620_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _700_.Q _387_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _700_.Q _615_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _701_.Q _387_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _701_.Q _618_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _701_.Q _620_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _701_.Q _621_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _702_.Q _386_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _702_.Q _620_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _702_.Q _621_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _703_.Q _386_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _703_.Q _624_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _703_.Q _625_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _704_.Q _396_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _705_.Q _553_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _706_.Q _553_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _707_.Q _552_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _708__35.LO io_wbs_data_o[8] (0.000:0.000:0.000))
(INTERCONNECT _709__36.LO io_wbs_data_o[9] (0.000:0.000:0.000))
(INTERCONNECT _710__37.LO io_wbs_data_o[10] (0.000:0.000:0.000))
(INTERCONNECT _711__38.LO io_wbs_data_o[11] (0.000:0.000:0.000))
(INTERCONNECT _712__39.LO io_wbs_data_o[12] (0.000:0.000:0.000))
(INTERCONNECT _713__40.LO io_wbs_data_o[13] (0.000:0.000:0.000))
(INTERCONNECT _714__41.LO io_wbs_data_o[14] (0.000:0.000:0.000))
(INTERCONNECT _715__42.LO io_wbs_data_o[15] (0.000:0.000:0.000))
(INTERCONNECT _716__43.LO io_wbs_data_o[16] (0.000:0.000:0.000))
(INTERCONNECT _717__44.LO io_wbs_data_o[17] (0.000:0.000:0.000))
(INTERCONNECT _718__45.LO io_wbs_data_o[18] (0.000:0.000:0.000))
(INTERCONNECT _719__46.LO io_wbs_data_o[19] (0.000:0.000:0.000))
(INTERCONNECT _720__47.LO io_wbs_data_o[20] (0.000:0.000:0.000))
(INTERCONNECT _721__48.LO io_wbs_data_o[21] (0.000:0.000:0.000))
(INTERCONNECT _722__49.LO io_wbs_data_o[22] (0.000:0.000:0.000))
(INTERCONNECT _723__50.LO io_wbs_data_o[23] (0.000:0.000:0.000))
(INTERCONNECT _724__51.LO io_wbs_data_o[24] (0.000:0.000:0.000))
(INTERCONNECT _725__52.LO io_wbs_data_o[25] (0.000:0.000:0.000))
(INTERCONNECT _726__53.LO io_wbs_data_o[26] (0.000:0.000:0.000))
(INTERCONNECT _727__54.LO io_wbs_data_o[27] (0.000:0.000:0.000))
(INTERCONNECT _728__55.LO io_wbs_data_o[28] (0.000:0.000:0.000))
(INTERCONNECT _729__56.LO io_wbs_data_o[29] (0.000:0.000:0.000))
(INTERCONNECT _730__57.LO io_wbs_data_o[30] (0.000:0.000:0.000))
(INTERCONNECT _731__58.LO io_wbs_data_o[31] (0.000:0.000:0.000))
(INTERCONNECT clkbuf_0_clock.X clkbuf_1_0_0_clock.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_0_clock.X clkbuf_1_1_0_clock.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_1_0_0_clock.X clkbuf_2_0_0_clock.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_1_0_0_clock.X clkbuf_2_1_0_clock.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_1_1_0_clock.X clkbuf_2_2_0_clock.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_1_1_0_clock.X clkbuf_2_3_0_clock.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_2_0_0_clock.X clkbuf_3_0_0_clock.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_2_0_0_clock.X clkbuf_3_1_0_clock.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_2_1_0_clock.X clkbuf_3_2_0_clock.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_2_1_0_clock.X clkbuf_3_3_0_clock.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_2_2_0_clock.X clkbuf_3_4_0_clock.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_2_2_0_clock.X clkbuf_3_5_0_clock.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_2_3_0_clock.X clkbuf_3_6_0_clock.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_2_3_0_clock.X clkbuf_3_7_0_clock.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_3_0_0_clock.X _666_.CLK (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_3_0_0_clock.X _665_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_3_0_0_clock.X _664_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_3_0_0_clock.X _663_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_3_0_0_clock.X _662_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_3_0_0_clock.X _661_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_3_0_0_clock.X _648_.CLK (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_3_0_0_clock.X _647_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_3_0_0_clock.X _645_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_3_0_0_clock.X _644_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_3_1_0_clock.X _675_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_3_1_0_clock.X _667_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_3_1_0_clock.X _659_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_3_1_0_clock.X _650_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_3_1_0_clock.X _649_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_3_1_0_clock.X _646_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_3_1_0_clock.X _642_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_3_1_0_clock.X _637_.CLK (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_3_2_0_clock.X _707_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_3_2_0_clock.X _706_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_3_2_0_clock.X _705_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_3_2_0_clock.X _702_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_3_2_0_clock.X _701_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_3_2_0_clock.X _700_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_3_2_0_clock.X _699_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_3_2_0_clock.X _698_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_3_2_0_clock.X _696_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_3_2_0_clock.X _686_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_3_2_0_clock.X _660_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_3_2_0_clock.X _643_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_3_3_0_clock.X _703_.CLK (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_3_3_0_clock.X _697_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_3_3_0_clock.X _695_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_3_3_0_clock.X _687_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_3_3_0_clock.X _684_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_3_3_0_clock.X _682_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_3_3_0_clock.X _640_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_3_4_0_clock.X _688_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_3_4_0_clock.X _680_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_3_4_0_clock.X _656_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_3_4_0_clock.X _655_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_3_4_0_clock.X _653_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_3_4_0_clock.X _639_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_3_4_0_clock.X _632_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_3_5_0_clock.X _685_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_3_5_0_clock.X _679_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_3_5_0_clock.X _678_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_3_5_0_clock.X _677_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_3_5_0_clock.X _676_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_3_5_0_clock.X _652_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_3_5_0_clock.X _638_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_3_5_0_clock.X _636_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_3_5_0_clock.X _634_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_3_6_0_clock.X _691_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_3_6_0_clock.X _690_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_3_6_0_clock.X _689_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_3_6_0_clock.X _683_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_3_6_0_clock.X _681_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_3_6_0_clock.X _674_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_3_6_0_clock.X _673_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_3_6_0_clock.X _658_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_3_6_0_clock.X _657_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_3_6_0_clock.X _641_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_3_7_0_clock.X _704_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_3_7_0_clock.X _694_.CLK (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_3_7_0_clock.X _693_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_3_7_0_clock.X _692_.CLK (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_3_7_0_clock.X _672_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_3_7_0_clock.X _671_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_3_7_0_clock.X _670_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_3_7_0_clock.X _669_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_3_7_0_clock.X _668_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_3_7_0_clock.X _654_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_3_7_0_clock.X _651_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_3_7_0_clock.X _635_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_3_7_0_clock.X _633_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input1.X _473_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input10.X _329_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input10.X ANTENNA__329__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input11.X _523_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input11.X _466_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input11.X _440_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input12.X _526_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input12.X _443_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input13.X _446_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input14.X _529_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input14.X _449_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input15.X _532_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input15.X _452_.A0 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT input16.X _535_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input16.X _455_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input17.X _459_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input18.X _538_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input18.X _462_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input19.X _521_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input19.X _437_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input19.X _324_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input2.X _340_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input2.X _334_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input2.X _331_.C_N (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input20.X _521_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input20.X _437_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input20.X _323_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input21.X _552_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input21.X _539_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input21.X _406_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input21.X _384_.A (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT input21.X _316_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input3.X _340_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input3.X _334_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input3.X _331_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input4.X _340_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input4.X _334_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input4.X _331_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input5.X _353_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input5.X _341_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input5.X _339_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input5.X _335_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input5.X _330_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input6.X _328_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input7.X _329_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input8.X _328_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input9.X _329_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT output22.X io_spi_clk (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output23.X io_spi_cs (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output24.X io_spi_intr (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output25.X io_spi_mosi (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output26.X io_wbs_ack_o (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output27.X io_wbs_data_o[0] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output28.X io_wbs_data_o[1] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output29.X io_wbs_data_o[2] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output30.X io_wbs_data_o[3] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output31.X io_wbs_data_o[4] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output32.X io_wbs_data_o[5] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output33.X io_wbs_data_o[6] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output34.X io_wbs_data_o[7] (0.001:0.001:0.001) (0.001:0.001:0.001))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _315_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.050:0.050:0.050) (0.034:0.034:0.034))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _316_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.104:0.104:0.104) (0.076:0.076:0.076))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _317_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.203:0.203:0.203) (0.192:0.192:0.192))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _318_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.230:0.230:0.230) (0.191:0.191:0.191))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _319_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.200:0.200:0.200) (0.181:0.181:0.181))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _320_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.181:0.181:0.181) (0.229:0.229:0.229))
(IOPATH A2 X (0.159:0.159:0.159) (0.236:0.236:0.236))
(IOPATH B1 X (0.149:0.149:0.149) (0.188:0.188:0.188))
(IOPATH B2 X (0.155:0.155:0.155) (0.211:0.211:0.211))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _321_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.163:0.163:0.163) (0.216:0.216:0.216))
(IOPATH A2 X (0.149:0.149:0.149) (0.228:0.228:0.228))
(IOPATH B1 X (0.145:0.145:0.145) (0.184:0.184:0.184))
(IOPATH B2 X (0.170:0.170:0.170) (0.221:0.221:0.221))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _322_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.145:0.145:0.145) (0.174:0.174:0.174))
(IOPATH A2 X (0.131:0.132:0.132) (0.190:0.190:0.190))
(IOPATH B1 X (0.102:0.103:0.103) (0.166:0.166:0.167))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _323_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.195:0.195:0.195) (0.191:0.191:0.191))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _324_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.207:0.207:0.207) (0.193:0.193:0.193))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2b_1")
(INSTANCE _325_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.202:0.202:0.202) (0.290:0.290:0.290))
(IOPATH B_N X (0.245:0.245:0.245) (0.305:0.305:0.305))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _326_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.218:0.218:0.218) (0.192:0.192:0.192))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _327_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.111:0.111:0.111) (0.124:0.124:0.124))
(IOPATH B X (0.143:0.143:0.143) (0.176:0.176:0.176))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_2")
(INSTANCE _328_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.221:0.221:0.221) (0.083:0.083:0.083))
(IOPATH B Y (0.198:0.198:0.198) (0.081:0.081:0.081))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor3_1")
(INSTANCE _329_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.388:0.388:0.388) (0.101:0.101:0.101))
(IOPATH B Y (0.386:0.386:0.386) (0.103:0.103:0.103))
(IOPATH C Y (0.339:0.339:0.339) (0.094:0.094:0.094))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3b_1")
(INSTANCE _330_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.285:0.285:0.285) (0.236:0.236:0.236))
(IOPATH B X (0.257:0.258:0.258) (0.204:0.204:0.204))
(IOPATH C X (0.284:0.284:0.284) (0.225:0.226:0.227))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor3b_2")
(INSTANCE _331_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.327:0.327:0.327) (0.113:0.113:0.113))
(IOPATH B Y (0.298:0.298:0.298) (0.099:0.099:0.099))
(IOPATH C_N Y (0.317:0.317:0.317) (0.196:0.196:0.196))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _332_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.127:0.128:0.128) (0.120:0.121:0.121))
(IOPATH B Y (0.129:0.134:0.139) (0.161:0.161:0.162))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _333_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.193:0.194:0.195) (0.175:0.179:0.183))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3b_1")
(INSTANCE _334_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.242:0.242:0.242) (0.214:0.214:0.214))
(IOPATH B X (0.197:0.197:0.197) (0.189:0.189:0.189))
(IOPATH C X (0.212:0.212:0.212) (0.220:0.220:0.220))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and4b_2")
(INSTANCE _335_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.369:0.369:0.369) (0.299:0.299:0.299))
(IOPATH B X (0.299:0.299:0.299) (0.254:0.255:0.255))
(IOPATH C X (0.339:0.339:0.340) (0.274:0.274:0.274))
(IOPATH D X (0.369:0.370:0.370) (0.298:0.300:0.301))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _336_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.233:0.234:0.234) (0.206:0.207:0.208))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _337_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.190:0.190:0.190) (0.174:0.174:0.175))
(IOPATH B X (0.224:0.224:0.224) (0.188:0.193:0.198))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _338_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.186:0.187:0.187) (0.157:0.157:0.157))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and4_1")
(INSTANCE _339_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.250:0.250:0.250) (0.192:0.192:0.192))
(IOPATH B X (0.232:0.232:0.232) (0.199:0.200:0.200))
(IOPATH C X (0.272:0.272:0.272) (0.221:0.221:0.221))
(IOPATH D X (0.298:0.299:0.299) (0.237:0.238:0.239))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _340_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.190:0.190:0.190) (0.171:0.171:0.171))
(IOPATH B X (0.180:0.180:0.180) (0.180:0.180:0.180))
(IOPATH C X (0.173:0.173:0.173) (0.191:0.191:0.191))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and4b_1")
(INSTANCE _341_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.311:0.311:0.311) (0.247:0.247:0.247))
(IOPATH B X (0.279:0.279:0.279) (0.208:0.208:0.208))
(IOPATH C X (0.310:0.310:0.310) (0.227:0.228:0.229))
(IOPATH D X (0.247:0.247:0.247) (0.225:0.225:0.226))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _342_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.232:0.232:0.233) (0.202:0.202:0.203))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _343_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.167:0.167:0.167) (0.218:0.218:0.218))
(IOPATH A2 X (0.151:0.152:0.152) (0.230:0.231:0.232))
(IOPATH B1 X (0.162:0.162:0.162) (0.196:0.196:0.196))
(IOPATH B2 X (0.147:0.147:0.147) (0.203:0.203:0.203))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a211o_1")
(INSTANCE _344_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.140:0.140:0.140) (0.260:0.260:0.260))
(IOPATH A2 X (0.159:0.159:0.159) (0.309:0.309:0.309))
(IOPATH B1 X (0.121:0.121:0.121) (0.279:0.279:0.279))
(IOPATH C1 X (0.092:0.092:0.093) (0.224:0.225:0.225))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2b_1")
(INSTANCE _345_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.315:0.315:0.315) (0.271:0.271:0.271))
(IOPATH B X (0.260:0.260:0.260) (0.242:0.242:0.242))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _346_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.222:0.222:0.222) (0.188:0.188:0.188))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _347_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.213:0.213:0.213) (0.262:0.262:0.262))
(IOPATH A2 X (0.194:0.194:0.194) (0.253:0.253:0.253))
(IOPATH B1 X (0.183:0.184:0.185) (0.155:0.156:0.157))
(IOPATH C1 X (0.205:0.205:0.205) (0.160:0.160:0.160))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21a_1")
(INSTANCE _348_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.107:0.107:0.107) (0.168:0.168:0.168))
(IOPATH A2 X (0.107:0.108:0.108) (0.163:0.165:0.166))
(IOPATH B1 X (0.127:0.127:0.127) (0.113:0.113:0.113))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _349_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.117:0.117:0.117) (0.128:0.128:0.128))
(IOPATH B X (0.143:0.143:0.143) (0.177:0.177:0.177))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a221o_1")
(INSTANCE _350_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.170:0.170:0.170) (0.323:0.323:0.323))
(IOPATH A2 X (0.191:0.191:0.191) (0.369:0.369:0.369))
(IOPATH B1 X (0.167:0.167:0.168) (0.308:0.308:0.309))
(IOPATH B2 X (0.164:0.164:0.164) (0.330:0.330:0.330))
(IOPATH C1 X (0.129:0.129:0.130) (0.270:0.270:0.270))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _351_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.197:0.197:0.197) (0.248:0.248:0.248))
(IOPATH A2 X (0.177:0.177:0.177) (0.239:0.239:0.239))
(IOPATH B1 X (0.169:0.170:0.171) (0.146:0.147:0.147))
(IOPATH C1 X (0.188:0.188:0.188) (0.148:0.148:0.148))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21a_1")
(INSTANCE _352_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.107:0.108:0.108) (0.168:0.169:0.169))
(IOPATH A2 X (0.102:0.103:0.103) (0.159:0.161:0.162))
(IOPATH B1 X (0.127:0.127:0.127) (0.113:0.113:0.113))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and4_1")
(INSTANCE _353_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.254:0.254:0.254) (0.193:0.193:0.193))
(IOPATH B X (0.276:0.276:0.276) (0.206:0.206:0.206))
(IOPATH C X (0.308:0.308:0.308) (0.227:0.229:0.230))
(IOPATH D X (0.286:0.286:0.286) (0.232:0.237:0.242))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _354_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.203:0.204:0.204) (0.169:0.170:0.171))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _355_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.166:0.166:0.166) (0.220:0.220:0.220))
(IOPATH A2 X (0.178:0.178:0.178) (0.250:0.250:0.250))
(IOPATH B1 X (0.150:0.150:0.150) (0.199:0.199:0.199))
(IOPATH B2 X (0.155:0.155:0.155) (0.211:0.211:0.211))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _356_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.164:0.164:0.164) (0.188:0.188:0.188))
(IOPATH A2 X (0.175:0.175:0.176) (0.224:0.224:0.225))
(IOPATH A3 X (0.206:0.206:0.206) (0.230:0.235:0.239))
(IOPATH B1 X (0.137:0.137:0.137) (0.214:0.214:0.214))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o221a_1")
(INSTANCE _357_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.180:0.180:0.180) (0.248:0.248:0.248))
(IOPATH A2 X (0.185:0.185:0.185) (0.248:0.248:0.248))
(IOPATH B1 X (0.163:0.163:0.163) (0.211:0.211:0.211))
(IOPATH B2 X (0.161:0.162:0.163) (0.194:0.195:0.195))
(IOPATH C1 X (0.183:0.183:0.183) (0.134:0.134:0.134))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _358_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.128:0.128:0.128) (0.134:0.134:0.134))
(IOPATH B X (0.142:0.142:0.142) (0.176:0.176:0.176))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _359_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.155:0.155:0.155) (0.211:0.211:0.211))
(IOPATH A2 X (0.176:0.176:0.176) (0.247:0.247:0.247))
(IOPATH B1 X (0.146:0.146:0.146) (0.194:0.194:0.194))
(IOPATH B2 X (0.162:0.162:0.162) (0.215:0.215:0.215))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a211o_1")
(INSTANCE _360_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.140:0.140:0.140) (0.260:0.260:0.260))
(IOPATH A2 X (0.157:0.157:0.157) (0.307:0.307:0.307))
(IOPATH B1 X (0.094:0.094:0.094) (0.261:0.262:0.262))
(IOPATH C1 X (0.114:0.114:0.114) (0.235:0.235:0.235))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _361_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.180:0.180:0.180) (0.234:0.234:0.234))
(IOPATH A2 X (0.156:0.156:0.156) (0.222:0.222:0.222))
(IOPATH B1 X (0.144:0.145:0.146) (0.126:0.127:0.128))
(IOPATH C1 X (0.181:0.181:0.181) (0.138:0.138:0.138))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21a_1")
(INSTANCE _362_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.111:0.112:0.112) (0.172:0.172:0.172))
(IOPATH A2 X (0.099:0.100:0.100) (0.157:0.159:0.160))
(IOPATH B1 X (0.131:0.131:0.131) (0.116:0.116:0.116))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _363_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.122:0.122:0.122) (0.132:0.132:0.132))
(IOPATH B X (0.151:0.151:0.151) (0.183:0.183:0.183))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _364_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.142:0.142:0.142) (0.202:0.202:0.202))
(IOPATH A2 X (0.172:0.172:0.172) (0.244:0.244:0.244))
(IOPATH B1 X (0.143:0.143:0.143) (0.192:0.192:0.192))
(IOPATH B2 X (0.137:0.137:0.137) (0.196:0.196:0.196))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a211o_1")
(INSTANCE _365_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.145:0.145:0.145) (0.264:0.264:0.264))
(IOPATH A2 X (0.158:0.158:0.158) (0.308:0.308:0.308))
(IOPATH B1 X (0.093:0.094:0.094) (0.262:0.262:0.262))
(IOPATH C1 X (0.115:0.115:0.115) (0.237:0.237:0.237))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _366_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.177:0.177:0.177) (0.232:0.232:0.232))
(IOPATH A2 X (0.153:0.153:0.153) (0.219:0.219:0.219))
(IOPATH B1 X (0.142:0.142:0.143) (0.124:0.125:0.126))
(IOPATH C1 X (0.179:0.179:0.179) (0.136:0.136:0.136))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21a_1")
(INSTANCE _367_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.118:0.118:0.118) (0.177:0.177:0.178))
(IOPATH A2 X (0.102:0.103:0.103) (0.160:0.161:0.163))
(IOPATH B1 X (0.135:0.135:0.135) (0.119:0.119:0.119))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _368_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.152:0.152:0.152) (0.152:0.152:0.152))
(IOPATH B X (0.152:0.152:0.152) (0.180:0.180:0.180))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _369_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.145:0.145:0.145) (0.204:0.204:0.204))
(IOPATH A2 X (0.172:0.172:0.172) (0.243:0.243:0.243))
(IOPATH B1 X (0.135:0.135:0.136) (0.177:0.178:0.179))
(IOPATH B2 X (0.164:0.164:0.164) (0.216:0.216:0.216))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a211o_1")
(INSTANCE _370_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.146:0.146:0.146) (0.266:0.266:0.266))
(IOPATH A2 X (0.145:0.146:0.146) (0.303:0.304:0.304))
(IOPATH B1 X (0.095:0.096:0.096) (0.264:0.264:0.265))
(IOPATH C1 X (0.117:0.117:0.117) (0.239:0.239:0.239))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _371_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.164:0.164:0.164) (0.221:0.221:0.221))
(IOPATH A2 X (0.149:0.150:0.150) (0.221:0.224:0.228))
(IOPATH B1 X (0.139:0.140:0.141) (0.122:0.123:0.124))
(IOPATH C1 X (0.175:0.175:0.175) (0.133:0.134:0.134))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _372_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.158:0.158:0.158) (0.146:0.146:0.146))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _373_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.188:0.188:0.188) (0.167:0.167:0.167))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _374_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.196:0.196:0.196) (0.175:0.175:0.175))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21a_1")
(INSTANCE _375_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.121:0.121:0.121) (0.179:0.180:0.180))
(IOPATH A2 X (0.103:0.103:0.104) (0.161:0.162:0.164))
(IOPATH B1 X (0.138:0.138:0.138) (0.122:0.122:0.122))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _376_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.151:0.151:0.151) (0.210:0.210:0.210))
(IOPATH A2 X (0.177:0.177:0.177) (0.249:0.249:0.249))
(IOPATH B1 X (0.149:0.149:0.149) (0.198:0.198:0.198))
(IOPATH B2 X (0.151:0.151:0.151) (0.208:0.208:0.208))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _377_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.174:0.174:0.174) (0.195:0.195:0.195))
(IOPATH A2 X (0.188:0.189:0.189) (0.233:0.234:0.235))
(IOPATH A3 X (0.220:0.220:0.220) (0.239:0.244:0.249))
(IOPATH B1 X (0.150:0.150:0.150) (0.223:0.223:0.223))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o221a_1")
(INSTANCE _378_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.191:0.191:0.191) (0.256:0.256:0.256))
(IOPATH A2 X (0.187:0.187:0.187) (0.249:0.249:0.249))
(IOPATH B1 X (0.164:0.164:0.164) (0.212:0.212:0.212))
(IOPATH B2 X (0.168:0.169:0.169) (0.199:0.200:0.200))
(IOPATH C1 X (0.185:0.185:0.185) (0.136:0.136:0.136))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _379_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.118:0.118:0.118) (0.128:0.128:0.128))
(IOPATH B X (0.142:0.142:0.142) (0.172:0.173:0.173))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _380_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.135:0.135:0.135) (0.200:0.200:0.200))
(IOPATH A2 X (0.149:0.150:0.150) (0.228:0.229:0.229))
(IOPATH B1 X (0.135:0.135:0.136) (0.177:0.178:0.179))
(IOPATH B2 X (0.116:0.116:0.116) (0.184:0.184:0.184))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a211o_1")
(INSTANCE _381_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.141:0.141:0.141) (0.264:0.264:0.264))
(IOPATH A2 X (0.151:0.151:0.151) (0.308:0.309:0.310))
(IOPATH B1 X (0.100:0.101:0.101) (0.269:0.270:0.270))
(IOPATH C1 X (0.122:0.122:0.122) (0.244:0.244:0.244))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _382_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.161:0.161:0.161) (0.220:0.220:0.220))
(IOPATH A2 X (0.151:0.152:0.152) (0.222:0.226:0.229))
(IOPATH B1 X (0.143:0.144:0.144) (0.125:0.126:0.127))
(IOPATH C1 X (0.177:0.177:0.177) (0.135:0.135:0.135))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21a_1")
(INSTANCE _383_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.108:0.108:0.108) (0.169:0.169:0.170))
(IOPATH A2 X (0.095:0.095:0.096) (0.153:0.155:0.156))
(IOPATH B1 X (0.129:0.129:0.129) (0.115:0.115:0.115))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _384_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.189:0.189:0.189) (0.171:0.171:0.171))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3b_2")
(INSTANCE _385_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.312:0.312:0.312) (0.272:0.272:0.272))
(IOPATH B X (0.268:0.268:0.268) (0.250:0.250:0.250))
(IOPATH C X (0.272:0.272:0.272) (0.265:0.265:0.265))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _386_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.218:0.218:0.218) (0.095:0.095:0.095))
(IOPATH B Y (0.202:0.202:0.202) (0.088:0.088:0.088))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3b_1")
(INSTANCE _387_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.307:0.307:0.307) (0.245:0.245:0.245))
(IOPATH B X (0.254:0.254:0.254) (0.215:0.215:0.215))
(IOPATH C X (0.255:0.255:0.255) (0.227:0.227:0.227))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _388_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.174:0.174:0.175) (0.148:0.149:0.149))
(IOPATH B X (0.161:0.161:0.161) (0.179:0.180:0.180))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _389_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.207:0.207:0.207) (0.190:0.190:0.190))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _390_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.140:0.140:0.141) (0.082:0.082:0.082))
(IOPATH A2 Y (0.167:0.167:0.167) (0.100:0.100:0.100))
(IOPATH B1 Y (0.144:0.144:0.144) (0.068:0.068:0.068))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and4_2")
(INSTANCE _391_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.331:0.331:0.331) (0.250:0.250:0.250))
(IOPATH B X (0.309:0.309:0.309) (0.264:0.265:0.266))
(IOPATH C X (0.335:0.335:0.336) (0.276:0.276:0.277))
(IOPATH D X (0.324:0.324:0.324) (0.298:0.299:0.300))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor3_1")
(INSTANCE _392_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.179:0.179:0.179) (0.065:0.065:0.065))
(IOPATH B Y (0.160:0.161:0.163) (0.058:0.061:0.063))
(IOPATH C Y (0.129:0.130:0.131) (0.059:0.059:0.059))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor3b_1")
(INSTANCE _393_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.287:0.287:0.287) (0.087:0.087:0.087))
(IOPATH B Y (0.270:0.270:0.270) (0.083:0.083:0.083))
(IOPATH C_N Y (0.284:0.284:0.284) (0.158:0.158:0.158))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _394_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.251:0.251:0.251) (0.190:0.191:0.193))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _395_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.199:0.199:0.199) (0.166:0.166:0.166))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _396_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.135:0.135:0.135) (0.114:0.114:0.114))
(IOPATH B Y (0.157:0.159:0.160) (0.141:0.141:0.141))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _397_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.204:0.205:0.205) (0.187:0.187:0.188))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _398_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.124:0.124:0.124) (0.274:0.274:0.274))
(IOPATH A1 X (0.142:0.142:0.142) (0.291:0.291:0.291))
(IOPATH S X (0.212:0.212:0.212) (0.332:0.332:0.332))
(IOPATH S X (0.151:0.151:0.151) (0.320:0.320:0.320))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _399_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.189:0.189:0.189) (0.142:0.142:0.142))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _400_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.165:0.165:0.165) (0.128:0.128:0.128))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _401_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.174:0.174:0.174) (0.132:0.132:0.132))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3b_2")
(INSTANCE _402_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.203:0.203:0.203) (0.540:0.540:0.540))
(IOPATH B X (0.192:0.192:0.192) (0.511:0.511:0.511))
(IOPATH C_N X (0.254:0.254:0.254) (0.525:0.525:0.525))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _403_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.226:0.226:0.226) (0.227:0.227:0.227))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _404_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.113:0.113:0.113) (0.224:0.224:0.224))
(IOPATH B X (0.131:0.131:0.131) (0.223:0.223:0.223))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _405_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.157:0.157:0.157) (0.223:0.223:0.223))
(IOPATH A2 X (0.121:0.122:0.122) (0.198:0.198:0.198))
(IOPATH B1 X (0.130:0.130:0.130) (0.117:0.117:0.117))
(IOPATH C1 X (0.149:0.149:0.149) (0.120:0.120:0.120))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _406_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.198:0.198:0.198) (0.180:0.180:0.180))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _407_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.161:0.161:0.161) (0.080:0.080:0.080))
(IOPATH B Y (0.131:0.131:0.131) (0.060:0.060:0.060))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21a_1")
(INSTANCE _408_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.117:0.117:0.117) (0.173:0.173:0.173))
(IOPATH A2 X (0.120:0.120:0.120) (0.179:0.179:0.179))
(IOPATH B1 X (0.132:0.132:0.132) (0.093:0.095:0.097))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _409_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.193:0.193:0.193) (0.154:0.154:0.154))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _410_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.210:0.210:0.210) (0.174:0.174:0.174))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _411_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.136:0.136:0.136) (0.275:0.275:0.275))
(IOPATH A1 X (0.127:0.127:0.127) (0.281:0.281:0.281))
(IOPATH S X (0.201:0.201:0.201) (0.322:0.322:0.322))
(IOPATH S X (0.141:0.141:0.141) (0.310:0.310:0.310))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _412_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.150:0.150:0.150) (0.150:0.150:0.150))
(IOPATH B X (0.118:0.118:0.118) (0.155:0.156:0.156))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _413_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.077:0.077:0.077) (0.079:0.080:0.080))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _414_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.142:0.142:0.142) (0.282:0.282:0.282))
(IOPATH A1 X (0.129:0.129:0.129) (0.286:0.286:0.286))
(IOPATH S X (0.207:0.207:0.207) (0.329:0.329:0.329))
(IOPATH S X (0.146:0.146:0.146) (0.316:0.316:0.316))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _415_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.155:0.155:0.155) (0.154:0.154:0.154))
(IOPATH B X (0.124:0.125:0.125) (0.161:0.161:0.161))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _416_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.082:0.082:0.082) (0.083:0.083:0.083))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _417_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.129:0.129:0.129) (0.271:0.271:0.271))
(IOPATH A1 X (0.125:0.125:0.125) (0.279:0.279:0.279))
(IOPATH S X (0.200:0.200:0.200) (0.320:0.320:0.320))
(IOPATH S X (0.139:0.139:0.139) (0.308:0.308:0.308))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _418_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.149:0.149:0.149) (0.149:0.149:0.149))
(IOPATH B X (0.116:0.117:0.117) (0.154:0.154:0.155))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _419_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.078:0.078:0.078) (0.080:0.080:0.081))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _420_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.148:0.148:0.148) (0.287:0.287:0.287))
(IOPATH A1 X (0.132:0.132:0.132) (0.288:0.288:0.288))
(IOPATH S X (0.207:0.207:0.207) (0.330:0.330:0.330))
(IOPATH S X (0.147:0.147:0.147) (0.317:0.317:0.317))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _421_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.157:0.157:0.157) (0.155:0.155:0.155))
(IOPATH B X (0.126:0.127:0.127) (0.162:0.162:0.162))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _422_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.085:0.085:0.085) (0.085:0.085:0.086))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _423_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.143:0.143:0.143) (0.281:0.281:0.281))
(IOPATH A1 X (0.127:0.127:0.127) (0.282:0.282:0.282))
(IOPATH S X (0.203:0.203:0.203) (0.324:0.324:0.324))
(IOPATH S X (0.142:0.142:0.142) (0.311:0.311:0.311))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _424_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.149:0.149:0.149) (0.149:0.149:0.149))
(IOPATH B X (0.117:0.117:0.118) (0.155:0.155:0.155))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _425_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.079:0.079:0.079) (0.081:0.081:0.081))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _426_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.245:0.245:0.245) (0.203:0.203:0.203))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _427_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.130:0.130:0.130) (0.274:0.274:0.274))
(IOPATH A1 X (0.126:0.126:0.126) (0.282:0.282:0.282))
(IOPATH S X (0.211:0.211:0.211) (0.335:0.335:0.335))
(IOPATH S X (0.161:0.161:0.161) (0.318:0.318:0.318))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _428_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.147:0.147:0.147) (0.164:0.164:0.164))
(IOPATH B X (0.111:0.112:0.112) (0.151:0.151:0.151))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _429_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.078:0.079:0.079) (0.080:0.081:0.081))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _430_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.128:0.128:0.128) (0.272:0.272:0.272))
(IOPATH A1 X (0.132:0.132:0.132) (0.283:0.283:0.283))
(IOPATH S X (0.209:0.209:0.209) (0.334:0.334:0.334))
(IOPATH S X (0.160:0.160:0.160) (0.316:0.316:0.316))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _431_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.149:0.149:0.149) (0.165:0.165:0.165))
(IOPATH B X (0.112:0.112:0.113) (0.151:0.151:0.152))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _432_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.086:0.087:0.087) (0.085:0.086:0.086))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _433_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.122:0.122:0.122) (0.269:0.269:0.269))
(IOPATH A1 X (0.121:0.121:0.121) (0.278:0.278:0.278))
(IOPATH S X (0.209:0.209:0.209) (0.333:0.333:0.333))
(IOPATH S X (0.159:0.159:0.159) (0.315:0.315:0.315))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _434_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.158:0.158:0.158) (0.172:0.172:0.172))
(IOPATH B X (0.121:0.121:0.122) (0.158:0.158:0.158))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _435_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.078:0.078:0.079) (0.080:0.081:0.081))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkinv_2")
(INSTANCE _436_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.059:0.059:0.059) (0.071:0.071:0.071))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand3_1")
(INSTANCE _437_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.142:0.142:0.142) (0.153:0.153:0.153))
(IOPATH B Y (0.160:0.160:0.160) (0.150:0.150:0.150))
(IOPATH C Y (0.154:0.155:0.156) (0.158:0.158:0.158))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_2")
(INSTANCE _438_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.169:0.169:0.169) (0.363:0.363:0.363))
(IOPATH B X (0.204:0.206:0.208) (0.374:0.375:0.375))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _439_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.208:0.209:0.209) (0.194:0.194:0.194))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _440_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.152:0.152:0.152) (0.287:0.287:0.287))
(IOPATH A1 X (0.138:0.138:0.138) (0.285:0.285:0.285))
(IOPATH S X (0.197:0.197:0.197) (0.324:0.324:0.324))
(IOPATH S X (0.145:0.145:0.145) (0.306:0.306:0.306))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _441_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.115:0.115:0.115) (0.232:0.232:0.232))
(IOPATH B X (0.083:0.084:0.085) (0.200:0.200:0.200))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _442_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.089:0.089:0.089) (0.094:0.094:0.094))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _443_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.142:0.142:0.142) (0.281:0.281:0.281))
(IOPATH A1 X (0.143:0.143:0.143) (0.290:0.290:0.290))
(IOPATH S X (0.199:0.199:0.199) (0.328:0.328:0.328))
(IOPATH S X (0.147:0.147:0.147) (0.309:0.309:0.309))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _444_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.146:0.146:0.146) (0.163:0.163:0.163))
(IOPATH B X (0.110:0.110:0.111) (0.150:0.150:0.150))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _445_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.073:0.073:0.073) (0.076:0.077:0.077))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _446_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.142:0.142:0.142) (0.279:0.279:0.279))
(IOPATH A1 X (0.144:0.144:0.144) (0.289:0.289:0.289))
(IOPATH S X (0.210:0.210:0.210) (0.321:0.321:0.321))
(IOPATH S X (0.141:0.141:0.141) (0.315:0.315:0.316))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _447_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.128:0.128:0.128) (0.245:0.245:0.245))
(IOPATH B X (0.095:0.096:0.097) (0.213:0.213:0.213))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _448_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.078:0.078:0.078) (0.088:0.088:0.088))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _449_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.128:0.128:0.128) (0.271:0.271:0.271))
(IOPATH A1 X (0.140:0.140:0.140) (0.287:0.287:0.287))
(IOPATH S X (0.197:0.197:0.197) (0.325:0.325:0.325))
(IOPATH S X (0.145:0.145:0.145) (0.306:0.306:0.306))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _450_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.148:0.148:0.148) (0.165:0.165:0.165))
(IOPATH B X (0.111:0.112:0.112) (0.151:0.151:0.151))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _451_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.083:0.083:0.083) (0.083:0.083:0.084))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _452_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.137:0.137:0.137) (0.275:0.275:0.275))
(IOPATH A1 X (0.144:0.144:0.144) (0.289:0.289:0.289))
(IOPATH S X (0.212:0.212:0.212) (0.322:0.322:0.322))
(IOPATH S X (0.142:0.142:0.142) (0.317:0.317:0.317))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _453_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.122:0.122:0.122) (0.240:0.240:0.240))
(IOPATH B X (0.090:0.091:0.092) (0.208:0.208:0.209))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _454_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.083:0.083:0.083) (0.092:0.092:0.092))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _455_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.152:0.152:0.152) (0.289:0.289:0.289))
(IOPATH A1 X (0.146:0.146:0.146) (0.292:0.292:0.292))
(IOPATH S X (0.215:0.215:0.215) (0.326:0.326:0.326))
(IOPATH S X (0.145:0.145:0.146) (0.321:0.321:0.321))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _456_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.124:0.124:0.124) (0.241:0.241:0.241))
(IOPATH B X (0.093:0.094:0.095) (0.211:0.211:0.211))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _457_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.077:0.077:0.077) (0.087:0.087:0.087))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _458_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.178:0.178:0.178) (0.161:0.161:0.161))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _459_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.131:0.131:0.131) (0.279:0.279:0.279))
(IOPATH A1 X (0.142:0.142:0.142) (0.293:0.293:0.293))
(IOPATH S X (0.205:0.205:0.205) (0.335:0.335:0.335))
(IOPATH S X (0.153:0.153:0.153) (0.316:0.316:0.316))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _460_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.134:0.134:0.134) (0.147:0.147:0.147))
(IOPATH B X (0.114:0.114:0.115) (0.153:0.154:0.154))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _461_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.080:0.080:0.080) (0.081:0.082:0.082))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _462_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.149:0.149:0.149) (0.285:0.285:0.285))
(IOPATH A1 X (0.132:0.132:0.132) (0.282:0.282:0.282))
(IOPATH S X (0.196:0.196:0.196) (0.324:0.324:0.324))
(IOPATH S X (0.145:0.145:0.145) (0.306:0.306:0.306))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _463_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.132:0.132:0.132) (0.145:0.145:0.145))
(IOPATH B X (0.110:0.110:0.111) (0.149:0.149:0.150))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _464_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.080:0.080:0.080) (0.081:0.082:0.082))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _465_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.190:0.190:0.190) (0.167:0.167:0.167))
(IOPATH B X (0.191:0.191:0.191) (0.186:0.186:0.186))
(IOPATH C X (0.180:0.180:0.180) (0.191:0.192:0.193))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _466_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.147:0.147:0.147) (0.283:0.283:0.283))
(IOPATH A1 X (0.155:0.155:0.155) (0.298:0.298:0.298))
(IOPATH S X (0.182:0.183:0.184) (0.308:0.308:0.308))
(IOPATH S X (0.125:0.125:0.125) (0.295:0.296:0.296))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _467_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.136:0.136:0.136) (0.149:0.149:0.149))
(IOPATH B X (0.114:0.115:0.116) (0.153:0.153:0.153))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _468_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.078:0.078:0.078) (0.080:0.080:0.081))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _469_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.075:0.076:0.076) (0.058:0.058:0.058))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _470_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.111:0.111:0.112) (0.137:0.138:0.138))
(IOPATH B Y (0.130:0.130:0.131) (0.122:0.122:0.122))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3_4")
(INSTANCE _471_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.215:0.215:0.215) (0.455:0.455:0.455))
(IOPATH B X (0.158:0.158:0.158) (0.411:0.411:0.411))
(IOPATH C X (0.174:0.175:0.176) (0.389:0.392:0.395))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _472_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.175:0.175:0.176) (0.173:0.173:0.173))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _473_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.141:0.141:0.141) (0.281:0.281:0.281))
(IOPATH A1 X (0.131:0.131:0.131) (0.286:0.286:0.286))
(IOPATH S X (0.205:0.205:0.205) (0.327:0.327:0.327))
(IOPATH S X (0.144:0.144:0.144) (0.314:0.314:0.314))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _474_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.137:0.137:0.137) (0.149:0.149:0.149))
(IOPATH B X (0.116:0.116:0.116) (0.154:0.154:0.155))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _475_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.076:0.076:0.077) (0.079:0.079:0.080))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _476_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.128:0.128:0.128) (0.274:0.274:0.274))
(IOPATH A1 X (0.127:0.127:0.127) (0.283:0.283:0.283))
(IOPATH S X (0.204:0.204:0.204) (0.326:0.326:0.326))
(IOPATH S X (0.143:0.143:0.143) (0.313:0.313:0.313))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _477_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.132:0.132:0.132) (0.145:0.145:0.145))
(IOPATH B X (0.110:0.111:0.111) (0.150:0.150:0.150))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _478_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.078:0.078:0.078) (0.080:0.080:0.081))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _479_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.192:0.192:0.192) (0.189:0.189:0.189))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _480_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.124:0.124:0.124) (0.272:0.272:0.272))
(IOPATH A1 X (0.130:0.130:0.130) (0.284:0.284:0.284))
(IOPATH S X (0.204:0.204:0.204) (0.325:0.325:0.325))
(IOPATH S X (0.143:0.143:0.143) (0.313:0.313:0.313))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _481_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.147:0.147:0.147) (0.149:0.149:0.149))
(IOPATH B X (0.115:0.115:0.115) (0.153:0.154:0.154))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _482_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.074:0.075:0.075) (0.078:0.078:0.078))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _483_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.130:0.130:0.130) (0.276:0.276:0.276))
(IOPATH A1 X (0.131:0.131:0.131) (0.287:0.287:0.287))
(IOPATH S X (0.206:0.206:0.206) (0.328:0.328:0.328))
(IOPATH S X (0.146:0.146:0.146) (0.316:0.316:0.316))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _484_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.151:0.151:0.151) (0.153:0.153:0.153))
(IOPATH B X (0.120:0.120:0.121) (0.158:0.158:0.158))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _485_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.083:0.083:0.083) (0.083:0.084:0.084))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _486_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.125:0.125:0.125) (0.272:0.272:0.272))
(IOPATH A1 X (0.128:0.128:0.128) (0.283:0.283:0.283))
(IOPATH S X (0.203:0.203:0.203) (0.325:0.325:0.325))
(IOPATH S X (0.143:0.143:0.143) (0.312:0.312:0.312))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _487_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.143:0.143:0.143) (0.146:0.146:0.146))
(IOPATH B X (0.111:0.111:0.111) (0.150:0.150:0.151))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _488_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.075:0.075:0.075) (0.078:0.078:0.078))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _489_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.126:0.126:0.126) (0.274:0.274:0.274))
(IOPATH A1 X (0.128:0.128:0.128) (0.284:0.284:0.284))
(IOPATH S X (0.211:0.211:0.212) (0.317:0.317:0.317))
(IOPATH S X (0.134:0.134:0.134) (0.319:0.319:0.319))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _490_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.144:0.144:0.144) (0.147:0.147:0.147))
(IOPATH B X (0.112:0.112:0.113) (0.151:0.151:0.152))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _491_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.082:0.082:0.082) (0.083:0.083:0.083))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _492_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.124:0.124:0.124) (0.272:0.272:0.272))
(IOPATH A1 X (0.135:0.135:0.135) (0.286:0.286:0.286))
(IOPATH S X (0.211:0.211:0.211) (0.316:0.316:0.316))
(IOPATH S X (0.133:0.133:0.133) (0.318:0.318:0.318))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _493_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.150:0.150:0.150) (0.152:0.152:0.152))
(IOPATH B X (0.118:0.118:0.119) (0.156:0.156:0.156))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _494_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.078:0.078:0.078) (0.080:0.080:0.081))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _495_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.187:0.187:0.187) (0.168:0.168:0.168))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _496_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.133:0.133:0.133) (0.277:0.277:0.277))
(IOPATH A1 X (0.125:0.125:0.125) (0.283:0.283:0.283))
(IOPATH S X (0.211:0.212:0.212) (0.317:0.317:0.318))
(IOPATH S X (0.134:0.134:0.134) (0.319:0.319:0.319))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _497_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.152:0.152:0.152) (0.161:0.161:0.161))
(IOPATH B X (0.127:0.127:0.128) (0.162:0.162:0.162))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _498_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.082:0.082:0.082) (0.083:0.083:0.084))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _499_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.116:0.116:0.116) (0.154:0.154:0.154))
(IOPATH A2 X (0.134:0.134:0.134) (0.193:0.193:0.193))
(IOPATH B1 X (0.113:0.113:0.113) (0.171:0.171:0.171))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _500_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.165:0.165:0.165) (0.223:0.223:0.223))
(IOPATH A2 X (0.161:0.161:0.161) (0.222:0.222:0.222))
(IOPATH B1 X (0.136:0.136:0.136) (0.115:0.115:0.116))
(IOPATH C1 X (0.155:0.155:0.155) (0.124:0.124:0.124))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _501_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.129:0.129:0.129) (0.276:0.276:0.276))
(IOPATH A1 X (0.128:0.128:0.128) (0.285:0.285:0.285))
(IOPATH S X (0.211:0.211:0.211) (0.330:0.330:0.330))
(IOPATH S X (0.150:0.150:0.150) (0.319:0.319:0.319))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _502_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.119:0.119:0.119) (0.227:0.227:0.227))
(IOPATH B X (0.130:0.130:0.130) (0.222:0.222:0.222))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _503_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.227:0.227:0.227) (0.212:0.212:0.212))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _504_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.157:0.157:0.157) (0.223:0.223:0.223))
(IOPATH A2 X (0.121:0.121:0.122) (0.197:0.197:0.198))
(IOPATH B1 X (0.129:0.130:0.130) (0.116:0.116:0.116))
(IOPATH C1 X (0.167:0.167:0.167) (0.125:0.125:0.125))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _505_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.126:0.126:0.126) (0.274:0.274:0.274))
(IOPATH A1 X (0.127:0.127:0.127) (0.285:0.285:0.285))
(IOPATH S X (0.211:0.211:0.211) (0.330:0.330:0.330))
(IOPATH S X (0.150:0.150:0.150) (0.319:0.319:0.319))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _506_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.127:0.127:0.127) (0.235:0.235:0.235))
(IOPATH B X (0.134:0.134:0.134) (0.226:0.226:0.226))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _507_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.156:0.156:0.156) (0.222:0.222:0.222))
(IOPATH A2 X (0.120:0.121:0.121) (0.196:0.197:0.197))
(IOPATH B1 X (0.130:0.130:0.130) (0.117:0.117:0.117))
(IOPATH C1 X (0.166:0.166:0.166) (0.124:0.124:0.124))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _508_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.124:0.124:0.124) (0.274:0.274:0.274))
(IOPATH A1 X (0.128:0.128:0.128) (0.285:0.285:0.285))
(IOPATH S X (0.211:0.211:0.211) (0.330:0.330:0.330))
(IOPATH S X (0.149:0.149:0.149) (0.318:0.318:0.318))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _509_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.120:0.120:0.120) (0.229:0.229:0.229))
(IOPATH B X (0.111:0.111:0.111) (0.231:0.231:0.231))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _510_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.163:0.163:0.163) (0.228:0.228:0.228))
(IOPATH A2 X (0.127:0.127:0.127) (0.203:0.203:0.203))
(IOPATH B1 X (0.136:0.136:0.136) (0.121:0.122:0.122))
(IOPATH C1 X (0.173:0.173:0.173) (0.129:0.129:0.129))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _511_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.128:0.128:0.128) (0.276:0.276:0.276))
(IOPATH A1 X (0.125:0.125:0.125) (0.285:0.285:0.285))
(IOPATH S X (0.224:0.225:0.226) (0.335:0.336:0.336))
(IOPATH S X (0.157:0.157:0.158) (0.330:0.330:0.331))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _512_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.121:0.121:0.121) (0.229:0.229:0.229))
(IOPATH B X (0.108:0.109:0.109) (0.228:0.228:0.228))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _513_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.163:0.163:0.163) (0.228:0.228:0.228))
(IOPATH A2 X (0.127:0.127:0.128) (0.203:0.203:0.203))
(IOPATH B1 X (0.135:0.135:0.135) (0.120:0.120:0.121))
(IOPATH C1 X (0.173:0.173:0.173) (0.129:0.129:0.129))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _514_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.126:0.126:0.126) (0.279:0.279:0.279))
(IOPATH A1 X (0.131:0.131:0.131) (0.291:0.291:0.291))
(IOPATH S X (0.228:0.229:0.230) (0.340:0.340:0.341))
(IOPATH S X (0.160:0.161:0.161) (0.334:0.335:0.336))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _515_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.137:0.137:0.137) (0.245:0.245:0.245))
(IOPATH B X (0.125:0.125:0.126) (0.245:0.245:0.245))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _516_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.176:0.176:0.176) (0.231:0.231:0.231))
(IOPATH A2 X (0.124:0.124:0.125) (0.200:0.200:0.201))
(IOPATH B1 X (0.137:0.137:0.137) (0.122:0.123:0.123))
(IOPATH C1 X (0.169:0.169:0.169) (0.126:0.126:0.126))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _517_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.131:0.131:0.131) (0.282:0.282:0.282))
(IOPATH A1 X (0.132:0.132:0.132) (0.293:0.293:0.293))
(IOPATH S X (0.230:0.231:0.232) (0.342:0.342:0.343))
(IOPATH S X (0.162:0.163:0.163) (0.336:0.337:0.338))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _518_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.124:0.124:0.124) (0.235:0.235:0.235))
(IOPATH B X (0.121:0.121:0.121) (0.241:0.241:0.241))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _519_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.174:0.174:0.174) (0.229:0.229:0.229))
(IOPATH A2 X (0.122:0.122:0.123) (0.199:0.199:0.199))
(IOPATH B1 X (0.133:0.133:0.133) (0.119:0.119:0.119))
(IOPATH C1 X (0.155:0.155:0.155) (0.127:0.127:0.127))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _520_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.150:0.150:0.150) (0.212:0.212:0.212))
(IOPATH A2 X (0.151:0.151:0.151) (0.211:0.211:0.211))
(IOPATH B1 X (0.160:0.160:0.160) (0.116:0.118:0.121))
(IOPATH C1 X (0.162:0.162:0.162) (0.121:0.121:0.121))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _521_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.228:0.228:0.228) (0.190:0.190:0.190))
(IOPATH B X (0.223:0.223:0.223) (0.212:0.212:0.212))
(IOPATH C X (0.226:0.227:0.227) (0.221:0.222:0.222))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _522_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.208:0.208:0.208) (0.177:0.178:0.179))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _523_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.142:0.142:0.142) (0.280:0.280:0.280))
(IOPATH A1 X (0.157:0.157:0.157) (0.300:0.300:0.300))
(IOPATH S X (0.199:0.199:0.199) (0.327:0.327:0.327))
(IOPATH S X (0.147:0.147:0.147) (0.309:0.309:0.309))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _524_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.137:0.137:0.137) (0.151:0.151:0.151))
(IOPATH B X (0.111:0.112:0.113) (0.151:0.151:0.151))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _525_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.077:0.077:0.078) (0.080:0.080:0.080))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _526_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.137:0.137:0.137) (0.276:0.276:0.276))
(IOPATH A1 X (0.143:0.143:0.143) (0.289:0.289:0.289))
(IOPATH S X (0.198:0.198:0.198) (0.325:0.325:0.325))
(IOPATH S X (0.146:0.146:0.146) (0.307:0.307:0.307))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _527_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.140:0.140:0.140) (0.153:0.153:0.153))
(IOPATH B X (0.114:0.115:0.115) (0.153:0.153:0.153))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _528_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.088:0.088:0.088) (0.086:0.087:0.087))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _529_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.148:0.148:0.148) (0.288:0.288:0.288))
(IOPATH A1 X (0.140:0.140:0.140) (0.293:0.293:0.293))
(IOPATH S X (0.207:0.207:0.207) (0.337:0.337:0.337))
(IOPATH S X (0.155:0.155:0.155) (0.318:0.318:0.318))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _530_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.137:0.137:0.137) (0.151:0.151:0.151))
(IOPATH B X (0.114:0.114:0.115) (0.154:0.154:0.154))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _531_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.081:0.081:0.081) (0.082:0.082:0.083))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _532_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.127:0.127:0.127) (0.270:0.270:0.270))
(IOPATH A1 X (0.139:0.139:0.139) (0.285:0.285:0.285))
(IOPATH S X (0.196:0.196:0.196) (0.324:0.324:0.324))
(IOPATH S X (0.145:0.145:0.145) (0.305:0.305:0.305))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _533_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.139:0.139:0.139) (0.153:0.153:0.153))
(IOPATH B X (0.113:0.114:0.114) (0.152:0.152:0.152))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _534_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.077:0.078:0.078) (0.080:0.080:0.080))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _535_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.133:0.133:0.133) (0.275:0.275:0.275))
(IOPATH A1 X (0.154:0.154:0.154) (0.298:0.298:0.298))
(IOPATH S X (0.199:0.199:0.199) (0.327:0.327:0.327))
(IOPATH S X (0.147:0.147:0.147) (0.309:0.309:0.309))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _536_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.143:0.143:0.143) (0.155:0.155:0.155))
(IOPATH B X (0.117:0.118:0.119) (0.156:0.156:0.156))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _537_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.081:0.081:0.081) (0.082:0.082:0.083))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _538_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.129:0.129:0.129) (0.277:0.277:0.277))
(IOPATH A1 X (0.159:0.159:0.159) (0.304:0.304:0.304))
(IOPATH S X (0.201:0.201:0.202) (0.330:0.330:0.330))
(IOPATH S X (0.147:0.148:0.148) (0.312:0.312:0.313))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _539_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.132:0.132:0.132) (0.251:0.251:0.251))
(IOPATH B X (0.094:0.095:0.096) (0.211:0.211:0.211))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _540_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.077:0.077:0.077) (0.087:0.088:0.088))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _541_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.064:0.064:0.064) (0.044:0.044:0.044))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _542_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.162:0.162:0.162) (0.188:0.188:0.188))
(IOPATH A2 X (0.166:0.166:0.166) (0.216:0.216:0.216))
(IOPATH A3 X (0.162:0.162:0.162) (0.235:0.235:0.235))
(IOPATH B1 X (0.089:0.089:0.089) (0.180:0.180:0.180))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21a_1")
(INSTANCE _543_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.169:0.169:0.169) (0.209:0.209:0.209))
(IOPATH A2 X (0.130:0.131:0.133) (0.202:0.203:0.203))
(IOPATH B1 X (0.113:0.114:0.115) (0.098:0.099:0.099))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _544_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.103:0.103:0.103) (0.057:0.057:0.057))
(IOPATH B Y (0.073:0.074:0.075) (0.036:0.036:0.037))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3b_1")
(INSTANCE _545_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.179:0.179:0.179) (0.431:0.431:0.431))
(IOPATH B X (0.178:0.178:0.178) (0.408:0.408:0.408))
(IOPATH C_N X (0.221:0.221:0.221) (0.417:0.417:0.417))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _546_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.246:0.249:0.252) (0.095:0.096:0.097))
(IOPATH B Y (0.239:0.239:0.239) (0.093:0.093:0.093))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o31ai_1")
(INSTANCE _547_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.316:0.316:0.316) (0.115:0.115:0.115))
(IOPATH A2 Y (0.297:0.297:0.297) (0.105:0.105:0.105))
(IOPATH A3 Y (0.259:0.260:0.260) (0.120:0.120:0.120))
(IOPATH B1 Y (0.109:0.109:0.109) (0.111:0.111:0.111))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _548_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.146:0.146:0.146) (0.090:0.090:0.090))
(IOPATH A2 Y (0.161:0.161:0.162) (0.114:0.114:0.114))
(IOPATH B1 Y (0.139:0.139:0.139) (0.064:0.064:0.064))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _549_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.102:0.105:0.107) (0.052:0.068:0.083))
(IOPATH B Y (0.083:0.086:0.089) (0.050:0.052:0.054))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a211o_1")
(INSTANCE _550_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.157:0.157:0.157) (0.270:0.270:0.270))
(IOPATH A2 X (0.133:0.135:0.136) (0.310:0.311:0.312))
(IOPATH B1 X (0.113:0.113:0.113) (0.266:0.267:0.267))
(IOPATH C1 X (0.101:0.101:0.101) (0.224:0.224:0.224))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21ba_1")
(INSTANCE _551_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.148:0.148:0.148) (0.188:0.188:0.188))
(IOPATH A2 X (0.149:0.149:0.149) (0.171:0.171:0.171))
(IOPATH B1_N X (0.211:0.214:0.217) (0.148:0.168:0.188))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor3_1")
(INSTANCE _552_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.201:0.201:0.201) (0.079:0.079:0.079))
(IOPATH B Y (0.157:0.157:0.157) (0.042:0.042:0.042))
(IOPATH C Y (0.139:0.139:0.140) (0.060:0.060:0.060))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _553_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.136:0.136:0.136) (0.133:0.133:0.133))
(IOPATH B X (0.142:0.142:0.142) (0.151:0.151:0.151))
(IOPATH C X (0.173:0.173:0.173) (0.167:0.173:0.178))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _554_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.085:0.085:0.085) (0.084:0.085:0.085))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3_1")
(INSTANCE _555_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.159:0.159:0.159) (0.402:0.402:0.402))
(IOPATH B X (0.152:0.152:0.152) (0.376:0.376:0.376))
(IOPATH C X (0.146:0.146:0.146) (0.336:0.336:0.336))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21ai_1")
(INSTANCE _556_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.152:0.152:0.152) (0.083:0.083:0.083))
(IOPATH A2 Y (0.156:0.156:0.156) (0.071:0.071:0.071))
(IOPATH B1 Y (0.101:0.101:0.101) (0.089:0.089:0.089))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21a_1")
(INSTANCE _557_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.140:0.140:0.140) (0.188:0.188:0.188))
(IOPATH A2 X (0.133:0.133:0.133) (0.182:0.182:0.182))
(IOPATH B1 X (0.129:0.129:0.129) (0.115:0.115:0.115))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3b_1")
(INSTANCE _558_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.279:0.279:0.279) (0.230:0.230:0.230))
(IOPATH B X (0.238:0.238:0.238) (0.208:0.208:0.208))
(IOPATH C X (0.243:0.243:0.243) (0.227:0.227:0.227))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _559_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.211:0.211:0.211) (0.191:0.191:0.191))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor4_1")
(INSTANCE _560_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.387:0.387:0.387) (0.091:0.091:0.091))
(IOPATH B Y (0.371:0.371:0.371) (0.084:0.084:0.084))
(IOPATH C Y (0.337:0.337:0.337) (0.073:0.073:0.073))
(IOPATH D Y (0.285:0.285:0.285) (0.077:0.077:0.077))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _561_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.205:0.205:0.205) (0.147:0.147:0.147))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3b_1")
(INSTANCE _562_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.261:0.261:0.261) (0.224:0.224:0.224))
(IOPATH B X (0.254:0.255:0.255) (0.183:0.185:0.188))
(IOPATH C X (0.224:0.224:0.224) (0.222:0.222:0.222))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3b_1")
(INSTANCE _563_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.200:0.200:0.200) (0.175:0.175:0.175))
(IOPATH B X (0.160:0.160:0.160) (0.161:0.161:0.161))
(IOPATH C X (0.210:0.210:0.210) (0.175:0.178:0.181))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _564_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.175:0.175:0.175) (0.156:0.157:0.157))
(IOPATH B X (0.216:0.216:0.216) (0.201:0.201:0.201))
(IOPATH C X (0.184:0.184:0.184) (0.189:0.190:0.191))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31oi_1")
(INSTANCE _565_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.150:0.150:0.150) (0.108:0.108:0.108))
(IOPATH A2 Y (0.170:0.170:0.171) (0.100:0.100:0.100))
(IOPATH A3 Y (0.165:0.166:0.166) (0.090:0.091:0.091))
(IOPATH B1 Y (0.139:0.140:0.141) (0.049:0.049:0.049))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _566_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.178:0.178:0.178) (0.133:0.133:0.133))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor3_1")
(INSTANCE _567_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.212:0.212:0.212) (0.078:0.078:0.078))
(IOPATH B Y (0.196:0.196:0.196) (0.070:0.070:0.070))
(IOPATH C Y (0.167:0.167:0.167) (0.073:0.073:0.073))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and4_1")
(INSTANCE _568_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.251:0.251:0.251) (0.188:0.188:0.188))
(IOPATH B X (0.285:0.285:0.285) (0.234:0.234:0.234))
(IOPATH C X (0.259:0.259:0.259) (0.227:0.227:0.227))
(IOPATH D X (0.281:0.281:0.281) (0.234:0.236:0.237))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _569_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.084:0.085:0.086) (0.084:0.084:0.084))
(IOPATH B Y (0.090:0.091:0.093) (0.085:0.085:0.085))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _570_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.195:0.195:0.195) (0.176:0.176:0.176))
(IOPATH B X (0.209:0.214:0.218) (0.189:0.198:0.207))
(IOPATH C X (0.204:0.205:0.205) (0.212:0.213:0.215))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _571_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.205:0.205:0.205) (0.192:0.192:0.193))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _572_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.227:0.227:0.227) (0.241:0.243:0.244))
(IOPATH A2 X (0.178:0.178:0.178) (0.232:0.233:0.234))
(IOPATH B1 X (0.214:0.214:0.215) (0.149:0.149:0.150))
(IOPATH C1 X (0.196:0.196:0.197) (0.144:0.145:0.145))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _573_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.193:0.193:0.193) (0.287:0.288:0.289))
(IOPATH B X (0.172:0.173:0.173) (0.260:0.262:0.263))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xor2_1")
(INSTANCE _574_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.154:0.154:0.154) (0.088:0.088:0.088))
(IOPATH A X (0.150:0.150:0.150) (0.170:0.170:0.170))
(IOPATH B X (0.133:0.133:0.134) (0.077:0.077:0.077))
(IOPATH B X (0.146:0.146:0.146) (0.151:0.151:0.151))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _575_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.147:0.147:0.147) (0.150:0.150:0.150))
(IOPATH B X (0.125:0.127:0.129) (0.143:0.147:0.152))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _576_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.076:0.076:0.076) (0.078:0.079:0.079))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _577_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.209:0.209:0.209) (0.180:0.180:0.180))
(IOPATH B X (0.207:0.207:0.207) (0.198:0.198:0.198))
(IOPATH C X (0.195:0.195:0.195) (0.213:0.213:0.213))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _578_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.136:0.136:0.136) (0.169:0.169:0.169))
(IOPATH A2 X (0.129:0.129:0.129) (0.189:0.189:0.189))
(IOPATH B1 X (0.109:0.109:0.109) (0.168:0.168:0.168))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3b_1")
(INSTANCE _579_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.202:0.203:0.203) (0.176:0.176:0.176))
(IOPATH B X (0.179:0.179:0.179) (0.178:0.178:0.178))
(IOPATH C X (0.150:0.151:0.151) (0.166:0.166:0.167))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _580_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.076:0.076:0.076) (0.078:0.078:0.079))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o2111a_1")
(INSTANCE _581_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.298:0.298:0.298) (0.309:0.310:0.311))
(IOPATH A2 X (0.259:0.259:0.259) (0.285:0.287:0.288))
(IOPATH B1 X (0.285:0.285:0.285) (0.194:0.194:0.194))
(IOPATH C1 X (0.303:0.303:0.303) (0.204:0.204:0.204))
(IOPATH D1 X (0.290:0.290:0.290) (0.188:0.188:0.188))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _582_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.114:0.114:0.114) (0.224:0.224:0.224))
(IOPATH B X (0.099:0.099:0.100) (0.201:0.201:0.202))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3b_1")
(INSTANCE _583_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.219:0.221:0.223) (0.195:0.195:0.195))
(IOPATH B X (0.180:0.180:0.180) (0.178:0.178:0.178))
(IOPATH C X (0.151:0.151:0.151) (0.174:0.174:0.174))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _584_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.076:0.076:0.076) (0.077:0.078:0.079))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _585_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.121:0.121:0.121) (0.228:0.228:0.228))
(IOPATH B X (0.117:0.117:0.117) (0.212:0.214:0.216))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _586_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.066:0.066:0.066) (0.066:0.066:0.066))
(IOPATH B Y (0.078:0.080:0.082) (0.070:0.070:0.070))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _587_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.171:0.171:0.171) (0.156:0.156:0.156))
(IOPATH B X (0.135:0.135:0.135) (0.155:0.155:0.155))
(IOPATH C X (0.142:0.143:0.144) (0.169:0.170:0.172))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _588_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.075:0.075:0.075) (0.077:0.078:0.078))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _589_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.197:0.197:0.197) (0.168:0.168:0.168))
(IOPATH B X (0.213:0.213:0.213) (0.198:0.198:0.198))
(IOPATH C X (0.211:0.212:0.212) (0.216:0.219:0.221))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _590_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.130:0.130:0.130) (0.161:0.161:0.161))
(IOPATH A2 X (0.132:0.133:0.133) (0.185:0.187:0.189))
(IOPATH B1 X (0.094:0.094:0.094) (0.150:0.150:0.150))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3b_1")
(INSTANCE _591_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.204:0.205:0.206) (0.180:0.180:0.180))
(IOPATH B X (0.163:0.163:0.163) (0.165:0.165:0.166))
(IOPATH C X (0.149:0.150:0.150) (0.166:0.166:0.166))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _592_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.077:0.077:0.077) (0.078:0.079:0.080))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _593_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.116:0.116:0.116) (0.225:0.225:0.225))
(IOPATH B X (0.103:0.103:0.103) (0.202:0.203:0.204))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _594_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.057:0.057:0.057) (0.058:0.058:0.058))
(IOPATH B Y (0.061:0.062:0.062) (0.055:0.055:0.055))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _595_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.174:0.174:0.174) (0.158:0.158:0.158))
(IOPATH B X (0.139:0.139:0.139) (0.158:0.158:0.158))
(IOPATH C X (0.144:0.145:0.145) (0.167:0.169:0.171))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _596_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.077:0.077:0.077) (0.078:0.079:0.080))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3b_1")
(INSTANCE _597_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.162:0.162:0.162) (0.410:0.410:0.410))
(IOPATH B X (0.155:0.155:0.155) (0.383:0.383:0.383))
(IOPATH C_N X (0.191:0.191:0.191) (0.389:0.389:0.389))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _598_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.061:0.061:0.061) (0.058:0.058:0.058))
(IOPATH B Y (0.089:0.089:0.089) (0.063:0.063:0.063))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _599_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.149:0.150:0.150) (0.080:0.081:0.082))
(IOPATH A2 Y (0.130:0.130:0.130) (0.058:0.060:0.061))
(IOPATH B1 Y (0.116:0.116:0.116) (0.050:0.050:0.050))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21bo_1")
(INSTANCE _600_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.155:0.155:0.155) (0.197:0.197:0.197))
(IOPATH A2 X (0.132:0.132:0.133) (0.202:0.202:0.203))
(IOPATH B1_N X (0.199:0.200:0.200) (0.229:0.230:0.230))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand3_1")
(INSTANCE _601_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.090:0.090:0.090) (0.089:0.089:0.089))
(IOPATH B Y (0.134:0.134:0.134) (0.114:0.114:0.114))
(IOPATH C Y (0.103:0.104:0.104) (0.102:0.102:0.102))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor3_1")
(INSTANCE _602_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.268:0.268:0.268) (0.097:0.097:0.097))
(IOPATH B Y (0.252:0.252:0.252) (0.087:0.087:0.087))
(IOPATH C Y (0.213:0.213:0.213) (0.080:0.080:0.080))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a211o_1")
(INSTANCE _603_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.108:0.109:0.110) (0.246:0.247:0.248))
(IOPATH A2 X (0.118:0.120:0.122) (0.291:0.292:0.293))
(IOPATH B1 X (0.135:0.135:0.135) (0.257:0.259:0.261))
(IOPATH C1 X (0.094:0.095:0.095) (0.215:0.216:0.216))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _604_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.131:0.131:0.131) (0.237:0.237:0.237))
(IOPATH B X (0.108:0.108:0.108) (0.221:0.222:0.222))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3b_1")
(INSTANCE _605_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.222:0.226:0.229) (0.197:0.201:0.205))
(IOPATH B X (0.163:0.163:0.164) (0.169:0.170:0.171))
(IOPATH C X (0.172:0.172:0.172) (0.187:0.187:0.187))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _606_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.084:0.084:0.084) (0.084:0.085:0.085))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _607_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.197:0.197:0.197) (0.213:0.213:0.213))
(IOPATH A2 X (0.197:0.197:0.197) (0.239:0.239:0.239))
(IOPATH A3 X (0.181:0.181:0.181) (0.237:0.238:0.239))
(IOPATH B1 X (0.115:0.115:0.115) (0.195:0.195:0.195))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31oi_1")
(INSTANCE _608_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.143:0.143:0.143) (0.105:0.105:0.105))
(IOPATH A2 Y (0.167:0.168:0.168) (0.080:0.081:0.081))
(IOPATH A3 Y (0.155:0.156:0.156) (0.081:0.082:0.083))
(IOPATH B1 Y (0.140:0.140:0.140) (0.051:0.051:0.051))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _609_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.207:0.207:0.207) (0.179:0.179:0.179))
(IOPATH B X (0.181:0.183:0.185) (0.194:0.195:0.196))
(IOPATH C X (0.182:0.182:0.183) (0.194:0.194:0.195))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _610_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.086:0.086:0.086) (0.086:0.087:0.087))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _611_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.192:0.192:0.192) (0.180:0.180:0.180))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _612_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.241:0.241:0.241) (0.200:0.200:0.200))
(IOPATH B X (0.256:0.257:0.258) (0.235:0.239:0.243))
(IOPATH C X (0.251:0.251:0.251) (0.250:0.250:0.250))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2b_1")
(INSTANCE _613_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.202:0.202:0.202) (0.200:0.200:0.200))
(IOPATH B X (0.145:0.145:0.145) (0.171:0.172:0.172))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _614_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.091:0.092:0.092) (0.089:0.089:0.089))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _615_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.181:0.181:0.181) (0.174:0.174:0.174))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21ai_1")
(INSTANCE _616_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.145:0.145:0.145) (0.094:0.094:0.094))
(IOPATH A2 Y (0.133:0.133:0.133) (0.080:0.080:0.080))
(IOPATH B1 Y (0.093:0.094:0.094) (0.092:0.092:0.092))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _617_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.131:0.131:0.131) (0.085:0.085:0.085))
(IOPATH A2 Y (0.150:0.150:0.150) (0.090:0.090:0.090))
(IOPATH B1 Y (0.107:0.110:0.113) (0.045:0.048:0.050))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21boi_1")
(INSTANCE _618_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.142:0.142:0.142) (0.087:0.087:0.087))
(IOPATH A2 Y (0.161:0.161:0.161) (0.092:0.092:0.092))
(IOPATH B1_N Y (0.176:0.176:0.176) (0.131:0.131:0.131))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21a_1")
(INSTANCE _619_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.151:0.151:0.151) (0.199:0.199:0.200))
(IOPATH A2 X (0.117:0.120:0.122) (0.163:0.167:0.171))
(IOPATH B1 X (0.146:0.146:0.146) (0.121:0.122:0.122))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and4_1")
(INSTANCE _620_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.266:0.266:0.266) (0.199:0.199:0.199))
(IOPATH B X (0.249:0.249:0.249) (0.206:0.206:0.206))
(IOPATH C X (0.262:0.262:0.262) (0.228:0.228:0.228))
(IOPATH D X (0.268:0.268:0.268) (0.240:0.240:0.240))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _621_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.151:0.151:0.151) (0.180:0.180:0.180))
(IOPATH A2 X (0.158:0.158:0.158) (0.210:0.210:0.210))
(IOPATH A3 X (0.145:0.145:0.145) (0.210:0.210:0.210))
(IOPATH B1 X (0.102:0.102:0.102) (0.177:0.177:0.177))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3b_1")
(INSTANCE _622_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.209:0.210:0.211) (0.188:0.188:0.188))
(IOPATH B X (0.141:0.141:0.142) (0.149:0.150:0.150))
(IOPATH C X (0.177:0.177:0.177) (0.191:0.192:0.193))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _623_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.080:0.080:0.080) (0.080:0.081:0.082))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21boi_1")
(INSTANCE _624_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.137:0.137:0.137) (0.078:0.078:0.078))
(IOPATH A2 Y (0.161:0.162:0.163) (0.087:0.087:0.087))
(IOPATH B1_N Y (0.194:0.194:0.194) (0.147:0.148:0.149))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21a_1")
(INSTANCE _625_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.129:0.129:0.129) (0.181:0.181:0.181))
(IOPATH A2 X (0.121:0.121:0.121) (0.170:0.171:0.172))
(IOPATH B1 X (0.126:0.128:0.131) (0.095:0.098:0.101))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _626_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.153:0.153:0.153) (0.149:0.149:0.149))
(IOPATH B X (0.153:0.153:0.153) (0.180:0.180:0.180))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _627_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.081:0.081:0.081) (0.082:0.082:0.083))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _628_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.149:0.149:0.149) (0.147:0.147:0.147))
(IOPATH B X (0.146:0.146:0.146) (0.175:0.175:0.175))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _629_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.083:0.083:0.083) (0.083:0.083:0.084))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _630_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.154:0.154:0.154) (0.152:0.152:0.152))
(IOPATH B X (0.160:0.160:0.160) (0.179:0.179:0.179))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _631_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.092:0.092:0.092) (0.089:0.089:0.090))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _632_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.345:0.345:0.345) (0.332:0.332:0.332))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.015:-0.016:-0.016))
(HOLD (negedge D) (posedge CLK) (-0.022:-0.024:-0.025))
(SETUP (posedge D) (posedge CLK) (0.037:0.037:0.037))
(SETUP (negedge D) (posedge CLK) (0.078:0.079:0.081))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _633_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.375:0.375:0.375) (0.358:0.358:0.358))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.007:-0.007:-0.007))
(HOLD (negedge D) (posedge CLK) (-0.008:-0.009:-0.010))
(SETUP (posedge D) (posedge CLK) (0.028:0.028:0.028))
(SETUP (negedge D) (posedge CLK) (0.063:0.065:0.066))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _634_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.380:0.380:0.380) (0.355:0.355:0.355))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.016:-0.016:-0.016))
(HOLD (negedge D) (posedge CLK) (-0.020:-0.022:-0.025))
(SETUP (posedge D) (posedge CLK) (0.037:0.037:0.037))
(SETUP (negedge D) (posedge CLK) (0.076:0.078:0.081))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _635_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.402:0.402:0.402) (0.374:0.374:0.374))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.008:-0.008:-0.008))
(HOLD (negedge D) (posedge CLK) (-0.009:-0.010:-0.011))
(SETUP (posedge D) (posedge CLK) (0.029:0.029:0.029))
(SETUP (negedge D) (posedge CLK) (0.065:0.066:0.067))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _636_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.354:0.354:0.354) (0.339:0.339:0.339))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.015:-0.015:-0.015))
(HOLD (negedge D) (posedge CLK) (-0.021:-0.022:-0.023))
(SETUP (posedge D) (posedge CLK) (0.036:0.036:0.037))
(SETUP (negedge D) (posedge CLK) (0.077:0.078:0.079))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _637_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.412:0.412:0.412) (0.368:0.368:0.368))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020))
(HOLD (negedge D) (posedge CLK) (-0.029:-0.030:-0.031))
(SETUP (posedge D) (posedge CLK) (0.042:0.042:0.042))
(SETUP (negedge D) (posedge CLK) (0.085:0.086:0.087))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _638_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.407:0.407:0.407) (0.370:0.370:0.370))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.016:-0.016:-0.016))
(HOLD (negedge D) (posedge CLK) (-0.021:-0.023:-0.025))
(SETUP (posedge D) (posedge CLK) (0.037:0.037:0.038))
(SETUP (negedge D) (posedge CLK) (0.076:0.079:0.081))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _639_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.359:0.359:0.359) (0.341:0.341:0.341))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.016:-0.016:-0.016))
(HOLD (negedge D) (posedge CLK) (-0.023:-0.024:-0.025))
(SETUP (posedge D) (posedge CLK) (0.037:0.037:0.038))
(SETUP (negedge D) (posedge CLK) (0.079:0.080:0.081))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _640_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.463:0.463:0.463) (0.392:0.392:0.392))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.040:-0.041:-0.041))
(HOLD (negedge D) (posedge CLK) (-0.033:-0.034:-0.035))
(SETUP (posedge D) (posedge CLK) (0.064:0.064:0.064))
(SETUP (negedge D) (posedge CLK) (0.089:0.090:0.091))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _641_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.400:0.400:0.400) (0.369:0.369:0.369))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.010:-0.011:-0.011))
(HOLD (negedge D) (posedge CLK) (-0.013:-0.015:-0.017))
(SETUP (posedge D) (posedge CLK) (0.031:0.032:0.032))
(SETUP (negedge D) (posedge CLK) (0.069:0.071:0.073))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _642_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.356:0.356:0.356) (0.336:0.336:0.336))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.018:-0.018:-0.018))
(HOLD (negedge D) (posedge CLK) (-0.027:-0.028:-0.029))
(SETUP (posedge D) (posedge CLK) (0.040:0.040:0.040))
(SETUP (negedge D) (posedge CLK) (0.083:0.084:0.085))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _643_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.414:0.414:0.414) (0.378:0.378:0.378))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.009:-0.009:-0.009))
(HOLD (negedge D) (posedge CLK) (-0.009:-0.009:-0.009))
(SETUP (posedge D) (posedge CLK) (0.030:0.030:0.030))
(SETUP (negedge D) (posedge CLK) (0.064:0.064:0.064))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _644_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.411:0.411:0.411) (0.375:0.375:0.375))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.012:-0.012:-0.012))
(HOLD (negedge D) (posedge CLK) (-0.014:-0.014:-0.014))
(SETUP (posedge D) (posedge CLK) (0.033:0.033:0.033))
(SETUP (negedge D) (posedge CLK) (0.069:0.069:0.069))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _645_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.399:0.399:0.399) (0.368:0.368:0.368))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.012:-0.012:-0.012))
(HOLD (negedge D) (posedge CLK) (-0.013:-0.013:-0.013))
(SETUP (posedge D) (posedge CLK) (0.033:0.033:0.033))
(SETUP (negedge D) (posedge CLK) (0.069:0.069:0.069))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _646_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.409:0.409:0.409) (0.367:0.367:0.367))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020))
(HOLD (negedge D) (posedge CLK) (-0.027:-0.027:-0.027))
(SETUP (posedge D) (posedge CLK) (0.042:0.042:0.042))
(SETUP (negedge D) (posedge CLK) (0.082:0.082:0.082))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _647_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.426:0.426:0.426) (0.382:0.382:0.382))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.012:-0.012:-0.012))
(HOLD (negedge D) (posedge CLK) (-0.014:-0.014:-0.014))
(SETUP (posedge D) (posedge CLK) (0.033:0.033:0.033))
(SETUP (negedge D) (posedge CLK) (0.069:0.069:0.069))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _648_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.394:0.394:0.394) (0.366:0.366:0.366))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.012:-0.012:-0.012))
(HOLD (negedge D) (posedge CLK) (-0.014:-0.014:-0.014))
(SETUP (posedge D) (posedge CLK) (0.033:0.033:0.033))
(SETUP (negedge D) (posedge CLK) (0.070:0.070:0.070))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _649_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.376:0.376:0.376) (0.349:0.349:0.349))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021))
(HOLD (negedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(SETUP (posedge D) (posedge CLK) (0.043:0.043:0.043))
(SETUP (negedge D) (posedge CLK) (0.084:0.084:0.084))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _650_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.365:0.365:0.365) (0.342:0.342:0.342))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.018:-0.018:-0.018))
(HOLD (negedge D) (posedge CLK) (-0.025:-0.025:-0.025))
(SETUP (posedge D) (posedge CLK) (0.040:0.040:0.040))
(SETUP (negedge D) (posedge CLK) (0.081:0.081:0.081))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _651_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.417:0.417:0.417) (0.381:0.381:0.381))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.012:-0.012:-0.012))
(HOLD (negedge D) (posedge CLK) (-0.011:-0.011:-0.011))
(SETUP (posedge D) (posedge CLK) (0.033:0.033:0.033))
(SETUP (negedge D) (posedge CLK) (0.067:0.067:0.067))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _652_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.411:0.411:0.411) (0.371:0.371:0.371))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.013:-0.013:-0.013))
(HOLD (negedge D) (posedge CLK) (-0.017:-0.017:-0.017))
(SETUP (posedge D) (posedge CLK) (0.035:0.035:0.035))
(SETUP (negedge D) (posedge CLK) (0.073:0.073:0.073))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _653_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.421:0.421:0.421) (0.374:0.374:0.374))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.016:-0.016:-0.016))
(HOLD (negedge D) (posedge CLK) (-0.021:-0.021:-0.021))
(SETUP (posedge D) (posedge CLK) (0.038:0.038:0.038))
(SETUP (negedge D) (posedge CLK) (0.077:0.077:0.077))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _654_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.423:0.423:0.423) (0.384:0.384:0.384))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.010:-0.010:-0.010))
(HOLD (negedge D) (posedge CLK) (-0.009:-0.009:-0.009))
(SETUP (posedge D) (posedge CLK) (0.031:0.031:0.031))
(SETUP (negedge D) (posedge CLK) (0.064:0.064:0.064))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _655_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.415:0.415:0.415) (0.371:0.371:0.371))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.018:-0.018:-0.018))
(HOLD (negedge D) (posedge CLK) (-0.024:-0.024:-0.024))
(SETUP (posedge D) (posedge CLK) (0.040:0.040:0.040))
(SETUP (negedge D) (posedge CLK) (0.079:0.079:0.079))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _656_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.412:0.412:0.412) (0.370:0.370:0.370))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.016:-0.016:-0.016))
(HOLD (negedge D) (posedge CLK) (-0.022:-0.022:-0.022))
(SETUP (posedge D) (posedge CLK) (0.038:0.038:0.038))
(SETUP (negedge D) (posedge CLK) (0.077:0.077:0.077))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _657_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.401:0.401:0.401) (0.370:0.370:0.370))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.012:-0.012:-0.012))
(HOLD (negedge D) (posedge CLK) (-0.013:-0.013:-0.013))
(SETUP (posedge D) (posedge CLK) (0.033:0.033:0.033))
(SETUP (negedge D) (posedge CLK) (0.069:0.069:0.069))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _658_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.400:0.400:0.400) (0.369:0.369:0.369))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.012:-0.012:-0.012))
(HOLD (negedge D) (posedge CLK) (-0.013:-0.013:-0.013))
(SETUP (posedge D) (posedge CLK) (0.033:0.033:0.033))
(SETUP (negedge D) (posedge CLK) (0.069:0.069:0.069))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _659_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.426:0.426:0.426) (0.375:0.375:0.375))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019))
(HOLD (negedge D) (posedge CLK) (-0.026:-0.026:-0.026))
(SETUP (posedge D) (posedge CLK) (0.041:0.041:0.041))
(SETUP (negedge D) (posedge CLK) (0.082:0.082:0.082))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _660_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.391:0.391:0.391) (0.365:0.365:0.365))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.009:-0.009:-0.009))
(HOLD (negedge D) (posedge CLK) (-0.009:-0.009:-0.009))
(SETUP (posedge D) (posedge CLK) (0.030:0.030:0.030))
(SETUP (negedge D) (posedge CLK) (0.064:0.064:0.064))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _661_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.378:0.378:0.378) (0.355:0.355:0.355))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.012:-0.012:-0.012))
(HOLD (negedge D) (posedge CLK) (-0.014:-0.014:-0.014))
(SETUP (posedge D) (posedge CLK) (0.033:0.033:0.033))
(SETUP (negedge D) (posedge CLK) (0.070:0.070:0.070))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _662_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.385:0.385:0.385) (0.360:0.360:0.360))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.011:-0.011:-0.011))
(HOLD (negedge D) (posedge CLK) (-0.013:-0.013:-0.013))
(SETUP (posedge D) (posedge CLK) (0.032:0.032:0.032))
(SETUP (negedge D) (posedge CLK) (0.068:0.068:0.068))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _663_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.382:0.382:0.382) (0.358:0.358:0.358))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.013:-0.013:-0.013))
(HOLD (negedge D) (posedge CLK) (-0.015:-0.015:-0.015))
(SETUP (posedge D) (posedge CLK) (0.034:0.034:0.034))
(SETUP (negedge D) (posedge CLK) (0.070:0.070:0.070))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _664_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.381:0.381:0.381) (0.357:0.357:0.357))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.011:-0.011:-0.011))
(HOLD (negedge D) (posedge CLK) (-0.013:-0.013:-0.013))
(SETUP (posedge D) (posedge CLK) (0.032:0.032:0.032))
(SETUP (negedge D) (posedge CLK) (0.069:0.069:0.069))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _665_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.379:0.379:0.379) (0.356:0.356:0.356))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.013:-0.013:-0.013))
(HOLD (negedge D) (posedge CLK) (-0.015:-0.015:-0.015))
(SETUP (posedge D) (posedge CLK) (0.034:0.034:0.034))
(SETUP (negedge D) (posedge CLK) (0.071:0.071:0.071))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _666_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.396:0.396:0.396) (0.366:0.366:0.366))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.011:-0.011:-0.011))
(HOLD (negedge D) (posedge CLK) (-0.013:-0.013:-0.013))
(SETUP (posedge D) (posedge CLK) (0.033:0.033:0.033))
(SETUP (negedge D) (posedge CLK) (0.069:0.069:0.069))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _667_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.355:0.355:0.355) (0.336:0.336:0.336))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019))
(HOLD (negedge D) (posedge CLK) (-0.026:-0.026:-0.026))
(SETUP (posedge D) (posedge CLK) (0.041:0.041:0.041))
(SETUP (negedge D) (posedge CLK) (0.082:0.082:0.082))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _668_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.388:0.388:0.388) (0.366:0.366:0.366))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.009:-0.009:-0.009))
(HOLD (negedge D) (posedge CLK) (-0.010:-0.012:-0.014))
(SETUP (posedge D) (posedge CLK) (0.030:0.030:0.030))
(SETUP (negedge D) (posedge CLK) (0.065:0.067:0.069))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _669_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.380:0.380:0.380) (0.360:0.360:0.360))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.008:-0.008:-0.008))
(HOLD (negedge D) (posedge CLK) (-0.008:-0.010:-0.012))
(SETUP (posedge D) (posedge CLK) (0.028:0.029:0.029))
(SETUP (negedge D) (posedge CLK) (0.064:0.066:0.068))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _670_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.378:0.378:0.378) (0.359:0.359:0.359))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.007:-0.008:-0.008))
(HOLD (negedge D) (posedge CLK) (-0.008:-0.010:-0.012))
(SETUP (posedge D) (posedge CLK) (0.028:0.028:0.029))
(SETUP (negedge D) (posedge CLK) (0.064:0.065:0.067))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _671_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.381:0.381:0.381) (0.361:0.361:0.361))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.009:-0.009:-0.009))
(HOLD (negedge D) (posedge CLK) (-0.010:-0.012:-0.014))
(SETUP (posedge D) (posedge CLK) (0.030:0.030:0.030))
(SETUP (negedge D) (posedge CLK) (0.065:0.067:0.069))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _672_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.370:0.370:0.370) (0.354:0.354:0.354))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.009:-0.009:-0.009))
(HOLD (negedge D) (posedge CLK) (-0.010:-0.012:-0.014))
(SETUP (posedge D) (posedge CLK) (0.030:0.030:0.030))
(SETUP (negedge D) (posedge CLK) (0.065:0.067:0.069))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _673_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.369:0.369:0.369) (0.351:0.351:0.351))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.011:-0.011:-0.011))
(HOLD (negedge D) (posedge CLK) (-0.014:-0.016:-0.018))
(SETUP (posedge D) (posedge CLK) (0.032:0.032:0.032))
(SETUP (negedge D) (posedge CLK) (0.069:0.071:0.073))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _674_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.366:0.366:0.366) (0.350:0.350:0.350))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.010:-0.011:-0.011))
(HOLD (negedge D) (posedge CLK) (-0.013:-0.015:-0.017))
(SETUP (posedge D) (posedge CLK) (0.031:0.032:0.032))
(SETUP (negedge D) (posedge CLK) (0.069:0.071:0.073))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _675_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.361:0.361:0.361) (0.339:0.339:0.339))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019))
(HOLD (negedge D) (posedge CLK) (-0.028:-0.029:-0.031))
(SETUP (posedge D) (posedge CLK) (0.041:0.041:0.041))
(SETUP (negedge D) (posedge CLK) (0.084:0.085:0.087))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _676_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.416:0.416:0.416) (0.374:0.374:0.374))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.014:-0.014:-0.014))
(HOLD (negedge D) (posedge CLK) (-0.018:-0.018:-0.018))
(SETUP (posedge D) (posedge CLK) (0.036:0.036:0.036))
(SETUP (negedge D) (posedge CLK) (0.074:0.074:0.074))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _677_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.406:0.406:0.406) (0.369:0.369:0.369))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.017:-0.017:-0.017))
(HOLD (negedge D) (posedge CLK) (-0.021:-0.021:-0.021))
(SETUP (posedge D) (posedge CLK) (0.039:0.039:0.039))
(SETUP (negedge D) (posedge CLK) (0.076:0.076:0.076))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _678_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.410:0.410:0.410) (0.371:0.371:0.371))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.015:-0.015:-0.015))
(HOLD (negedge D) (posedge CLK) (-0.019:-0.019:-0.019))
(SETUP (posedge D) (posedge CLK) (0.037:0.037:0.037))
(SETUP (negedge D) (posedge CLK) (0.075:0.075:0.075))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _679_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.387:0.387:0.387) (0.358:0.358:0.358))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.014:-0.014:-0.014))
(HOLD (negedge D) (posedge CLK) (-0.018:-0.018:-0.018))
(SETUP (posedge D) (posedge CLK) (0.036:0.036:0.036))
(SETUP (negedge D) (posedge CLK) (0.074:0.074:0.074))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _680_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.388:0.388:0.388) (0.357:0.357:0.357))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.017:-0.017:-0.017))
(HOLD (negedge D) (posedge CLK) (-0.023:-0.023:-0.023))
(SETUP (posedge D) (posedge CLK) (0.039:0.039:0.039))
(SETUP (negedge D) (posedge CLK) (0.078:0.078:0.078))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _681_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.382:0.382:0.382) (0.359:0.359:0.359))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.011:-0.011:-0.011))
(HOLD (negedge D) (posedge CLK) (-0.012:-0.012:-0.012))
(SETUP (posedge D) (posedge CLK) (0.032:0.032:0.032))
(SETUP (negedge D) (posedge CLK) (0.068:0.068:0.068))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _682_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.455:0.455:0.455) (0.387:0.387:0.387))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.026:-0.027:-0.027))
(HOLD (negedge D) (posedge CLK) (-0.026:-0.029:-0.032))
(SETUP (posedge D) (posedge CLK) (0.049:0.049:0.049))
(SETUP (negedge D) (posedge CLK) (0.082:0.085:0.088))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _683_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.440:0.440:0.440) (0.388:0.388:0.388))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.018:-0.018:-0.019))
(HOLD (negedge D) (posedge CLK) (-0.016:-0.022:-0.028))
(SETUP (posedge D) (posedge CLK) (0.040:0.040:0.040))
(SETUP (negedge D) (posedge CLK) (0.071:0.078:0.084))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _684_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.474:0.474:0.474) (0.395:0.395:0.395))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.020))
(HOLD (negedge D) (posedge CLK) (-0.034:-0.035:-0.036))
(SETUP (posedge D) (posedge CLK) (0.040:0.041:0.041))
(SETUP (negedge D) (posedge CLK) (0.091:0.091:0.092))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _685_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.425:0.425:0.425) (0.378:0.378:0.378))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.015:-0.015:-0.015))
(HOLD (negedge D) (posedge CLK) (-0.020:-0.021:-0.022))
(SETUP (posedge D) (posedge CLK) (0.036:0.037:0.037))
(SETUP (negedge D) (posedge CLK) (0.076:0.077:0.078))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _686_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.470:0.470:0.470) (0.407:0.407:0.407))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.011:-0.011:-0.011))
(HOLD (negedge D) (posedge CLK) (-0.011:-0.011:-0.011))
(SETUP (posedge D) (posedge CLK) (0.032:0.032:0.032))
(SETUP (negedge D) (posedge CLK) (0.067:0.067:0.067))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _687_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.387:0.387:0.387) (0.354:0.354:0.354))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.033:-0.036:-0.040))
(HOLD (negedge D) (posedge CLK) (-0.037:-0.040:-0.043))
(SETUP (posedge D) (posedge CLK) (0.056:0.060:0.064))
(SETUP (negedge D) (posedge CLK) (0.093:0.096:0.100))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _688_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.342:0.342:0.342) (0.329:0.329:0.329))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.016:-0.016:-0.016))
(HOLD (negedge D) (posedge CLK) (-0.023:-0.024:-0.025))
(SETUP (posedge D) (posedge CLK) (0.038:0.038:0.038))
(SETUP (negedge D) (posedge CLK) (0.079:0.080:0.081))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _689_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.390:0.390:0.390) (0.364:0.364:0.364))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.011:-0.011:-0.011))
(HOLD (negedge D) (posedge CLK) (-0.012:-0.012:-0.012))
(SETUP (posedge D) (posedge CLK) (0.032:0.032:0.032))
(SETUP (negedge D) (posedge CLK) (0.068:0.068:0.068))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _690_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.373:0.373:0.373) (0.354:0.354:0.354))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.010:-0.010:-0.010))
(HOLD (negedge D) (posedge CLK) (-0.012:-0.012:-0.012))
(SETUP (posedge D) (posedge CLK) (0.031:0.031:0.031))
(SETUP (negedge D) (posedge CLK) (0.067:0.067:0.067))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _691_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.404:0.404:0.404) (0.371:0.371:0.371))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.010:-0.010:-0.010))
(HOLD (negedge D) (posedge CLK) (-0.011:-0.011:-0.011))
(SETUP (posedge D) (posedge CLK) (0.031:0.031:0.031))
(SETUP (negedge D) (posedge CLK) (0.067:0.067:0.067))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _692_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.394:0.394:0.394) (0.368:0.368:0.368))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.007:-0.007:-0.007))
(HOLD (negedge D) (posedge CLK) (-0.007:-0.007:-0.007))
(SETUP (posedge D) (posedge CLK) (0.028:0.028:0.028))
(SETUP (negedge D) (posedge CLK) (0.062:0.062:0.062))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _693_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.411:0.411:0.411) (0.376:0.376:0.376))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.008:-0.008:-0.008))
(HOLD (negedge D) (posedge CLK) (-0.007:-0.007:-0.007))
(SETUP (posedge D) (posedge CLK) (0.028:0.028:0.028))
(SETUP (negedge D) (posedge CLK) (0.062:0.062:0.062))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _694_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.420:0.420:0.420) (0.382:0.382:0.382))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.008:-0.008:-0.008))
(HOLD (negedge D) (posedge CLK) (-0.007:-0.007:-0.007))
(SETUP (posedge D) (posedge CLK) (0.028:0.028:0.028))
(SETUP (negedge D) (posedge CLK) (0.062:0.062:0.062))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _695_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.353:0.353:0.353) (0.334:0.334:0.334))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.031:-0.033))
(HOLD (negedge D) (posedge CLK) (-0.033:-0.037:-0.040))
(SETUP (posedge D) (posedge CLK) (0.052:0.054:0.056))
(SETUP (negedge D) (posedge CLK) (0.089:0.093:0.097))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _696_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.417:0.417:0.417) (0.379:0.379:0.379))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.009:-0.009:-0.009))
(HOLD (negedge D) (posedge CLK) (-0.009:-0.009:-0.009))
(SETUP (posedge D) (posedge CLK) (0.030:0.030:0.030))
(SETUP (negedge D) (posedge CLK) (0.065:0.065:0.065))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _697_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.390:0.390:0.390) (0.354:0.354:0.354))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.033:-0.037))
(HOLD (negedge D) (posedge CLK) (-0.034:-0.041:-0.049))
(SETUP (posedge D) (posedge CLK) (0.053:0.056:0.060))
(SETUP (negedge D) (posedge CLK) (0.090:0.098:0.106))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _698_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.425:0.425:0.425) (0.383:0.383:0.383))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.009:-0.009:-0.009))
(HOLD (negedge D) (posedge CLK) (-0.009:-0.009:-0.009))
(SETUP (posedge D) (posedge CLK) (0.030:0.030:0.030))
(SETUP (negedge D) (posedge CLK) (0.065:0.065:0.065))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _699_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.381:0.381:0.381) (0.360:0.360:0.360))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.014:-0.014:-0.014))
(HOLD (negedge D) (posedge CLK) (-0.014:-0.014:-0.014))
(SETUP (posedge D) (posedge CLK) (0.035:0.035:0.035))
(SETUP (negedge D) (posedge CLK) (0.069:0.069:0.069))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _700_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.366:0.366:0.366) (0.351:0.351:0.351))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.019:-0.020:-0.022))
(HOLD (negedge D) (posedge CLK) (-0.014:-0.019:-0.024))
(SETUP (posedge D) (posedge CLK) (0.041:0.042:0.044))
(SETUP (negedge D) (posedge CLK) (0.069:0.075:0.080))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _701_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.410:0.410:0.410) (0.376:0.376:0.376))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.010:-0.011:-0.011))
(HOLD (negedge D) (posedge CLK) (-0.013:-0.014:-0.015))
(SETUP (posedge D) (posedge CLK) (0.031:0.032:0.032))
(SETUP (negedge D) (posedge CLK) (0.068:0.069:0.071))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _702_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.405:0.405:0.405) (0.373:0.373:0.373))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.010:-0.010:-0.010))
(HOLD (negedge D) (posedge CLK) (-0.010:-0.010:-0.010))
(SETUP (posedge D) (posedge CLK) (0.031:0.031:0.031))
(SETUP (negedge D) (posedge CLK) (0.065:0.065:0.065))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _703_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.378:0.378:0.378) (0.347:0.347:0.347))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020))
(HOLD (negedge D) (posedge CLK) (-0.029:-0.030:-0.031))
(SETUP (posedge D) (posedge CLK) (0.042:0.042:0.042))
(SETUP (negedge D) (posedge CLK) (0.085:0.086:0.088))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _704_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.369:0.369:0.369) (0.354:0.354:0.354))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.026:-0.026:-0.026))
(HOLD (negedge D) (posedge CLK) (-0.034:-0.034:-0.034))
(SETUP (posedge D) (posedge CLK) (0.049:0.049:0.049))
(SETUP (negedge D) (posedge CLK) (0.090:0.090:0.090))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _705_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.353:0.353:0.353) (0.343:0.343:0.343))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.009:-0.009:-0.009))
(HOLD (negedge D) (posedge CLK) (-0.009:-0.009:-0.009))
(SETUP (posedge D) (posedge CLK) (0.030:0.030:0.030))
(SETUP (negedge D) (posedge CLK) (0.064:0.064:0.064))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _706_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.350:0.350:0.350) (0.341:0.341:0.341))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.010:-0.010:-0.010))
(HOLD (negedge D) (posedge CLK) (-0.010:-0.010:-0.010))
(SETUP (posedge D) (posedge CLK) (0.031:0.031:0.031))
(SETUP (negedge D) (posedge CLK) (0.066:0.066:0.066))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _707_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.352:0.352:0.352) (0.341:0.341:0.341))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.013:-0.013:-0.013))
(HOLD (negedge D) (posedge CLK) (-0.013:-0.013:-0.013))
(SETUP (posedge D) (posedge CLK) (0.034:0.034:0.034))
(SETUP (negedge D) (posedge CLK) (0.068:0.068:0.068))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_0_clock)
(DELAY
(ABSOLUTE
(IOPATH A X (0.138:0.138:0.138) (0.140:0.140:0.140))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE clkbuf_1_0_0_clock)
(DELAY
(ABSOLUTE
(IOPATH A X (0.109:0.109:0.109) (0.108:0.108:0.108))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE clkbuf_1_1_0_clock)
(DELAY
(ABSOLUTE
(IOPATH A X (0.110:0.110:0.110) (0.109:0.109:0.109))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE clkbuf_2_0_0_clock)
(DELAY
(ABSOLUTE
(IOPATH A X (0.111:0.111:0.111) (0.108:0.108:0.108))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE clkbuf_2_1_0_clock)
(DELAY
(ABSOLUTE
(IOPATH A X (0.119:0.119:0.119) (0.115:0.115:0.115))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE clkbuf_2_2_0_clock)
(DELAY
(ABSOLUTE
(IOPATH A X (0.117:0.117:0.117) (0.113:0.113:0.113))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE clkbuf_2_3_0_clock)
(DELAY
(ABSOLUTE
(IOPATH A X (0.119:0.119:0.119) (0.114:0.114:0.114))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE clkbuf_3_0_0_clock)
(DELAY
(ABSOLUTE
(IOPATH A X (0.203:0.203:0.203) (0.176:0.176:0.176))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE clkbuf_3_1_0_clock)
(DELAY
(ABSOLUTE
(IOPATH A X (0.167:0.167:0.167) (0.150:0.150:0.150))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE clkbuf_3_2_0_clock)
(DELAY
(ABSOLUTE
(IOPATH A X (0.220:0.220:0.220) (0.188:0.188:0.188))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE clkbuf_3_3_0_clock)
(DELAY
(ABSOLUTE
(IOPATH A X (0.165:0.165:0.165) (0.149:0.149:0.149))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE clkbuf_3_4_0_clock)
(DELAY
(ABSOLUTE
(IOPATH A X (0.180:0.180:0.180) (0.160:0.160:0.160))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE clkbuf_3_5_0_clock)
(DELAY
(ABSOLUTE
(IOPATH A X (0.193:0.193:0.193) (0.169:0.169:0.169))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE clkbuf_3_6_0_clock)
(DELAY
(ABSOLUTE
(IOPATH A X (0.211:0.211:0.211) (0.182:0.182:0.182))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE clkbuf_3_7_0_clock)
(DELAY
(ABSOLUTE
(IOPATH A X (0.226:0.226:0.226) (0.192:0.192:0.192))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input1)
(DELAY
(ABSOLUTE
(IOPATH A X (0.135:0.135:0.135) (0.108:0.108:0.108))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE input10)
(DELAY
(ABSOLUTE
(IOPATH A X (0.154:0.154:0.154) (0.138:0.138:0.138))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input11)
(DELAY
(ABSOLUTE
(IOPATH A X (0.188:0.188:0.188) (0.177:0.177:0.177))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input12)
(DELAY
(ABSOLUTE
(IOPATH A X (0.143:0.143:0.143) (0.111:0.111:0.111))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input13)
(DELAY
(ABSOLUTE
(IOPATH A X (0.157:0.157:0.157) (0.119:0.119:0.119))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input14)
(DELAY
(ABSOLUTE
(IOPATH A X (0.114:0.114:0.114) (0.095:0.095:0.095))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input15)
(DELAY
(ABSOLUTE
(IOPATH A X (0.135:0.135:0.135) (0.107:0.107:0.107))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input16)
(DELAY
(ABSOLUTE
(IOPATH A X (0.178:0.178:0.178) (0.172:0.172:0.172))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input17)
(DELAY
(ABSOLUTE
(IOPATH A X (0.106:0.106:0.106) (0.092:0.092:0.092))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input18)
(DELAY
(ABSOLUTE
(IOPATH A X (0.179:0.179:0.179) (0.172:0.172:0.172))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input19)
(DELAY
(ABSOLUTE
(IOPATH A X (0.151:0.151:0.151) (0.157:0.157:0.157))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input2)
(DELAY
(ABSOLUTE
(IOPATH A X (0.166:0.166:0.166) (0.166:0.166:0.166))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE input20)
(DELAY
(ABSOLUTE
(IOPATH A X (0.148:0.148:0.148) (0.133:0.133:0.133))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE input21)
(DELAY
(ABSOLUTE
(IOPATH A X (0.184:0.184:0.184) (0.158:0.158:0.158))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input3)
(DELAY
(ABSOLUTE
(IOPATH A X (0.226:0.226:0.226) (0.196:0.196:0.196))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input4)
(DELAY
(ABSOLUTE
(IOPATH A X (0.186:0.186:0.186) (0.175:0.175:0.175))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input5)
(DELAY
(ABSOLUTE
(IOPATH A X (0.172:0.172:0.172) (0.169:0.169:0.169))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input6)
(DELAY
(ABSOLUTE
(IOPATH A X (0.121:0.121:0.121) (0.099:0.099:0.099))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input7)
(DELAY
(ABSOLUTE
(IOPATH A X (0.123:0.123:0.123) (0.101:0.101:0.101))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input8)
(DELAY
(ABSOLUTE
(IOPATH A X (0.131:0.131:0.131) (0.103:0.103:0.103))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input9)
(DELAY
(ABSOLUTE
(IOPATH A X (0.120:0.120:0.120) (0.098:0.098:0.098))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output22)
(DELAY
(ABSOLUTE
(IOPATH A X (0.255:0.255:0.255) (0.210:0.210:0.210))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output23)
(DELAY
(ABSOLUTE
(IOPATH A X (0.191:0.191:0.191) (0.173:0.173:0.173))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output24)
(DELAY
(ABSOLUTE
(IOPATH A X (0.207:0.207:0.208) (0.182:0.182:0.182))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output25)
(DELAY
(ABSOLUTE
(IOPATH A X (0.222:0.222:0.222) (0.186:0.186:0.186))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output26)
(DELAY
(ABSOLUTE
(IOPATH A X (0.211:0.211:0.211) (0.180:0.180:0.180))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output27)
(DELAY
(ABSOLUTE
(IOPATH A X (0.200:0.200:0.200) (0.174:0.174:0.174))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output28)
(DELAY
(ABSOLUTE
(IOPATH A X (0.205:0.205:0.205) (0.177:0.177:0.177))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output29)
(DELAY
(ABSOLUTE
(IOPATH A X (0.216:0.216:0.216) (0.183:0.183:0.183))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output30)
(DELAY
(ABSOLUTE
(IOPATH A X (0.219:0.219:0.219) (0.184:0.184:0.184))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output31)
(DELAY
(ABSOLUTE
(IOPATH A X (0.203:0.203:0.203) (0.175:0.175:0.175))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output32)
(DELAY
(ABSOLUTE
(IOPATH A X (0.236:0.236:0.236) (0.196:0.196:0.196))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output33)
(DELAY
(ABSOLUTE
(IOPATH A X (0.228:0.228:0.228) (0.190:0.190:0.190))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output34)
(DELAY
(ABSOLUTE
(IOPATH A X (0.209:0.209:0.209) (0.179:0.179:0.179))
)
)
)
)