blob: 18eabec09ca8e5dffb3f154b334e5def8b4facf6 [file] [log] [blame]
*SPEF "ieee 1481-1999"
*DESIGN "UART"
*DATE "11:11:11 Fri 11 11, 1111"
*VENDOR "OpenRCX"
*PROGRAM "Parallel Extraction"
*VERSION "1.0"
*DESIGN_FLOW "NAME_SCOPE LOCAL" "PIN_CAP NONE"
*DIVIDER /
*DELIMITER :
*BUS_DELIMITER []
*T_UNIT 1 NS
*C_UNIT 1 PF
*R_UNIT 1 OHM
*L_UNIT 1 HENRY
*NAME_MAP
*1 clock
*2 io_rxd
*3 io_txd
*4 io_uartInt
*5 io_uart_select
*6 io_wbs_ack_o
*7 io_wbs_data_o[0]
*8 net35
*9 net36
*10 net37
*11 net38
*12 net39
*13 net40
*14 net41
*15 net42
*16 net43
*17 net44
*18 io_wbs_data_o[1]
*19 net45
*20 net46
*21 net47
*22 net48
*23 net49
*24 net50
*25 net51
*26 net52
*27 net53
*28 net54
*29 io_wbs_data_o[2]
*30 net55
*31 net56
*32 io_wbs_data_o[3]
*33 io_wbs_data_o[4]
*34 io_wbs_data_o[5]
*35 io_wbs_data_o[6]
*36 io_wbs_data_o[7]
*37 net33
*38 net34
*39 io_wbs_m2s_addr[0]
*40 io_wbs_m2s_addr[10]
*41 io_wbs_m2s_addr[11]
*42 io_wbs_m2s_addr[12]
*43 io_wbs_m2s_addr[13]
*44 io_wbs_m2s_addr[14]
*45 io_wbs_m2s_addr[15]
*46 io_wbs_m2s_addr[16]
*47 io_wbs_m2s_addr[17]
*48 io_wbs_m2s_addr[18]
*49 io_wbs_m2s_addr[19]
*50 io_wbs_m2s_addr[1]
*51 io_wbs_m2s_addr[20]
*52 io_wbs_m2s_addr[21]
*53 io_wbs_m2s_addr[22]
*54 io_wbs_m2s_addr[23]
*55 io_wbs_m2s_addr[24]
*56 io_wbs_m2s_addr[25]
*57 io_wbs_m2s_addr[26]
*58 io_wbs_m2s_addr[27]
*59 io_wbs_m2s_addr[28]
*60 io_wbs_m2s_addr[29]
*61 io_wbs_m2s_addr[2]
*62 io_wbs_m2s_addr[30]
*63 io_wbs_m2s_addr[31]
*64 io_wbs_m2s_addr[3]
*65 io_wbs_m2s_addr[4]
*66 io_wbs_m2s_addr[5]
*67 io_wbs_m2s_addr[6]
*68 io_wbs_m2s_addr[7]
*69 io_wbs_m2s_addr[8]
*70 io_wbs_m2s_addr[9]
*71 io_wbs_m2s_data[0]
*72 io_wbs_m2s_data[10]
*73 io_wbs_m2s_data[11]
*74 io_wbs_m2s_data[12]
*75 io_wbs_m2s_data[13]
*76 io_wbs_m2s_data[14]
*77 io_wbs_m2s_data[15]
*78 io_wbs_m2s_data[16]
*79 io_wbs_m2s_data[17]
*80 io_wbs_m2s_data[18]
*81 io_wbs_m2s_data[19]
*82 io_wbs_m2s_data[1]
*83 io_wbs_m2s_data[20]
*84 io_wbs_m2s_data[21]
*85 io_wbs_m2s_data[22]
*86 io_wbs_m2s_data[23]
*87 io_wbs_m2s_data[24]
*88 io_wbs_m2s_data[25]
*89 io_wbs_m2s_data[26]
*90 io_wbs_m2s_data[27]
*91 io_wbs_m2s_data[28]
*92 io_wbs_m2s_data[29]
*93 io_wbs_m2s_data[2]
*94 io_wbs_m2s_data[30]
*95 io_wbs_m2s_data[31]
*96 io_wbs_m2s_data[3]
*97 io_wbs_m2s_data[4]
*98 io_wbs_m2s_data[5]
*99 io_wbs_m2s_data[6]
*100 io_wbs_m2s_data[7]
*101 io_wbs_m2s_data[8]
*102 io_wbs_m2s_data[9]
*103 io_wbs_m2s_stb
*104 io_wbs_m2s_we
*105 reset
*108 _0000_
*109 _0001_
*110 _0002_
*111 _0003_
*112 _0004_
*113 _0005_
*114 _0006_
*115 _0007_
*116 _0008_
*117 _0009_
*118 _0010_
*119 _0011_
*120 _0012_
*121 _0013_
*122 _0014_
*123 _0015_
*124 _0016_
*125 _0017_
*126 _0018_
*127 _0019_
*128 _0020_
*129 _0021_
*130 _0022_
*131 _0023_
*132 _0024_
*133 _0025_
*134 _0026_
*135 _0027_
*136 _0028_
*137 _0029_
*138 _0030_
*139 _0031_
*140 _0032_
*141 _0033_
*142 _0034_
*143 _0035_
*144 _0036_
*145 _0037_
*146 _0038_
*147 _0039_
*148 _0040_
*149 _0041_
*150 _0042_
*151 _0043_
*152 _0044_
*153 _0045_
*154 _0046_
*155 _0047_
*156 _0048_
*157 _0049_
*158 _0050_
*159 _0051_
*160 _0052_
*161 _0053_
*162 _0054_
*163 _0055_
*164 _0056_
*165 _0057_
*166 _0058_
*167 _0059_
*168 _0060_
*169 _0061_
*170 _0062_
*171 _0063_
*172 _0064_
*173 _0065_
*174 _0066_
*175 _0067_
*176 _0068_
*177 _0069_
*178 _0070_
*179 _0071_
*180 _0072_
*181 _0073_
*182 _0074_
*183 _0075_
*184 _0076_
*185 _0077_
*186 _0078_
*187 _0079_
*188 _0080_
*189 _0081_
*190 _0082_
*191 _0083_
*192 _0084_
*193 _0085_
*194 _0086_
*195 _0087_
*196 _0088_
*197 _0089_
*198 _0090_
*199 _0091_
*200 _0092_
*201 _0093_
*202 _0094_
*203 _0095_
*204 _0096_
*205 _0097_
*206 _0098_
*207 _0099_
*208 _0100_
*209 _0101_
*210 _0102_
*211 _0103_
*212 _0104_
*213 _0105_
*214 _0106_
*215 _0107_
*216 _0108_
*217 _0109_
*218 _0110_
*219 _0111_
*220 _0112_
*221 _0113_
*222 _0114_
*223 _0115_
*224 _0116_
*225 _0117_
*226 _0118_
*227 _0119_
*228 _0120_
*229 _0121_
*230 _0122_
*231 _0123_
*232 _0124_
*233 _0125_
*234 _0126_
*235 _0127_
*236 _0128_
*237 _0129_
*238 _0130_
*239 _0131_
*240 _0132_
*241 _0133_
*242 _0134_
*243 _0135_
*244 _0136_
*245 _0137_
*246 _0138_
*247 _0139_
*248 _0140_
*249 _0141_
*250 _0142_
*251 _0143_
*252 _0144_
*253 _0145_
*254 _0146_
*255 _0147_
*256 _0148_
*257 _0149_
*258 _0150_
*259 _0151_
*260 _0152_
*261 _0153_
*262 _0154_
*263 _0155_
*264 _0156_
*265 _0157_
*266 _0158_
*267 _0159_
*268 _0160_
*269 _0161_
*270 _0162_
*271 _0163_
*272 _0164_
*273 _0165_
*274 _0166_
*275 _0167_
*276 _0168_
*277 _0169_
*278 _0170_
*279 _0171_
*280 _0172_
*281 _0173_
*282 _0174_
*283 _0175_
*284 _0176_
*285 _0177_
*286 _0178_
*287 _0179_
*288 _0180_
*289 _0181_
*290 _0182_
*291 _0183_
*292 _0184_
*293 _0185_
*294 _0186_
*295 _0187_
*296 _0188_
*297 _0189_
*298 _0190_
*299 _0191_
*300 _0192_
*301 _0193_
*302 _0194_
*303 _0195_
*304 _0196_
*305 _0197_
*306 _0198_
*307 _0199_
*308 _0200_
*309 _0201_
*310 _0202_
*311 _0203_
*312 _0204_
*313 _0205_
*314 _0206_
*315 _0207_
*316 _0208_
*317 _0209_
*318 _0210_
*319 _0211_
*320 _0212_
*321 _0213_
*322 _0214_
*323 _0215_
*324 _0216_
*325 _0217_
*326 _0218_
*327 _0219_
*328 _0220_
*329 _0221_
*330 _0222_
*331 _0223_
*332 _0224_
*333 _0225_
*334 _0226_
*335 _0227_
*336 _0228_
*337 _0229_
*338 _0230_
*339 _0231_
*340 _0232_
*341 _0233_
*342 _0234_
*343 _0235_
*344 _0236_
*345 _0237_
*346 _0238_
*347 _0239_
*348 _0240_
*349 _0241_
*350 _0242_
*351 _0243_
*352 _0244_
*353 _0245_
*354 _0246_
*355 _0247_
*356 _0248_
*357 _0249_
*358 _0250_
*359 _0251_
*360 _0252_
*361 _0253_
*362 _0254_
*363 _0255_
*364 _0256_
*365 _0257_
*366 _0258_
*367 _0259_
*368 _0260_
*369 _0261_
*370 _0262_
*371 _0263_
*372 _0264_
*373 _0265_
*374 _0266_
*375 _0267_
*376 _0268_
*377 _0269_
*378 _0270_
*379 _0271_
*380 _0272_
*381 _0273_
*382 _0274_
*383 _0275_
*384 _0276_
*385 _0277_
*386 _0278_
*387 _0279_
*388 _0280_
*389 _0281_
*390 _0282_
*391 _0283_
*392 _0284_
*393 _0285_
*394 _0286_
*395 _0287_
*396 _0288_
*397 _0289_
*398 _0290_
*399 _0291_
*400 _0292_
*401 _0293_
*402 _0294_
*403 _0295_
*404 _0296_
*405 _0297_
*406 _0298_
*407 _0299_
*408 _0300_
*409 _0301_
*410 _0302_
*411 _0303_
*412 _0304_
*413 _0305_
*414 _0306_
*415 _0307_
*416 _0308_
*417 _0309_
*418 _0310_
*419 _0311_
*420 _0312_
*421 _0313_
*422 _0314_
*423 _0315_
*424 _0316_
*425 _0317_
*426 _0318_
*427 _0319_
*428 _0320_
*429 _0321_
*430 _0322_
*431 _0323_
*432 _0324_
*433 _0325_
*434 _0326_
*435 _0327_
*436 _0328_
*437 _0329_
*438 _0330_
*439 _0331_
*440 _0332_
*441 _0333_
*442 _0334_
*443 _0335_
*444 _0336_
*445 _0337_
*446 _0338_
*447 _0339_
*448 _0340_
*449 _0341_
*450 _0342_
*451 _0343_
*452 _0344_
*453 _0345_
*454 _0346_
*455 _0347_
*456 _0348_
*457 _0349_
*458 _0350_
*459 _0351_
*460 _0352_
*461 _0353_
*462 _0354_
*463 _0355_
*464 _0356_
*465 _0357_
*466 _0358_
*467 _0359_
*468 _0360_
*469 _0361_
*470 _0362_
*471 _0363_
*472 _0364_
*473 _0365_
*474 _0366_
*475 _0367_
*476 _0368_
*477 _0369_
*478 _0370_
*479 _0371_
*480 _0372_
*481 _0373_
*482 _0374_
*483 _0375_
*484 _0376_
*485 _0377_
*486 _0378_
*487 _0379_
*488 _0380_
*489 _0381_
*490 _0382_
*491 _0383_
*492 _0384_
*493 _0385_
*494 _0386_
*495 _0387_
*496 _0388_
*497 _0389_
*498 _0390_
*499 _0391_
*500 _0392_
*501 _0393_
*502 _0394_
*503 _0395_
*504 _0396_
*505 _0397_
*506 _0398_
*507 _0399_
*508 _0400_
*509 _0401_
*510 _0402_
*511 _0403_
*512 _0404_
*513 _0405_
*514 _0406_
*515 _0407_
*516 _0408_
*517 _0409_
*518 _0410_
*519 _0411_
*520 _0412_
*521 _0413_
*522 _0414_
*523 _0415_
*524 _0416_
*525 _0417_
*526 _0418_
*527 _0419_
*528 _0420_
*529 _0421_
*530 _0422_
*531 _0423_
*532 _0424_
*533 _0425_
*534 _0426_
*535 _0427_
*536 _0428_
*537 _0429_
*538 _0430_
*539 _0431_
*540 _0432_
*541 _0433_
*542 _0434_
*543 _0435_
*544 _0436_
*545 _0437_
*546 _0438_
*547 _0439_
*548 _0440_
*549 _0441_
*550 _0442_
*551 _0443_
*552 _0444_
*553 _0445_
*554 _0446_
*555 _0447_
*556 _0448_
*557 _0449_
*558 _0450_
*559 _0451_
*560 _0452_
*561 _0453_
*562 _0454_
*563 _0455_
*564 _0456_
*565 _0457_
*566 _0458_
*567 _0459_
*568 _0460_
*569 _0461_
*570 _0462_
*571 _0463_
*572 _0464_
*573 _0465_
*574 _0466_
*575 _0467_
*576 _0468_
*577 _0469_
*578 _0470_
*579 _0471_
*580 _0472_
*581 _0473_
*582 _0474_
*583 _0475_
*584 _0476_
*585 _0477_
*586 _0478_
*587 _0479_
*588 _0480_
*589 _0481_
*590 _0482_
*591 _0483_
*592 _0484_
*593 _0485_
*594 _0486_
*595 _0487_
*596 _0488_
*597 _0489_
*598 _0490_
*599 _0491_
*600 _0492_
*601 _0493_
*602 _0494_
*603 _0495_
*604 _0496_
*605 _0497_
*606 _0498_
*607 _0499_
*608 _0500_
*609 _0501_
*610 _0502_
*611 _0503_
*612 _0504_
*613 _0505_
*614 _0506_
*615 _0507_
*616 _0508_
*617 _0509_
*618 _0510_
*619 _0511_
*620 _0512_
*621 _0513_
*622 _0514_
*623 _0515_
*624 _0516_
*625 _0517_
*626 _0518_
*627 _0519_
*628 _0520_
*629 _0521_
*630 _0522_
*631 _0523_
*632 _T_100
*633 _T_102\[2\]
*634 _T_102\[3\]
*635 _T_102\[4\]
*636 _T_102\[5\]
*637 _T_102\[6\]
*638 _T_102\[7\]
*639 _T_94\[0\]
*640 clknet_0_clock
*641 clknet_3_0_0_clock
*642 clknet_3_1_0_clock
*643 clknet_3_2_0_clock
*644 clknet_3_3_0_clock
*645 clknet_3_4_0_clock
*646 clknet_3_5_0_clock
*647 clknet_3_6_0_clock
*648 clknet_3_7_0_clock
*649 clknet_4_0_0_clock
*650 clknet_4_10_0_clock
*651 clknet_4_11_0_clock
*652 clknet_4_12_0_clock
*653 clknet_4_13_0_clock
*654 clknet_4_14_0_clock
*655 clknet_4_15_0_clock
*656 clknet_4_1_0_clock
*657 clknet_4_2_0_clock
*658 clknet_4_3_0_clock
*659 clknet_4_4_0_clock
*660 clknet_4_5_0_clock
*661 clknet_4_6_0_clock
*662 clknet_4_7_0_clock
*663 clknet_4_8_0_clock
*664 clknet_4_9_0_clock
*665 control_r\[0\]
*666 control_r\[1\]
*667 control_r\[2\]
*668 control_r\[3\]
*669 control_r\[4\]
*670 control_r\[5\]
*671 control_r\[6\]
*672 int_mask_r\[0\]
*673 int_mask_r\[1\]
*674 int_mask_r\[2\]
*675 int_mask_r\[3\]
*676 int_mask_r\[4\]
*677 int_mask_r\[5\]
*678 int_mask_r\[6\]
*679 int_mask_r\[7\]
*680 net1
*681 net10
*682 net11
*683 net12
*684 net13
*685 net14
*686 net15
*687 net16
*688 net17
*689 net18
*690 net19
*691 net2
*692 net20
*693 net21
*694 net22
*695 net23
*696 net24
*697 net25
*698 net26
*699 net27
*700 net28
*701 net29
*702 net3
*703 net30
*704 net31
*705 net32
*706 net4
*707 net5
*708 net6
*709 net7
*710 net8
*711 net9
*712 rx_data_r\[0\]
*713 rx_data_r\[1\]
*714 rx_data_r\[2\]
*715 rx_data_r\[3\]
*716 rx_data_r\[4\]
*717 rx_data_r\[5\]
*718 rx_data_r\[6\]
*719 rxm\._GEN_28\[0\]
*720 rxm\._GEN_28\[1\]
*721 rxm\._GEN_28\[2\]
*722 rxm\._GEN_28\[3\]
*723 rxm\._GEN_28\[4\]
*724 rxm\._GEN_28\[5\]
*725 rxm\._GEN_28\[6\]
*726 rxm\._GEN_28\[7\]
*727 rxm\._GEN_28\[8\]
*728 rxm\._T_29\[0\]
*729 rxm\._T_29\[1\]
*730 rxm\._T_29\[2\]
*731 rxm\._T_29\[3\]
*732 rxm\._T_29\[4\]
*733 rxm\._T_29\[5\]
*734 rxm\._T_29\[6\]
*735 rxm\._T_49\[0\]
*736 rxm\._T_49\[1\]
*737 rxm\._T_49\[2\]
*738 rxm\._T_49\[3\]
*739 rxm\._T_49\[4\]
*740 rxm\._T_49\[5\]
*741 rxm\._T_49\[6\]
*742 rxm\.data_count\[0\]
*743 rxm\.data_count\[1\]
*744 rxm\.data_count\[2\]
*745 rxm\.data_count\[3\]
*746 rxm\.io_div\[0\]
*747 rxm\.io_out_bits\[0\]
*748 rxm\.io_out_valid
*749 rxm\.prescaler\[0\]
*750 rxm\.prescaler\[1\]
*751 rxm\.prescaler\[2\]
*752 rxm\.prescaler\[3\]
*753 rxm\.prescaler\[4\]
*754 rxm\.prescaler\[5\]
*755 rxm\.prescaler\[6\]
*756 rxm\.prescaler\[7\]
*757 rxm\.prescaler\[8\]
*758 rxm\.prescaler\[9\]
*759 rxm\.state
*760 txm\._T_37\[1\]
*761 txm\._T_37\[2\]
*762 txm\._T_37\[3\]
*763 txm\._T_37\[4\]
*764 txm\._T_37\[5\]
*765 txm\._T_37\[6\]
*766 txm\._T_37\[7\]
*767 txm\._T_37\[8\]
*768 txm\._T_66\[0\]
*769 txm\._T_66\[1\]
*770 txm\._T_66\[2\]
*771 txm\._T_66\[3\]
*772 txm\._T_66\[4\]
*773 txm\._T_66\[5\]
*774 txm\._T_66\[6\]
*775 txm\._T_66\[7\]
*776 txm\._T_68
*777 txm\.counter\[0\]
*778 txm\.counter\[1\]
*779 txm\.counter\[2\]
*780 txm\.counter\[3\]
*781 txm\.io_in_valid
*782 txm\.prescaler\[0\]
*783 txm\.prescaler\[1\]
*784 txm\.prescaler\[2\]
*785 txm\.prescaler\[3\]
*786 txm\.prescaler\[4\]
*787 txm\.prescaler\[5\]
*788 txm\.prescaler\[6\]
*789 txm\.prescaler\[7\]
*790 txm\.prescaler\[8\]
*791 txm\.prescaler\[9\]
*792 ANTENNA__0583__B
*793 ANTENNA__0583__C
*794 ANTENNA__0584__A
*795 ANTENNA__0585__A
*796 ANTENNA__0585__B
*797 ANTENNA__0585__C_N
*798 ANTENNA__0586__A
*799 ANTENNA__0587__B
*800 ANTENNA__0593__B1
*801 ANTENNA__0679__B1
*802 ANTENNA__0693__A
*803 ANTENNA__0696__A
*804 ANTENNA__0699__A
*805 ANTENNA__0702__A
*806 ANTENNA__0722__C1
*807 ANTENNA__0730__C1
*808 ANTENNA__0734__A
*809 ANTENNA__0740__C1
*810 ANTENNA__0751__C1
*811 ANTENNA__0756__B1
*812 ANTENNA__0758__A_N
*813 ANTENNA__0760__A
*814 ANTENNA__0761__A
*815 ANTENNA__0761__B
*816 ANTENNA__0761__C
*817 ANTENNA__0766__A
*818 ANTENNA__0767__A
*819 ANTENNA__0769__A_N
*820 ANTENNA__0769__B
*821 ANTENNA__0769__C
*822 ANTENNA__0784__C1
*823 ANTENNA__0791__C1
*824 ANTENNA__0796__C1
*825 ANTENNA__0801__C1
*826 ANTENNA__0838__A0
*827 ANTENNA__0842__A0
*828 ANTENNA__0845__A0
*829 ANTENNA__0848__A0
*830 ANTENNA__0851__A0
*831 ANTENNA__0854__A0
*832 ANTENNA__0865__A
*833 ANTENNA__0870__B1
*834 ANTENNA__0879__B1
*835 ANTENNA__0888__A
*836 ANTENNA__0896__B1
*837 ANTENNA__0900__A
*838 ANTENNA__0911__A1
*839 ANTENNA__0913__A1
*840 ANTENNA__0915__A1
*841 ANTENNA__0917__A1
*842 ANTENNA__0919__A1
*843 ANTENNA__0921__A1
*844 ANTENNA__0924__A1
*845 ANTENNA__0925__A_N
*846 ANTENNA__0925__B
*847 ANTENNA__0925__C
*848 ANTENNA__0925__D
*849 ANTENNA__0926__A
*850 ANTENNA__0929__A
*851 ANTENNA__0933__A0
*852 ANTENNA__0936__A0
*853 ANTENNA__0939__A0
*854 ANTENNA__0942__A0
*855 ANTENNA__0945__A0
*856 ANTENNA__0949__A0
*857 ANTENNA__0952__A0
*858 ANTENNA__0955__A0
*859 ANTENNA__0958__A
*860 ANTENNA__0959__B
*861 ANTENNA__0967__A1
*862 ANTENNA__0969__A1
*863 ANTENNA__0972__A1
*864 ANTENNA__0974__A1
*865 ANTENNA__0976__A1
*866 ANTENNA__0978__A1
*867 ANTENNA__0980__A1
*868 ANTENNA__1008__A
*869 ANTENNA__1014__A0
*870 ANTENNA__1017__A0
*871 ANTENNA__1020__A0
*872 ANTENNA__1023__A0
*873 ANTENNA__1026__A0
*874 ANTENNA__1029__A0
*875 ANTENNA__1032__A0
*876 ANTENNA__1046__B1
*877 ANTENNA__1076__D
*878 ANTENNA__1079__D
*879 ANTENNA_clkbuf_0_clock_A
*880 ANTENNA_clkbuf_3_0_0_clock_A
*881 ANTENNA_clkbuf_3_1_0_clock_A
*882 ANTENNA_clkbuf_3_2_0_clock_A
*883 ANTENNA_clkbuf_3_3_0_clock_A
*884 ANTENNA_clkbuf_3_4_0_clock_A
*885 ANTENNA_clkbuf_3_5_0_clock_A
*886 ANTENNA_clkbuf_3_6_0_clock_A
*887 ANTENNA_clkbuf_3_7_0_clock_A
*888 ANTENNA_input10_A
*889 ANTENNA_input11_A
*890 ANTENNA_input12_A
*891 ANTENNA_input13_A
*892 ANTENNA_input14_A
*893 ANTENNA_input15_A
*894 ANTENNA_input16_A
*895 ANTENNA_input17_A
*896 ANTENNA_input18_A
*897 ANTENNA_input19_A
*898 ANTENNA_input1_A
*899 ANTENNA_input20_A
*900 ANTENNA_input21_A
*901 ANTENNA_input2_A
*902 ANTENNA_input3_A
*903 ANTENNA_input4_A
*904 ANTENNA_input5_A
*905 ANTENNA_input6_A
*906 ANTENNA_input7_A
*907 ANTENNA_input8_A
*908 ANTENNA_input9_A
*909 FILLER_0_109
*910 FILLER_0_113
*911 FILLER_0_121
*912 FILLER_0_125
*913 FILLER_0_137
*914 FILLER_0_141
*915 FILLER_0_15
*916 FILLER_0_153
*917 FILLER_0_161
*918 FILLER_0_166
*919 FILLER_0_169
*920 FILLER_0_177
*921 FILLER_0_189
*922 FILLER_0_194
*923 FILLER_0_207
*924 FILLER_0_216
*925 FILLER_0_220
*926 FILLER_0_225
*927 FILLER_0_231
*928 FILLER_0_243
*929 FILLER_0_251
*930 FILLER_0_253
*931 FILLER_0_261
*932 FILLER_0_266
*933 FILLER_0_27
*934 FILLER_0_278
*935 FILLER_0_284
*936 FILLER_0_288
*937 FILLER_0_294
*938 FILLER_0_298
*939 FILLER_0_3
*940 FILLER_0_32
*941 FILLER_0_40
*942 FILLER_0_43
*943 FILLER_0_51
*944 FILLER_0_55
*945 FILLER_0_57
*946 FILLER_0_62
*947 FILLER_0_74
*948 FILLER_0_82
*949 FILLER_0_85
*950 FILLER_0_9
*951 FILLER_0_97
*952 FILLER_10_108
*953 FILLER_10_112
*954 FILLER_10_119
*955 FILLER_10_125
*956 FILLER_10_135
*957 FILLER_10_139
*958 FILLER_10_146
*959 FILLER_10_158
*960 FILLER_10_162
*961 FILLER_10_169
*962 FILLER_10_17
*963 FILLER_10_178
*964 FILLER_10_184
*965 FILLER_10_188
*966 FILLER_10_194
*967 FILLER_10_204
*968 FILLER_10_212
*969 FILLER_10_221
*970 FILLER_10_239
*971 FILLER_10_243
*972 FILLER_10_247
*973 FILLER_10_25
*974 FILLER_10_251
*975 FILLER_10_256
*976 FILLER_10_262
*977 FILLER_10_272
*978 FILLER_10_284
*979 FILLER_10_29
*980 FILLER_10_292
*981 FILLER_10_297
*982 FILLER_10_41
*983 FILLER_10_49
*984 FILLER_10_5
*985 FILLER_10_55
*986 FILLER_10_65
*987 FILLER_10_69
*988 FILLER_10_73
*989 FILLER_10_78
*990 FILLER_10_85
*991 FILLER_10_93
*992 FILLER_10_99
*993 FILLER_11_103
*994 FILLER_11_11
*995 FILLER_11_110
*996 FILLER_11_117
*997 FILLER_11_123
*998 FILLER_11_135
*999 FILLER_11_148
*1000 FILLER_11_166
*1001 FILLER_11_174
*1002 FILLER_11_181
*1003 FILLER_11_186
*1004 FILLER_11_190
*1005 FILLER_11_193
*1006 FILLER_11_199
*1007 FILLER_11_218
*1008 FILLER_11_225
*1009 FILLER_11_23
*1010 FILLER_11_231
*1011 FILLER_11_237
*1012 FILLER_11_259
*1013 FILLER_11_278
*1014 FILLER_11_281
*1015 FILLER_11_293
*1016 FILLER_11_3
*1017 FILLER_11_35
*1018 FILLER_11_54
*1019 FILLER_11_57
*1020 FILLER_11_61
*1021 FILLER_11_66
*1022 FILLER_11_70
*1023 FILLER_11_77
*1024 FILLER_11_95
*1025 FILLER_12_100
*1026 FILLER_12_111
*1027 FILLER_12_118
*1028 FILLER_12_13
*1029 FILLER_12_136
*1030 FILLER_12_141
*1031 FILLER_12_149
*1032 FILLER_12_155
*1033 FILLER_12_167
*1034 FILLER_12_176
*1035 FILLER_12_194
*1036 FILLER_12_197
*1037 FILLER_12_200
*1038 FILLER_12_207
*1039 FILLER_12_212
*1040 FILLER_12_220
*1041 FILLER_12_229
*1042 FILLER_12_241
*1043 FILLER_12_249
*1044 FILLER_12_25
*1045 FILLER_12_253
*1046 FILLER_12_257
*1047 FILLER_12_267
*1048 FILLER_12_272
*1049 FILLER_12_284
*1050 FILLER_12_29
*1051 FILLER_12_292
*1052 FILLER_12_297
*1053 FILLER_12_3
*1054 FILLER_12_41
*1055 FILLER_12_69
*1056 FILLER_12_77
*1057 FILLER_12_83
*1058 FILLER_12_85
*1059 FILLER_12_9
*1060 FILLER_12_93
*1061 FILLER_13_107
*1062 FILLER_13_111
*1063 FILLER_13_113
*1064 FILLER_13_122
*1065 FILLER_13_128
*1066 FILLER_13_143
*1067 FILLER_13_149
*1068 FILLER_13_15
*1069 FILLER_13_161
*1070 FILLER_13_166
*1071 FILLER_13_172
*1072 FILLER_13_180
*1073 FILLER_13_200
*1074 FILLER_13_213
*1075 FILLER_13_217
*1076 FILLER_13_222
*1077 FILLER_13_241
*1078 FILLER_13_254
*1079 FILLER_13_265
*1080 FILLER_13_27
*1081 FILLER_13_276
*1082 FILLER_13_281
*1083 FILLER_13_293
*1084 FILLER_13_3
*1085 FILLER_13_39
*1086 FILLER_13_45
*1087 FILLER_13_52
*1088 FILLER_13_57
*1089 FILLER_13_63
*1090 FILLER_13_70
*1091 FILLER_13_76
*1092 FILLER_13_83
*1093 FILLER_13_89
*1094 FILLER_14_104
*1095 FILLER_14_108
*1096 FILLER_14_119
*1097 FILLER_14_125
*1098 FILLER_14_132
*1099 FILLER_14_137
*1100 FILLER_14_144
*1101 FILLER_14_15
*1102 FILLER_14_151
*1103 FILLER_14_160
*1104 FILLER_14_175
*1105 FILLER_14_183
*1106 FILLER_14_189
*1107 FILLER_14_193
*1108 FILLER_14_197
*1109 FILLER_14_201
*1110 FILLER_14_219
*1111 FILLER_14_232
*1112 FILLER_14_250
*1113 FILLER_14_262
*1114 FILLER_14_27
*1115 FILLER_14_282
*1116 FILLER_14_287
*1117 FILLER_14_29
*1118 FILLER_14_3
*1119 FILLER_14_41
*1120 FILLER_14_60
*1121 FILLER_14_68
*1122 FILLER_14_80
*1123 FILLER_14_92
*1124 FILLER_15_103
*1125 FILLER_15_108
*1126 FILLER_15_129
*1127 FILLER_15_141
*1128 FILLER_15_148
*1129 FILLER_15_158
*1130 FILLER_15_166
*1131 FILLER_15_169
*1132 FILLER_15_175
*1133 FILLER_15_18
*1134 FILLER_15_194
*1135 FILLER_15_200
*1136 FILLER_15_207
*1137 FILLER_15_214
*1138 FILLER_15_218
*1139 FILLER_15_222
*1140 FILLER_15_225
*1141 FILLER_15_236
*1142 FILLER_15_241
*1143 FILLER_15_259
*1144 FILLER_15_263
*1145 FILLER_15_273
*1146 FILLER_15_278
*1147 FILLER_15_281
*1148 FILLER_15_297
*1149 FILLER_15_30
*1150 FILLER_15_42
*1151 FILLER_15_46
*1152 FILLER_15_50
*1153 FILLER_15_57
*1154 FILLER_15_6
*1155 FILLER_15_63
*1156 FILLER_15_70
*1157 FILLER_15_75
*1158 FILLER_15_79
*1159 FILLER_15_85
*1160 FILLER_16_111
*1161 FILLER_16_117
*1162 FILLER_16_128
*1163 FILLER_16_137
*1164 FILLER_16_145
*1165 FILLER_16_15
*1166 FILLER_16_154
*1167 FILLER_16_158
*1168 FILLER_16_161
*1169 FILLER_16_165
*1170 FILLER_16_171
*1171 FILLER_16_175
*1172 FILLER_16_193
*1173 FILLER_16_199
*1174 FILLER_16_217
*1175 FILLER_16_236
*1176 FILLER_16_248
*1177 FILLER_16_255
*1178 FILLER_16_266
*1179 FILLER_16_27
*1180 FILLER_16_284
*1181 FILLER_16_29
*1182 FILLER_16_296
*1183 FILLER_16_3
*1184 FILLER_16_53
*1185 FILLER_16_61
*1186 FILLER_16_68
*1187 FILLER_16_73
*1188 FILLER_16_82
*1189 FILLER_16_89
*1190 FILLER_16_97
*1191 FILLER_17_107
*1192 FILLER_17_111
*1193 FILLER_17_115
*1194 FILLER_17_122
*1195 FILLER_17_127
*1196 FILLER_17_131
*1197 FILLER_17_139
*1198 FILLER_17_15
*1199 FILLER_17_157
*1200 FILLER_17_163
*1201 FILLER_17_167
*1202 FILLER_17_185
*1203 FILLER_17_189
*1204 FILLER_17_195
*1205 FILLER_17_201
*1206 FILLER_17_205
*1207 FILLER_17_215
*1208 FILLER_17_220
*1209 FILLER_17_225
*1210 FILLER_17_231
*1211 FILLER_17_236
*1212 FILLER_17_241
*1213 FILLER_17_247
*1214 FILLER_17_259
*1215 FILLER_17_265
*1216 FILLER_17_27
*1217 FILLER_17_275
*1218 FILLER_17_279
*1219 FILLER_17_281
*1220 FILLER_17_291
*1221 FILLER_17_297
*1222 FILLER_17_3
*1223 FILLER_17_39
*1224 FILLER_17_43
*1225 FILLER_17_47
*1226 FILLER_17_53
*1227 FILLER_17_57
*1228 FILLER_17_67
*1229 FILLER_17_71
*1230 FILLER_17_82
*1231 FILLER_17_92
*1232 FILLER_17_97
*1233 FILLER_18_101
*1234 FILLER_18_119
*1235 FILLER_18_138
*1236 FILLER_18_141
*1237 FILLER_18_15
*1238 FILLER_18_150
*1239 FILLER_18_160
*1240 FILLER_18_169
*1241 FILLER_18_173
*1242 FILLER_18_182
*1243 FILLER_18_187
*1244 FILLER_18_191
*1245 FILLER_18_195
*1246 FILLER_18_199
*1247 FILLER_18_205
*1248 FILLER_18_209
*1249 FILLER_18_219
*1250 FILLER_18_227
*1251 FILLER_18_238
*1252 FILLER_18_245
*1253 FILLER_18_250
*1254 FILLER_18_258
*1255 FILLER_18_262
*1256 FILLER_18_27
*1257 FILLER_18_280
*1258 FILLER_18_29
*1259 FILLER_18_292
*1260 FILLER_18_298
*1261 FILLER_18_3
*1262 FILLER_18_41
*1263 FILLER_18_47
*1264 FILLER_18_56
*1265 FILLER_18_64
*1266 FILLER_18_72
*1267 FILLER_18_76
*1268 FILLER_18_82
*1269 FILLER_18_90
*1270 FILLER_19_103
*1271 FILLER_19_109
*1272 FILLER_19_120
*1273 FILLER_19_128
*1274 FILLER_19_133
*1275 FILLER_19_140
*1276 FILLER_19_147
*1277 FILLER_19_15
*1278 FILLER_19_154
*1279 FILLER_19_159
*1280 FILLER_19_166
*1281 FILLER_19_169
*1282 FILLER_19_175
*1283 FILLER_19_182
*1284 FILLER_19_189
*1285 FILLER_19_197
*1286 FILLER_19_204
*1287 FILLER_19_222
*1288 FILLER_19_229
*1289 FILLER_19_247
*1290 FILLER_19_251
*1291 FILLER_19_262
*1292 FILLER_19_267
*1293 FILLER_19_27
*1294 FILLER_19_272
*1295 FILLER_19_276
*1296 FILLER_19_281
*1297 FILLER_19_293
*1298 FILLER_19_297
*1299 FILLER_19_3
*1300 FILLER_19_35
*1301 FILLER_19_52
*1302 FILLER_19_57
*1303 FILLER_19_61
*1304 FILLER_19_67
*1305 FILLER_19_72
*1306 FILLER_19_81
*1307 FILLER_19_88
*1308 FILLER_19_92
*1309 FILLER_1_102
*1310 FILLER_1_110
*1311 FILLER_1_113
*1312 FILLER_1_131
*1313 FILLER_1_149
*1314 FILLER_1_161
*1315 FILLER_1_167
*1316 FILLER_1_169
*1317 FILLER_1_17
*1318 FILLER_1_181
*1319 FILLER_1_193
*1320 FILLER_1_210
*1321 FILLER_1_222
*1322 FILLER_1_225
*1323 FILLER_1_237
*1324 FILLER_1_249
*1325 FILLER_1_261
*1326 FILLER_1_273
*1327 FILLER_1_279
*1328 FILLER_1_281
*1329 FILLER_1_29
*1330 FILLER_1_297
*1331 FILLER_1_41
*1332 FILLER_1_5
*1333 FILLER_1_53
*1334 FILLER_1_57
*1335 FILLER_1_74
*1336 FILLER_1_78
*1337 FILLER_1_90
*1338 FILLER_20_100
*1339 FILLER_20_104
*1340 FILLER_20_112
*1341 FILLER_20_120
*1342 FILLER_20_131
*1343 FILLER_20_136
*1344 FILLER_20_144
*1345 FILLER_20_148
*1346 FILLER_20_15
*1347 FILLER_20_152
*1348 FILLER_20_157
*1349 FILLER_20_162
*1350 FILLER_20_170
*1351 FILLER_20_174
*1352 FILLER_20_183
*1353 FILLER_20_194
*1354 FILLER_20_207
*1355 FILLER_20_214
*1356 FILLER_20_220
*1357 FILLER_20_225
*1358 FILLER_20_238
*1359 FILLER_20_242
*1360 FILLER_20_248
*1361 FILLER_20_253
*1362 FILLER_20_263
*1363 FILLER_20_27
*1364 FILLER_20_281
*1365 FILLER_20_29
*1366 FILLER_20_293
*1367 FILLER_20_3
*1368 FILLER_20_41
*1369 FILLER_20_53
*1370 FILLER_20_64
*1371 FILLER_20_71
*1372 FILLER_20_82
*1373 FILLER_20_93
*1374 FILLER_21_107
*1375 FILLER_21_111
*1376 FILLER_21_113
*1377 FILLER_21_118
*1378 FILLER_21_128
*1379 FILLER_21_132
*1380 FILLER_21_142
*1381 FILLER_21_160
*1382 FILLER_21_166
*1383 FILLER_21_179
*1384 FILLER_21_18
*1385 FILLER_21_186
*1386 FILLER_21_191
*1387 FILLER_21_197
*1388 FILLER_21_202
*1389 FILLER_21_208
*1390 FILLER_21_213
*1391 FILLER_21_219
*1392 FILLER_21_223
*1393 FILLER_21_241
*1394 FILLER_21_254
*1395 FILLER_21_262
*1396 FILLER_21_269
*1397 FILLER_21_273
*1398 FILLER_21_277
*1399 FILLER_21_281
*1400 FILLER_21_291
*1401 FILLER_21_297
*1402 FILLER_21_30
*1403 FILLER_21_54
*1404 FILLER_21_57
*1405 FILLER_21_6
*1406 FILLER_21_61
*1407 FILLER_21_66
*1408 FILLER_21_71
*1409 FILLER_21_83
*1410 FILLER_21_89
*1411 FILLER_22_104
*1412 FILLER_22_110
*1413 FILLER_22_127
*1414 FILLER_22_133
*1415 FILLER_22_138
*1416 FILLER_22_149
*1417 FILLER_22_15
*1418 FILLER_22_157
*1419 FILLER_22_166
*1420 FILLER_22_178
*1421 FILLER_22_188
*1422 FILLER_22_193
*1423 FILLER_22_203
*1424 FILLER_22_210
*1425 FILLER_22_216
*1426 FILLER_22_222
*1427 FILLER_22_230
*1428 FILLER_22_235
*1429 FILLER_22_240
*1430 FILLER_22_245
*1431 FILLER_22_250
*1432 FILLER_22_269
*1433 FILLER_22_27
*1434 FILLER_22_287
*1435 FILLER_22_29
*1436 FILLER_22_3
*1437 FILLER_22_41
*1438 FILLER_22_53
*1439 FILLER_22_75
*1440 FILLER_22_83
*1441 FILLER_22_88
*1442 FILLER_22_92
*1443 FILLER_23_107
*1444 FILLER_23_111
*1445 FILLER_23_113
*1446 FILLER_23_119
*1447 FILLER_23_125
*1448 FILLER_23_129
*1449 FILLER_23_133
*1450 FILLER_23_138
*1451 FILLER_23_142
*1452 FILLER_23_145
*1453 FILLER_23_149
*1454 FILLER_23_15
*1455 FILLER_23_159
*1456 FILLER_23_166
*1457 FILLER_23_179
*1458 FILLER_23_187
*1459 FILLER_23_191
*1460 FILLER_23_202
*1461 FILLER_23_209
*1462 FILLER_23_216
*1463 FILLER_23_222
*1464 FILLER_23_228
*1465 FILLER_23_240
*1466 FILLER_23_247
*1467 FILLER_23_251
*1468 FILLER_23_255
*1469 FILLER_23_259
*1470 FILLER_23_263
*1471 FILLER_23_27
*1472 FILLER_23_275
*1473 FILLER_23_279
*1474 FILLER_23_281
*1475 FILLER_23_293
*1476 FILLER_23_3
*1477 FILLER_23_39
*1478 FILLER_23_51
*1479 FILLER_23_55
*1480 FILLER_23_57
*1481 FILLER_23_69
*1482 FILLER_23_89
*1483 FILLER_24_106
*1484 FILLER_24_113
*1485 FILLER_24_131
*1486 FILLER_24_138
*1487 FILLER_24_15
*1488 FILLER_24_158
*1489 FILLER_24_180
*1490 FILLER_24_192
*1491 FILLER_24_207
*1492 FILLER_24_215
*1493 FILLER_24_222
*1494 FILLER_24_229
*1495 FILLER_24_236
*1496 FILLER_24_246
*1497 FILLER_24_250
*1498 FILLER_24_269
*1499 FILLER_24_27
*1500 FILLER_24_281
*1501 FILLER_24_29
*1502 FILLER_24_293
*1503 FILLER_24_297
*1504 FILLER_24_3
*1505 FILLER_24_41
*1506 FILLER_24_47
*1507 FILLER_24_65
*1508 FILLER_24_76
*1509 FILLER_24_81
*1510 FILLER_24_85
*1511 FILLER_24_94
*1512 FILLER_25_105
*1513 FILLER_25_110
*1514 FILLER_25_122
*1515 FILLER_25_130
*1516 FILLER_25_137
*1517 FILLER_25_147
*1518 FILLER_25_15
*1519 FILLER_25_156
*1520 FILLER_25_166
*1521 FILLER_25_171
*1522 FILLER_25_183
*1523 FILLER_25_189
*1524 FILLER_25_201
*1525 FILLER_25_209
*1526 FILLER_25_220
*1527 FILLER_25_233
*1528 FILLER_25_237
*1529 FILLER_25_246
*1530 FILLER_25_264
*1531 FILLER_25_268
*1532 FILLER_25_27
*1533 FILLER_25_281
*1534 FILLER_25_293
*1535 FILLER_25_3
*1536 FILLER_25_39
*1537 FILLER_25_47
*1538 FILLER_25_54
*1539 FILLER_25_57
*1540 FILLER_25_65
*1541 FILLER_25_76
*1542 FILLER_25_87
*1543 FILLER_26_106
*1544 FILLER_26_112
*1545 FILLER_26_118
*1546 FILLER_26_122
*1547 FILLER_26_130
*1548 FILLER_26_138
*1549 FILLER_26_148
*1550 FILLER_26_156
*1551 FILLER_26_165
*1552 FILLER_26_169
*1553 FILLER_26_177
*1554 FILLER_26_188
*1555 FILLER_26_19
*1556 FILLER_26_194
*1557 FILLER_26_204
*1558 FILLER_26_208
*1559 FILLER_26_214
*1560 FILLER_26_221
*1561 FILLER_26_232
*1562 FILLER_26_238
*1563 FILLER_26_248
*1564 FILLER_26_258
*1565 FILLER_26_262
*1566 FILLER_26_27
*1567 FILLER_26_274
*1568 FILLER_26_286
*1569 FILLER_26_29
*1570 FILLER_26_297
*1571 FILLER_26_41
*1572 FILLER_26_53
*1573 FILLER_26_65
*1574 FILLER_26_7
*1575 FILLER_26_82
*1576 FILLER_26_85
*1577 FILLER_26_97
*1578 FILLER_27_109
*1579 FILLER_27_129
*1580 FILLER_27_135
*1581 FILLER_27_146
*1582 FILLER_27_153
*1583 FILLER_27_165
*1584 FILLER_27_169
*1585 FILLER_27_173
*1586 FILLER_27_18
*1587 FILLER_27_184
*1588 FILLER_27_192
*1589 FILLER_27_196
*1590 FILLER_27_200
*1591 FILLER_27_217
*1592 FILLER_27_222
*1593 FILLER_27_230
*1594 FILLER_27_244
*1595 FILLER_27_264
*1596 FILLER_27_276
*1597 FILLER_27_281
*1598 FILLER_27_291
*1599 FILLER_27_297
*1600 FILLER_27_30
*1601 FILLER_27_42
*1602 FILLER_27_50
*1603 FILLER_27_54
*1604 FILLER_27_6
*1605 FILLER_27_62
*1606 FILLER_27_73
*1607 FILLER_27_79
*1608 FILLER_27_83
*1609 FILLER_27_90
*1610 FILLER_27_97
*1611 FILLER_28_101
*1612 FILLER_28_105
*1613 FILLER_28_110
*1614 FILLER_28_114
*1615 FILLER_28_118
*1616 FILLER_28_123
*1617 FILLER_28_130
*1618 FILLER_28_137
*1619 FILLER_28_149
*1620 FILLER_28_15
*1621 FILLER_28_153
*1622 FILLER_28_162
*1623 FILLER_28_166
*1624 FILLER_28_184
*1625 FILLER_28_193
*1626 FILLER_28_206
*1627 FILLER_28_216
*1628 FILLER_28_220
*1629 FILLER_28_228
*1630 FILLER_28_234
*1631 FILLER_28_242
*1632 FILLER_28_249
*1633 FILLER_28_255
*1634 FILLER_28_267
*1635 FILLER_28_27
*1636 FILLER_28_279
*1637 FILLER_28_29
*1638 FILLER_28_291
*1639 FILLER_28_3
*1640 FILLER_28_41
*1641 FILLER_28_69
*1642 FILLER_28_73
*1643 FILLER_28_78
*1644 FILLER_29_100
*1645 FILLER_29_106
*1646 FILLER_29_129
*1647 FILLER_29_136
*1648 FILLER_29_145
*1649 FILLER_29_15
*1650 FILLER_29_154
*1651 FILLER_29_166
*1652 FILLER_29_179
*1653 FILLER_29_183
*1654 FILLER_29_202
*1655 FILLER_29_206
*1656 FILLER_29_213
*1657 FILLER_29_220
*1658 FILLER_29_233
*1659 FILLER_29_244
*1660 FILLER_29_262
*1661 FILLER_29_27
*1662 FILLER_29_274
*1663 FILLER_29_281
*1664 FILLER_29_293
*1665 FILLER_29_3
*1666 FILLER_29_35
*1667 FILLER_29_54
*1668 FILLER_29_57
*1669 FILLER_29_66
*1670 FILLER_29_78
*1671 FILLER_29_84
*1672 FILLER_29_96
*1673 FILLER_2_105
*1674 FILLER_2_110
*1675 FILLER_2_117
*1676 FILLER_2_123
*1677 FILLER_2_127
*1678 FILLER_2_132
*1679 FILLER_2_138
*1680 FILLER_2_141
*1681 FILLER_2_15
*1682 FILLER_2_153
*1683 FILLER_2_161
*1684 FILLER_2_180
*1685 FILLER_2_188
*1686 FILLER_2_203
*1687 FILLER_2_210
*1688 FILLER_2_229
*1689 FILLER_2_241
*1690 FILLER_2_249
*1691 FILLER_2_269
*1692 FILLER_2_27
*1693 FILLER_2_281
*1694 FILLER_2_29
*1695 FILLER_2_293
*1696 FILLER_2_3
*1697 FILLER_2_41
*1698 FILLER_2_53
*1699 FILLER_2_65
*1700 FILLER_2_77
*1701 FILLER_2_83
*1702 FILLER_2_85
*1703 FILLER_2_97
*1704 FILLER_30_100
*1705 FILLER_30_110
*1706 FILLER_30_115
*1707 FILLER_30_126
*1708 FILLER_30_133
*1709 FILLER_30_139
*1710 FILLER_30_149
*1711 FILLER_30_158
*1712 FILLER_30_167
*1713 FILLER_30_173
*1714 FILLER_30_18
*1715 FILLER_30_183
*1716 FILLER_30_188
*1717 FILLER_30_194
*1718 FILLER_30_197
*1719 FILLER_30_204
*1720 FILLER_30_214
*1721 FILLER_30_218
*1722 FILLER_30_227
*1723 FILLER_30_234
*1724 FILLER_30_238
*1725 FILLER_30_248
*1726 FILLER_30_26
*1727 FILLER_30_269
*1728 FILLER_30_281
*1729 FILLER_30_29
*1730 FILLER_30_293
*1731 FILLER_30_41
*1732 FILLER_30_53
*1733 FILLER_30_59
*1734 FILLER_30_6
*1735 FILLER_30_76
*1736 FILLER_30_94
*1737 FILLER_31_100
*1738 FILLER_31_110
*1739 FILLER_31_116
*1740 FILLER_31_130
*1741 FILLER_31_137
*1742 FILLER_31_145
*1743 FILLER_31_150
*1744 FILLER_31_158
*1745 FILLER_31_166
*1746 FILLER_31_17
*1747 FILLER_31_175
*1748 FILLER_31_194
*1749 FILLER_31_213
*1750 FILLER_31_222
*1751 FILLER_31_225
*1752 FILLER_31_234
*1753 FILLER_31_241
*1754 FILLER_31_248
*1755 FILLER_31_254
*1756 FILLER_31_258
*1757 FILLER_31_270
*1758 FILLER_31_278
*1759 FILLER_31_281
*1760 FILLER_31_29
*1761 FILLER_31_293
*1762 FILLER_31_41
*1763 FILLER_31_5
*1764 FILLER_31_53
*1765 FILLER_31_57
*1766 FILLER_31_61
*1767 FILLER_31_65
*1768 FILLER_31_73
*1769 FILLER_31_81
*1770 FILLER_31_87
*1771 FILLER_31_92
*1772 FILLER_31_96
*1773 FILLER_32_102
*1774 FILLER_32_106
*1775 FILLER_32_123
*1776 FILLER_32_127
*1777 FILLER_32_13
*1778 FILLER_32_138
*1779 FILLER_32_157
*1780 FILLER_32_165
*1781 FILLER_32_179
*1782 FILLER_32_191
*1783 FILLER_32_195
*1784 FILLER_32_199
*1785 FILLER_32_204
*1786 FILLER_32_208
*1787 FILLER_32_212
*1788 FILLER_32_216
*1789 FILLER_32_219
*1790 FILLER_32_225
*1791 FILLER_32_229
*1792 FILLER_32_247
*1793 FILLER_32_25
*1794 FILLER_32_251
*1795 FILLER_32_253
*1796 FILLER_32_265
*1797 FILLER_32_277
*1798 FILLER_32_289
*1799 FILLER_32_29
*1800 FILLER_32_297
*1801 FILLER_32_41
*1802 FILLER_32_53
*1803 FILLER_32_64
*1804 FILLER_32_71
*1805 FILLER_32_82
*1806 FILLER_32_85
*1807 FILLER_33_103
*1808 FILLER_33_110
*1809 FILLER_33_113
*1810 FILLER_33_125
*1811 FILLER_33_135
*1812 FILLER_33_140
*1813 FILLER_33_144
*1814 FILLER_33_15
*1815 FILLER_33_155
*1816 FILLER_33_161
*1817 FILLER_33_167
*1818 FILLER_33_185
*1819 FILLER_33_197
*1820 FILLER_33_202
*1821 FILLER_33_213
*1822 FILLER_33_218
*1823 FILLER_33_241
*1824 FILLER_33_253
*1825 FILLER_33_265
*1826 FILLER_33_27
*1827 FILLER_33_277
*1828 FILLER_33_281
*1829 FILLER_33_293
*1830 FILLER_33_3
*1831 FILLER_33_39
*1832 FILLER_33_51
*1833 FILLER_33_55
*1834 FILLER_33_57
*1835 FILLER_33_61
*1836 FILLER_33_78
*1837 FILLER_33_82
*1838 FILLER_33_87
*1839 FILLER_33_94
*1840 FILLER_34_103
*1841 FILLER_34_110
*1842 FILLER_34_115
*1843 FILLER_34_133
*1844 FILLER_34_139
*1845 FILLER_34_143
*1846 FILLER_34_15
*1847 FILLER_34_155
*1848 FILLER_34_161
*1849 FILLER_34_172
*1850 FILLER_34_179
*1851 FILLER_34_183
*1852 FILLER_34_194
*1853 FILLER_34_197
*1854 FILLER_34_203
*1855 FILLER_34_214
*1856 FILLER_34_232
*1857 FILLER_34_250
*1858 FILLER_34_253
*1859 FILLER_34_265
*1860 FILLER_34_27
*1861 FILLER_34_277
*1862 FILLER_34_289
*1863 FILLER_34_29
*1864 FILLER_34_297
*1865 FILLER_34_3
*1866 FILLER_34_41
*1867 FILLER_34_53
*1868 FILLER_34_61
*1869 FILLER_34_64
*1870 FILLER_34_71
*1871 FILLER_34_82
*1872 FILLER_34_85
*1873 FILLER_34_89
*1874 FILLER_34_99
*1875 FILLER_35_105
*1876 FILLER_35_110
*1877 FILLER_35_122
*1878 FILLER_35_129
*1879 FILLER_35_133
*1880 FILLER_35_144
*1881 FILLER_35_157
*1882 FILLER_35_164
*1883 FILLER_35_172
*1884 FILLER_35_176
*1885 FILLER_35_18
*1886 FILLER_35_194
*1887 FILLER_35_201
*1888 FILLER_35_210
*1889 FILLER_35_214
*1890 FILLER_35_218
*1891 FILLER_35_222
*1892 FILLER_35_225
*1893 FILLER_35_237
*1894 FILLER_35_249
*1895 FILLER_35_261
*1896 FILLER_35_273
*1897 FILLER_35_279
*1898 FILLER_35_281
*1899 FILLER_35_293
*1900 FILLER_35_30
*1901 FILLER_35_42
*1902 FILLER_35_54
*1903 FILLER_35_57
*1904 FILLER_35_6
*1905 FILLER_35_69
*1906 FILLER_35_87
*1907 FILLER_36_102
*1908 FILLER_36_120
*1909 FILLER_36_138
*1910 FILLER_36_141
*1911 FILLER_36_15
*1912 FILLER_36_159
*1913 FILLER_36_163
*1914 FILLER_36_173
*1915 FILLER_36_184
*1916 FILLER_36_188
*1917 FILLER_36_194
*1918 FILLER_36_206
*1919 FILLER_36_217
*1920 FILLER_36_222
*1921 FILLER_36_226
*1922 FILLER_36_238
*1923 FILLER_36_250
*1924 FILLER_36_253
*1925 FILLER_36_265
*1926 FILLER_36_27
*1927 FILLER_36_277
*1928 FILLER_36_289
*1929 FILLER_36_29
*1930 FILLER_36_297
*1931 FILLER_36_3
*1932 FILLER_36_41
*1933 FILLER_36_53
*1934 FILLER_36_65
*1935 FILLER_36_76
*1936 FILLER_36_85
*1937 FILLER_36_89
*1938 FILLER_36_93
*1939 FILLER_36_97
*1940 FILLER_37_101
*1941 FILLER_37_104
*1942 FILLER_37_113
*1943 FILLER_37_126
*1944 FILLER_37_144
*1945 FILLER_37_15
*1946 FILLER_37_155
*1947 FILLER_37_164
*1948 FILLER_37_185
*1949 FILLER_37_203
*1950 FILLER_37_222
*1951 FILLER_37_225
*1952 FILLER_37_237
*1953 FILLER_37_249
*1954 FILLER_37_261
*1955 FILLER_37_27
*1956 FILLER_37_273
*1957 FILLER_37_279
*1958 FILLER_37_281
*1959 FILLER_37_293
*1960 FILLER_37_3
*1961 FILLER_37_39
*1962 FILLER_37_51
*1963 FILLER_37_55
*1964 FILLER_37_57
*1965 FILLER_37_69
*1966 FILLER_37_81
*1967 FILLER_37_93
*1968 FILLER_38_109
*1969 FILLER_38_121
*1970 FILLER_38_130
*1971 FILLER_38_135
*1972 FILLER_38_139
*1973 FILLER_38_141
*1974 FILLER_38_15
*1975 FILLER_38_155
*1976 FILLER_38_162
*1977 FILLER_38_173
*1978 FILLER_38_179
*1979 FILLER_38_184
*1980 FILLER_38_189
*1981 FILLER_38_194
*1982 FILLER_38_197
*1983 FILLER_38_203
*1984 FILLER_38_211
*1985 FILLER_38_223
*1986 FILLER_38_235
*1987 FILLER_38_247
*1988 FILLER_38_251
*1989 FILLER_38_253
*1990 FILLER_38_265
*1991 FILLER_38_27
*1992 FILLER_38_277
*1993 FILLER_38_289
*1994 FILLER_38_29
*1995 FILLER_38_297
*1996 FILLER_38_3
*1997 FILLER_38_41
*1998 FILLER_38_53
*1999 FILLER_38_65
*2000 FILLER_38_77
*2001 FILLER_38_83
*2002 FILLER_38_85
*2003 FILLER_38_97
*2004 FILLER_39_105
*2005 FILLER_39_111
*2006 FILLER_39_113
*2007 FILLER_39_125
*2008 FILLER_39_137
*2009 FILLER_39_148
*2010 FILLER_39_159
*2011 FILLER_39_166
*2012 FILLER_39_18
*2013 FILLER_39_185
*2014 FILLER_39_197
*2015 FILLER_39_202
*2016 FILLER_39_206
*2017 FILLER_39_216
*2018 FILLER_39_225
*2019 FILLER_39_237
*2020 FILLER_39_249
*2021 FILLER_39_261
*2022 FILLER_39_273
*2023 FILLER_39_279
*2024 FILLER_39_281
*2025 FILLER_39_293
*2026 FILLER_39_297
*2027 FILLER_39_30
*2028 FILLER_39_42
*2029 FILLER_39_54
*2030 FILLER_39_57
*2031 FILLER_39_6
*2032 FILLER_39_69
*2033 FILLER_39_81
*2034 FILLER_39_93
*2035 FILLER_3_104
*2036 FILLER_3_113
*2037 FILLER_3_117
*2038 FILLER_3_126
*2039 FILLER_3_137
*2040 FILLER_3_15
*2041 FILLER_3_156
*2042 FILLER_3_173
*2043 FILLER_3_178
*2044 FILLER_3_190
*2045 FILLER_3_200
*2046 FILLER_3_222
*2047 FILLER_3_232
*2048 FILLER_3_252
*2049 FILLER_3_264
*2050 FILLER_3_27
*2051 FILLER_3_276
*2052 FILLER_3_281
*2053 FILLER_3_293
*2054 FILLER_3_3
*2055 FILLER_3_39
*2056 FILLER_3_51
*2057 FILLER_3_55
*2058 FILLER_3_57
*2059 FILLER_3_69
*2060 FILLER_3_77
*2061 FILLER_3_95
*2062 FILLER_40_109
*2063 FILLER_40_121
*2064 FILLER_40_133
*2065 FILLER_40_139
*2066 FILLER_40_141
*2067 FILLER_40_15
*2068 FILLER_40_160
*2069 FILLER_40_164
*2070 FILLER_40_168
*2071 FILLER_40_172
*2072 FILLER_40_176
*2073 FILLER_40_188
*2074 FILLER_40_197
*2075 FILLER_40_209
*2076 FILLER_40_221
*2077 FILLER_40_233
*2078 FILLER_40_245
*2079 FILLER_40_251
*2080 FILLER_40_253
*2081 FILLER_40_265
*2082 FILLER_40_27
*2083 FILLER_40_277
*2084 FILLER_40_289
*2085 FILLER_40_29
*2086 FILLER_40_297
*2087 FILLER_40_3
*2088 FILLER_40_41
*2089 FILLER_40_53
*2090 FILLER_40_65
*2091 FILLER_40_77
*2092 FILLER_40_83
*2093 FILLER_40_85
*2094 FILLER_40_97
*2095 FILLER_41_105
*2096 FILLER_41_11
*2097 FILLER_41_111
*2098 FILLER_41_113
*2099 FILLER_41_125
*2100 FILLER_41_137
*2101 FILLER_41_145
*2102 FILLER_41_151
*2103 FILLER_41_163
*2104 FILLER_41_167
*2105 FILLER_41_169
*2106 FILLER_41_181
*2107 FILLER_41_193
*2108 FILLER_41_205
*2109 FILLER_41_217
*2110 FILLER_41_223
*2111 FILLER_41_225
*2112 FILLER_41_23
*2113 FILLER_41_237
*2114 FILLER_41_249
*2115 FILLER_41_261
*2116 FILLER_41_273
*2117 FILLER_41_279
*2118 FILLER_41_281
*2119 FILLER_41_293
*2120 FILLER_41_35
*2121 FILLER_41_47
*2122 FILLER_41_55
*2123 FILLER_41_57
*2124 FILLER_41_69
*2125 FILLER_41_7
*2126 FILLER_41_81
*2127 FILLER_41_93
*2128 FILLER_42_109
*2129 FILLER_42_121
*2130 FILLER_42_133
*2131 FILLER_42_139
*2132 FILLER_42_141
*2133 FILLER_42_15
*2134 FILLER_42_153
*2135 FILLER_42_165
*2136 FILLER_42_177
*2137 FILLER_42_189
*2138 FILLER_42_195
*2139 FILLER_42_197
*2140 FILLER_42_209
*2141 FILLER_42_221
*2142 FILLER_42_233
*2143 FILLER_42_245
*2144 FILLER_42_251
*2145 FILLER_42_253
*2146 FILLER_42_265
*2147 FILLER_42_27
*2148 FILLER_42_277
*2149 FILLER_42_29
*2150 FILLER_42_291
*2151 FILLER_42_297
*2152 FILLER_42_3
*2153 FILLER_42_41
*2154 FILLER_42_53
*2155 FILLER_42_65
*2156 FILLER_42_77
*2157 FILLER_42_83
*2158 FILLER_42_85
*2159 FILLER_42_97
*2160 FILLER_43_105
*2161 FILLER_43_111
*2162 FILLER_43_113
*2163 FILLER_43_125
*2164 FILLER_43_137
*2165 FILLER_43_149
*2166 FILLER_43_15
*2167 FILLER_43_161
*2168 FILLER_43_167
*2169 FILLER_43_169
*2170 FILLER_43_181
*2171 FILLER_43_193
*2172 FILLER_43_205
*2173 FILLER_43_217
*2174 FILLER_43_223
*2175 FILLER_43_225
*2176 FILLER_43_237
*2177 FILLER_43_249
*2178 FILLER_43_261
*2179 FILLER_43_27
*2180 FILLER_43_273
*2181 FILLER_43_279
*2182 FILLER_43_281
*2183 FILLER_43_293
*2184 FILLER_43_3
*2185 FILLER_43_39
*2186 FILLER_43_51
*2187 FILLER_43_55
*2188 FILLER_43_57
*2189 FILLER_43_69
*2190 FILLER_43_81
*2191 FILLER_43_93
*2192 FILLER_44_109
*2193 FILLER_44_121
*2194 FILLER_44_133
*2195 FILLER_44_139
*2196 FILLER_44_141
*2197 FILLER_44_153
*2198 FILLER_44_165
*2199 FILLER_44_177
*2200 FILLER_44_18
*2201 FILLER_44_189
*2202 FILLER_44_195
*2203 FILLER_44_197
*2204 FILLER_44_209
*2205 FILLER_44_221
*2206 FILLER_44_233
*2207 FILLER_44_245
*2208 FILLER_44_251
*2209 FILLER_44_253
*2210 FILLER_44_26
*2211 FILLER_44_265
*2212 FILLER_44_277
*2213 FILLER_44_289
*2214 FILLER_44_29
*2215 FILLER_44_293
*2216 FILLER_44_297
*2217 FILLER_44_41
*2218 FILLER_44_53
*2219 FILLER_44_6
*2220 FILLER_44_65
*2221 FILLER_44_77
*2222 FILLER_44_83
*2223 FILLER_44_85
*2224 FILLER_44_97
*2225 FILLER_45_105
*2226 FILLER_45_11
*2227 FILLER_45_111
*2228 FILLER_45_113
*2229 FILLER_45_125
*2230 FILLER_45_137
*2231 FILLER_45_149
*2232 FILLER_45_15
*2233 FILLER_45_161
*2234 FILLER_45_167
*2235 FILLER_45_169
*2236 FILLER_45_181
*2237 FILLER_45_193
*2238 FILLER_45_205
*2239 FILLER_45_217
*2240 FILLER_45_223
*2241 FILLER_45_225
*2242 FILLER_45_244
*2243 FILLER_45_262
*2244 FILLER_45_27
*2245 FILLER_45_274
*2246 FILLER_45_281
*2247 FILLER_45_297
*2248 FILLER_45_39
*2249 FILLER_45_51
*2250 FILLER_45_55
*2251 FILLER_45_57
*2252 FILLER_45_69
*2253 FILLER_45_7
*2254 FILLER_45_81
*2255 FILLER_45_93
*2256 FILLER_46_105
*2257 FILLER_46_109
*2258 FILLER_46_11
*2259 FILLER_46_113
*2260 FILLER_46_125
*2261 FILLER_46_137
*2262 FILLER_46_141
*2263 FILLER_46_15
*2264 FILLER_46_153
*2265 FILLER_46_165
*2266 FILLER_46_173
*2267 FILLER_46_185
*2268 FILLER_46_193
*2269 FILLER_46_197
*2270 FILLER_46_202
*2271 FILLER_46_214
*2272 FILLER_46_222
*2273 FILLER_46_228
*2274 FILLER_46_23
*2275 FILLER_46_232
*2276 FILLER_46_240
*2277 FILLER_46_250
*2278 FILLER_46_253
*2279 FILLER_46_261
*2280 FILLER_46_267
*2281 FILLER_46_27
*2282 FILLER_46_272
*2283 FILLER_46_281
*2284 FILLER_46_287
*2285 FILLER_46_29
*2286 FILLER_46_291
*2287 FILLER_46_297
*2288 FILLER_46_3
*2289 FILLER_46_34
*2290 FILLER_46_46
*2291 FILLER_46_54
*2292 FILLER_46_57
*2293 FILLER_46_69
*2294 FILLER_46_81
*2295 FILLER_46_85
*2296 FILLER_46_97
*2297 FILLER_4_115
*2298 FILLER_4_127
*2299 FILLER_4_135
*2300 FILLER_4_139
*2301 FILLER_4_15
*2302 FILLER_4_151
*2303 FILLER_4_158
*2304 FILLER_4_162
*2305 FILLER_4_170
*2306 FILLER_4_178
*2307 FILLER_4_186
*2308 FILLER_4_193
*2309 FILLER_4_204
*2310 FILLER_4_210
*2311 FILLER_4_218
*2312 FILLER_4_226
*2313 FILLER_4_232
*2314 FILLER_4_239
*2315 FILLER_4_244
*2316 FILLER_4_253
*2317 FILLER_4_265
*2318 FILLER_4_27
*2319 FILLER_4_277
*2320 FILLER_4_289
*2321 FILLER_4_29
*2322 FILLER_4_293
*2323 FILLER_4_297
*2324 FILLER_4_3
*2325 FILLER_4_41
*2326 FILLER_4_53
*2327 FILLER_4_65
*2328 FILLER_4_77
*2329 FILLER_4_83
*2330 FILLER_4_85
*2331 FILLER_4_96
*2332 FILLER_5_102
*2333 FILLER_5_108
*2334 FILLER_5_120
*2335 FILLER_5_127
*2336 FILLER_5_134
*2337 FILLER_5_140
*2338 FILLER_5_146
*2339 FILLER_5_15
*2340 FILLER_5_155
*2341 FILLER_5_166
*2342 FILLER_5_185
*2343 FILLER_5_197
*2344 FILLER_5_204
*2345 FILLER_5_216
*2346 FILLER_5_225
*2347 FILLER_5_231
*2348 FILLER_5_237
*2349 FILLER_5_242
*2350 FILLER_5_262
*2351 FILLER_5_27
*2352 FILLER_5_274
*2353 FILLER_5_281
*2354 FILLER_5_293
*2355 FILLER_5_3
*2356 FILLER_5_39
*2357 FILLER_5_51
*2358 FILLER_5_55
*2359 FILLER_5_57
*2360 FILLER_5_69
*2361 FILLER_5_73
*2362 FILLER_5_90
*2363 FILLER_5_96
*2364 FILLER_6_103
*2365 FILLER_6_111
*2366 FILLER_6_121
*2367 FILLER_6_127
*2368 FILLER_6_131
*2369 FILLER_6_138
*2370 FILLER_6_141
*2371 FILLER_6_151
*2372 FILLER_6_163
*2373 FILLER_6_167
*2374 FILLER_6_172
*2375 FILLER_6_181
*2376 FILLER_6_19
*2377 FILLER_6_191
*2378 FILLER_6_195
*2379 FILLER_6_203
*2380 FILLER_6_221
*2381 FILLER_6_230
*2382 FILLER_6_237
*2383 FILLER_6_242
*2384 FILLER_6_249
*2385 FILLER_6_262
*2386 FILLER_6_27
*2387 FILLER_6_274
*2388 FILLER_6_286
*2389 FILLER_6_29
*2390 FILLER_6_297
*2391 FILLER_6_41
*2392 FILLER_6_53
*2393 FILLER_6_7
*2394 FILLER_6_77
*2395 FILLER_6_81
*2396 FILLER_6_85
*2397 FILLER_6_90
*2398 FILLER_6_99
*2399 FILLER_7_105
*2400 FILLER_7_110
*2401 FILLER_7_117
*2402 FILLER_7_136
*2403 FILLER_7_140
*2404 FILLER_7_145
*2405 FILLER_7_15
*2406 FILLER_7_152
*2407 FILLER_7_160
*2408 FILLER_7_166
*2409 FILLER_7_169
*2410 FILLER_7_183
*2411 FILLER_7_188
*2412 FILLER_7_199
*2413 FILLER_7_206
*2414 FILLER_7_212
*2415 FILLER_7_220
*2416 FILLER_7_232
*2417 FILLER_7_236
*2418 FILLER_7_243
*2419 FILLER_7_250
*2420 FILLER_7_254
*2421 FILLER_7_259
*2422 FILLER_7_268
*2423 FILLER_7_27
*2424 FILLER_7_281
*2425 FILLER_7_293
*2426 FILLER_7_3
*2427 FILLER_7_39
*2428 FILLER_7_51
*2429 FILLER_7_55
*2430 FILLER_7_57
*2431 FILLER_7_66
*2432 FILLER_7_71
*2433 FILLER_7_80
*2434 FILLER_7_86
*2435 FILLER_7_92
*2436 FILLER_7_97
*2437 FILLER_8_101
*2438 FILLER_8_110
*2439 FILLER_8_115
*2440 FILLER_8_124
*2441 FILLER_8_130
*2442 FILLER_8_138
*2443 FILLER_8_15
*2444 FILLER_8_157
*2445 FILLER_8_175
*2446 FILLER_8_181
*2447 FILLER_8_192
*2448 FILLER_8_200
*2449 FILLER_8_208
*2450 FILLER_8_212
*2451 FILLER_8_221
*2452 FILLER_8_227
*2453 FILLER_8_231
*2454 FILLER_8_250
*2455 FILLER_8_253
*2456 FILLER_8_260
*2457 FILLER_8_27
*2458 FILLER_8_278
*2459 FILLER_8_29
*2460 FILLER_8_290
*2461 FILLER_8_298
*2462 FILLER_8_3
*2463 FILLER_8_41
*2464 FILLER_8_61
*2465 FILLER_8_68
*2466 FILLER_8_79
*2467 FILLER_8_83
*2468 FILLER_9_110
*2469 FILLER_9_113
*2470 FILLER_9_119
*2471 FILLER_9_131
*2472 FILLER_9_143
*2473 FILLER_9_15
*2474 FILLER_9_153
*2475 FILLER_9_159
*2476 FILLER_9_164
*2477 FILLER_9_169
*2478 FILLER_9_179
*2479 FILLER_9_197
*2480 FILLER_9_211
*2481 FILLER_9_217
*2482 FILLER_9_223
*2483 FILLER_9_231
*2484 FILLER_9_239
*2485 FILLER_9_245
*2486 FILLER_9_249
*2487 FILLER_9_259
*2488 FILLER_9_266
*2489 FILLER_9_27
*2490 FILLER_9_278
*2491 FILLER_9_281
*2492 FILLER_9_293
*2493 FILLER_9_3
*2494 FILLER_9_35
*2495 FILLER_9_54
*2496 FILLER_9_67
*2497 FILLER_9_76
*2498 FILLER_9_80
*2499 FILLER_9_85
*2500 FILLER_9_91
*2501 FILLER_9_97
*2502 PHY_0
*2503 PHY_1
*2504 PHY_10
*2505 PHY_11
*2506 PHY_12
*2507 PHY_13
*2508 PHY_14
*2509 PHY_15
*2510 PHY_16
*2511 PHY_17
*2512 PHY_18
*2513 PHY_19
*2514 PHY_2
*2515 PHY_20
*2516 PHY_21
*2517 PHY_22
*2518 PHY_23
*2519 PHY_24
*2520 PHY_25
*2521 PHY_26
*2522 PHY_27
*2523 PHY_28
*2524 PHY_29
*2525 PHY_3
*2526 PHY_30
*2527 PHY_31
*2528 PHY_32
*2529 PHY_33
*2530 PHY_34
*2531 PHY_35
*2532 PHY_36
*2533 PHY_37
*2534 PHY_38
*2535 PHY_39
*2536 PHY_4
*2537 PHY_40
*2538 PHY_41
*2539 PHY_42
*2540 PHY_43
*2541 PHY_44
*2542 PHY_45
*2543 PHY_46
*2544 PHY_47
*2545 PHY_48
*2546 PHY_49
*2547 PHY_5
*2548 PHY_50
*2549 PHY_51
*2550 PHY_52
*2551 PHY_53
*2552 PHY_54
*2553 PHY_55
*2554 PHY_56
*2555 PHY_57
*2556 PHY_58
*2557 PHY_59
*2558 PHY_6
*2559 PHY_60
*2560 PHY_61
*2561 PHY_62
*2562 PHY_63
*2563 PHY_64
*2564 PHY_65
*2565 PHY_66
*2566 PHY_67
*2567 PHY_68
*2568 PHY_69
*2569 PHY_7
*2570 PHY_70
*2571 PHY_71
*2572 PHY_72
*2573 PHY_73
*2574 PHY_74
*2575 PHY_75
*2576 PHY_76
*2577 PHY_77
*2578 PHY_78
*2579 PHY_79
*2580 PHY_8
*2581 PHY_80
*2582 PHY_81
*2583 PHY_82
*2584 PHY_83
*2585 PHY_84
*2586 PHY_85
*2587 PHY_86
*2588 PHY_87
*2589 PHY_88
*2590 PHY_89
*2591 PHY_9
*2592 PHY_90
*2593 PHY_91
*2594 PHY_92
*2595 PHY_93
*2596 TAP_100
*2597 TAP_101
*2598 TAP_102
*2599 TAP_103
*2600 TAP_104
*2601 TAP_105
*2602 TAP_106
*2603 TAP_107
*2604 TAP_108
*2605 TAP_109
*2606 TAP_110
*2607 TAP_111
*2608 TAP_112
*2609 TAP_113
*2610 TAP_114
*2611 TAP_115
*2612 TAP_116
*2613 TAP_117
*2614 TAP_118
*2615 TAP_119
*2616 TAP_120
*2617 TAP_121
*2618 TAP_122
*2619 TAP_123
*2620 TAP_124
*2621 TAP_125
*2622 TAP_126
*2623 TAP_127
*2624 TAP_128
*2625 TAP_129
*2626 TAP_130
*2627 TAP_131
*2628 TAP_132
*2629 TAP_133
*2630 TAP_134
*2631 TAP_135
*2632 TAP_136
*2633 TAP_137
*2634 TAP_138
*2635 TAP_139
*2636 TAP_140
*2637 TAP_141
*2638 TAP_142
*2639 TAP_143
*2640 TAP_144
*2641 TAP_145
*2642 TAP_146
*2643 TAP_147
*2644 TAP_148
*2645 TAP_149
*2646 TAP_150
*2647 TAP_151
*2648 TAP_152
*2649 TAP_153
*2650 TAP_154
*2651 TAP_155
*2652 TAP_156
*2653 TAP_157
*2654 TAP_158
*2655 TAP_159
*2656 TAP_160
*2657 TAP_161
*2658 TAP_162
*2659 TAP_163
*2660 TAP_164
*2661 TAP_165
*2662 TAP_166
*2663 TAP_167
*2664 TAP_168
*2665 TAP_169
*2666 TAP_170
*2667 TAP_171
*2668 TAP_172
*2669 TAP_173
*2670 TAP_174
*2671 TAP_175
*2672 TAP_176
*2673 TAP_177
*2674 TAP_178
*2675 TAP_179
*2676 TAP_180
*2677 TAP_181
*2678 TAP_182
*2679 TAP_183
*2680 TAP_184
*2681 TAP_185
*2682 TAP_186
*2683 TAP_187
*2684 TAP_188
*2685 TAP_189
*2686 TAP_190
*2687 TAP_191
*2688 TAP_192
*2689 TAP_193
*2690 TAP_194
*2691 TAP_195
*2692 TAP_196
*2693 TAP_197
*2694 TAP_198
*2695 TAP_199
*2696 TAP_200
*2697 TAP_201
*2698 TAP_202
*2699 TAP_203
*2700 TAP_204
*2701 TAP_205
*2702 TAP_206
*2703 TAP_207
*2704 TAP_208
*2705 TAP_209
*2706 TAP_210
*2707 TAP_211
*2708 TAP_212
*2709 TAP_213
*2710 TAP_214
*2711 TAP_215
*2712 TAP_216
*2713 TAP_217
*2714 TAP_218
*2715 TAP_219
*2716 TAP_220
*2717 TAP_221
*2718 TAP_222
*2719 TAP_223
*2720 TAP_224
*2721 TAP_225
*2722 TAP_226
*2723 TAP_227
*2724 TAP_228
*2725 TAP_229
*2726 TAP_230
*2727 TAP_231
*2728 TAP_232
*2729 TAP_233
*2730 TAP_234
*2731 TAP_235
*2732 TAP_236
*2733 TAP_237
*2734 TAP_238
*2735 TAP_239
*2736 TAP_240
*2737 TAP_241
*2738 TAP_242
*2739 TAP_243
*2740 TAP_244
*2741 TAP_245
*2742 TAP_246
*2743 TAP_247
*2744 TAP_248
*2745 TAP_249
*2746 TAP_250
*2747 TAP_251
*2748 TAP_252
*2749 TAP_253
*2750 TAP_254
*2751 TAP_255
*2752 TAP_256
*2753 TAP_257
*2754 TAP_258
*2755 TAP_259
*2756 TAP_260
*2757 TAP_261
*2758 TAP_262
*2759 TAP_263
*2760 TAP_264
*2761 TAP_265
*2762 TAP_266
*2763 TAP_267
*2764 TAP_268
*2765 TAP_269
*2766 TAP_270
*2767 TAP_271
*2768 TAP_272
*2769 TAP_273
*2770 TAP_274
*2771 TAP_275
*2772 TAP_276
*2773 TAP_277
*2774 TAP_278
*2775 TAP_279
*2776 TAP_280
*2777 TAP_281
*2778 TAP_282
*2779 TAP_283
*2780 TAP_284
*2781 TAP_285
*2782 TAP_286
*2783 TAP_287
*2784 TAP_288
*2785 TAP_289
*2786 TAP_290
*2787 TAP_291
*2788 TAP_292
*2789 TAP_293
*2790 TAP_294
*2791 TAP_295
*2792 TAP_296
*2793 TAP_297
*2794 TAP_298
*2795 TAP_299
*2796 TAP_300
*2797 TAP_301
*2798 TAP_302
*2799 TAP_303
*2800 TAP_304
*2801 TAP_305
*2802 TAP_306
*2803 TAP_307
*2804 TAP_308
*2805 TAP_309
*2806 TAP_310
*2807 TAP_311
*2808 TAP_312
*2809 TAP_313
*2810 TAP_314
*2811 TAP_315
*2812 TAP_316
*2813 TAP_317
*2814 TAP_318
*2815 TAP_319
*2816 TAP_320
*2817 TAP_321
*2818 TAP_322
*2819 TAP_323
*2820 TAP_324
*2821 TAP_325
*2822 TAP_326
*2823 TAP_327
*2824 TAP_328
*2825 TAP_329
*2826 TAP_330
*2827 TAP_331
*2828 TAP_332
*2829 TAP_333
*2830 TAP_334
*2831 TAP_335
*2832 TAP_336
*2833 TAP_337
*2834 TAP_338
*2835 TAP_94
*2836 TAP_95
*2837 TAP_96
*2838 TAP_97
*2839 TAP_98
*2840 TAP_99
*2841 _0524_
*2842 _0525_
*2843 _0526_
*2844 _0527_
*2845 _0528_
*2846 _0529_
*2847 _0530_
*2848 _0531_
*2849 _0532_
*2850 _0533_
*2851 _0534_
*2852 _0535_
*2853 _0536_
*2854 _0537_
*2855 _0538_
*2856 _0539_
*2857 _0540_
*2858 _0541_
*2859 _0542_
*2860 _0543_
*2861 _0544_
*2862 _0545_
*2863 _0546_
*2864 _0547_
*2865 _0548_
*2866 _0549_
*2867 _0550_
*2868 _0551_
*2869 _0552_
*2870 _0553_
*2871 _0554_
*2872 _0555_
*2873 _0556_
*2874 _0557_
*2875 _0558_
*2876 _0559_
*2877 _0560_
*2878 _0561_
*2879 _0562_
*2880 _0563_
*2881 _0564_
*2882 _0565_
*2883 _0566_
*2884 _0567_
*2885 _0568_
*2886 _0569_
*2887 _0570_
*2888 _0571_
*2889 _0572_
*2890 _0573_
*2891 _0574_
*2892 _0575_
*2893 _0576_
*2894 _0577_
*2895 _0578_
*2896 _0579_
*2897 _0580_
*2898 _0581_
*2899 _0582_
*2900 _0583_
*2901 _0584_
*2902 _0585_
*2903 _0586_
*2904 _0587_
*2905 _0588_
*2906 _0589_
*2907 _0590_
*2908 _0591_
*2909 _0592_
*2910 _0593_
*2911 _0594_
*2912 _0595_
*2913 _0596_
*2914 _0597_
*2915 _0598_
*2916 _0599_
*2917 _0600_
*2918 _0601_
*2919 _0602_
*2920 _0603_
*2921 _0604_
*2922 _0605_
*2923 _0606_
*2924 _0607_
*2925 _0608_
*2926 _0609_
*2927 _0610_
*2928 _0611_
*2929 _0612_
*2930 _0613_
*2931 _0614_
*2932 _0615_
*2933 _0616_
*2934 _0617_
*2935 _0618_
*2936 _0619_
*2937 _0620_
*2938 _0621_
*2939 _0622_
*2940 _0623_
*2941 _0624_
*2942 _0625_
*2943 _0626_
*2944 _0627_
*2945 _0628_
*2946 _0629_
*2947 _0630_
*2948 _0631_
*2949 _0632_
*2950 _0633_
*2951 _0634_
*2952 _0635_
*2953 _0636_
*2954 _0637_
*2955 _0638_
*2956 _0639_
*2957 _0640_
*2958 _0641_
*2959 _0642_
*2960 _0643_
*2961 _0644_
*2962 _0645_
*2963 _0646_
*2964 _0647_
*2965 _0648_
*2966 _0649_
*2967 _0650_
*2968 _0651_
*2969 _0652_
*2970 _0653_
*2971 _0654_
*2972 _0655_
*2973 _0656_
*2974 _0657_
*2975 _0658_
*2976 _0659_
*2977 _0660_
*2978 _0661_
*2979 _0662_
*2980 _0663_
*2981 _0664_
*2982 _0665_
*2983 _0666_
*2984 _0667_
*2985 _0668_
*2986 _0669_
*2987 _0670_
*2988 _0671_
*2989 _0672_
*2990 _0673_
*2991 _0674_
*2992 _0675_
*2993 _0676_
*2994 _0677_
*2995 _0678_
*2996 _0679_
*2997 _0680_
*2998 _0681_
*2999 _0682_
*3000 _0683_
*3001 _0684_
*3002 _0685_
*3003 _0686_
*3004 _0687_
*3005 _0688_
*3006 _0689_
*3007 _0690_
*3008 _0691_
*3009 _0692_
*3010 _0693_
*3011 _0694_
*3012 _0695_
*3013 _0696_
*3014 _0697_
*3015 _0698_
*3016 _0699_
*3017 _0700_
*3018 _0701_
*3019 _0702_
*3020 _0703_
*3021 _0704_
*3022 _0705_
*3023 _0706_
*3024 _0707_
*3025 _0708_
*3026 _0709_
*3027 _0710_
*3028 _0711_
*3029 _0712_
*3030 _0713_
*3031 _0714_
*3032 _0715_
*3033 _0716_
*3034 _0717_
*3035 _0718_
*3036 _0719_
*3037 _0720_
*3038 _0721_
*3039 _0722_
*3040 _0723_
*3041 _0724_
*3042 _0725_
*3043 _0726_
*3044 _0727_
*3045 _0728_
*3046 _0729_
*3047 _0730_
*3048 _0731_
*3049 _0732_
*3050 _0733_
*3051 _0734_
*3052 _0735_
*3053 _0736_
*3054 _0737_
*3055 _0738_
*3056 _0739_
*3057 _0740_
*3058 _0741_
*3059 _0742_
*3060 _0743_
*3061 _0744_
*3062 _0745_
*3063 _0746_
*3064 _0747_
*3065 _0748_
*3066 _0749_
*3067 _0750_
*3068 _0751_
*3069 _0752_
*3070 _0753_
*3071 _0754_
*3072 _0755_
*3073 _0756_
*3074 _0757_
*3075 _0758_
*3076 _0759_
*3077 _0760_
*3078 _0761_
*3079 _0762_
*3080 _0763_
*3081 _0764_
*3082 _0765_
*3083 _0766_
*3084 _0767_
*3085 _0768_
*3086 _0769_
*3087 _0770_
*3088 _0771_
*3089 _0772_
*3090 _0773_
*3091 _0774_
*3092 _0775_
*3093 _0776_
*3094 _0777_
*3095 _0778_
*3096 _0779_
*3097 _0780_
*3098 _0781_
*3099 _0782_
*3100 _0783_
*3101 _0784_
*3102 _0785_
*3103 _0786_
*3104 _0787_
*3105 _0788_
*3106 _0789_
*3107 _0790_
*3108 _0791_
*3109 _0792_
*3110 _0793_
*3111 _0794_
*3112 _0795_
*3113 _0796_
*3114 _0797_
*3115 _0798_
*3116 _0799_
*3117 _0800_
*3118 _0801_
*3119 _0802_
*3120 _0803_
*3121 _0804_
*3122 _0805_
*3123 _0806_
*3124 _0807_
*3125 _0808_
*3126 _0809_
*3127 _0810_
*3128 _0811_
*3129 _0812_
*3130 _0813_
*3131 _0814_
*3132 _0815_
*3133 _0816_
*3134 _0817_
*3135 _0818_
*3136 _0819_
*3137 _0820_
*3138 _0821_
*3139 _0822_
*3140 _0823_
*3141 _0824_
*3142 _0825_
*3143 _0826_
*3144 _0827_
*3145 _0828_
*3146 _0829_
*3147 _0830_
*3148 _0831_
*3149 _0832_
*3150 _0833_
*3151 _0834_
*3152 _0835_
*3153 _0836_
*3154 _0837_
*3155 _0838_
*3156 _0839_
*3157 _0840_
*3158 _0841_
*3159 _0842_
*3160 _0843_
*3161 _0844_
*3162 _0845_
*3163 _0846_
*3164 _0847_
*3165 _0848_
*3166 _0849_
*3167 _0850_
*3168 _0851_
*3169 _0852_
*3170 _0853_
*3171 _0854_
*3172 _0855_
*3173 _0856_
*3174 _0857_
*3175 _0858_
*3176 _0859_
*3177 _0860_
*3178 _0861_
*3179 _0862_
*3180 _0863_
*3181 _0864_
*3182 _0865_
*3183 _0866_
*3184 _0867_
*3185 _0868_
*3186 _0869_
*3187 _0870_
*3188 _0871_
*3189 _0872_
*3190 _0873_
*3191 _0874_
*3192 _0875_
*3193 _0876_
*3194 _0877_
*3195 _0878_
*3196 _0879_
*3197 _0880_
*3198 _0881_
*3199 _0882_
*3200 _0883_
*3201 _0884_
*3202 _0885_
*3203 _0886_
*3204 _0887_
*3205 _0888_
*3206 _0889_
*3207 _0890_
*3208 _0891_
*3209 _0892_
*3210 _0893_
*3211 _0894_
*3212 _0895_
*3213 _0896_
*3214 _0897_
*3215 _0898_
*3216 _0899_
*3217 _0900_
*3218 _0901_
*3219 _0902_
*3220 _0903_
*3221 _0904_
*3222 _0905_
*3223 _0906_
*3224 _0907_
*3225 _0908_
*3226 _0909_
*3227 _0910_
*3228 _0911_
*3229 _0912_
*3230 _0913_
*3231 _0914_
*3232 _0915_
*3233 _0916_
*3234 _0917_
*3235 _0918_
*3236 _0919_
*3237 _0920_
*3238 _0921_
*3239 _0922_
*3240 _0923_
*3241 _0924_
*3242 _0925_
*3243 _0926_
*3244 _0927_
*3245 _0928_
*3246 _0929_
*3247 _0930_
*3248 _0931_
*3249 _0932_
*3250 _0933_
*3251 _0934_
*3252 _0935_
*3253 _0936_
*3254 _0937_
*3255 _0938_
*3256 _0939_
*3257 _0940_
*3258 _0941_
*3259 _0942_
*3260 _0943_
*3261 _0944_
*3262 _0945_
*3263 _0946_
*3264 _0947_
*3265 _0948_
*3266 _0949_
*3267 _0950_
*3268 _0951_
*3269 _0952_
*3270 _0953_
*3271 _0954_
*3272 _0955_
*3273 _0956_
*3274 _0957_
*3275 _0958_
*3276 _0959_
*3277 _0960_
*3278 _0961_
*3279 _0962_
*3280 _0963_
*3281 _0964_
*3282 _0965_
*3283 _0966_
*3284 _0967_
*3285 _0968_
*3286 _0969_
*3287 _0970_
*3288 _0971_
*3289 _0972_
*3290 _0973_
*3291 _0974_
*3292 _0975_
*3293 _0976_
*3294 _0977_
*3295 _0978_
*3296 _0979_
*3297 _0980_
*3298 _0981_
*3299 _0982_
*3300 _0983_
*3301 _0984_
*3302 _0985_
*3303 _0986_
*3304 _0987_
*3305 _0988_
*3306 _0989_
*3307 _0990_
*3308 _0991_
*3309 _0992_
*3310 _0993_
*3311 _0994_
*3312 _0995_
*3313 _0996_
*3314 _0997_
*3315 _0998_
*3316 _0999_
*3317 _1000_
*3318 _1001_
*3319 _1002_
*3320 _1003_
*3321 _1004_
*3322 _1005_
*3323 _1006_
*3324 _1007_
*3325 _1008_
*3326 _1009_
*3327 _1010_
*3328 _1011_
*3329 _1012_
*3330 _1013_
*3331 _1014_
*3332 _1015_
*3333 _1016_
*3334 _1017_
*3335 _1018_
*3336 _1019_
*3337 _1020_
*3338 _1021_
*3339 _1022_
*3340 _1023_
*3341 _1024_
*3342 _1025_
*3343 _1026_
*3344 _1027_
*3345 _1028_
*3346 _1029_
*3347 _1030_
*3348 _1031_
*3349 _1032_
*3350 _1033_
*3351 _1034_
*3352 _1035_
*3353 _1036_
*3354 _1037_
*3355 _1038_
*3356 _1039_
*3357 _1040_
*3358 _1041_
*3359 _1042_
*3360 _1043_
*3361 _1044_
*3362 _1045_
*3363 _1046_
*3364 _1047_
*3365 _1048_
*3366 _1049_
*3367 _1050_
*3368 _1051_
*3369 _1052_
*3370 _1053_
*3371 _1054_
*3372 _1055_
*3373 _1056_
*3374 _1057_
*3375 _1058_
*3376 _1059_
*3377 _1060_
*3378 _1061_
*3379 _1062_
*3380 _1063_
*3381 _1064_
*3382 _1065_
*3383 _1066_
*3384 _1067_
*3385 _1068_
*3386 _1069_
*3387 _1070_
*3388 _1071_
*3389 _1072_
*3390 _1073_
*3391 _1074_
*3392 _1075_
*3393 _1076_
*3394 _1077_
*3395 _1078_
*3396 _1079_
*3397 _1080_
*3398 _1081_
*3399 _1082_
*3400 _1083_
*3401 _1084_
*3402 _1085_
*3403 _1086_
*3404 _1087_
*3405 _1088_
*3406 _1089_
*3407 _1090_
*3408 _1091_
*3409 _1092_
*3410 _1093_
*3411 _1094_
*3412 _1095_
*3413 _1096_
*3414 _1097_
*3415 _1098_
*3416 _1099_
*3417 _1100_
*3418 _1101_
*3419 _1102_
*3420 _1103_
*3421 _1104_
*3422 _1105_
*3423 _1106_
*3424 _1107_
*3425 _1108_
*3426 _1109_
*3427 _1110_
*3428 _1111_
*3429 _1112_
*3430 _1113_
*3431 _1114_
*3432 _1115_
*3433 _1116_
*3434 _1117_
*3435 _1118_
*3436 _1119_
*3437 _1120_
*3438 _1121_
*3439 _1122_
*3440 _1123_
*3441 _1124_
*3442 _1125_
*3443 _1126_
*3444 _1127_
*3445 _1128_
*3446 _1129_
*3447 _1130_
*3448 _1131_
*3449 _1132_
*3450 _1133_
*3451 _1134_
*3452 _1135_
*3453 _1136_
*3454 _1137_
*3455 _1138_
*3456 _1139_
*3457 _1140_
*3458 _1141_
*3459 _1142_
*3460 _1143_
*3461 _1144_
*3462 _1145_
*3463 _1146_
*3464 _1147_
*3465 _1148_
*3466 _1149_
*3467 _1150_
*3468 _1151_
*3469 _1152_
*3470 _1153_
*3471 _1154_
*3472 _1155_
*3473 _1156_
*3474 _1157_
*3475 _1158_
*3476 _1159_
*3477 _1160_
*3478 _1161_
*3479 _1162__33
*3480 _1163__34
*3481 _1164__35
*3482 _1165__36
*3483 _1166__37
*3484 _1167__38
*3485 _1168__39
*3486 _1169__40
*3487 _1170__41
*3488 _1171__42
*3489 _1172__43
*3490 _1173__44
*3491 _1174__45
*3492 _1175__46
*3493 _1176__47
*3494 _1177__48
*3495 _1178__49
*3496 _1179__50
*3497 _1180__51
*3498 _1181__52
*3499 _1182__53
*3500 _1183__54
*3501 _1184__55
*3502 _1185__56
*3503 clkbuf_0_clock
*3504 clkbuf_3_0_0_clock
*3505 clkbuf_3_1_0_clock
*3506 clkbuf_3_2_0_clock
*3507 clkbuf_3_3_0_clock
*3508 clkbuf_3_4_0_clock
*3509 clkbuf_3_5_0_clock
*3510 clkbuf_3_6_0_clock
*3511 clkbuf_3_7_0_clock
*3512 clkbuf_4_0_0_clock
*3513 clkbuf_4_10_0_clock
*3514 clkbuf_4_11_0_clock
*3515 clkbuf_4_12_0_clock
*3516 clkbuf_4_13_0_clock
*3517 clkbuf_4_14_0_clock
*3518 clkbuf_4_15_0_clock
*3519 clkbuf_4_1_0_clock
*3520 clkbuf_4_2_0_clock
*3521 clkbuf_4_3_0_clock
*3522 clkbuf_4_4_0_clock
*3523 clkbuf_4_5_0_clock
*3524 clkbuf_4_6_0_clock
*3525 clkbuf_4_7_0_clock
*3526 clkbuf_4_8_0_clock
*3527 clkbuf_4_9_0_clock
*3528 input1
*3529 input10
*3530 input11
*3531 input12
*3532 input13
*3533 input14
*3534 input15
*3535 input16
*3536 input17
*3537 input18
*3538 input19
*3539 input2
*3540 input20
*3541 input21
*3542 input3
*3543 input4
*3544 input5
*3545 input6
*3546 input7
*3547 input8
*3548 input9
*3549 output22
*3550 output23
*3551 output24
*3552 output25
*3553 output26
*3554 output27
*3555 output28
*3556 output29
*3557 output30
*3558 output31
*3559 output32
*PORTS
clock I
io_rxd I
io_txd O
io_uartInt O
io_uart_select I
io_wbs_ack_o O
io_wbs_data_o[0] O
io_wbs_data_o[10] O
io_wbs_data_o[11] O
io_wbs_data_o[12] O
io_wbs_data_o[13] O
io_wbs_data_o[14] O
io_wbs_data_o[15] O
io_wbs_data_o[16] O
io_wbs_data_o[17] O
io_wbs_data_o[18] O
io_wbs_data_o[19] O
io_wbs_data_o[1] O
io_wbs_data_o[20] O
io_wbs_data_o[21] O
io_wbs_data_o[22] O
io_wbs_data_o[23] O
io_wbs_data_o[24] O
io_wbs_data_o[25] O
io_wbs_data_o[26] O
io_wbs_data_o[27] O
io_wbs_data_o[28] O
io_wbs_data_o[29] O
io_wbs_data_o[2] O
io_wbs_data_o[30] O
io_wbs_data_o[31] O
io_wbs_data_o[3] O
io_wbs_data_o[4] O
io_wbs_data_o[5] O
io_wbs_data_o[6] O
io_wbs_data_o[7] O
io_wbs_data_o[8] O
io_wbs_data_o[9] O
io_wbs_m2s_addr[0] I
io_wbs_m2s_addr[10] I
io_wbs_m2s_addr[11] I
io_wbs_m2s_addr[12] I
io_wbs_m2s_addr[13] I
io_wbs_m2s_addr[14] I
io_wbs_m2s_addr[15] I
io_wbs_m2s_addr[16] I
io_wbs_m2s_addr[17] I
io_wbs_m2s_addr[18] I
io_wbs_m2s_addr[19] I
io_wbs_m2s_addr[1] I
io_wbs_m2s_addr[20] I
io_wbs_m2s_addr[21] I
io_wbs_m2s_addr[22] I
io_wbs_m2s_addr[23] I
io_wbs_m2s_addr[24] I
io_wbs_m2s_addr[25] I
io_wbs_m2s_addr[26] I
io_wbs_m2s_addr[27] I
io_wbs_m2s_addr[28] I
io_wbs_m2s_addr[29] I
io_wbs_m2s_addr[2] I
io_wbs_m2s_addr[30] I
io_wbs_m2s_addr[31] I
io_wbs_m2s_addr[3] I
io_wbs_m2s_addr[4] I
io_wbs_m2s_addr[5] I
io_wbs_m2s_addr[6] I
io_wbs_m2s_addr[7] I
io_wbs_m2s_addr[8] I
io_wbs_m2s_addr[9] I
io_wbs_m2s_data[0] I
io_wbs_m2s_data[10] I
io_wbs_m2s_data[11] I
io_wbs_m2s_data[12] I
io_wbs_m2s_data[13] I
io_wbs_m2s_data[14] I
io_wbs_m2s_data[15] I
io_wbs_m2s_data[16] I
io_wbs_m2s_data[17] I
io_wbs_m2s_data[18] I
io_wbs_m2s_data[19] I
io_wbs_m2s_data[1] I
io_wbs_m2s_data[20] I
io_wbs_m2s_data[21] I
io_wbs_m2s_data[22] I
io_wbs_m2s_data[23] I
io_wbs_m2s_data[24] I
io_wbs_m2s_data[25] I
io_wbs_m2s_data[26] I
io_wbs_m2s_data[27] I
io_wbs_m2s_data[28] I
io_wbs_m2s_data[29] I
io_wbs_m2s_data[2] I
io_wbs_m2s_data[30] I
io_wbs_m2s_data[31] I
io_wbs_m2s_data[3] I
io_wbs_m2s_data[4] I
io_wbs_m2s_data[5] I
io_wbs_m2s_data[6] I
io_wbs_m2s_data[7] I
io_wbs_m2s_data[8] I
io_wbs_m2s_data[9] I
io_wbs_m2s_stb I
io_wbs_m2s_we I
reset I
*D_NET *1 0.0136612
*CONN
*P clock I
*I *3503:A I *D sky130_fd_sc_hd__clkbuf_16
*I *879:DIODE I *D sky130_fd_sc_hd__diode_2
*CAP
1 clock 0.0010463
2 *3503:A 0.00163918
3 *879:DIODE 0
4 *1:13 0.00163918
5 *1:11 0.0017719
6 *1:10 0.00264201
7 *1:7 0.00191641
8 *3503:A *3087:A 8.37929e-05
9 *3503:A *3088:A 5.41227e-05
10 *3503:A *3097:B1 0.000354312
11 *3503:A *3101:A2 0.000258222
12 *3503:A *3127:A1 7.76351e-05
13 *3503:A *3127:B1 0.000462023
14 *3503:A *3127:B2 8.01687e-05
15 *3503:A *3137:B2 0.000259585
16 *3503:A *427:8 3.5534e-06
17 *3503:A *432:22 0.000198157
18 *3503:A *434:25 1.36705e-05
19 *3503:A *548:41 0
20 *1:11 *3095:A 0.000129158
21 *1:11 *3109:A 0.000268195
22 *1:11 *3268:A 0
23 *1:11 *3298:S 0.00015046
24 *1:11 *3299:B 0
25 *1:11 *3301:A1 0
26 *1:11 *3307:A 0
27 *1:11 *3409:CLK 0
28 *1:11 *3458:D 0
29 *1:11 *3463:D 0
30 *1:11 *272:8 0.000268181
31 *1:11 *272:47 5.36397e-05
32 *1:11 *356:34 0
33 *1:11 *566:20 0
34 *1:11 *651:31 4.83758e-05
35 *1:11 *714:8 9.25219e-05
36 *1:11 *748:27 0.000150481
*RES
1 clock *1:7 31.7845
2 *1:7 *1:10 23.5253
3 *1:10 *1:11 49.586
4 *1:11 *1:13 4.5
5 *1:13 *879:DIODE 9.24915
6 *1:13 *3503:A 48.6629
*END
*D_NET *2 0.000607203
*CONN
*P io_rxd I
*I *3528:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *898:DIODE I *D sky130_fd_sc_hd__diode_2
*CAP
1 io_rxd 0.000186793
2 *3528:A 1.47709e-05
3 *898:DIODE 7.42934e-05
4 *2:7 0.000275858
5 *898:DIODE *692:6 4.76198e-05
6 *3528:A *692:6 7.86825e-06
7 *2:7 io_wbs_data_o[11] 0
8 *2:7 io_wbs_data_o[26] 0
*RES
1 io_rxd *2:7 4.04389
2 *2:7 *898:DIODE 15.7888
3 *2:7 *3528:A 14.1278
*END
*D_NET *3 0.000964859
*CONN
*P io_txd O
*I *3549:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 io_txd 0.000467736
2 *3549:X 0.000467736
3 io_txd *708:7 2.93863e-05
*RES
1 *3549:X io_txd 25.0104
*END
*D_NET *4 0.00112587
*CONN
*P io_uartInt O
*I *3550:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 io_uartInt 0.000562933
2 *3550:X 0.000562933
*RES
1 *3550:X io_uartInt 28.6744
*END
*D_NET *5 0.00159145
*CONN
*P io_uart_select I
*I *3539:A I *D sky130_fd_sc_hd__clkbuf_4
*I *901:DIODE I *D sky130_fd_sc_hd__diode_2
*CAP
1 io_uart_select 0.000381393
2 *3539:A 0
3 *901:DIODE 0.000340663
4 *5:8 0.000722057
5 *901:DIODE *899:DIODE 7.22498e-05
6 *901:DIODE *691:6 7.50872e-05
7 *5:8 *100:9 0
8 *5:8 *104:10 0
*RES
1 io_uart_select *5:8 7.55874
2 *5:8 *901:DIODE 20.0474
3 *5:8 *3539:A 13.7491
*END
*D_NET *6 0.000608757
*CONN
*P io_wbs_ack_o O
*I *3551:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 io_wbs_ack_o 0.000304379
2 *3551:X 0.000304379
3 io_wbs_ack_o io_wbs_data_o[9] 0
4 io_wbs_ack_o *71:10 0
*RES
1 *3551:X io_wbs_ack_o 19.2316
*END
*D_NET *7 0.000897713
*CONN
*P io_wbs_data_o[0] O
*I *3552:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 io_wbs_data_o[0] 0.000448857
2 *3552:X 0.000448857
3 io_wbs_data_o[0] *65:8 0
*RES
1 *3552:X io_wbs_data_o[0] 19.6906
*END
*D_NET *8 0.000836773
*CONN
*P io_wbs_data_o[10] O
*I *3481:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_wbs_data_o[10] 0.000418386
2 *3481:LO 0.000418386
*RES
1 *3481:LO io_wbs_data_o[10] 25.1564
*END
*D_NET *9 0.000505955
*CONN
*P io_wbs_data_o[11] O
*I *3482:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_wbs_data_o[11] 0.000241143
2 *3482:LO 0.000241143
3 io_wbs_data_o[11] *692:6 2.36701e-05
4 *2:7 io_wbs_data_o[11] 0
*RES
1 *3482:LO io_wbs_data_o[11] 19.0022
*END
*D_NET *10 0.000478477
*CONN
*P io_wbs_data_o[12] O
*I *3483:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_wbs_data_o[12] 0.000239238
2 *3483:LO 0.000239238
3 io_wbs_data_o[12] *103:10 0
4 io_wbs_data_o[12] *681:12 0
*RES
1 *3483:LO io_wbs_data_o[12] 19.0022
*END
*D_NET *11 0.000645955
*CONN
*P io_wbs_data_o[13] O
*I *3484:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_wbs_data_o[13] 0.000296718
2 *3484:LO 0.000296718
3 io_wbs_data_o[13] *706:6 5.25197e-05
*RES
1 *3484:LO io_wbs_data_o[13] 19.9856
*END
*D_NET *12 0.000569771
*CONN
*P io_wbs_data_o[14] O
*I *3485:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_wbs_data_o[14] 0.00026107
2 *3485:LO 0.00026107
3 io_wbs_data_o[14] *68:10 0
4 io_wbs_data_o[14] *692:6 4.76318e-05
*RES
1 *3485:LO io_wbs_data_o[14] 19.8327
*END
*D_NET *13 0.000418977
*CONN
*P io_wbs_data_o[15] O
*I *3486:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_wbs_data_o[15] 0.000176737
2 *3486:LO 0.000176737
3 io_wbs_data_o[15] io_wbs_data_o[1] 6.07449e-05
4 io_wbs_data_o[15] io_wbs_data_o[26] 0
5 io_wbs_data_o[15] *681:10 4.75721e-06
*RES
1 *3486:LO io_wbs_data_o[15] 18.1717
*END
*D_NET *14 0.00056383
*CONN
*P io_wbs_data_o[16] O
*I *3487:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_wbs_data_o[16] 0.000281915
2 *3487:LO 0.000281915
3 io_wbs_data_o[16] io_wbs_data_o[22] 0
*RES
1 *3487:LO io_wbs_data_o[16] 19.1551
*END
*D_NET *15 0.000495556
*CONN
*P io_wbs_data_o[17] O
*I *3488:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_wbs_data_o[17] 0.000247778
2 *3488:LO 0.000247778
3 io_wbs_data_o[17] *681:12 0
*RES
1 *3488:LO io_wbs_data_o[17] 19.0022
*END
*D_NET *16 0.000694406
*CONN
*P io_wbs_data_o[18] O
*I *3489:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_wbs_data_o[18] 0.000347203
2 *3489:LO 0.000347203
3 io_wbs_data_o[18] io_wbs_data_o[23] 0
4 io_wbs_data_o[18] *105:10 0
*RES
1 *3489:LO io_wbs_data_o[18] 20.8161
*END
*D_NET *17 0.000646518
*CONN
*P io_wbs_data_o[19] O
*I *3490:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_wbs_data_o[19] 0.000255215
2 *3490:LO 0.000255215
3 io_wbs_data_o[19] *68:10 0
4 io_wbs_data_o[19] *104:10 6.30699e-05
5 io_wbs_data_o[19] *692:6 7.30178e-05
*RES
1 *3490:LO io_wbs_data_o[19] 20.6632
*END
*D_NET *18 0.000488142
*CONN
*P io_wbs_data_o[1] O
*I *3553:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 io_wbs_data_o[1] 0.000211598
2 *3553:X 0.000211598
3 io_wbs_data_o[1] *681:12 4.20184e-06
4 io_wbs_data_o[15] io_wbs_data_o[1] 6.07449e-05
*RES
1 *3553:X io_wbs_data_o[1] 18.2481
*END
*D_NET *19 0.00082971
*CONN
*P io_wbs_data_o[20] O
*I *3491:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_wbs_data_o[20] 0.000414855
2 *3491:LO 0.000414855
3 io_wbs_data_o[20] io_wbs_data_o[5] 0
*RES
1 *3491:LO io_wbs_data_o[20] 25.1564
*END
*D_NET *20 0.00110589
*CONN
*P io_wbs_data_o[21] O
*I *3492:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_wbs_data_o[21] 0.000479285
2 *3492:LO 0.000479285
3 io_wbs_data_o[21] *708:7 0.000147325
*RES
1 *3492:LO io_wbs_data_o[21] 27.5047
*END
*D_NET *21 0.000693521
*CONN
*P io_wbs_data_o[22] O
*I *3493:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_wbs_data_o[22] 0.00034676
2 *3493:LO 0.00034676
3 io_wbs_data_o[16] io_wbs_data_o[22] 0
*RES
1 *3493:LO io_wbs_data_o[22] 20.8161
*END
*D_NET *22 0.000606543
*CONN
*P io_wbs_data_o[23] O
*I *3494:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_wbs_data_o[23] 0.000243396
2 *3494:LO 0.000243396
3 io_wbs_data_o[23] *96:10 7.10976e-05
4 io_wbs_data_o[23] *706:6 4.86536e-05
5 io_wbs_data_o[18] io_wbs_data_o[23] 0
*RES
1 *3494:LO io_wbs_data_o[23] 19.5704
*END
*D_NET *23 0.00047806
*CONN
*P io_wbs_data_o[24] O
*I *3495:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_wbs_data_o[24] 0.000235436
2 *3495:LO 0.000235436
3 io_wbs_data_o[24] io_wbs_data_o[2] 0
4 io_wbs_data_o[24] *3556:A 7.18816e-06
5 io_wbs_data_o[24] *50:10 0
*RES
1 *3495:LO io_wbs_data_o[24] 18.3246
*END
*D_NET *24 0.00082971
*CONN
*P io_wbs_data_o[25] O
*I *3496:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_wbs_data_o[25] 0.000414855
2 *3496:LO 0.000414855
*RES
1 *3496:LO io_wbs_data_o[25] 25.1564
*END
*D_NET *25 0.000562831
*CONN
*P io_wbs_data_o[26] O
*I *3497:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_wbs_data_o[26] 0.00026536
2 *3497:LO 0.00026536
3 io_wbs_data_o[26] *681:10 3.21112e-05
4 io_wbs_data_o[15] io_wbs_data_o[26] 0
5 *2:7 io_wbs_data_o[26] 0
*RES
1 *3497:LO io_wbs_data_o[26] 19.8327
*END
*D_NET *26 0.00110452
*CONN
*P io_wbs_data_o[27] O
*I *3498:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_wbs_data_o[27] 0.00055226
2 *3498:LO 0.00055226
*RES
1 *3498:LO io_wbs_data_o[27] 27.92
*END
*D_NET *27 0.000921101
*CONN
*P io_wbs_data_o[28] O
*I *3499:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_wbs_data_o[28] 0.000395478
2 *3499:LO 0.000395478
3 io_wbs_data_o[28] *684:5 6.50727e-05
4 io_wbs_data_o[28] *709:9 6.50727e-05
*RES
1 *3499:LO io_wbs_data_o[28] 24.7317
*END
*D_NET *28 0.00109212
*CONN
*P io_wbs_data_o[29] O
*I *3500:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_wbs_data_o[29] 0.000514278
2 *3500:LO 0.000514278
3 io_wbs_data_o[29] io_wbs_data_o[2] 4.03085e-05
4 io_wbs_data_o[29] io_wbs_data_o[4] 0
5 io_wbs_data_o[29] *699:11 2.32594e-05
*RES
1 *3500:LO io_wbs_data_o[29] 28.1198
*END
*D_NET *29 0.00072035
*CONN
*P io_wbs_data_o[2] O
*I *3554:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 io_wbs_data_o[2] 0.000340021
2 *3554:X 0.000340021
3 io_wbs_data_o[2] io_wbs_data_o[4] 0
4 io_wbs_data_o[24] io_wbs_data_o[2] 0
5 io_wbs_data_o[29] io_wbs_data_o[2] 4.03085e-05
*RES
1 *3554:X io_wbs_data_o[2] 20.4774
*END
*D_NET *30 0.000889176
*CONN
*P io_wbs_data_o[30] O
*I *3501:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_wbs_data_o[30] 0.00039876
2 *3501:LO 0.00039876
3 io_wbs_data_o[30] *684:5 6.50727e-05
4 io_wbs_data_o[30] *709:7 2.65831e-05
*RES
1 *3501:LO io_wbs_data_o[30] 24.7317
*END
*D_NET *31 0.00127156
*CONN
*P io_wbs_data_o[31] O
*I *3502:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_wbs_data_o[31] 0.000470474
2 *3502:LO 0.000470474
3 io_wbs_data_o[31] *697:13 0.00033061
*RES
1 *3502:LO io_wbs_data_o[31] 27.5047
*END
*D_NET *32 0.000467073
*CONN
*P io_wbs_data_o[3] O
*I *3555:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 io_wbs_data_o[3] 0.000233537
2 *3555:X 0.000233537
*RES
1 *3555:X io_wbs_data_o[3] 18.2481
*END
*D_NET *33 0.00265267
*CONN
*P io_wbs_data_o[4] O
*I *3556:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 io_wbs_data_o[4] 0.00132633
2 *3556:X 0.00132633
3 io_wbs_data_o[29] io_wbs_data_o[4] 0
4 io_wbs_data_o[2] io_wbs_data_o[4] 0
*RES
1 *3556:X io_wbs_data_o[4] 36.9067
*END
*D_NET *34 0.000948269
*CONN
*P io_wbs_data_o[5] O
*I *3557:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 io_wbs_data_o[5] 0.000474135
2 *3557:X 0.000474135
3 io_wbs_data_o[20] io_wbs_data_o[5] 0
*RES
1 *3557:X io_wbs_data_o[5] 26.8418
*END
*D_NET *35 0.00145545
*CONN
*P io_wbs_data_o[6] O
*I *3558:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 io_wbs_data_o[6] 0.000727727
2 *3558:X 0.000727727
3 io_wbs_data_o[6] *64:7 0
*RES
1 *3558:X io_wbs_data_o[6] 30.8587
*END
*D_NET *36 0.00088704
*CONN
*P io_wbs_data_o[7] O
*I *3559:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 io_wbs_data_o[7] 0.000433874
2 *3559:X 0.000433874
3 io_wbs_data_o[7] *708:7 1.92926e-05
*RES
1 *3559:X io_wbs_data_o[7] 24.4558
*END
*D_NET *37 0.000622508
*CONN
*P io_wbs_data_o[8] O
*I *3479:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_wbs_data_o[8] 0.000253357
2 *3479:LO 0.000253357
3 io_wbs_data_o[8] *71:10 8.11669e-05
4 io_wbs_data_o[8] *105:10 0
5 io_wbs_data_o[8] *696:10 3.46262e-05
*RES
1 *3479:LO io_wbs_data_o[8] 19.9856
*END
*D_NET *38 0.000477988
*CONN
*P io_wbs_data_o[9] O
*I *3480:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_wbs_data_o[9] 0.000238994
2 *3480:LO 0.000238994
3 io_wbs_data_o[9] *66:10 0
4 io_wbs_ack_o io_wbs_data_o[9] 0
*RES
1 *3480:LO io_wbs_data_o[9] 18.3246
*END
*D_NET *39 0.00124401
*CONN
*P io_wbs_m2s_addr[0] I
*I *902:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3542:A I *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 io_wbs_m2s_addr[0] 0.000473739
2 *902:DIODE 0.000115735
3 *3542:A 0
4 *39:9 0.000589474
5 *902:DIODE *702:7 6.50586e-05
*RES
1 io_wbs_m2s_addr[0] *39:9 17.2995
2 *39:9 *3542:A 9.24915
3 *39:9 *902:DIODE 12.191
*END
*D_NET *50 0.000799623
*CONN
*P io_wbs_m2s_addr[1] I
*I *3543:A I *D sky130_fd_sc_hd__buf_2
*I *903:DIODE I *D sky130_fd_sc_hd__diode_2
*CAP
1 io_wbs_m2s_addr[1] 0.000236497
2 *3543:A 0
3 *903:DIODE 0.000160874
4 *50:10 0.000397371
5 *50:10 *3556:A 4.88112e-06
6 io_wbs_data_o[24] *50:10 0
*RES
1 io_wbs_m2s_addr[1] *50:10 9.07549
2 *50:10 *903:DIODE 12.7456
3 *50:10 *3543:A 9.24915
*END
*D_NET *61 0.00111592
*CONN
*P io_wbs_m2s_addr[2] I
*I *3544:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *904:DIODE I *D sky130_fd_sc_hd__diode_2
*CAP
1 io_wbs_m2s_addr[2] 0.000404856
2 *3544:A 0.000153105
3 *904:DIODE 0
4 *61:8 0.000557961
*RES
1 io_wbs_m2s_addr[2] *61:8 14.6521
2 *61:8 *904:DIODE 9.24915
3 *61:8 *3544:A 13.1796
*END
*D_NET *64 0.00117155
*CONN
*P io_wbs_m2s_addr[3] I
*I *3545:A I *D sky130_fd_sc_hd__clkbuf_2
*I *905:DIODE I *D sky130_fd_sc_hd__diode_2
*CAP
1 io_wbs_m2s_addr[3] 0.000350107
2 *3545:A 0.000137641
3 *905:DIODE 8.37853e-05
4 *64:7 0.000571534
5 *905:DIODE *708:7 1.92793e-05
6 *3545:A *708:7 9.19886e-06
7 io_wbs_data_o[6] *64:7 0
*RES
1 io_wbs_m2s_addr[3] *64:7 13.9287
2 *64:7 *905:DIODE 10.5271
3 *64:7 *3545:A 11.6605
*END
*D_NET *65 0.00166695
*CONN
*P io_wbs_m2s_addr[4] I
*I *906:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3546:A I *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 io_wbs_m2s_addr[4] 0.000614924
2 *906:DIODE 0.000218551
3 *3546:A 0
4 *65:8 0.000833475
5 io_wbs_data_o[0] *65:8 0
*RES
1 io_wbs_m2s_addr[4] *65:8 14.2028
2 *65:8 *3546:A 13.7491
3 *65:8 *906:DIODE 18.1049
*END
*D_NET *66 0.0010018
*CONN
*P io_wbs_m2s_addr[5] I
*I *3547:A I *D sky130_fd_sc_hd__clkbuf_1
*I *907:DIODE I *D sky130_fd_sc_hd__diode_2
*CAP
1 io_wbs_m2s_addr[5] 0.000320591
2 *3547:A 0
3 *907:DIODE 9.25682e-05
4 *66:10 0.000413159
5 *907:DIODE *710:9 0.000175485
6 io_wbs_data_o[9] *66:10 0
*RES
1 io_wbs_m2s_addr[5] *66:10 10.7365
2 *66:10 *907:DIODE 12.191
3 *66:10 *3547:A 9.24915
*END
*D_NET *67 0.00109822
*CONN
*P io_wbs_m2s_addr[6] I
*I *908:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3548:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 io_wbs_m2s_addr[6] 0.000436462
2 *908:DIODE 4.49252e-05
3 *3548:A 0
4 *67:10 0.000481387
5 *908:DIODE *708:7 7.97944e-05
6 *67:10 *708:7 5.56461e-05
*RES
1 io_wbs_m2s_addr[6] *67:10 16.77
2 *67:10 *3548:A 9.24915
3 *67:10 *908:DIODE 11.0817
*END
*D_NET *68 0.000874109
*CONN
*P io_wbs_m2s_addr[7] I
*I *888:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3529:A I *D sky130_fd_sc_hd__buf_2
*CAP
1 io_wbs_m2s_addr[7] 0.000245854
2 *888:DIODE 0.00016575
3 *3529:A 0
4 *68:10 0.000411604
5 *888:DIODE *681:7 1.03403e-05
6 *68:10 *692:6 4.05596e-05
7 io_wbs_data_o[14] *68:10 0
8 io_wbs_data_o[19] *68:10 0
*RES
1 io_wbs_m2s_addr[7] *68:10 10.1683
2 *68:10 *3529:A 9.24915
3 *68:10 *888:DIODE 12.7456
*END
*D_NET *71 0.000939796
*CONN
*P io_wbs_m2s_data[0] I
*I *3530:A I *D sky130_fd_sc_hd__clkbuf_2
*I *889:DIODE I *D sky130_fd_sc_hd__diode_2
*CAP
1 io_wbs_m2s_data[0] 0.000234881
2 *3530:A 0
3 *889:DIODE 9.83999e-05
4 *71:10 0.000333281
5 *889:DIODE *682:11 0.000171273
6 *71:10 *696:10 2.07932e-05
7 io_wbs_ack_o *71:10 0
8 io_wbs_data_o[8] *71:10 8.11669e-05
*RES
1 io_wbs_m2s_data[0] *71:10 9.56723
2 *71:10 *889:DIODE 12.191
3 *71:10 *3530:A 9.24915
*END
*D_NET *82 0.000828376
*CONN
*P io_wbs_m2s_data[1] I
*I *3531:A I *D sky130_fd_sc_hd__clkbuf_4
*I *890:DIODE I *D sky130_fd_sc_hd__diode_2
*CAP
1 io_wbs_m2s_data[1] 0.00017137
2 *3531:A 0.000150586
3 *890:DIODE 6.28431e-05
4 *82:7 0.000384799
5 *3531:A *681:12 0
6 *82:7 *103:10 5.87786e-05
*RES
1 io_wbs_m2s_data[1] *82:7 4.04389
2 *82:7 *890:DIODE 14.9583
3 *82:7 *3531:A 17.2061
*END
*D_NET *93 0.0010648
*CONN
*P io_wbs_m2s_data[2] I
*I *3532:A I *D sky130_fd_sc_hd__clkbuf_4
*I *891:DIODE I *D sky130_fd_sc_hd__diode_2
*CAP
1 io_wbs_m2s_data[2] 0.000354746
2 *3532:A 5.8059e-05
3 *891:DIODE 7.87216e-05
4 *93:7 0.000491527
5 *891:DIODE *697:13 2.61012e-05
6 *3532:A *697:13 5.56461e-05
*RES
1 io_wbs_m2s_data[2] *93:7 13.9287
2 *93:7 *891:DIODE 10.5271
3 *93:7 *3532:A 11.1059
*END
*D_NET *96 0.000882084
*CONN
*P io_wbs_m2s_data[3] I
*I *3533:A I *D sky130_fd_sc_hd__buf_2
*I *892:DIODE I *D sky130_fd_sc_hd__diode_2
*CAP
1 io_wbs_m2s_data[3] 0.000228229
2 *3533:A 0
3 *892:DIODE 0.000117362
4 *96:10 0.000345591
5 *892:DIODE *685:11 9.90116e-05
6 *96:10 *706:6 2.07932e-05
7 io_wbs_data_o[23] *96:10 7.10976e-05
*RES
1 io_wbs_m2s_data[3] *96:10 9.49074
2 *96:10 *892:DIODE 12.7456
3 *96:10 *3533:A 9.24915
*END
*D_NET *97 0.00118257
*CONN
*P io_wbs_m2s_data[4] I
*I *3534:A I *D sky130_fd_sc_hd__clkbuf_4
*I *893:DIODE I *D sky130_fd_sc_hd__diode_2
*CAP
1 io_wbs_m2s_data[4] 0.000364692
2 *3534:A 4.10929e-05
3 *893:DIODE 9.85559e-05
4 *97:7 0.000504341
5 *893:DIODE *709:9 0.00012316
6 *3534:A *709:9 5.07314e-05
*RES
1 io_wbs_m2s_data[4] *97:7 14.3439
2 *97:7 *893:DIODE 12.191
3 *97:7 *3534:A 10.5271
*END
*D_NET *98 0.00133759
*CONN
*P io_wbs_m2s_data[5] I
*I *894:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3535:A I *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 io_wbs_m2s_data[5] 0.000419494
2 *894:DIODE 0
3 *3535:A 0.000151439
4 *98:9 0.000570933
5 *3535:A *699:11 0.000195727
*RES
1 io_wbs_m2s_data[5] *98:9 15.9072
2 *98:9 *3535:A 14.8434
3 *98:9 *894:DIODE 9.24915
*END
*D_NET *99 0.00114625
*CONN
*P io_wbs_m2s_data[6] I
*I *895:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3536:A I *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 io_wbs_m2s_data[6] 0.000439254
2 *895:DIODE 0
3 *3536:A 0.000133869
4 *99:9 0.000573123
*RES
1 io_wbs_m2s_data[6] *99:9 16.469
2 *99:9 *3536:A 12.625
3 *99:9 *895:DIODE 9.24915
*END
*D_NET *100 0.00115169
*CONN
*P io_wbs_m2s_data[7] I
*I *896:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3537:A I *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 io_wbs_m2s_data[7] 0.000441976
2 *896:DIODE 0
3 *3537:A 0.000133869
4 *100:9 0.000575845
5 *5:8 *100:9 0
*RES
1 io_wbs_m2s_data[7] *100:9 16.469
2 *100:9 *3537:A 12.625
3 *100:9 *896:DIODE 9.24915
*END
*D_NET *103 0.0008611
*CONN
*P io_wbs_m2s_stb I
*I *897:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3538:A I *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 io_wbs_m2s_stb 0.000249022
2 *897:DIODE 0.0001438
3 *3538:A 0
4 *103:10 0.000392822
5 *103:10 *681:12 1.66771e-05
6 io_wbs_data_o[12] *103:10 0
7 *82:7 *103:10 5.87786e-05
*RES
1 io_wbs_m2s_stb *103:10 9.15198
2 *103:10 *3538:A 9.24915
3 *103:10 *897:DIODE 12.191
*END
*D_NET *104 0.00094245
*CONN
*P io_wbs_m2s_we I
*I *899:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3540:A I *D sky130_fd_sc_hd__buf_2
*CAP
1 io_wbs_m2s_we 0.000255958
2 *899:DIODE 0.000135772
3 *3540:A 0
4 *104:10 0.00039173
5 *104:10 *691:6 0
6 *104:10 *692:6 2.36701e-05
7 io_wbs_data_o[19] *104:10 6.30699e-05
8 *901:DIODE *899:DIODE 7.22498e-05
9 *5:8 *104:10 0
*RES
1 io_wbs_m2s_we *104:10 10.9988
2 *104:10 *3540:A 9.24915
3 *104:10 *899:DIODE 12.7456
*END
*D_NET *105 0.00104696
*CONN
*P reset I
*I *3541:A I *D sky130_fd_sc_hd__clkbuf_2
*I *900:DIODE I *D sky130_fd_sc_hd__diode_2
*CAP
1 reset 0.000315622
2 *3541:A 0
3 *900:DIODE 0.000102089
4 *105:10 0.000417711
5 *900:DIODE *693:5 0.000171273
6 *105:10 *696:10 4.02608e-05
7 io_wbs_data_o[18] *105:10 0
8 io_wbs_data_o[8] *105:10 0
*RES
1 reset *105:10 10.3977
2 *105:10 *900:DIODE 12.191
3 *105:10 *3541:A 9.24915
*END
*D_NET *108 0.00498813
*CONN
*I *3296:A I *D sky130_fd_sc_hd__or2_1
*I *3138:A I *D sky130_fd_sc_hd__or2_1
*I *3457:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3296:A 6.10177e-05
2 *3138:A 0.00132395
3 *3457:Q 0.000258095
4 *108:5 0.00164307
5 *3138:A *3100:B 0
6 *3138:A *3139:A1 0.000627647
7 *3138:A *3139:B1 7.50722e-05
8 *3138:A *3297:A2 1.1822e-05
9 *3138:A *3297:B1 5.94977e-06
10 *3138:A *3457:D 0.000301169
11 *3138:A *154:10 7.85874e-06
12 *3138:A *425:11 0.000118166
13 *3138:A *548:9 0.000101133
14 *3138:A *689:97 0.000161472
15 *3138:A *706:82 0
16 *3296:A *653:23 0
17 *3296:A *686:46 0.000101133
18 *108:5 *689:97 0.000190573
*RES
1 *3457:Q *108:5 13.8548
2 *108:5 *3138:A 40.7703
3 *108:5 *3296:A 20.0811
*END
*D_NET *109 0.00117435
*CONN
*I *3135:A I *D sky130_fd_sc_hd__and3_1
*I *3321:A0 I *D sky130_fd_sc_hd__mux2_1
*I *3465:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3135:A 0.000109284
2 *3321:A0 0
3 *3465:Q 0.000117083
4 *109:9 0.000226367
5 *3135:A *3095:A 0.000381471
6 *3135:A *3321:A1 2.15348e-05
7 *3135:A *664:29 1.41976e-05
8 *3135:A *748:13 9.82896e-06
9 *3135:A *748:27 5.0715e-05
10 *109:9 *3321:A1 0.000113968
11 *109:9 *355:47 3.0902e-05
12 *109:9 *664:13 5.82465e-05
13 *109:9 *664:29 4.0752e-05
*RES
1 *3465:Q *109:9 22.1896
2 *109:9 *3321:A0 9.24915
3 *109:9 *3135:A 14.4335
*END
*D_NET *110 0.00037026
*CONN
*I *3422:D I *D sky130_fd_sc_hd__dfxtp_1
*I *2860:X O *D sky130_fd_sc_hd__a22o_1
*CAP
1 *3422:D 0.000110675
2 *2860:X 0.000110675
3 *3422:D *2860:A1 2.55493e-05
4 *3422:D *776:8 0.000123361
*RES
1 *2860:X *3422:D 30.6625
*END
*D_NET *111 0.000458151
*CONN
*I *3423:D I *D sky130_fd_sc_hd__dfxtp_1
*I *2863:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3423:D 0.000178501
2 *2863:X 0.000178501
3 *3423:D *760:27 0.000101148
*RES
1 *2863:X *3423:D 31.7717
*END
*D_NET *112 0.000361433
*CONN
*I *3424:D I *D sky130_fd_sc_hd__dfxtp_1
*I *2866:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3424:D 5.88812e-05
2 *2866:X 5.88812e-05
3 *3424:D *2866:A 0.000156823
4 *3424:D *3423:CLK 1.87611e-05
5 *3424:D *407:123 6.80864e-05
*RES
1 *2866:X *3424:D 30.3838
*END
*D_NET *113 0.000533936
*CONN
*I *3425:D I *D sky130_fd_sc_hd__dfxtp_1
*I *2870:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3425:D 0.000114898
2 *2870:X 0.000114898
3 *3425:D *3425:CLK 6.54102e-05
4 *3425:D *154:14 0.000169093
5 *3425:D *662:12 0
6 *3425:D *761:30 6.96362e-05
*RES
1 *2870:X *3425:D 31.3537
*END
*D_NET *114 0.000443917
*CONN
*I *3426:D I *D sky130_fd_sc_hd__dfxtp_1
*I *2874:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3426:D 0.000143163
2 *2874:X 0.000143163
3 *3426:D *3426:CLK 0.00015759
*RES
1 *2874:X *3426:D 22.3865
*END
*D_NET *115 0.000505534
*CONN
*I *3427:D I *D sky130_fd_sc_hd__dfxtp_1
*I *2877:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3427:D 0.000156319
2 *2877:X 0.000156319
3 *3427:D *2873:A0 0
4 *3427:D *2877:A 0.000127838
5 *3427:D *772:12 6.50586e-05
*RES
1 *2877:X *3427:D 31.7717
*END
*D_NET *116 0.00137315
*CONN
*I *3428:D I *D sky130_fd_sc_hd__dfxtp_1
*I *2880:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3428:D 0.000182109
2 *2880:X 0.000182109
3 *3428:D *2868:A0 0.000466964
4 *3428:D *2870:A 9.58927e-06
5 *3428:D *2871:A0 6.54102e-05
6 *3428:D *407:123 0.000466964
*RES
1 *2880:X *3428:D 36.752
*END
*D_NET *117 0.000983072
*CONN
*I *3429:D I *D sky130_fd_sc_hd__dfxtp_1
*I *2883:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3429:D 0.000314216
2 *2883:X 0.000314216
3 *3429:D *883:DIODE 3.9504e-05
4 *3429:D *2881:A1 6.50727e-05
5 *3429:D *2883:A 7.58739e-05
6 *3429:D *2889:A 0.00017419
*RES
1 *2883:X *3429:D 36.3451
*END
*D_NET *118 0.00100891
*CONN
*I *3430:D I *D sky130_fd_sc_hd__dfxtp_1
*I *2890:X O *D sky130_fd_sc_hd__o31a_1
*CAP
1 *3430:D 0.000259483
2 *2890:X 0.000259483
3 *3430:D *2856:A 2.65831e-05
4 *3430:D *2882:S 8.57401e-05
5 *3430:D *2889:A 0
6 *3430:D *247:8 0.000224395
7 *3430:D *640:156 0.000153225
*RES
1 *2890:X *3430:D 35.5441
*END
*D_NET *119 0.00906175
*CONN
*I *3364:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3057:D1 I *D sky130_fd_sc_hd__a2111oi_1
*I *3068:D1 I *D sky130_fd_sc_hd__a2111oi_1
*I *3073:C1 I *D sky130_fd_sc_hd__a311o_1
*I *3051:B I *D sky130_fd_sc_hd__nor2_2
*I *2898:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3364:D 0.000638142
2 *3057:D1 0
3 *3068:D1 0.000265912
4 *3073:C1 2.60774e-05
5 *3051:B 2.31818e-05
6 *2898:X 0.000927903
7 *119:51 0.000754562
8 *119:41 0.000810995
9 *119:29 0.000678053
10 *119:14 0.0011744
11 *3051:B *3034:A 6.50586e-05
12 *3068:D1 *3060:C1 6.99486e-05
13 *3068:D1 *3064:B 0.000171273
14 *3068:D1 *3065:A 6.50586e-05
15 *3068:D1 *3068:A2 3.00505e-05
16 *3068:D1 *3068:B1 0.000111708
17 *3068:D1 *412:9 6.94062e-07
18 *3073:C1 *3073:A2 0.000107496
19 *3073:C1 *3073:B1 0.000107496
20 *3364:D *2919:B 4.84944e-05
21 *3364:D *3059:B 1.55462e-05
22 *3364:D *3364:CLK 0.00011818
23 *3364:D *3387:D 0.000337654
24 *3364:D *369:6 0
25 *3364:D *657:28 5.77352e-05
26 *3364:D *657:40 0.000179303
27 *3364:D *722:8 1.00937e-05
28 *3364:D *724:10 0
29 *119:14 *2898:A 9.12416e-06
30 *119:14 *3006:B1 4.46059e-05
31 *119:14 *3007:B 0
32 *119:14 *3035:A1 6.08467e-05
33 *119:14 *3214:B 0
34 *119:14 *3368:CLK 6.86315e-05
35 *119:14 *268:49 1.5714e-05
36 *119:14 *369:6 5.67995e-05
37 *119:14 *402:102 7.65861e-05
38 *119:14 *690:12 0.000120257
39 *119:14 *759:29 2.44103e-05
40 *119:29 *811:DIODE 7.77309e-06
41 *119:29 *369:6 0.000170715
42 *119:29 *402:102 0.000341823
43 *119:41 *811:DIODE 0.000165481
44 *119:41 *3052:C 0
45 *119:41 *3059:B 0
46 *119:41 *3063:A 9.45719e-05
47 *119:41 *3064:B 4.90829e-05
48 *119:41 *3073:A2 6.50727e-05
49 *119:41 *3073:B1 9.97706e-05
50 *119:41 *369:6 0
51 *119:41 *391:10 0.000123582
52 *119:41 *396:36 0
53 *119:41 *403:38 1.81988e-05
54 *119:41 *412:9 2.99929e-05
55 *119:41 *417:13 1.65872e-05
56 *119:51 *2919:B 0.000140146
57 *119:51 *3059:B 9.2346e-06
58 *119:51 *3060:C1 7.64392e-05
59 *119:51 *3064:B 0.000167076
60 *119:51 *406:5 0.00011818
61 *119:51 *657:28 9.60366e-05
*RES
1 *2898:X *119:14 29.6228
2 *119:14 *3051:B 14.4725
3 *119:14 *119:29 12.2188
4 *119:29 *3073:C1 10.5271
5 *119:29 *119:41 21.3849
6 *119:41 *3068:D1 16.6278
7 *119:41 *119:51 9.82841
8 *119:51 *3057:D1 13.7491
9 *119:51 *3364:D 31.6354
*END
*D_NET *120 0.0014159
*CONN
*I *3365:D I *D sky130_fd_sc_hd__dfxtp_1
*I *2910:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *3365:D 0.00025493
2 *2910:X 0.00025493
3 *3365:D *800:DIODE 1.61631e-05
4 *3365:D *2843:B1 4.86172e-06
5 *3365:D *2906:A1 1.47102e-05
6 *3365:D *282:108 3.58044e-05
7 *3365:D *407:30 9.49135e-05
8 *3365:D *459:15 0.000446232
9 *3365:D *632:19 0.000293354
*RES
1 *2910:X *3365:D 35.5816
*END
*D_NET *121 0.00103022
*CONN
*I *3366:D I *D sky130_fd_sc_hd__dfxtp_1
*I *2943:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *3366:D 0.000160222
2 *2943:Y 0.000160222
3 *3366:D *2963:A2 1.14755e-05
4 *3366:D *2971:B1 1.44467e-05
5 *3366:D *3145:C 0.000118485
6 *3366:D *3146:B1 0.000282684
7 *3366:D *358:27 0.000282684
*RES
1 *2943:Y *3366:D 33.9874
*END
*D_NET *122 0.00391393
*CONN
*I *3367:D I *D sky130_fd_sc_hd__dfxtp_1
*I *2949:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *3367:D 0.000783836
2 *2949:Y 0.000783836
3 *3367:D *2957:A1 2.77419e-05
4 *3367:D *3044:A1 0.000219502
5 *3367:D *3141:B1 0.000154915
6 *3367:D *268:34 0
7 *3367:D *305:24 1.11638e-05
8 *3367:D *681:20 0.00162833
9 *3367:D *690:12 0.000304604
*RES
1 *2949:Y *3367:D 44.4154
*END
*D_NET *123 0.000459662
*CONN
*I *3368:D I *D sky130_fd_sc_hd__dfxtp_1
*I *2956:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *3368:D 0.000195068
2 *2956:Y 0.000195068
3 *3368:D *2956:B1 7.34948e-06
4 *3368:D *3044:A1 3.88002e-05
5 *3368:D *314:37 0
6 *3368:D *339:35 3.18826e-06
7 *3368:D *683:21 2.01874e-05
*RES
1 *2956:Y *3368:D 31.6618
*END
*D_NET *124 0.00049413
*CONN
*I *3369:D I *D sky130_fd_sc_hd__dfxtp_1
*I *2962:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *3369:D 0.000121122
2 *2962:Y 0.000121122
3 *3369:D *2930:A 2.16355e-05
4 *3369:D *2955:A2 3.18826e-06
5 *3369:D *2962:B1 0.00014192
6 *3369:D *314:37 6.37831e-05
7 *3369:D *683:21 2.13584e-05
*RES
1 *2962:Y *3369:D 31.1072
*END
*D_NET *125 0.000968956
*CONN
*I *3370:D I *D sky130_fd_sc_hd__dfxtp_1
*I *2971:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *3370:D 0.000484478
2 *2971:Y 0.000484478
*RES
1 *2971:Y *3370:D 29.8616
*END
*D_NET *126 0.00221772
*CONN
*I *3371:D I *D sky130_fd_sc_hd__dfxtp_1
*I *2979:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *3371:D 0.000710758
2 *2979:Y 0.000710758
3 *3371:D *2973:A2 2.02763e-05
4 *3371:D *2973:B1 0
5 *3371:D *2975:B 8.01886e-05
6 *3371:D *2979:A1 5.19216e-05
7 *3371:D *2979:B1 2.65831e-05
8 *3371:D *336:22 0.000541734
9 *3371:D *337:8 2.47808e-05
10 *3371:D *753:9 0
11 *3371:D *754:7 5.0715e-05
*RES
1 *2979:Y *3371:D 47.7397
*END
*D_NET *127 0.00106819
*CONN
*I *3372:D I *D sky130_fd_sc_hd__dfxtp_1
*I *2986:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *3372:D 0.000436629
2 *2986:Y 0.000436629
3 *3372:D *2980:A 0.000127179
4 *3372:D *2999:B 0
5 *3372:D *345:15 6.77533e-05
*RES
1 *2986:Y *3372:D 38.4214
*END
*D_NET *128 0.000705591
*CONN
*I *3373:D I *D sky130_fd_sc_hd__dfxtp_1
*I *2996:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *3373:D 0.00014143
2 *2996:X 0.00014143
3 *3373:D *2970:A2 6.50727e-05
4 *3373:D *2989:A1 3.46062e-05
5 *3373:D *2989:A2 0.000141833
6 *3373:D *335:24 7.73931e-05
7 *3373:D *656:64 0.000103827
*RES
1 *2996:X *3373:D 32.0416
*END
*D_NET *129 0.000905234
*CONN
*I *3374:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3002:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *3374:D 0.000378184
2 *3002:Y 0.000378184
3 *3374:D *3374:CLK 0.000145936
4 *3374:D *345:27 2.92975e-06
*RES
1 *3002:Y *3374:D 37.2621
*END
*D_NET *130 0.00104167
*CONN
*I *3375:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3005:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *3375:D 0.000278986
2 *3005:Y 0.000278986
3 *3375:D *2981:A2 1.44611e-05
4 *3375:D *3005:A2 4.96202e-06
5 *3375:D *345:15 2.22518e-05
6 *3375:D *656:21 0.00044202
7 *3375:D *757:8 0
*RES
1 *3005:Y *3375:D 36.0664
*END
*D_NET *131 0.00027449
*CONN
*I *3376:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3011:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3376:D 0.000113856
2 *3011:X 0.000113856
3 *3376:D *3011:A 2.692e-05
4 *3376:D *689:31 1.98583e-05
*RES
1 *3011:X *3376:D 30.4214
*END
*D_NET *132 0.000347346
*CONN
*I *3377:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3014:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3377:D 0.000161451
2 *3014:X 0.000161451
3 *3377:D *3014:A 0
4 *3377:D *650:24 1.87611e-05
5 *3377:D *689:21 5.68237e-06
*RES
1 *3014:X *3377:D 30.692
*END
*D_NET *133 0.000443093
*CONN
*I *3378:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3017:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3378:D 0.000198967
2 *3017:X 0.000198967
3 *3378:D *3017:A 3.25584e-05
4 *3378:D *3378:CLK 1.2601e-05
*RES
1 *3017:X *3378:D 31.6618
*END
*D_NET *134 0.000273174
*CONN
*I *3379:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3020:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3379:D 5.70405e-05
2 *3020:X 5.70405e-05
3 *3379:D *3015:A1 1.87611e-05
4 *3379:D *3379:CLK 2.55493e-05
5 *3379:D *3460:CLK 1.87611e-05
6 *3379:D *356:63 9.60216e-05
*RES
1 *3020:X *3379:D 29.5533
*END
*D_NET *135 0.000657479
*CONN
*I *3380:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3024:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3380:D 0.000210778
2 *3024:X 0.000210778
3 *3380:D *3024:A 0.000226296
4 *3380:D *3380:CLK 3.67528e-06
5 *3380:D *680:12 0
6 *3380:D *692:11 1.81331e-06
7 *3380:D *692:17 4.13873e-06
*RES
1 *3024:X *3380:D 33.1835
*END
*D_NET *136 0.000451633
*CONN
*I *3381:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3027:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3381:D 0.000165662
2 *3027:X 0.000165662
3 *3381:D *3027:A 2.65831e-05
4 *3381:D *379:32 0
5 *3381:D *663:18 9.37259e-05
*RES
1 *3027:X *3381:D 31.1448
*END
*D_NET *137 0.000490668
*CONN
*I *3382:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3030:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3382:D 0.000229535
2 *3030:X 0.000229535
3 *3382:D *3030:A 2.99929e-05
4 *3382:D *3318:A1 1.60502e-06
5 *3382:D *3319:B 0
6 *3382:D *369:12 0
7 *3382:D *369:21 0
*RES
1 *3030:X *3382:D 32.8754
*END
*D_NET *138 0.000358022
*CONN
*I *3383:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3033:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3383:D 0.000179011
2 *3033:X 0.000179011
*RES
1 *3033:X *3383:D 22.3865
*END
*D_NET *139 0.000804393
*CONN
*I *3384:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3039:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *3384:D 0.000113264
2 *3039:X 0.000113264
3 *3384:D *3039:A1 1.79807e-05
4 *3384:D *3039:A2 0
5 *3384:D *3384:CLK 0.00015759
6 *3384:D *297:11 0.000306257
7 *3384:D *356:8 9.60366e-05
*RES
1 *3039:X *3384:D 32.6398
*END
*D_NET *140 0.000858993
*CONN
*I *3385:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3047:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *3385:D 0.000265196
2 *3047:X 0.000265196
3 *3385:D *2914:B 4.22695e-05
4 *3385:D *3047:A1 2.13808e-05
5 *3385:D *3047:C1 0.000101133
6 *3385:D *300:30 0.000160617
7 *3385:D *304:14 3.20069e-06
*RES
1 *3047:X *3385:D 34.5448
*END
*D_NET *141 0.000432468
*CONN
*I *3386:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3053:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3386:D 0.000153158
2 *3053:X 0.000153158
3 *3386:D *3386:CLK 0.00011818
4 *3386:D *402:87 7.97098e-06
*RES
1 *3053:X *3386:D 22.3865
*END
*D_NET *142 0.000864286
*CONN
*I *3387:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3057:Y O *D sky130_fd_sc_hd__a2111oi_1
*CAP
1 *3387:D 0.000230786
2 *3057:Y 0.000230786
3 *3387:D *3059:B 6.50586e-05
4 *3387:D *369:6 0
5 *3364:D *3387:D 0.000337654
*RES
1 *3057:Y *3387:D 34.4293
*END
*D_NET *143 0.000468715
*CONN
*I *3388:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3060:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *3388:D 0.000126604
2 *3060:X 0.000126604
3 *3388:D *809:DIODE 8.59671e-05
4 *3388:D *3059:A 0.000106635
5 *3388:D *722:12 2.29056e-05
*RES
1 *3060:X *3388:D 31.3182
*END
*D_NET *144 0.000295214
*CONN
*I *3389:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3065:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3389:D 9.90269e-05
2 *3065:X 9.90269e-05
3 *3389:D *657:40 7.02539e-05
4 *3389:D *680:18 2.69064e-05
*RES
1 *3065:X *3389:D 30.1079
*END
*D_NET *145 0.000991079
*CONN
*I *3390:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3068:Y O *D sky130_fd_sc_hd__a2111oi_1
*CAP
1 *3390:D 0.00043842
2 *3068:Y 0.00043842
3 *3390:D *3068:C1 4.01573e-05
4 *3390:D *725:9 7.40813e-05
*RES
1 *3068:Y *3390:D 38.7029
*END
*D_NET *146 0.0012349
*CONN
*I *3391:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3071:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *3391:D 0.000590353
2 *3071:X 0.000590353
3 *3391:D *3071:A1 9.75148e-06
4 *3391:D *3071:A2 6.98337e-06
5 *3391:D *726:10 3.74571e-05
*RES
1 *3071:X *3391:D 39.9809
*END
*D_NET *147 0.000589222
*CONN
*I *3392:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3074:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *3392:D 0.00028324
2 *3074:Y 0.00028324
3 *3392:D *3074:A1 1.57593e-05
4 *3392:D *3074:B1 6.98337e-06
5 *3392:D *727:9 0
*RES
1 *3074:Y *3392:D 33.5355
*END
*D_NET *148 0.013885
*CONN
*I *877:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3393:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3101:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *877:DIODE 0
2 *3393:D 0.000170945
3 *3101:X 0.00550766
4 *148:20 0.00567861
5 *3393:D *3393:CLK 0.000171273
6 *148:20 *3101:A2 3.77804e-05
7 *148:20 *3393:CLK 0.000117754
8 *148:20 *151:18 0.00199249
9 *148:20 *299:28 0.000101729
10 *148:20 *399:46 7.93002e-06
11 *148:20 *637:22 6.03122e-05
12 *148:20 *638:26 3.85252e-05
13 *148:20 *689:46 0
*RES
1 *3101:X *148:20 45.7993
2 *148:20 *3393:D 13.692
3 *148:20 *877:DIODE 9.24915
*END
*D_NET *149 0.00717716
*CONN
*I *3394:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3108:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *3394:D 0
2 *3108:X 0.000633007
3 *149:27 0.00124515
4 *149:11 0.00187815
5 *149:11 *3102:B 8.14875e-05
6 *149:11 *3102:C 0.000328067
7 *149:11 *3108:A2 0.000160617
8 *149:11 *3109:B 6.73022e-05
9 *149:11 *3111:B1 0.000227558
10 *149:11 *3309:A0 0.000211464
11 *149:11 *3317:A 0.00023377
12 *149:11 *440:11 3.79145e-06
13 *149:27 *3018:A0 4.33655e-05
14 *149:27 *3018:S 0.000802132
15 *149:27 *3019:B 6.92705e-05
16 *149:27 *3022:A0 1.62206e-05
17 *149:27 *3022:A1 8.62625e-06
18 *149:27 *3023:A 0
19 *149:27 *3023:B 0.000224381
20 *149:27 *3024:A 3.58321e-05
21 *149:27 *3309:A0 8.90311e-06
22 *149:27 *3309:A1 0.000290314
23 *149:27 *3317:A 0.000111722
24 *149:27 *3380:CLK 0
25 *149:27 *663:7 0.000317521
26 *149:27 *663:11 0.000166542
27 *149:27 *680:12 0
28 *149:27 *738:17 1.19721e-05
*RES
1 *3108:X *149:11 30.2759
2 *149:11 *149:27 46.782
3 *149:27 *3394:D 9.24915
*END
*D_NET *150 0.00502603
*CONN
*I *3395:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3113:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *3395:D 8.58861e-06
2 *3113:X 0.0001576
3 *150:8 0.00185238
4 *150:7 0.00200139
5 *3395:D *3398:CLK 1.87611e-05
6 *150:7 *824:DIODE 0.0002817
7 *150:8 *3113:B1 0
8 *150:8 *3264:A 0
9 *150:8 *3286:A1 4.55235e-05
10 *150:8 *3397:D 0.000660087
11 *150:8 *688:6 0
12 *150:8 *688:10 0
*RES
1 *3113:X *150:7 16.691
2 *150:7 *150:8 49.1707
3 *150:8 *3395:D 13.9722
*END
*D_NET *151 0.0144848
*CONN
*I *878:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3396:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3118:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *878:DIODE 0
2 *3396:D 0.000184895
3 *3118:X 0.0052272
4 *151:18 0.00541209
5 *3396:D *649:70 0.000276673
6 *151:18 *2977:A2 0.000881608
7 *151:18 *3101:A1 0.000115772
8 *151:18 *399:46 2.18346e-05
9 *151:18 *637:22 0.000114642
10 *151:18 *638:26 0.000142616
11 *151:18 *649:70 1.91246e-05
12 *151:18 *684:68 9.58625e-05
13 *148:20 *151:18 0.00199249
*RES
1 *3118:X *151:18 41.0558
2 *151:18 *3396:D 19.0963
3 *151:18 *878:DIODE 13.7491
*END
*D_NET *152 0.00224118
*CONN
*I *3397:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3124:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *3397:D 0.000531677
2 *3124:X 0.000531677
3 *3397:D *824:DIODE 0
4 *3397:D *3286:A2 0.000102374
5 *3397:D *3442:CLK 0.00011818
6 *3397:D *3452:D 4.27003e-05
7 *3397:D *426:18 5.04734e-05
8 *3397:D *575:34 2.99929e-05
9 *3397:D *653:66 0.000134983
10 *3397:D *685:92 3.90318e-05
11 *3397:D *688:10 0
12 *150:8 *3397:D 0.000660087
*RES
1 *3124:X *3397:D 45.3733
*END
*D_NET *153 0.00754376
*CONN
*I *3398:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3129:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *3398:D 0.00121847
2 *3129:X 0.000740006
3 *153:14 0.00225828
4 *153:10 0.00177982
5 *153:10 *3278:A 0.000160617
6 *153:10 *3292:B 0.000167542
7 *153:10 *3293:A2 0.000199527
8 *153:10 *3293:B1 9.40452e-05
9 *153:10 *3297:B1 7.68538e-06
10 *153:10 *443:20 0.000111708
11 *153:10 *576:18 3.31882e-05
12 *153:10 *576:27 0.00021046
13 *153:10 *577:47 0
14 *153:10 *653:21 1.36313e-05
15 *153:10 *687:13 2.65667e-05
16 *153:10 *687:18 2.22342e-05
17 *153:14 *3289:A2 3.69003e-05
18 *153:14 *3290:A 0.000143047
19 *153:14 *3291:A1 2.47808e-05
20 *153:14 *3291:A2 1.32509e-05
21 *153:14 *3291:B1 0.000122098
22 *153:14 *3516:A 0
23 *153:14 *577:47 0
24 *153:14 *653:8 6.01944e-06
25 *153:14 *653:10 7.26733e-05
26 *153:14 *653:21 8.12075e-05
27 *153:14 *653:56 0
28 *153:14 *653:66 0
29 *153:14 *686:46 0
30 *153:14 *688:10 0
*RES
1 *3129:X *153:10 30.6836
2 *153:10 *153:14 27.9196
3 *153:14 *3398:D 44.8596
*END
*D_NET *154 0.00995863
*CONN
*I *3399:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3134:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *3399:D 0.0012545
2 *3134:X 0.000862976
3 *154:14 0.00236605
4 *154:10 0.00197452
5 *3399:D *2857:A 5.82465e-05
6 *3399:D *3209:B 0
7 *3399:D *3210:B1 0.000200251
8 *3399:D *3210:C1 6.44382e-05
9 *3399:D *3212:B 8.36326e-05
10 *3399:D *3356:B1 4.08154e-05
11 *3399:D *3356:C1 0.000109048
12 *3399:D *3359:B 0
13 *3399:D *3362:A1 0
14 *3399:D *3399:CLK 6.78596e-05
15 *3399:D *3475:D 0.00012568
16 *3399:D *527:8 0
17 *3399:D *640:156 0
18 *3399:D *660:11 0
19 *154:10 *2889:A 7.0896e-05
20 *154:10 *3468:D 5.53498e-05
21 *154:10 *425:11 1.21461e-06
22 *154:10 *574:8 2.6001e-05
23 *154:10 *576:15 0.000125087
24 *154:10 *582:8 4.93395e-05
25 *154:10 *582:27 6.01944e-06
26 *154:10 *685:25 0.000960515
27 *154:10 *688:14 7.14746e-05
28 *154:10 *689:92 0
29 *154:14 *2866:A 0
30 *154:14 *2889:A 0.000329637
31 *154:14 *3355:B 5.92192e-05
32 *154:14 *3356:B1 2.71337e-05
33 *154:14 *3430:CLK 0
34 *154:14 *3468:CLK 0
35 *154:14 *3468:D 0.000198205
36 *154:14 *239:8 0.000153225
37 *154:14 *239:10 0.000440339
38 *154:14 *660:6 0
39 *154:14 *660:59 0
40 *154:14 *660:70 0
41 *154:14 *662:10 0
42 *154:14 *662:12 0
43 *3138:A *154:10 7.85874e-06
44 *3425:D *154:14 0.000169093
*RES
1 *3134:X *154:10 38.1569
2 *154:10 *154:14 33.9774
3 *154:14 *3399:D 46.7949
*END
*D_NET *155 0.00988967
*CONN
*I *3400:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3139:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *3400:D 0.000967742
2 *3139:X 0.000389198
3 *155:10 0.00253131
4 *155:7 0.00195277
5 *3400:D *2848:A 0.000187997
6 *3400:D *2848:B 0
7 *3400:D *3211:A2 0
8 *3400:D *3357:B1 4.88337e-05
9 *3400:D *3359:A 0.000176222
10 *3400:D *3522:A 0.000184712
11 *3400:D *3523:A 9.46009e-05
12 *3400:D *262:35 8.45281e-05
13 *3400:D *527:8 7.22836e-05
14 *3400:D *711:10 0
15 *3400:D *778:8 1.9101e-05
16 *3400:D *778:21 0.000155006
17 *3400:D *778:23 0.000353507
18 *3400:D *791:8 0.000127179
19 *155:7 *3139:A1 1.37563e-05
20 *155:7 *464:28 4.58003e-05
21 *155:7 *464:34 2.99978e-05
22 *155:10 *2867:A 4.47578e-05
23 *155:10 *2881:A0 0.000509768
24 *155:10 *2882:A0 0
25 *155:10 *2882:A1 0
26 *155:10 *2890:A1 0
27 *155:10 *2890:A3 0.000118204
28 *155:10 *2890:B1 0.000130777
29 *155:10 *3045:A 7.51761e-05
30 *155:10 *3098:A 0.000348828
31 *155:10 *3227:A 0
32 *155:10 *3331:A1 0
33 *155:10 *3335:A 0.000331909
34 *155:10 *3336:A 0
35 *155:10 *3350:A 0.000407538
36 *155:10 *3357:B1 8.10016e-06
37 *155:10 *273:14 0
38 *155:10 *398:14 0
39 *155:10 *398:16 0
40 *155:10 *399:9 4.37999e-05
41 *155:10 *407:12 0.000248761
42 *155:10 *503:26 0
43 *155:10 *607:14 0
44 *155:10 *607:16 0
45 *155:10 *640:150 0
46 *155:10 *640:152 0
47 *155:10 *640:156 0
48 *155:10 *766:6 0.000187513
49 *155:10 *780:6 0
*RES
1 *3139:X *155:7 19.464
2 *155:7 *155:10 49.2807
3 *155:10 *3400:D 44.1152
*END
*D_NET *156 0.001833
*CONN
*I *3401:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3143:X O *D sky130_fd_sc_hd__a22o_1
*CAP
1 *3401:D 0.000650362
2 *3143:X 0.000650362
3 *3401:D *2895:A 0.000357898
4 *3401:D *477:19 0.000118128
5 *3401:D *742:7 5.62499e-05
*RES
1 *3143:X *3401:D 34.829
*END
*D_NET *157 0.000318028
*CONN
*I *3402:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3147:X O *D sky130_fd_sc_hd__a32o_1
*CAP
1 *3402:D 0.000137809
2 *3147:X 0.000137809
3 *3402:D *3150:A2 2.36494e-05
4 *3402:D *3402:CLK 1.87611e-05
*RES
1 *3147:X *3402:D 30.2767
*END
*D_NET *158 0.00166454
*CONN
*I *3403:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3150:X O *D sky130_fd_sc_hd__a32o_1
*CAP
1 *3403:D 0.000332285
2 *3150:X 0.000332285
3 *3403:D *3150:B1 0
4 *3403:D *681:20 0.000114038
5 *3403:D *683:5 0.000853846
6 *3403:D *744:10 3.20853e-05
*RES
1 *3150:X *3403:D 39.2575
*END
*D_NET *159 0.000731109
*CONN
*I *3404:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3152:Y O *D sky130_fd_sc_hd__o21ai_1
*CAP
1 *3404:D 0.000184825
2 *3152:Y 0.000184825
3 *3404:D *3152:A1 2.56676e-05
4 *3404:D *649:30 0.000335791
*RES
1 *3152:Y *3404:D 32.881
*END
*D_NET *160 0.000822771
*CONN
*I *3405:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3157:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3405:D 0.000119404
2 *3157:X 0.000119404
3 *3405:D *3021:A 0.000257267
4 *3405:D *3157:A 3.88002e-05
5 *3405:D *3405:CLK 0.000111722
6 *3405:D *272:17 3.31278e-05
7 *3405:D *638:26 0.000143047
*RES
1 *3157:X *3405:D 32.982
*END
*D_NET *161 0.00154651
*CONN
*I *3406:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3161:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3406:D 0.000245153
2 *3161:X 0.000245153
3 *3406:D *3120:A1 0.000210977
4 *3406:D *3126:A1 4.98215e-05
5 *3406:D *3160:A 0.000530123
6 *3406:D *3161:A 0.000129157
7 *3406:D *3406:CLK 0.000106635
8 *3406:D *634:7 2.94869e-05
*RES
1 *3161:X *3406:D 38.2788
*END
*D_NET *162 0.000397821
*CONN
*I *3407:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3164:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3407:D 0.000115241
2 *3164:X 0.000115241
3 *3407:D *2842:C1 0
4 *3407:D *3443:D 3.12316e-05
5 *3407:D *551:45 2.94869e-05
6 *3407:D *654:42 0.000106621
*RES
1 *3164:X *3407:D 30.903
*END
*D_NET *163 0.000374108
*CONN
*I *3408:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3167:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3408:D 0.000163564
2 *3167:X 0.000163564
3 *3408:D *829:DIODE 1.72464e-05
4 *3408:D *3166:B 0
5 *3408:D *3408:CLK 2.97349e-05
*RES
1 *3167:X *3408:D 30.692
*END
*D_NET *164 0.000451688
*CONN
*I *3409:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3170:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3409:D 0.000149824
2 *3170:X 0.000149824
3 *3409:D *3170:A 0.00015204
*RES
1 *3170:X *3409:D 31.1072
*END
*D_NET *165 0.00145315
*CONN
*I *3410:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3173:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3410:D 0.000371931
2 *3173:X 0.000371931
3 *3410:D *3162:A0 7.50872e-05
4 *3410:D *3410:CLK 0.000470571
5 *3410:D *281:52 0.000111708
6 *3410:D *487:28 4.27003e-05
7 *3410:D *635:8 9.22013e-06
*RES
1 *3173:X *3410:D 37.2454
*END
*D_NET *166 0.000399224
*CONN
*I *3411:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3178:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *3411:D 0.000112532
2 *3178:Y 0.000112532
3 *3411:D *3178:A2 3.92275e-05
4 *3411:D *335:24 1.27394e-06
5 *3411:D *345:8 2.99929e-05
6 *3411:D *656:64 7.58217e-06
7 *3411:D *656:70 9.60834e-05
*RES
1 *3178:Y *3411:D 30.6264
*END
*D_NET *167 0.000527235
*CONN
*I *3412:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3183:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *3412:D 0.00010719
2 *3183:Y 0.00010719
3 *3412:D *3183:A1 0.000156823
4 *3412:D *3183:B1 2.41274e-06
5 *3412:D *734:37 0.000149628
6 *3412:D *782:39 3.99086e-06
*RES
1 *3183:Y *3412:D 31.1072
*END
*D_NET *168 0.000474397
*CONN
*I *3413:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3187:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *3413:D 0.000145418
2 *3187:X 0.000145418
3 *3413:D *3181:B1 1.87611e-05
4 *3413:D *3187:A2 0.000134872
5 *3413:D *3413:CLK 0
6 *3413:D *282:36 2.99287e-05
7 *3413:D *349:8 0
*RES
1 *3187:X *3413:D 31.1072
*END
*D_NET *169 0.000488465
*CONN
*I *3414:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3190:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *3414:D 0.000174738
2 *3190:Y 0.000174738
3 *3414:D *2967:B 1.31657e-05
4 *3414:D *3189:C1 2.13584e-05
5 *3414:D *3414:CLK 3.20069e-06
6 *3414:D *335:10 5.96936e-05
7 *3414:D *503:26 3.18826e-06
8 *3414:D *508:17 3.83819e-05
*RES
1 *3190:Y *3414:D 31.6618
*END
*D_NET *170 0.000700533
*CONN
*I *3415:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3193:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *3415:D 0.000259197
2 *3193:Y 0.000259197
3 *3415:D *3415:CLK 0.00011818
4 *3415:D *508:17 4.97617e-05
5 *3415:D *508:21 1.41976e-05
*RES
1 *3193:Y *3415:D 24.8642
*END
*D_NET *171 0.000592052
*CONN
*I *3416:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3197:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *3416:D 0.0001596
2 *3197:Y 0.0001596
3 *3416:D *3197:A1 2.65667e-05
4 *3416:D *3200:A 5.68237e-06
5 *3416:D *3202:B1 0.000207394
6 *3416:D *3417:CLK 1.44467e-05
7 *3416:D *341:8 0
8 *3416:D *659:61 1.87611e-05
9 *3416:D *734:37 0
*RES
1 *3197:Y *3416:D 32.353
*END
*D_NET *172 0.000701979
*CONN
*I *3417:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3202:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *3417:D 0.000186202
2 *3202:Y 0.000186202
3 *3417:D *3417:CLK 0.000248782
4 *3417:D *686:12 8.07939e-05
*RES
1 *3202:Y *3417:D 33.5721
*END
*D_NET *173 0.00231692
*CONN
*I *3418:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3206:Y O *D sky130_fd_sc_hd__a221oi_1
*CAP
1 *3418:D 0.000447378
2 *3206:Y 0.000447378
3 *3418:D *3206:B2 0.000484739
4 *3418:D *3206:C1 1.65872e-05
5 *3418:D *3418:CLK 0.000416117
6 *3418:D *707:12 0.000504717
*RES
1 *3206:Y *3418:D 43.4367
*END
*D_NET *174 0.00153424
*CONN
*I *3419:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3210:Y O *D sky130_fd_sc_hd__a211oi_1
*CAP
1 *3419:D 0.000411547
2 *3210:Y 0.000411547
3 *3419:D *3210:A2 0.000224395
4 *3419:D *3213:A2 0.000268812
5 *3419:D *363:31 0.000217937
6 *3419:D *660:18 0
*RES
1 *3210:Y *3419:D 39.5628
*END
*D_NET *175 0.000421158
*CONN
*I *3420:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3213:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *3420:D 0.000138648
2 *3213:X 0.000138648
3 *3420:D *708:12 4.27148e-05
4 *3420:D *711:10 0.000101148
*RES
1 *3213:X *3420:D 31.2171
*END
*D_NET *176 0.000302189
*CONN
*I *3421:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3215:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3421:D 0.000141714
2 *3215:X 0.000141714
3 *3421:D *3421:CLK 1.87611e-05
*RES
1 *3215:X *3421:D 30.7991
*END
*D_NET *177 0.000534255
*CONN
*I *3431:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3218:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3431:D 0.000232461
2 *3218:X 0.000232461
3 *3431:D *3212:A 2.13584e-05
4 *3431:D *3217:A 1.36556e-05
5 *3431:D *3218:A 3.00073e-05
6 *3431:D *240:10 0
7 *3431:D *407:123 4.3116e-06
8 *3431:D *660:11 0
*RES
1 *3218:X *3431:D 32.8754
*END
*D_NET *178 0.00265331
*CONN
*I *3432:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3225:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *3432:D 0.000666488
2 *3225:X 0.000666488
3 *3432:D *3225:C1 6.12686e-06
4 *3432:D *282:10 0
5 *3432:D *313:21 3.43406e-05
6 *3432:D *430:10 0.000205006
7 *3432:D *683:44 0.000492442
8 *3432:D *683:69 0.000346891
9 *3432:D *690:25 0.000235526
*RES
1 *3225:X *3432:D 47.447
*END
*D_NET *179 0.000396906
*CONN
*I *3433:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3228:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *3433:D 0.00010059
2 *3228:X 0.00010059
3 *3433:D *299:15 9.45781e-05
4 *3433:D *683:29 0
5 *3433:D *733:21 0.000101148
*RES
1 *3228:X *3433:D 30.4214
*END
*D_NET *180 0.00146469
*CONN
*I *3434:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3230:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *3434:D 0.000356077
2 *3230:X 0.000356077
3 *3434:D *3228:A1 0.000362257
4 *3434:D *3228:A2 7.08235e-05
5 *3434:D *3434:CLK 5.08751e-05
6 *3434:D *541:19 0.000168313
7 *3434:D *658:24 0.000100271
*RES
1 *3230:X *3434:D 28.4631
*END
*D_NET *181 0.00127329
*CONN
*I *3435:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3232:X O *D sky130_fd_sc_hd__a211o_1
*CAP
1 *3435:D 0.000357074
2 *3232:X 0.000357074
3 *3435:D *309:57 9.35069e-05
4 *3435:D *685:41 0.000424274
5 *3435:D *690:25 2.69811e-05
6 *3435:D *730:7 1.43848e-05
7 *3435:D *734:30 0
*RES
1 *3232:X *3435:D 36.7632
*END
*D_NET *182 0.0013387
*CONN
*I *3436:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3234:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *3436:D 0.000386302
2 *3234:X 0.000386302
3 *3436:D *820:DIODE 7.21868e-05
4 *3436:D *3232:C1 0.000144072
5 *3436:D *3435:CLK 5.29627e-05
6 *3436:D *3449:CLK 9.35753e-06
7 *3436:D *195:9 0
8 *3436:D *661:30 0.000263323
9 *3436:D *686:21 2.41916e-05
*RES
1 *3234:X *3436:D 38.5579
*END
*D_NET *183 0.00234599
*CONN
*I *3437:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3236:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *3437:D 0.000408522
2 *3236:X 0.000408522
3 *3437:D *2913:A 0.000175485
4 *3437:D *2915:B2 0.000523679
5 *3437:D *3437:CLK 0.000229576
6 *3437:D *285:5 4.88955e-05
7 *3437:D *290:23 0.000104452
8 *3437:D *355:39 9.79811e-05
9 *3437:D *684:67 2.44829e-05
10 *3437:D *732:7 0.000324393
*RES
1 *3236:X *3437:D 34.8531
*END
*D_NET *184 0.00110152
*CONN
*I *3438:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3238:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *3438:D 0.000413194
2 *3238:X 0.000413194
3 *3438:D *3238:A2 1.53125e-05
4 *3438:D *3238:C1 0.000209326
5 *3438:D *3438:CLK 4.17322e-05
6 *3438:D *733:10 8.7597e-06
*RES
1 *3238:X *3438:D 27.0163
*END
*D_NET *185 0.000715937
*CONN
*I *3439:D I *D sky130_fd_sc_hd__dfxtp_2
*I *3241:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *3439:D 0.000229615
2 *3241:X 0.000229615
3 *3439:D *3132:B1 4.71924e-05
4 *3439:D *299:59 2.21668e-05
5 *3439:D *309:66 0.000165181
6 *3439:D *322:42 2.21668e-05
*RES
1 *3241:X *3439:D 33.4356
*END
*D_NET *186 0.000447693
*CONN
*I *3440:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3247:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3440:D 9.54939e-05
2 *3247:X 9.54939e-05
3 *3440:D *2843:B1 0.000120584
4 *3440:D *3440:CLK 0.000106635
5 *3440:D *548:27 0
6 *3440:D *683:88 2.94869e-05
*RES
1 *3247:X *3440:D 30.903
*END
*D_NET *187 0.00141396
*CONN
*I *3441:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3252:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3441:D 0.000667052
2 *3252:X 0.000667052
3 *3441:D *673:7 7.98549e-05
*RES
1 *3252:X *3441:D 30.5609
*END
*D_NET *188 0.000879908
*CONN
*I *3442:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3255:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3442:D 0.000403183
2 *3255:X 0.000403183
3 *3442:D *640:129 6.80719e-05
4 *3442:D *687:86 5.4694e-06
*RES
1 *3255:X *3442:D 36.6533
*END
*D_NET *189 0.00148655
*CONN
*I *3443:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3258:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3443:D 0.000335386
2 *3258:X 0.000335386
3 *3443:D *2842:B1 0
4 *3443:D *2843:C1 2.692e-05
5 *3443:D *281:52 0.000280451
6 *3443:D *695:10 0.000477172
7 *3407:D *3443:D 3.12316e-05
*RES
1 *3258:X *3443:D 38.9704
*END
*D_NET *190 0.000302423
*CONN
*I *3444:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3261:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3444:D 7.75393e-05
2 *3261:X 7.75393e-05
3 *3444:D *3258:A 3.01634e-05
4 *3444:D *3261:A 4.27148e-05
5 *3444:D *551:45 7.44658e-05
*RES
1 *3261:X *3444:D 30.1079
*END
*D_NET *191 0.000601216
*CONN
*I *3445:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3264:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3445:D 0.000135736
2 *3264:X 0.000135736
3 *3445:D *854:DIODE 4.73037e-06
4 *3445:D *3264:A 0.000149628
5 *3445:D *3445:CLK 0.000103827
6 *3445:D *640:129 7.15593e-05
*RES
1 *3264:X *3445:D 32.0416
*END
*D_NET *192 0.000325411
*CONN
*I *3446:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3268:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3446:D 8.69508e-05
2 *3268:X 8.69508e-05
3 *3446:D *3267:B 1.98583e-05
4 *3446:D *3409:CLK 0.000104731
5 *3446:D *3447:D 2.692e-05
*RES
1 *3268:X *3446:D 30.4214
*END
*D_NET *193 0.00113401
*CONN
*I *3447:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3271:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3447:D 0.000346702
2 *3271:X 0.000346702
3 *3447:D *3271:A 0.000167593
4 *3447:D *3409:CLK 0
5 *3447:D *566:20 0
6 *3447:D *651:47 0.000180681
7 *3447:D *712:12 6.54102e-05
8 *3446:D *3447:D 2.692e-05
*RES
1 *3271:X *3447:D 38.0033
*END
*D_NET *194 0.000502515
*CONN
*I *3448:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3274:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *3448:D 0.000129784
2 *3274:X 0.000129784
3 *3448:D *2843:B1 0
4 *3448:D *3448:CLK 1.87611e-05
5 *3448:D *272:28 0.000151726
6 *3448:D *426:43 3.18826e-06
7 *3448:D *454:7 6.92705e-05
*RES
1 *3274:X *3448:D 31.1072
*END
*D_NET *195 0.00375672
*CONN
*I *3449:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3275:Y O *D sky130_fd_sc_hd__nor4_1
*CAP
1 *3449:D 0
2 *3275:Y 0.000582773
3 *195:9 0.000582773
4 *195:9 *820:DIODE 1.83828e-05
5 *195:9 *3275:C 3.00073e-05
6 *195:9 *3331:A0 3.86072e-06
7 *195:9 *3435:CLK 0.000195139
8 *195:9 *3449:CLK 0.000529249
9 *195:9 *430:10 1.31711e-05
10 *195:9 *541:11 0.000417145
11 *195:9 *683:44 0.000875076
12 *195:9 *683:69 0.000509143
13 *3436:D *195:9 0
*RES
1 *3275:Y *195:9 44.4264
2 *195:9 *3449:D 9.24915
*END
*D_NET *196 0.000628074
*CONN
*I *3450:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3282:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *3450:D 0.000131656
2 *3282:X 0.000131656
3 *3450:D *796:DIODE 4.27148e-05
4 *3450:D *281:29 0.000101148
5 *3450:D *399:24 0.0002209
*RES
1 *3282:X *3450:D 31.7717
*END
*D_NET *197 0.000837641
*CONN
*I *3451:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3284:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *3451:D 0.000182624
2 *3284:X 0.000182624
3 *3451:D *3107:A 6.40102e-05
4 *3451:D *3283:B 3.31733e-05
5 *3451:D *3515:A 8.30128e-05
6 *3451:D *281:29 0.000256473
7 *3451:D *426:43 3.3186e-05
8 *3451:D *551:26 2.53624e-06
*RES
1 *3284:X *3451:D 34.1239
*END
*D_NET *198 0.000429234
*CONN
*I *3452:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3286:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *3452:D 9.36586e-05
2 *3286:X 9.36586e-05
3 *3452:D *3112:A 1.87611e-05
4 *3452:D *3286:B1 6.92705e-05
5 *3452:D *3516:A 1.87611e-05
6 *3452:D *685:92 9.24241e-05
7 *3397:D *3452:D 4.27003e-05
*RES
1 *3286:X *3452:D 30.2767
*END
*D_NET *199 0.000385167
*CONN
*I *3453:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3289:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *3453:D 0.000139631
2 *3289:X 0.000139631
3 *3453:D *3289:A2 5.04829e-06
4 *3453:D *3453:CLK 6.50727e-05
5 *3453:D *668:10 3.57831e-05
*RES
1 *3289:X *3453:D 21.8078
*END
*D_NET *200 0.000661933
*CONN
*I *3454:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3291:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *3454:D 0.000177636
2 *3291:X 0.000177636
3 *3454:D *3291:B1 7.34948e-06
4 *3454:D *3454:CLK 0.000269574
5 *3454:D *669:5 2.9737e-05
*RES
1 *3291:X *3454:D 23.4716
*END
*D_NET *201 0.000638396
*CONN
*I *3455:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3293:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *3455:D 0.000120156
2 *3293:X 0.000120156
3 *3455:D *3292:B 3.31882e-05
4 *3455:D *576:27 0.000127179
5 *3455:D *653:21 2.24484e-05
6 *3455:D *653:23 0.000130777
7 *3455:D *670:7 8.44913e-05
*RES
1 *3293:X *3455:D 32.4274
*END
*D_NET *202 0.00117662
*CONN
*I *3456:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3295:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *3456:D 0.000109192
2 *3295:X 0.000109192
3 *3456:D *866:DIODE 0.000554425
4 *3456:D *671:11 0.000403807
*RES
1 *3295:X *3456:D 24.5808
*END
*D_NET *203 0.000677998
*CONN
*I *3457:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3297:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *3457:D 3.78295e-05
2 *3297:X 3.78295e-05
3 *3457:D *689:97 0.000301169
4 *3138:A *3457:D 0.000301169
*RES
1 *3297:X *3457:D 21.8078
*END
*D_NET *204 0.00070252
*CONN
*I *3458:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3300:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3458:D 0.00025023
2 *3300:X 0.00025023
3 *3458:D *3299:B 7.86847e-05
4 *3458:D *3303:A 0
5 *3458:D *566:25 0
6 *3458:D *712:12 0.000123375
7 *1:11 *3458:D 0
*RES
1 *3300:X *3458:D 33.9846
*END
*D_NET *205 0.00120308
*CONN
*I *3459:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3303:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3459:D 0.000359764
2 *3303:X 0.000359764
3 *3459:D *3299:B 7.60688e-05
4 *3459:D *3300:A 6.50727e-05
5 *3459:D *3301:A1 8.62625e-06
6 *3459:D *3303:A 6.4266e-05
7 *3459:D *3459:CLK 0.000155555
8 *3459:D *689:31 0.000113968
*RES
1 *3303:X *3459:D 36.8274
*END
*D_NET *206 0.000353636
*CONN
*I *3460:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3307:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3460:D 0.000155468
2 *3307:X 0.000155468
3 *3460:D *3307:A 4.27003e-05
4 *3460:D *702:8 0
*RES
1 *3307:X *3460:D 31.2171
*END
*D_NET *207 0.000973994
*CONN
*I *3461:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3311:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3461:D 0.000165582
2 *3311:X 0.000165582
3 *3461:D *3265:A 0.000153225
4 *3461:D *379:23 0.000352811
5 *3461:D *591:31 0.000136793
*RES
1 *3311:X *3461:D 34.0912
*END
*D_NET *208 0.000543883
*CONN
*I *3462:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3314:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3462:D 0.000239412
2 *3314:X 0.000239412
3 *3462:D *3008:A 0
4 *3462:D *3382:CLK 0
5 *3462:D *3462:CLK 6.50586e-05
*RES
1 *3314:X *3462:D 32.6398
*END
*D_NET *209 0.00110642
*CONN
*I *3463:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3317:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3463:D 0.000301883
2 *3317:X 0.000301883
3 *3463:D *3095:A 0
4 *3463:D *3309:A0 2.652e-05
5 *3463:D *3315:A0 0.000100285
6 *3463:D *3461:CLK 0.000342102
7 *3463:D *356:34 1.38872e-05
8 *3463:D *664:50 1.98583e-05
9 *1:11 *3463:D 0
*RES
1 *3317:X *3463:D 37.0654
*END
*D_NET *210 0.000393869
*CONN
*I *3464:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3320:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3464:D 0.000164729
2 *3320:X 0.000164729
3 *3464:D *3319:A 1.87611e-05
4 *3464:D *3321:A1 3.18826e-06
5 *3464:D *3364:CLK 2.98609e-05
6 *3464:D *664:29 1.2601e-05
*RES
1 *3320:X *3464:D 31.1072
*END
*D_NET *211 0.000491018
*CONN
*I *3465:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3323:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3465:D 0.00011242
2 *3323:X 0.00011242
3 *3465:D *3130:A 0.000170039
4 *3465:D *3323:A 3.59283e-05
5 *3465:D *399:46 3.59283e-05
6 *3465:D *664:13 2.42841e-05
*RES
1 *3323:X *3465:D 31.2171
*END
*D_NET *212 0.000367804
*CONN
*I *3466:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3330:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3466:D 0.000103426
2 *3330:X 0.000103426
3 *3466:D *3328:A1 0.000100285
4 *3466:D *3330:A 4.27003e-05
5 *3466:D *760:27 1.79672e-05
*RES
1 *3330:X *3466:D 30.4214
*END
*D_NET *213 0.000377593
*CONN
*I *3467:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3333:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3467:D 0.000150887
2 *3333:X 0.000150887
3 *3467:D *3467:CLK 7.58194e-05
*RES
1 *3333:X *3467:D 22.3865
*END
*D_NET *214 0.00103774
*CONN
*I *3468:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3336:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3468:D 0.000259277
2 *3336:X 0.000259277
3 *3468:D *3468:CLK 4.73286e-05
4 *3468:D *686:37 0.000218302
5 *154:10 *3468:D 5.53498e-05
6 *154:14 *3468:D 0.000198205
*RES
1 *3336:X *3468:D 35.9243
*END
*D_NET *215 0.00118837
*CONN
*I *3469:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3339:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3469:D 0.000288394
2 *3339:X 0.000288394
3 *3469:D *282:10 4.77259e-05
4 *3469:D *402:11 7.0954e-05
5 *3469:D *661:26 0.000160617
6 *3469:D *661:49 0.000197982
7 *3469:D *661:55 0.0001343
*RES
1 *3339:X *3469:D 36.104
*END
*D_NET *216 0.000539618
*CONN
*I *3470:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3342:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3470:D 0.000214478
2 *3342:X 0.000214478
3 *3470:D *872:DIODE 1.53125e-05
4 *3470:D *3342:A 1.60502e-06
5 *3470:D *662:69 2.13584e-05
6 *3470:D *682:11 0
7 *3470:D *760:27 7.23866e-05
*RES
1 *3342:X *3470:D 32.0771
*END
*D_NET *217 0.00149882
*CONN
*I *3471:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3345:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3471:D 0.000291707
2 *3345:X 0.000291707
3 *3471:D *662:103 0.000851757
4 *3471:D *765:8 6.36477e-05
*RES
1 *3345:X *3471:D 28.1737
*END
*D_NET *218 0.00131917
*CONN
*I *3472:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3348:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3472:D 0.000323201
2 *3348:X 0.000323201
3 *3472:D *871:DIODE 3.20069e-06
4 *3472:D *607:16 0.000193108
5 *3472:D *607:21 0.000135391
6 *3472:D *685:25 9.80912e-05
7 *3472:D *686:36 0.000129013
8 *3472:D *686:37 0.000113968
*RES
1 *3348:X *3472:D 38.1427
*END
*D_NET *219 0.000838245
*CONN
*I *3473:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3351:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3473:D 6.78378e-05
2 *3351:X 6.78378e-05
3 *3473:D *3343:A0 9.60366e-05
4 *3473:D *3353:A 0.000253135
5 *3473:D *689:92 9.60366e-05
6 *3473:D *693:35 0.000257361
*RES
1 *3351:X *3473:D 32.0852
*END
*D_NET *220 0.000638292
*CONN
*I *3474:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3353:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3474:D 0.000233334
2 *3353:X 0.000233334
3 *3474:D *873:DIODE 3.92275e-05
4 *3474:D *3474:CLK 0.000113968
5 *3474:D *693:5 1.84293e-05
*RES
1 *3353:X *3474:D 32.8086
*END
*D_NET *221 0.000330974
*CONN
*I *3475:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3356:Y O *D sky130_fd_sc_hd__a211oi_1
*CAP
1 *3475:D 5.86564e-05
2 *3356:Y 5.86564e-05
3 *3475:D *3356:B1 5.0459e-05
4 *3475:D *3475:CLK 1.87611e-05
5 *3475:D *237:16 1.87611e-05
6 *3399:D *3475:D 0.00012568
*RES
1 *3356:Y *3475:D 29.9686
*END
*D_NET *222 0.00159666
*CONN
*I *3476:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3358:Y O *D sky130_fd_sc_hd__a311oi_1
*CAP
1 *3476:D 0.00026903
2 *3358:Y 0.00026903
3 *3476:D *2900:A 0.000802533
4 *3476:D *3358:C1 2.09695e-05
5 *3476:D *626:10 7.0268e-05
6 *3476:D *778:8 0.000164829
*RES
1 *3358:Y *3476:D 28.1737
*END
*D_NET *223 0.000574403
*CONN
*I *3477:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3361:Y O *D sky130_fd_sc_hd__nor3_1
*CAP
1 *3477:D 9.28739e-05
2 *3361:Y 9.28739e-05
3 *3477:D *2857:B 6.08467e-05
4 *3477:D *235:43 2.13584e-05
5 *3477:D *525:14 0.000149628
6 *3477:D *707:12 0.000156823
*RES
1 *3361:Y *3477:D 31.1072
*END
*D_NET *224 0.000551648
*CONN
*I *3478:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3363:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *3478:D 0.000127609
2 *3363:X 0.000127609
3 *3478:D *229:20 0.000149628
4 *3478:D *229:23 6.67095e-06
5 *3478:D *273:14 6.50586e-05
6 *3478:D *659:51 7.50722e-05
*RES
1 *3363:X *3478:D 31.1072
*END
*D_NET *225 0.00171216
*CONN
*I *2842:C1 I *D sky130_fd_sc_hd__a221o_1
*I *2841:X O *D sky130_fd_sc_hd__a22o_1
*CAP
1 *2842:C1 0.000604879
2 *2841:X 0.000604879
3 *2842:C1 *2842:A2 3.33352e-05
4 *2842:C1 *2842:B1 1.54733e-05
5 *2842:C1 *551:45 0.000116005
6 *2842:C1 *654:8 2.95757e-05
7 *2842:C1 *654:10 0.000238537
8 *2842:C1 *654:12 8.62625e-06
9 *2842:C1 *654:42 6.08467e-05
10 *3407:D *2842:C1 0
*RES
1 *2841:X *2842:C1 39.8267
*END
*D_NET *226 0.00115193
*CONN
*I *2843:C1 I *D sky130_fd_sc_hd__a221o_1
*I *2842:X O *D sky130_fd_sc_hd__a221o_1
*CAP
1 *2843:C1 0.000561615
2 *2842:X 0.000561615
3 *2843:C1 *2843:A1 0
4 *2843:C1 *3126:A1 0
5 *2843:C1 *3406:CLK 0
6 *2843:C1 *272:28 1.77537e-06
7 *2843:C1 *654:12 0
8 *2843:C1 *695:10 0
9 *3443:D *2843:C1 2.692e-05
*RES
1 *2842:X *2843:C1 40.1268
*END
*D_NET *227 0.000867103
*CONN
*I *2844:C1 I *D sky130_fd_sc_hd__a221o_1
*I *2843:X O *D sky130_fd_sc_hd__a221o_1
*CAP
1 *2844:C1 0.000287133
2 *2843:X 0.000287133
3 *2844:C1 *2843:B2 0.000144695
4 *2844:C1 *2844:A1 0
5 *2844:C1 *685:100 0.000148144
*RES
1 *2843:X *2844:C1 33.6572
*END
*D_NET *228 0.00184769
*CONN
*I *2846:A I *D sky130_fd_sc_hd__clkbuf_2
*I *3194:C I *D sky130_fd_sc_hd__or4_2
*I *2845:Y O *D sky130_fd_sc_hd__nor4_1
*CAP
1 *2846:A 0
2 *3194:C 0.000177609
3 *2845:Y 0.000485679
4 *228:7 0.000663288
5 *3194:C *2886:B 5.52874e-05
6 *3194:C *3194:A 3.75603e-05
7 *3194:C *3194:D 4.79602e-05
8 *228:7 *2857:B 2.77625e-06
9 *228:7 *2886:B 1.09075e-05
10 *228:7 *3361:B 9.5562e-05
11 *228:7 *3361:C 0.000271058
*RES
1 *2845:Y *228:7 17.2065
2 *228:7 *3194:C 13.8789
3 *228:7 *2846:A 9.24915
*END
*D_NET *229 0.0107165
*CONN
*I *2857:B I *D sky130_fd_sc_hd__or3_1
*I *2855:B I *D sky130_fd_sc_hd__nand2_1
*I *2847:A I *D sky130_fd_sc_hd__clkbuf_2
*I *3180:C I *D sky130_fd_sc_hd__or3_1
*I *2886:B I *D sky130_fd_sc_hd__or3_2
*I *2846:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *2857:B 0.000552817
2 *2855:B 0.000415824
3 *2847:A 0
4 *3180:C 0.000417571
5 *2886:B 0.000207808
6 *2846:X 0
7 *229:23 0.000797139
8 *229:20 0.00110494
9 *229:15 0.000885038
10 *229:4 0.000230483
11 *2855:B *263:8 5.04829e-06
12 *2855:B *273:14 0.000123582
13 *2855:B *503:26 7.5729e-05
14 *2857:B *2845:D 2.65667e-05
15 *2857:B *2857:C 0.000175485
16 *2857:B *3359:A 0.000317707
17 *2857:B *3359:C 6.08467e-05
18 *2857:B *3361:B 7.89747e-05
19 *2857:B *3361:C 4.62974e-05
20 *2857:B *235:43 0.000120016
21 *2857:B *240:7 0
22 *2857:B *262:35 6.4628e-05
23 *2857:B *629:8 2.15184e-05
24 *2857:B *711:10 4.47578e-05
25 *2857:B *779:8 0.0002646
26 *2886:B *2853:B 9.68437e-05
27 *2886:B *3361:B 7.34948e-06
28 *3180:C *2850:A 0.000413252
29 *3180:C *2900:C 5.47736e-05
30 *3180:C *3180:A 0.000269737
31 *3180:C *3205:A 0.000217951
32 *3180:C *230:15 2.08194e-05
33 *3180:C *282:14 0
34 *3180:C *282:17 0.00112873
35 *3180:C *282:65 0.000314433
36 *3180:C *525:5 6.08167e-05
37 *229:15 *3361:B 2.65667e-05
38 *229:20 *3361:B 0.000118485
39 *229:20 *230:44 1.09738e-05
40 *229:20 *282:74 0.000139435
41 *229:20 *501:8 0.000248745
42 *229:20 *659:51 6.22347e-05
43 *229:20 *707:12 0.000849553
44 *229:23 *230:5 6.36477e-05
45 *229:23 *230:44 0.000264612
46 *229:23 *659:55 2.40846e-05
47 *3194:C *2886:B 5.52874e-05
48 *3477:D *2857:B 6.08467e-05
49 *3478:D *229:20 0.000149628
50 *3478:D *229:23 6.67095e-06
51 *228:7 *2857:B 2.77625e-06
52 *228:7 *2886:B 1.09075e-05
*RES
1 *2846:X *229:4 9.24915
2 *229:4 *2886:B 23.5776
3 *229:4 *229:15 0.723396
4 *229:15 *229:20 24.1201
5 *229:20 *229:23 6.29355
6 *229:23 *3180:C 38.4338
7 *229:23 *2847:A 9.24915
8 *229:20 *2855:B 25.8254
9 *229:15 *2857:B 36.6576
*END
*D_NET *230 0.0131779
*CONN
*I *3272:A1 I *D sky130_fd_sc_hd__mux2_1
*I *2888:B I *D sky130_fd_sc_hd__and2_1
*I *2854:A_N I *D sky130_fd_sc_hd__and2b_1
*I *3192:C1 I *D sky130_fd_sc_hd__a2111o_1
*I *3174:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *2847:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3272:A1 0
2 *2888:B 0
3 *2854:A_N 0
4 *3192:C1 0.00015686
5 *3174:A 0
6 *2847:X 1.39582e-05
7 *230:71 0.00109781
8 *230:62 0.00156905
9 *230:44 0.000635137
10 *230:26 0.000342442
11 *230:15 0.000578321
12 *230:5 0.000570592
13 *3192:C1 *2853:B 6.36477e-05
14 *3192:C1 *3191:B1 3.14978e-05
15 *3192:C1 *3192:A1 0.000201157
16 *3192:C1 *236:13 5.55136e-05
17 *3192:C1 *501:20 0.000764036
18 *230:5 *659:55 2.57847e-05
19 *230:15 *2900:B 0
20 *230:15 *2900:C 0.000136995
21 *230:15 *282:65 2.52592e-05
22 *230:15 *709:12 0
23 *230:26 *2853:B 9.65752e-06
24 *230:26 *659:51 0.000101996
25 *230:26 *786:26 0
26 *230:44 *659:51 1.57468e-05
27 *230:44 *659:55 6.6641e-05
28 *230:44 *707:12 3.07997e-05
29 *230:62 *2855:A 0.000185675
30 *230:62 *3331:S 0.000746904
31 *230:62 *3338:B 0.000304983
32 *230:62 *3339:A 3.25307e-05
33 *230:62 *263:8 0.000215704
34 *230:62 *273:14 0.000115311
35 *230:62 *503:26 7.92757e-06
36 *230:62 *707:12 2.05989e-05
37 *230:62 *708:12 0.000582855
38 *230:71 *2902:A 0.000119986
39 *230:71 *2905:A 0.000153985
40 *230:71 *2908:A 1.13509e-05
41 *230:71 *2909:A 0.000514573
42 *230:71 *3075:B 1.52761e-05
43 *230:71 *3092:C 0.000172696
44 *230:71 *3225:C1 0
45 *230:71 *3272:S 6.50727e-05
46 *230:71 *3347:B 0.00029508
47 *230:71 *273:14 0
48 *230:71 *273:19 1.22513e-05
49 *230:71 *273:28 0.00012222
50 *230:71 *276:36 2.92924e-05
51 *230:71 *281:8 0.000724899
52 *230:71 *281:29 2.95757e-05
53 *230:71 *467:11 0.00113896
54 *230:71 *625:8 7.86847e-05
55 *230:71 *685:30 7.20045e-05
56 *230:71 *692:120 0
57 *230:71 *693:40 4.62403e-05
58 *230:71 *706:82 0.000140416
59 *230:71 *707:12 0.00036985
60 *3180:C *230:15 2.08194e-05
61 *229:20 *230:44 1.09738e-05
62 *229:23 *230:5 6.36477e-05
63 *229:23 *230:44 0.000264612
*RES
1 *2847:X *230:5 9.97254
2 *230:5 *230:15 18.9667
3 *230:15 *3174:A 9.24915
4 *230:15 *230:26 14.0469
5 *230:26 *3192:C1 18.3157
6 *230:26 *2854:A_N 9.24915
7 *230:5 *230:44 14.6043
8 *230:44 *2888:B 9.24915
9 *230:44 *230:62 28.1997
10 *230:62 *230:71 48.5883
11 *230:71 *3272:A1 9.24915
*END
*D_NET *231 0.000667253
*CONN
*I *2849:A I *D sky130_fd_sc_hd__clkbuf_2
*I *2857:A I *D sky130_fd_sc_hd__or3_1
*I *2848:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *2849:A 0
2 *2857:A 8.70006e-05
3 *2848:X 6.23292e-05
4 *231:5 0.00014933
5 *2857:A *3210:C1 9.24241e-05
6 *231:5 *232:5 0.000217923
7 *3399:D *2857:A 5.82465e-05
*RES
1 *2848:X *231:5 11.6364
2 *231:5 *2857:A 20.9116
3 *231:5 *2849:A 9.24915
*END
*D_NET *232 0.0112079
*CONN
*I *3359:C I *D sky130_fd_sc_hd__or4_1
*I *3207:A I *D sky130_fd_sc_hd__inv_2
*I *2853:A I *D sky130_fd_sc_hd__nor2_1
*I *2885:D I *D sky130_fd_sc_hd__or4_1
*I *3200:A I *D sky130_fd_sc_hd__or4b_1
*I *2849:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3359:C 0.000178944
2 *3207:A 0.000432007
3 *2853:A 0.000296126
4 *2885:D 0.000370393
5 *3200:A 0.000699889
6 *2849:X 0.000283138
7 *232:50 0.000610951
8 *232:17 0.00168408
9 *232:13 0.00151544
10 *232:5 0.000888654
11 *2853:A *2853:B 0.000360926
12 *2853:A *3195:B 3.07997e-05
13 *2853:A *261:8 3.21432e-05
14 *2853:A *659:8 0
15 *2853:A *659:37 0
16 *2853:A *786:26 0.000102681
17 *2853:A *786:30 3.00073e-05
18 *2885:D *2851:A 6.14273e-05
19 *2885:D *2851:B 6.31809e-05
20 *2885:D *2852:D 2.99929e-05
21 *2885:D *2885:A 9.77871e-05
22 *2885:D *2885:B 4.30017e-06
23 *2885:D *2887:A 0
24 *2885:D *3208:C 3.14978e-05
25 *2885:D *516:12 0
26 *2885:D *709:12 0
27 *2885:D *788:8 6.46135e-05
28 *3200:A *3200:C 0.00042198
29 *3200:A *3200:D_N 1.03434e-05
30 *3200:A *3201:C_N 0.00011818
31 *3200:A *3416:CLK 1.04138e-05
32 *3200:A *659:61 6.63925e-05
33 *3200:A *734:37 0.000122378
34 *3207:A *2848:B 0.000190042
35 *3207:A *3210:A1 3.29619e-05
36 *3207:A *3210:A2 0.000334808
37 *3207:A *3210:B1 0
38 *3207:A *3210:C1 6.92705e-05
39 *3207:A *3522:A 0
40 *3207:A *363:31 0.000254906
41 *3207:A *790:18 5.92192e-05
42 *3359:C *3522:A 0
43 *3359:C *235:43 6.50727e-05
44 *3359:C *779:19 0
45 *232:5 *2848:A 1.19856e-05
46 *232:13 *2848:A 0.000150321
47 *232:13 *3522:A 0.00107626
48 *232:13 *659:7 2.29454e-05
49 *232:17 *261:8 2.72089e-05
50 *232:17 *659:8 0
51 *232:17 *787:7 9.77091e-06
52 *2857:B *3359:C 6.08467e-05
53 *3416:D *3200:A 5.68237e-06
54 *231:5 *232:5 0.000217923
*RES
1 *2849:X *232:5 13.8548
2 *232:5 *232:13 22.4643
3 *232:13 *232:17 13.85
4 *232:17 *3200:A 24.6334
5 *232:17 *2885:D 28.2791
6 *232:13 *2853:A 23.4709
7 *232:5 *232:50 4.5
8 *232:50 *3207:A 27.5839
9 *232:50 *3359:C 17.6236
*END
*D_NET *233 0.00558565
*CONN
*I *3192:D1 I *D sky130_fd_sc_hd__a2111o_1
*I *3194:D I *D sky130_fd_sc_hd__or4_2
*I *2886:C I *D sky130_fd_sc_hd__or3_2
*I *2852:C I *D sky130_fd_sc_hd__or4_2
*I *3191:A2 I *D sky130_fd_sc_hd__o21ai_1
*I *2850:X O *D sky130_fd_sc_hd__or4_2
*CAP
1 *3192:D1 4.84968e-05
2 *3194:D 0.000219299
3 *2886:C 0.00014345
4 *2852:C 0
5 *3191:A2 0
6 *2850:X 0.000156764
7 *233:25 0.000263483
8 *233:20 0.000449388
9 *233:17 0.000197374
10 *233:11 0.000195585
11 *2886:C *2852:B 2.97556e-05
12 *2886:C *2886:A 0.000118166
13 *2886:C *3196:B1 0
14 *2886:C *3197:A1 0.000252042
15 *2886:C *3200:C 5.12739e-05
16 *2886:C *235:23 0.000413266
17 *3192:D1 *3191:B1 0.0002646
18 *3192:D1 *501:20 0.000103022
19 *3194:D *834:DIODE 5.0715e-05
20 *3194:D *3192:A2 7.68538e-06
21 *3194:D *3194:A 1.65872e-05
22 *3194:D *3195:A 4.82966e-05
23 *3194:D *3195:B 0.000370801
24 *3194:D *335:24 1.00981e-05
25 *3194:D *516:8 0.000629908
26 *233:11 *3191:A1 3.51034e-05
27 *233:11 *3193:A1 0.0002229
28 *233:11 *3193:A2 2.88561e-05
29 *233:11 *508:17 2.82537e-05
30 *233:11 *659:61 5.68225e-06
31 *233:11 *686:18 0.000313692
32 *233:17 *3191:A1 1.61631e-05
33 *233:17 *3191:B1 6.50586e-05
34 *233:17 *3193:A2 3.34025e-05
35 *233:17 *501:20 5.73392e-05
36 *233:20 *3196:B1 1.82832e-05
37 *233:20 *335:10 4.70005e-05
38 *233:20 *402:41 3.89332e-06
39 *233:20 *659:61 8.18934e-05
40 *233:25 *2852:D 2.65667e-05
41 *233:25 *3196:A2 0.000187156
42 *233:25 *3196:B1 3.41286e-05
43 *233:25 *3197:A1 0.000211478
44 *233:25 *3200:C 9.82896e-06
45 *233:25 *659:61 7.0954e-05
46 *3194:C *3194:D 4.79602e-05
*RES
1 *2850:X *233:11 25.6751
2 *233:11 *3191:A2 9.24915
3 *233:11 *233:17 1.8326
4 *233:17 *233:20 7.993
5 *233:20 *233:25 9.96496
6 *233:25 *2852:C 9.24915
7 *233:25 *2886:C 16.8207
8 *233:20 *3194:D 23.9249
9 *233:17 *3192:D1 12.191
*END
*D_NET *234 0.000620668
*CONN
*I *2852:D I *D sky130_fd_sc_hd__or4_2
*I *2851:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *2852:D 0.000213054
2 *2851:X 0.000213054
3 *2852:D *2852:A 3.10924e-05
4 *2852:D *2884:A 2.71397e-05
5 *2852:D *3200:C 7.97688e-05
6 *2852:D *516:10 0
7 *2852:D *709:12 0
8 *2885:D *2852:D 2.99929e-05
9 *233:25 *2852:D 2.65667e-05
*RES
1 *2851:X *2852:D 33.3757
*END
*D_NET *235 0.00812305
*CONN
*I *2857:C I *D sky130_fd_sc_hd__or3_1
*I *3359:D I *D sky130_fd_sc_hd__or4_1
*I *2853:B I *D sky130_fd_sc_hd__nor2_1
*I *3200:C I *D sky130_fd_sc_hd__or4b_1
*I *2852:X O *D sky130_fd_sc_hd__or4_2
*CAP
1 *2857:C 3.35528e-05
2 *3359:D 0
3 *2853:B 0.000320146
4 *3200:C 0.000892435
5 *2852:X 0
6 *235:43 0.000477676
7 *235:23 0.00091754
8 *235:4 0.00104571
9 *2853:B *236:13 6.36477e-05
10 *2857:C *525:26 7.24449e-05
11 *3200:C *3196:B1 6.50727e-05
12 *3200:C *3416:CLK 0.000102619
13 *3200:C *659:61 0.000474625
14 *3200:C *734:37 0
15 *235:23 *2886:A 1.65872e-05
16 *235:23 *3196:B1 0
17 *235:23 *261:7 0.000216535
18 *235:43 *2886:A 5.04829e-06
19 *235:43 *3359:A 0.000321919
20 *235:43 *3477:CLK 2.65831e-05
21 *235:43 *261:7 0.00015281
22 *235:43 *525:26 0.00102898
23 *2852:D *3200:C 7.97688e-05
24 *2853:A *2853:B 0.000360926
25 *2857:B *2857:C 0.000175485
26 *2857:B *235:43 0.000120016
27 *2886:B *2853:B 9.68437e-05
28 *2886:C *3200:C 5.12739e-05
29 *2886:C *235:23 0.000413266
30 *3192:C1 *2853:B 6.36477e-05
31 *3200:A *3200:C 0.00042198
32 *3359:C *235:43 6.50727e-05
33 *3477:D *235:43 2.13584e-05
34 *230:26 *2853:B 9.65752e-06
35 *233:25 *3200:C 9.82896e-06
*RES
1 *2852:X *235:4 9.24915
2 *235:4 *3200:C 36.5451
3 *235:4 *235:23 5.73894
4 *235:23 *2853:B 28.0104
5 *235:23 *235:43 17.3615
6 *235:43 *3359:D 9.24915
7 *235:43 *2857:C 11.6605
*END
*D_NET *236 0.00244082
*CONN
*I *3176:A I *D sky130_fd_sc_hd__clkbuf_2
*I *2854:B I *D sky130_fd_sc_hd__and2b_1
*I *3192:A1 I *D sky130_fd_sc_hd__a2111o_1
*I *2853:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *3176:A 0.000222091
2 *2854:B 1.81917e-05
3 *3192:A1 0.00018645
4 *2853:Y 0
5 *236:13 0.000421227
6 *236:4 0.000367394
7 *3176:A *2845:D 1.77537e-06
8 *3176:A *3363:A2 0.000211478
9 *3176:A *237:16 0.000357912
10 *3176:A *711:10 0.000226281
11 *3176:A *780:6 6.51589e-05
12 *3192:A1 *3191:B1 1.09551e-05
13 *236:13 *501:20 3.15893e-05
14 *2853:B *236:13 6.36477e-05
15 *3192:C1 *3192:A1 0.000201157
16 *3192:C1 *236:13 5.55136e-05
*RES
1 *2853:Y *236:4 9.24915
2 *236:4 *3192:A1 14.9881
3 *236:4 *236:13 4.60562
4 *236:13 *2854:B 9.82786
5 *236:13 *3176:A 27.5105
*END
*D_NET *237 0.0047422
*CONN
*I *3356:A2 I *D sky130_fd_sc_hd__a211oi_1
*I *2860:A2 I *D sky130_fd_sc_hd__a22o_1
*I *2854:X O *D sky130_fd_sc_hd__and2b_1
*CAP
1 *3356:A2 0
2 *2860:A2 0.000598054
3 *2854:X 0.00125308
4 *237:16 0.00185113
5 *2860:A2 *777:11 7.04973e-05
6 *2860:A2 *777:15 3.75603e-05
7 *237:16 *882:DIODE 1.41291e-05
8 *237:16 *3356:B1 3.58185e-05
9 *237:16 *3362:A1 0.000247846
10 *237:16 *3363:A2 2.41483e-05
11 *237:16 *3475:CLK 0.000166283
12 *237:16 *3506:A 1.65252e-05
13 *237:16 *660:11 5.0459e-05
14 *3176:A *237:16 0.000357912
15 *3475:D *237:16 1.87611e-05
*RES
1 *2854:X *237:16 41.4025
2 *237:16 *2860:A2 23.3313
3 *237:16 *3356:A2 9.24915
*END
*D_NET *238 0.00213456
*CONN
*I *2856:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *2867:A I *D sky130_fd_sc_hd__clkbuf_2
*I *2855:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *2856:A 0.000451012
2 *2867:A 9.32587e-05
3 *2855:Y 0.000314079
4 *238:5 0.00085835
5 *2856:A *2890:A1 2.65667e-05
6 *2867:A *273:14 0
7 *238:5 *503:26 0.000319954
8 *3430:D *2856:A 2.65831e-05
9 *155:10 *2867:A 4.47578e-05
*RES
1 *2855:Y *238:5 14.4094
2 *238:5 *2867:A 20.9116
3 *238:5 *2856:A 17.5682
*END
*D_NET *239 0.00432138
*CONN
*I *2889:B I *D sky130_fd_sc_hd__or2_1
*I *2861:S I *D sky130_fd_sc_hd__mux2_1
*I *2859:A I *D sky130_fd_sc_hd__and2_1
*I *2864:S I *D sky130_fd_sc_hd__mux2_1
*I *3355:B I *D sky130_fd_sc_hd__and3_1
*I *2856:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *2889:B 0
2 *2861:S 0.000247524
3 *2859:A 0
4 *2864:S 0
5 *3355:B 3.09548e-05
6 *2856:X 0.000116261
7 *239:26 0.000402167
8 *239:20 0.000477899
9 *239:10 0.000571904
10 *239:8 0.000333954
11 *2861:S *2860:A1 0
12 *2861:S *760:27 7.50872e-05
13 *2861:S *768:13 9.35753e-06
14 *3355:B *3358:B1 0
15 *239:8 *2889:A 6.28598e-05
16 *239:10 *2889:A 5.22654e-06
17 *239:10 *3358:B1 0
18 *239:10 *626:10 3.92275e-05
19 *239:10 *640:156 0
20 *239:20 *3355:C 0.000578027
21 *239:20 *3356:B1 2.65667e-05
22 *239:20 *3523:A 0.000324151
23 *239:26 *2859:B 0.000271044
24 *239:26 *2860:B1 5.0715e-05
25 *239:26 *3355:C 4.56667e-05
26 *154:14 *3355:B 5.92192e-05
27 *154:14 *239:8 0.000153225
28 *154:14 *239:10 0.000440339
*RES
1 *2856:X *239:8 17.6896
2 *239:8 *239:10 7.64553
3 *239:10 *3355:B 14.7506
4 *239:10 *239:20 15.7609
5 *239:20 *2864:S 9.24915
6 *239:20 *239:26 5.16022
7 *239:26 *2859:A 9.24915
8 *239:26 *2861:S 24.5446
9 *239:8 *2889:B 13.7491
*END
*D_NET *240 0.00215283
*CONN
*I *3216:S I *D sky130_fd_sc_hd__mux2_1
*I *2872:A I *D sky130_fd_sc_hd__clkbuf_2
*I *2858:A I *D sky130_fd_sc_hd__clkbuf_2
*I *2857:X O *D sky130_fd_sc_hd__or3_1
*CAP
1 *3216:S 6.20159e-05
2 *2872:A 0.000112508
3 *2858:A 0
4 *2857:X 0.000423439
5 *240:10 0.000229964
6 *240:7 0.000602911
7 *2872:A *3422:CLK 6.50727e-05
8 *2872:A *241:15 6.80864e-05
9 *2872:A *407:123 0
10 *2872:A *777:11 0
11 *3216:S *3216:A0 0.000115934
12 *240:7 *837:DIODE 0.000271044
13 *240:7 *3217:B 6.72595e-05
14 *240:7 *3218:A 2.15348e-05
15 *240:7 *3356:C1 2.44829e-05
16 *240:10 *3212:A 6.81008e-05
17 *240:10 *3218:A 0
18 *240:10 *241:15 2.04806e-05
19 *2857:B *240:7 0
20 *3431:D *240:10 0
*RES
1 *2857:X *240:7 21.0887
2 *240:7 *240:10 7.993
3 *240:10 *2858:A 13.7491
4 *240:10 *2872:A 17.135
5 *240:7 *3216:S 11.0817
*END
*D_NET *241 0.0050696
*CONN
*I *2869:S I *D sky130_fd_sc_hd__mux2_1
*I *2865:S I *D sky130_fd_sc_hd__mux2_1
*I *2862:S I *D sky130_fd_sc_hd__mux2_1
*I *2859:B I *D sky130_fd_sc_hd__and2_1
*I *3212:A I *D sky130_fd_sc_hd__nor2_1
*I *2858:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *2869:S 4.68393e-05
2 *2865:S 0.000112858
3 *2862:S 4.17153e-05
4 *2859:B 4.4657e-05
5 *3212:A 0.000560691
6 *2858:X 0
7 *241:35 0.000244653
8 *241:24 0.000421983
9 *241:15 0.00057997
10 *241:5 0.000800692
11 *2859:B *2864:A1 6.98314e-05
12 *2859:B *3355:C 6.08467e-05
13 *2865:S *2882:S 0.000137779
14 *2869:S *2869:A0 5.56461e-05
15 *2869:S *2873:S 0.000171288
16 *2869:S *2882:S 2.16355e-05
17 *3212:A *3216:A0 4.90829e-05
18 *3212:A *3216:A1 0
19 *3212:A *660:11 0.00011818
20 *3212:A *660:18 0.000107348
21 *241:15 *2860:B1 0
22 *241:15 *3216:A0 1.69261e-05
23 *241:15 *3354:A 0
24 *241:15 *251:6 0.000174175
25 *241:15 *776:8 3.54138e-05
26 *241:15 *777:11 0
27 *241:24 *3354:A 0
28 *241:24 *251:6 2.95757e-05
29 *241:24 *251:23 0.000543056
30 *241:24 *761:30 0
31 *241:35 *251:23 0.00015324
32 *241:35 *251:34 2.24484e-05
33 *241:35 *761:30 0
34 *2872:A *241:15 6.80864e-05
35 *3431:D *3212:A 2.13584e-05
36 *239:26 *2859:B 0.000271044
37 *240:10 *3212:A 6.81008e-05
38 *240:10 *241:15 2.04806e-05
*RES
1 *2858:X *241:5 13.7491
2 *241:5 *3212:A 29.3307
3 *241:5 *241:15 7.64553
4 *241:15 *2859:B 16.691
5 *241:15 *241:24 10.137
6 *241:24 *2862:S 14.4725
7 *241:24 *241:35 7.57775
8 *241:35 *2865:S 12.7456
9 *241:35 *2869:S 11.6364
*END
*D_NET *242 0.000811924
*CONN
*I *2860:B1 I *D sky130_fd_sc_hd__a22o_1
*I *2859:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *2860:B1 0.000212156
2 *2859:X 0.000212156
3 *2860:B1 *2860:A1 0.000294211
4 *2860:B1 *251:6 0
5 *2860:B1 *776:8 4.26859e-05
6 *239:26 *2860:B1 5.0715e-05
7 *241:15 *2860:B1 0
*RES
1 *2859:X *2860:B1 33.9303
*END
*D_NET *243 0.000784135
*CONN
*I *2862:A1 I *D sky130_fd_sc_hd__mux2_1
*I *2861:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *2862:A1 0.000194261
2 *2861:X 0.000194261
3 *2862:A1 *2862:A0 6.64609e-05
4 *2862:A1 *2864:A1 0.000196623
5 *2862:A1 *251:23 0.000115359
6 *2862:A1 *710:9 1.71698e-05
*RES
1 *2861:X *2862:A1 33.5151
*END
*D_NET *244 0.000349801
*CONN
*I *2863:A I *D sky130_fd_sc_hd__clkbuf_1
*I *2862:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *2863:A 0.000174901
2 *2862:X 0.000174901
*RES
1 *2862:X *2863:A 22.4287
*END
*D_NET *245 0.00159314
*CONN
*I *2865:A1 I *D sky130_fd_sc_hd__mux2_1
*I *2864:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *2865:A1 0.000443257
2 *2864:X 0.000443257
3 *2865:A1 *2882:S 1.41307e-05
4 *2865:A1 *3354:A 0.000116971
5 *2865:A1 *3355:C 3.07133e-05
6 *2865:A1 *407:123 0.000544811
7 *2865:A1 *761:30 0
*RES
1 *2864:X *2865:A1 41.3218
*END
*D_NET *246 0.00104818
*CONN
*I *2866:A I *D sky130_fd_sc_hd__clkbuf_1
*I *2865:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *2866:A 0.000290333
2 *2865:X 0.000290333
3 *2866:A *407:123 0.000148929
4 *2866:A *660:59 3.20069e-06
5 *2866:A *660:70 9.34869e-05
6 *2866:A *710:9 6.50727e-05
7 *3424:D *2866:A 0.000156823
8 *154:14 *2866:A 0
*RES
1 *2865:X *2866:A 37.5282
*END
*D_NET *247 0.00636948
*CONN
*I *2881:S I *D sky130_fd_sc_hd__mux2_1
*I *2875:S I *D sky130_fd_sc_hd__mux2_1
*I *2878:S I *D sky130_fd_sc_hd__mux2_1
*I *2871:S I *D sky130_fd_sc_hd__mux2_1
*I *2868:S I *D sky130_fd_sc_hd__mux2_1
*I *2867:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *2881:S 4.36127e-05
2 *2875:S 0.000138306
3 *2878:S 9.65049e-05
4 *2871:S 0
5 *2868:S 0
6 *2867:X 0.000345878
7 *247:33 0.000481328
8 *247:24 0.000601606
9 *247:15 0.00110439
10 *247:8 0.00113879
11 *2875:S *2876:A1 1.19856e-05
12 *2875:S *682:11 0.000375013
13 *2878:S *2876:A1 0.000110684
14 *2878:S *2878:A1 0.000224381
15 *2878:S *3340:A1 2.18145e-05
16 *2878:S *682:11 4.56667e-05
17 *2881:S *2883:A 5.36397e-05
18 *2881:S *2889:A 0.000127179
19 *247:8 *2882:S 0.000183544
20 *247:8 *2883:A 5.22654e-06
21 *247:8 *2889:A 0.000217587
22 *247:8 *640:156 0
23 *247:8 *775:7 0.000118166
24 *247:15 *2868:A0 0.000229607
25 *247:15 *3425:CLK 0.000110997
26 *247:15 *761:30 1.30005e-05
27 *247:24 *2868:A0 0.000152878
28 *247:24 *2869:A0 2.71542e-05
29 *247:24 *2871:A1 5.36397e-05
30 *247:24 *251:58 0
31 *247:24 *773:8 0
32 *247:33 *2871:A1 5.22654e-06
33 *247:33 *2878:A1 0
34 *247:33 *3426:CLK 5.75768e-05
35 *247:33 *662:69 4.97057e-05
36 *247:33 *773:8 0
37 *3430:D *247:8 0.000224395
*RES
1 *2867:X *247:8 24.4814
2 *247:8 *247:15 17.4729
3 *247:15 *2868:S 9.24915
4 *247:15 *247:24 13.8472
5 *247:24 *2871:S 13.7491
6 *247:24 *247:33 11.315
7 *247:33 *2878:S 13.8548
8 *247:33 *2875:S 14.4576
9 *247:8 *2881:S 15.9964
*END
*D_NET *248 0.000136896
*CONN
*I *2869:A1 I *D sky130_fd_sc_hd__mux2_1
*I *2868:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *2869:A1 5.17079e-05
2 *2868:X 5.17079e-05
3 *2869:A1 *2869:A0 0
4 *2869:A1 *251:58 3.34802e-05
*RES
1 *2868:X *2869:A1 28.915
*END
*D_NET *249 0.00186146
*CONN
*I *2870:A I *D sky130_fd_sc_hd__clkbuf_1
*I *2869:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *2870:A 0.000850438
2 *2869:X 0.000850438
3 *2870:A *2871:A0 4.69009e-05
4 *2870:A *251:58 6.63293e-05
5 *2870:A *407:123 0
6 *2870:A *761:30 3.77659e-05
7 *3428:D *2870:A 9.58927e-06
*RES
1 *2869:X *2870:A 42.6781
*END
*D_NET *250 0.000730878
*CONN
*I *2873:A1 I *D sky130_fd_sc_hd__mux2_1
*I *2871:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *2873:A1 0.000231341
2 *2871:X 0.000231341
3 *2873:A1 *2873:A0 0.000268195
*RES
1 *2871:X *2873:A1 33.5151
*END
*D_NET *251 0.00972855
*CONN
*I *2876:S I *D sky130_fd_sc_hd__mux2_1
*I *2879:S I *D sky130_fd_sc_hd__mux2_1
*I *2882:S I *D sky130_fd_sc_hd__mux2_1
*I *2873:S I *D sky130_fd_sc_hd__mux2_1
*I *3355:C I *D sky130_fd_sc_hd__and3_1
*I *2872:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *2876:S 2.06324e-05
2 *2879:S 0.000352425
3 *2882:S 0.00105996
4 *2873:S 0.000202391
5 *3355:C 0.000389267
6 *2872:X 0.000110724
7 *251:58 0.000737609
8 *251:34 0.0014799
9 *251:23 0.000556834
10 *251:6 0.000799045
11 *2873:S *2869:A0 7.06457e-05
12 *2879:S *883:DIODE 9.8876e-05
13 *2879:S *2879:A0 0.000118166
14 *2879:S *2879:A1 0.000115934
15 *2879:S *2880:A 4.31703e-05
16 *2882:S *3430:CLK 5.0715e-05
17 *2882:S *640:156 0
18 *2882:S *761:30 0.000377259
19 *3355:C *2864:A1 0.000217923
20 *3355:C *3523:A 0.000307926
21 *251:6 *2860:A1 0
22 *251:23 *2864:A1 5.43333e-05
23 *251:23 *760:27 0
24 *251:34 *760:27 0
25 *251:34 *761:30 0
26 *251:58 *2869:A0 0
27 *251:58 *761:30 0
28 *251:58 *773:8 9.77871e-05
29 *2859:B *3355:C 6.08467e-05
30 *2860:B1 *251:6 0
31 *2862:A1 *251:23 0.000115359
32 *2865:A1 *2882:S 1.41307e-05
33 *2865:A1 *3355:C 3.07133e-05
34 *2865:S *2882:S 0.000137779
35 *2869:A1 *251:58 3.34802e-05
36 *2869:S *2873:S 0.000171288
37 *2869:S *2882:S 2.16355e-05
38 *2870:A *251:58 6.63293e-05
39 *3430:D *2882:S 8.57401e-05
40 *239:20 *3355:C 0.000578027
41 *239:26 *3355:C 4.56667e-05
42 *241:15 *251:6 0.000174175
43 *241:24 *251:6 2.95757e-05
44 *241:24 *251:23 0.000543056
45 *241:35 *251:23 0.00015324
46 *241:35 *251:34 2.24484e-05
47 *247:8 *2882:S 0.000183544
48 *247:24 *251:58 0
*RES
1 *2872:X *251:6 17.2421
2 *251:6 *3355:C 27.9759
3 *251:6 *251:23 12.2133
4 *251:23 *2873:S 19.4881
5 *251:23 *251:34 1.41674
6 *251:34 *2882:S 45.5167
7 *251:34 *251:58 14.5299
8 *251:58 *2879:S 19.4249
9 *251:58 *2876:S 9.82786
*END
*D_NET *252 0.00106918
*CONN
*I *2874:A I *D sky130_fd_sc_hd__clkbuf_1
*I *2873:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *2874:A 0.00053459
2 *2873:X 0.00053459
*RES
1 *2873:X *2874:A 36.015
*END
*D_NET *253 0.000823056
*CONN
*I *2876:A1 I *D sky130_fd_sc_hd__mux2_1
*I *2875:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *2876:A1 0.000286611
2 *2875:X 0.000286611
3 *2876:A1 *2878:A1 0.000127164
4 *2875:S *2876:A1 1.19856e-05
5 *2878:S *2876:A1 0.000110684
*RES
1 *2875:X *2876:A1 33.242
*END
*D_NET *254 0.0014175
*CONN
*I *2877:A I *D sky130_fd_sc_hd__clkbuf_1
*I *2876:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *2877:A 0.000629045
2 *2876:X 0.000629045
3 *2877:A *2873:A0 0
4 *2877:A *2875:A1 0
5 *2877:A *772:12 3.15702e-05
6 *3427:D *2877:A 0.000127838
*RES
1 *2876:X *2877:A 38.8146
*END
*D_NET *255 0.00104751
*CONN
*I *2879:A1 I *D sky130_fd_sc_hd__mux2_1
*I *2878:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *2879:A1 0.000337555
2 *2878:X 0.000337555
3 *2879:A1 *883:DIODE 4.31539e-05
4 *2879:A1 *2868:A0 4.70005e-05
5 *2879:A1 *662:9 2.44829e-05
6 *2879:A1 *662:10 0.000122098
7 *2879:A1 *662:53 1.97336e-05
8 *2879:S *2879:A1 0.000115934
*RES
1 *2878:X *2879:A1 34.52
*END
*D_NET *256 0.000923869
*CONN
*I *2880:A I *D sky130_fd_sc_hd__clkbuf_1
*I *2879:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *2880:A 0.000221072
2 *2879:X 0.000221072
3 *2880:A *883:DIODE 0.000107496
4 *2880:A *2878:A0 0
5 *2880:A *407:123 0.000331059
6 *2880:A *662:53 0
7 *2879:S *2880:A 4.31703e-05
*RES
1 *2879:X *2880:A 34.7608
*END
*D_NET *257 0.000324659
*CONN
*I *2882:A1 I *D sky130_fd_sc_hd__mux2_1
*I *2881:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *2882:A1 0.000127441
2 *2881:X 0.000127441
3 *2882:A1 *2881:A0 1.43983e-05
4 *2882:A1 *640:156 5.53789e-05
5 *155:10 *2882:A1 0
*RES
1 *2881:X *2882:A1 30.4689
*END
*D_NET *258 0.0013339
*CONN
*I *2883:A I *D sky130_fd_sc_hd__clkbuf_1
*I *2882:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *2883:A 0.000303756
2 *2882:X 0.000303756
3 *2883:A *2881:A1 9.96342e-05
4 *2883:A *2889:A 3.23749e-05
5 *2883:A *3336:A 9.14834e-05
6 *2883:A *3525:A 0.000217951
7 *2883:A *640:150 3.67528e-06
8 *2883:A *640:152 3.55432e-05
9 *2883:A *640:156 0.000110985
10 *2881:S *2883:A 5.36397e-05
11 *3429:D *2883:A 7.58739e-05
12 *247:8 *2883:A 5.22654e-06
*RES
1 *2882:X *2883:A 39.192
*END
*D_NET *259 0.0045489
*CONN
*I *3194:A I *D sky130_fd_sc_hd__or4_2
*I *3195:A I *D sky130_fd_sc_hd__nand2_1
*I *3203:C I *D sky130_fd_sc_hd__or4_1
*I *3204:A2 I *D sky130_fd_sc_hd__o31ai_1
*I *2885:C I *D sky130_fd_sc_hd__or4_1
*I *2884:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *3194:A 9.7642e-05
2 *3195:A 5.26672e-05
3 *3203:C 4.32365e-05
4 *3204:A2 0.000111291
5 *2885:C 0.000113874
6 *2884:X 0
7 *259:32 0.000358475
8 *259:10 0.000320039
9 *259:6 0.000314669
10 *259:5 0.000384726
11 *2885:C *2887:A 3.14978e-05
12 *2885:C *3208:C 8.28869e-05
13 *3194:A *3192:A2 6.50727e-05
14 *3194:A *3195:B 0.000205985
15 *3195:A *3192:A2 0.00027103
16 *3195:A *3195:B 0.000164815
17 *3195:A *335:24 0
18 *3203:C *519:29 9.40969e-05
19 *3203:C *519:38 2.65831e-05
20 *3203:C *789:23 0.000271058
21 *3204:A2 *3204:A3 6.9815e-05
22 *3204:A2 *3208:B 8.91253e-05
23 *3204:A2 *3208:C 0.000119625
24 *259:6 *3197:A1 0.000107586
25 *259:6 *3204:A3 0
26 *259:6 *261:10 0
27 *259:6 *709:12 0.000402069
28 *259:10 *3204:A1 0
29 *259:10 *3204:A3 0
30 *259:10 *519:38 0
31 *259:10 *709:12 0.00017419
32 *259:32 *3195:B 0
33 *259:32 *3197:A1 9.634e-05
34 *259:32 *709:12 0.000378056
35 *3194:C *3194:A 3.75603e-05
36 *3194:D *3194:A 1.65872e-05
37 *3194:D *3195:A 4.82966e-05
*RES
1 *2884:X *259:5 13.7491
2 *259:5 *259:6 7.23027
3 *259:6 *259:10 7.57775
4 *259:10 *2885:C 12.7456
5 *259:10 *3204:A2 14.1321
6 *259:6 *3203:C 16.691
7 *259:5 *259:32 11.7303
8 *259:32 *3195:A 12.7697
9 *259:32 *3194:A 12.7697
*END
*D_NET *260 0.000786049
*CONN
*I *2887:A I *D sky130_fd_sc_hd__nor2_2
*I *2885:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *2887:A 0.000178851
2 *2885:X 0.000178851
3 *2887:A *2851:A 4.15143e-05
4 *2887:A *2885:A 4.01437e-05
5 *2887:A *709:12 0.000315191
6 *2885:C *2887:A 3.14978e-05
7 *2885:D *2887:A 0
*RES
1 *2885:X *2887:A 33.791
*END
*D_NET *261 0.00431382
*CONN
*I *3195:B I *D sky130_fd_sc_hd__nand2_1
*I *2887:B I *D sky130_fd_sc_hd__nor2_2
*I *3203:D I *D sky130_fd_sc_hd__or4_1
*I *3204:A3 I *D sky130_fd_sc_hd__o31ai_1
*I *2886:X O *D sky130_fd_sc_hd__or3_2
*CAP
1 *3195:B 0.000214924
2 *2887:B 3.28301e-05
3 *3203:D 0
4 *3204:A3 0.000342836
5 *2886:X 0.000229288
6 *261:10 0.000455784
7 *261:8 0.000337468
8 *261:7 0.000635902
9 *2887:B *3206:A2 0.000164829
10 *2887:B *262:21 0.000113968
11 *3195:B *3197:A1 3.74738e-05
12 *3195:B *786:26 6.94439e-05
13 *3195:B *786:30 7.50872e-05
14 *3204:A3 *3208:B 0.00016553
15 *3204:A3 *519:38 1.47102e-05
16 *3204:A3 *659:8 0
17 *3204:A3 *789:19 0
18 *261:8 *3197:A1 0.000141859
19 *261:8 *659:8 0
20 *261:10 *3197:A1 1.09738e-05
21 *261:10 *3206:B1 0
22 *261:10 *659:8 0
23 *2853:A *3195:B 3.07997e-05
24 *2853:A *261:8 3.21432e-05
25 *3194:A *3195:B 0.000205985
26 *3194:D *3195:B 0.000370801
27 *3195:A *3195:B 0.000164815
28 *3204:A2 *3204:A3 6.9815e-05
29 *232:17 *261:8 2.72089e-05
30 *235:23 *261:7 0.000216535
31 *235:43 *261:7 0.00015281
32 *259:6 *3204:A3 0
33 *259:6 *261:10 0
34 *259:10 *3204:A3 0
35 *259:32 *3195:B 0
*RES
1 *2886:X *261:7 17.8002
2 *261:7 *261:8 5.98452
3 *261:8 *261:10 2.6625
4 *261:10 *3204:A3 20.3233
5 *261:10 *3203:D 13.7491
6 *261:8 *2887:B 15.5817
7 *261:7 *3195:B 22.5389
*END
*D_NET *262 0.00908789
*CONN
*I *3357:A2 I *D sky130_fd_sc_hd__a211oi_1
*I *2890:A2 I *D sky130_fd_sc_hd__o31a_1
*I *3206:A1 I *D sky130_fd_sc_hd__a221oi_1
*I *3197:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *2887:Y O *D sky130_fd_sc_hd__nor2_2
*CAP
1 *3357:A2 0
2 *2890:A2 0.000267608
3 *3206:A1 0
4 *3197:A1 0.000677488
5 *2887:Y 0
6 *262:35 0.00103386
7 *262:21 0.000904721
8 *262:4 0.000815955
9 *2890:A2 *2890:A3 0.00028913
10 *2890:A2 *3357:B1 0.000186445
11 *2890:A2 *640:156 0.000128231
12 *3197:A1 *2852:B 0.000264586
13 *3197:A1 *3196:A2 2.41483e-05
14 *3197:A1 *3196:B1 4.31234e-05
15 *3197:A1 *3197:B1 0.000209232
16 *262:21 *3206:A2 0.000103706
17 *262:21 *3206:B1 7.41676e-05
18 *262:21 *3206:B2 7.06733e-06
19 *262:21 *363:31 9.54357e-06
20 *262:35 *2845:D 0.000163997
21 *262:35 *3206:A2 2.55257e-05
22 *262:35 *3206:B1 1.37189e-05
23 *262:35 *3206:B2 2.58554e-05
24 *262:35 *3206:C1 0.000316296
25 *262:35 *3213:A1 6.92705e-05
26 *262:35 *3213:B1 0.000164843
27 *262:35 *3357:C1 2.99978e-05
28 *262:35 *3358:A2 0.000360145
29 *262:35 *3359:A 3.31736e-05
30 *262:35 *3362:A2 0.000114955
31 *262:35 *3523:A 4.31703e-05
32 *262:35 *363:31 0.000972205
33 *262:35 *629:8 0.00019364
34 *262:35 *711:10 0
35 *262:35 *778:21 3.46062e-05
36 *262:35 *778:23 7.90257e-05
37 *262:35 *780:6 0.000261007
38 *2857:B *262:35 6.4628e-05
39 *2886:C *3197:A1 0.000252042
40 *2887:B *262:21 0.000113968
41 *3195:B *3197:A1 3.74738e-05
42 *3400:D *262:35 8.45281e-05
43 *3416:D *3197:A1 2.65667e-05
44 *233:25 *3197:A1 0.000211478
45 *259:6 *3197:A1 0.000107586
46 *259:32 *3197:A1 9.634e-05
47 *261:8 *3197:A1 0.000141859
48 *261:10 *3197:A1 1.09738e-05
*RES
1 *2887:Y *262:4 9.24915
2 *262:4 *3197:A1 40.392
3 *262:4 *262:21 4.62973
4 *262:21 *3206:A1 9.24915
5 *262:21 *262:35 41.5323
6 *262:35 *2890:A2 27.7138
7 *262:35 *3357:A2 9.24915
*END
*D_NET *263 0.00453474
*CONN
*I *2890:A3 I *D sky130_fd_sc_hd__o31a_1
*I *3357:B1 I *D sky130_fd_sc_hd__a211oi_1
*I *3363:A1 I *D sky130_fd_sc_hd__o21a_1
*I *2888:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *2890:A3 0.000147192
2 *3357:B1 0.000296036
3 *3363:A1 3.49836e-05
4 *2888:X 0.000297486
5 *263:20 0.000605701
6 *263:8 0.000494943
7 *2890:A3 *2890:A1 2.15266e-05
8 *2890:A3 *2890:B1 0.000127179
9 *2890:A3 *640:156 0
10 *3357:B1 *3357:C1 0.000220183
11 *3357:B1 *3523:A 5.41227e-05
12 *3357:B1 *640:156 0
13 *3363:A1 *3363:A2 1.07248e-05
14 *3363:A1 *503:6 0
15 *3363:A1 *503:26 0
16 *3363:A1 *708:12 2.04806e-05
17 *263:8 *273:14 0.000264568
18 *263:8 *503:26 4.89898e-06
19 *263:8 *708:12 0.000119338
20 *263:20 *2900:A 0.000561147
21 *263:20 *3358:B1 0.000317693
22 *263:20 *273:14 6.50727e-05
23 *2855:B *263:8 5.04829e-06
24 *2890:A2 *2890:A3 0.00028913
25 *2890:A2 *3357:B1 0.000186445
26 *3400:D *3357:B1 4.88337e-05
27 *155:10 *2890:A3 0.000118204
28 *155:10 *3357:B1 8.10016e-06
29 *230:62 *263:8 0.000215704
*RES
1 *2888:X *263:8 21.845
2 *263:8 *3363:A1 14.7506
3 *263:8 *263:20 16.3786
4 *263:20 *3357:B1 21.2904
5 *263:20 *2890:A3 20.5642
*END
*D_NET *264 0.00107525
*CONN
*I *2890:B1 I *D sky130_fd_sc_hd__o31a_1
*I *2889:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *2890:B1 0.000408648
2 *2889:X 0.000408648
3 *2890:A3 *2890:B1 0.000127179
4 *155:10 *2890:B1 0.000130777
*RES
1 *2889:X *2890:B1 34.9058
*END
*D_NET *265 0.00589112
*CONN
*I *3035:A1 I *D sky130_fd_sc_hd__a31o_1
*I *2897:A I *D sky130_fd_sc_hd__and3_1
*I *3146:A1 I *D sky130_fd_sc_hd__a211o_1
*I *3040:A I *D sky130_fd_sc_hd__clkbuf_2
*I *3145:A I *D sky130_fd_sc_hd__and3_1
*I *2891:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *3035:A1 0.000181604
2 *2897:A 0
3 *3146:A1 0.000148283
4 *3040:A 8.97151e-05
5 *3145:A 5.88849e-05
6 *2891:X 0.000153775
7 *265:40 0.000181604
8 *265:14 0.000261159
9 *265:10 0.000782152
10 *265:8 0.000675085
11 *3035:A1 *3035:A3 0.000350299
12 *3035:A1 *3044:A1 6.81008e-05
13 *3035:A1 *3368:CLK 5.73556e-05
14 *3035:A1 *268:49 0.000145547
15 *3035:A1 *270:9 0.000133189
16 *3035:A1 *339:35 0.000175677
17 *3040:A *3145:C 0.000317707
18 *3040:A *393:17 4.33655e-05
19 *3145:A *3145:C 1.3119e-05
20 *3145:A *321:11 1.84293e-05
21 *3145:A *393:17 0.000175485
22 *3146:A1 *3146:B1 8.15376e-05
23 *3146:A1 *358:27 1.40978e-05
24 *265:8 *3007:A 0.000271044
25 *265:8 *3151:A1 5.57434e-05
26 *265:8 *3368:CLK 0
27 *265:8 *388:9 0.000115615
28 *265:10 *2897:B 3.34802e-05
29 *265:10 *2898:A 0
30 *265:10 *3146:C1 5.05252e-05
31 *265:10 *3151:A1 0.000105156
32 *265:10 *268:34 0
33 *265:10 *269:8 3.08133e-05
34 *265:10 *269:15 8.8398e-05
35 *265:10 *321:11 0.000140467
36 *265:10 *339:35 0
37 *265:10 *393:35 2.65145e-05
38 *265:10 *649:16 0.000530286
39 *265:10 *649:30 0.000129685
40 *265:14 *3145:B 0
41 *265:14 *3146:C1 7.50722e-05
42 *265:14 *321:11 2.652e-05
43 *265:14 *393:17 2.47808e-05
44 *265:14 *477:22 0
45 *119:14 *3035:A1 6.08467e-05
*RES
1 *2891:X *265:8 19.3535
2 *265:8 *265:10 18.4421
3 *265:10 *265:14 7.1625
4 *265:14 *3145:A 12.0704
5 *265:14 *3040:A 13.3243
6 *265:10 *3146:A1 16.5704
7 *265:8 *265:40 4.5
8 *265:40 *2897:A 9.24915
9 *265:40 *3035:A1 27.1811
*END
*D_NET *266 0.00683872
*CONN
*I *2963:A1 I *D sky130_fd_sc_hd__o21ai_1
*I *2894:C I *D sky130_fd_sc_hd__nor4_4
*I *2969:B I *D sky130_fd_sc_hd__or2_1
*I *2975:C I *D sky130_fd_sc_hd__or3_1
*I *2892:X O *D sky130_fd_sc_hd__or4_2
*CAP
1 *2963:A1 0.000627787
2 *2894:C 8.65795e-05
3 *2969:B 0.000317248
4 *2975:C 0.00021227
5 *2892:X 0.000477411
6 *266:27 0.000403828
7 *266:14 0.000520663
8 *266:8 0.00141359
9 *2963:A1 *2963:A2 1.89348e-05
10 *2963:A1 *2970:A2 0.000510645
11 *2963:A1 *2971:A1 0.000277488
12 *2969:B *2894:B 0.000111303
13 *2969:B *753:9 0.000122378
14 *2969:B *753:17 0.000108266
15 *2975:C *2975:B 6.98337e-06
16 *2975:C *2979:B1 0.000196638
17 *2975:C *342:7 5.48642e-05
18 *2975:C *754:7 3.20973e-05
19 *2975:C *754:18 0.000187056
20 *266:8 *2940:A2 0.000193625
21 *266:8 *2943:A2 0.000113109
22 *266:8 *2955:A1 1.29348e-05
23 *266:8 *3146:B1 0
24 *266:8 *321:38 0.000147453
25 *266:8 *641:12 0.00011818
26 *266:8 *749:8 4.10445e-05
27 *266:8 *749:19 0.00010872
28 *266:14 *2977:A2 1.66626e-05
29 *266:14 *2977:B1 3.24939e-05
30 *266:14 *2979:A2 0.000120584
31 *266:14 *2979:B1 0.000221185
32 *266:14 *3146:B1 0
33 *266:14 *321:38 2.66948e-05
34 *266:14 *339:48 0
*RES
1 *2892:X *266:8 26.4099
2 *266:8 *266:14 8.96456
3 *266:14 *2975:C 21.3173
4 *266:14 *266:27 4.5
5 *266:27 *2969:B 17.7611
6 *266:27 *2894:C 11.0817
7 *266:8 *2963:A1 25.637
*END
*D_NET *267 0.00248243
*CONN
*I *2894:D I *D sky130_fd_sc_hd__nor4_4
*I *2992:B I *D sky130_fd_sc_hd__or2_1
*I *2893:X O *D sky130_fd_sc_hd__or4_2
*CAP
1 *2894:D 0.000116675
2 *2992:B 0.000139317
3 *2893:X 0.000269853
4 *267:7 0.000525845
5 *2894:D *2979:B1 0
6 *2894:D *342:20 0.00010618
7 *2992:B *2979:B1 0
8 *2992:B *2992:A 6.54443e-05
9 *2992:B *342:20 7.77309e-06
10 *267:7 *2893:C 0.000111708
11 *267:7 *2998:A 0.000438944
12 *267:7 *3001:A1 0.000395122
13 *267:7 *3002:A2 0.000158371
14 *267:7 *336:9 2.20688e-05
15 *267:7 *336:16 1.11605e-05
16 *267:7 *342:7 0.000113968
*RES
1 *2893:X *267:7 24.4554
2 *267:7 *2992:B 17.2421
3 *267:7 *2894:D 17.6574
*END
*D_NET *268 0.0137094
*CONN
*I *2984:B I *D sky130_fd_sc_hd__and3b_1
*I *2938:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *3035:A2 I *D sky130_fd_sc_hd__a31o_1
*I *3007:A I *D sky130_fd_sc_hd__and2_1
*I *2897:B I *D sky130_fd_sc_hd__and3_1
*I *2894:Y O *D sky130_fd_sc_hd__nor4_4
*CAP
1 *2984:B 0.00027809
2 *2938:A 0.000224751
3 *3035:A2 0
4 *3007:A 0.0005801
5 *2897:B 0.000338429
6 *2894:Y 0.000470949
7 *268:49 0.000761205
8 *268:34 0.00228895
9 *268:20 0.0022419
10 *268:16 0.00099677
11 *2897:B *2898:A 0
12 *2897:B *683:21 6.5781e-05
13 *2938:A *2948:C 3.20069e-06
14 *2938:A *2968:A 0
15 *2938:A *3369:CLK 0
16 *2938:A *306:29 0.000326398
17 *2938:A *310:7 5.31074e-05
18 *2938:A *310:13 0.000377273
19 *2938:A *756:10 0
20 *2984:B *2981:B1 9.78112e-05
21 *2984:B *2985:B 0.000217951
22 *2984:B *2985:C 0.000113968
23 *2984:B *2985:D 0.000585366
24 *2984:B *2986:A2 2.81824e-05
25 *2984:B *346:21 0.00013871
26 *3007:A *388:9 0.000130399
27 *3007:A *759:7 0.000156384
28 *3007:A *759:15 4.33655e-05
29 *268:16 *3000:D 3.75603e-05
30 *268:16 *336:9 0.000387311
31 *268:16 *339:74 5.35684e-05
32 *268:16 *342:20 0.00015806
33 *268:16 *343:8 0.000169041
34 *268:16 *364:8 1.41976e-05
35 *268:16 *756:10 0.000234493
36 *268:16 *756:15 0.000116986
37 *268:20 *2968:A 0
38 *268:20 *2989:A1 0.000276392
39 *268:20 *2989:A2 0
40 *268:20 *2989:B1 5.01668e-05
41 *268:20 *3369:CLK 0
42 *268:20 *346:21 4.31539e-05
43 *268:20 *756:10 0.000213559
44 *268:34 *2936:B 0
45 *268:34 *2940:A1 0.000225346
46 *268:34 *2989:A1 3.94198e-06
47 *268:34 *2989:A3 7.2234e-05
48 *268:34 *2989:B1 2.29852e-05
49 *268:34 *3044:A1 4.92784e-05
50 *268:34 *3145:C 0
51 *268:34 *314:55 0
52 *268:34 *358:27 0.000197418
53 *268:34 *393:35 0
54 *268:34 *393:46 0
55 *268:34 *640:78 0
56 *268:34 *649:9 0.000101133
57 *268:34 *683:21 0
58 *268:34 *749:8 0.000117376
59 *268:49 *2898:A 2.70586e-05
60 *268:49 *3035:A3 0.000122098
61 *268:49 *3044:A1 2.79236e-05
62 *268:49 *3368:CLK 3.3171e-06
63 *3035:A1 *268:49 0.000145547
64 *3367:D *268:34 0
65 *119:14 *268:49 1.5714e-05
66 *265:8 *3007:A 0.000271044
67 *265:10 *2897:B 3.34802e-05
68 *265:10 *268:34 0
*RES
1 *2894:Y *268:16 33.6466
2 *268:16 *268:20 13.0083
3 *268:20 *268:34 44.0886
4 *268:34 *2897:B 28.7715
5 *268:34 *268:49 10.0693
6 *268:49 *3007:A 24.4405
7 *268:49 *3035:A2 9.24915
8 *268:20 *2938:A 21.9211
9 *268:16 *2984:B 21.0887
*END
*D_NET *269 0.0035838
*CONN
*I *2896:B I *D sky130_fd_sc_hd__nor2_1
*I *3006:A2 I *D sky130_fd_sc_hd__o21a_1
*I *3151:A3 I *D sky130_fd_sc_hd__o31ai_1
*I *3149:A I *D sky130_fd_sc_hd__nand2_1
*I *2895:X O *D sky130_fd_sc_hd__or3_1
*CAP
1 *2896:B 4.23205e-05
2 *3006:A2 0.000234537
3 *3151:A3 5.73918e-05
4 *3149:A 0
5 *2895:X 0.000426118
6 *269:24 0.00033509
7 *269:15 0.000337039
8 *269:8 0.000647533
9 *2896:B *3151:A1 7.74547e-05
10 *2896:B *745:19 0
11 *3006:A2 *339:35 0.000166542
12 *3151:A3 *3151:A2 1.33419e-05
13 *3151:A3 *649:30 1.59359e-05
14 *269:8 *321:11 0.000200236
15 *269:8 *358:27 6.50727e-05
16 *269:8 *358:35 0.000307264
17 *269:8 *358:48 2.27135e-05
18 *269:15 *3151:A1 5.64867e-05
19 *269:15 *3151:A2 0.000159159
20 *269:15 *3152:A1 0
21 *269:15 *358:48 0.000202819
22 *269:24 *3151:A1 9.75356e-05
23 *269:24 *3152:A1 0
24 *269:24 *745:19 0
25 *265:10 *269:8 3.08133e-05
26 *265:10 *269:15 8.8398e-05
*RES
1 *2895:X *269:8 23.924
2 *269:8 *3149:A 13.7491
3 *269:8 *269:15 7.64553
4 *269:15 *3151:A3 15.0271
5 *269:15 *269:24 1.832
6 *269:24 *3006:A2 17.8002
7 *269:24 *2896:B 15.1659
*END
*D_NET *270 0.00173554
*CONN
*I *3035:A3 I *D sky130_fd_sc_hd__a31o_1
*I *2897:C I *D sky130_fd_sc_hd__and3_1
*I *2896:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *3035:A3 0.000290753
2 *2897:C 0
3 *2896:Y 0.000206455
4 *270:9 0.000497207
5 *3035:A3 *3044:A1 0
6 *3035:A3 *3368:CLK 6.31809e-05
7 *270:9 *339:35 7.23606e-05
8 *3035:A1 *3035:A3 0.000350299
9 *3035:A1 *270:9 0.000133189
10 *268:49 *3035:A3 0.000122098
*RES
1 *2896:Y *270:9 13.6498
2 *270:9 *2897:C 9.24915
3 *270:9 *3035:A3 24.8059
*END
*D_NET *271 0.000392739
*CONN
*I *2898:A I *D sky130_fd_sc_hd__clkbuf_2
*I *2897:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *2898:A 0.00014711
2 *2897:X 0.00014711
3 *2898:A *3368:CLK 3.04443e-05
4 *2898:A *339:35 3.18922e-05
5 *2897:B *2898:A 0
6 *119:14 *2898:A 9.12416e-06
7 *265:10 *2898:A 0
8 *268:49 *2898:A 2.70586e-05
*RES
1 *2897:X *2898:A 31.3333
*END
*D_NET *272 0.0116672
*CONN
*I *3298:S I *D sky130_fd_sc_hd__mux2_1
*I *3301:S I *D sky130_fd_sc_hd__mux2_1
*I *2910:A1 I *D sky130_fd_sc_hd__o21a_1
*I *3274:A1 I *D sky130_fd_sc_hd__o211a_1
*I *3273:B_N I *D sky130_fd_sc_hd__or2b_1
*I *2899:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3298:S 0.000213067
2 *3301:S 0
3 *2910:A1 0.000335467
4 *3274:A1 8.2251e-06
5 *3273:B_N 0
6 *2899:X 0.00045843
7 *272:47 0.000267377
8 *272:28 0.000683398
9 *272:17 0.00189008
10 *272:8 0.00206311
11 *2910:A1 *800:DIODE 0.000164829
12 *2910:A1 *858:DIODE 0.000189481
13 *2910:A1 *3247:A 1.55462e-05
14 *2910:A1 *3274:A2 0.000265129
15 *2910:A1 *399:24 0.000169041
16 *2910:A1 *454:7 4.0752e-05
17 *2910:A1 *548:27 0.000148666
18 *2910:A1 *683:78 0.000275994
19 *3274:A1 *3274:A2 6.36477e-05
20 *3274:A1 *548:27 6.78596e-05
21 *3298:S *3298:A0 4.58003e-05
22 *3298:S *566:8 8.04463e-05
23 *3298:S *651:31 0.000196638
24 *3298:S *689:31 0.000113968
25 *272:8 *3093:A 0
26 *272:8 *3096:A 7.6078e-05
27 *272:8 *3109:A 0.000273277
28 *272:8 *3315:A0 0.000477044
29 *272:8 *440:11 0.000378054
30 *272:8 *566:8 0.000113939
31 *272:8 *714:8 9.75356e-05
32 *272:8 *748:27 0.000481241
33 *272:17 *3021:A 9.48427e-05
34 *272:17 *3115:A2 0.000220183
35 *272:17 *3115:B1 2.65667e-05
36 *272:17 *3273:A 0.000207294
37 *272:17 *3405:CLK 0.000124658
38 *272:17 *379:8 5.27412e-05
39 *272:17 *684:91 0.000183736
40 *272:17 *714:8 4.28856e-07
41 *272:28 *2843:B1 0
42 *272:28 *3126:A1 0
43 *272:28 *3273:A 1.19856e-05
44 *272:28 *3274:A2 2.95757e-05
45 *272:28 *3274:B1 8.84923e-05
46 *272:28 *684:91 0.000258231
47 *272:47 *566:8 5.53934e-05
48 *2843:C1 *272:28 1.77537e-06
49 *3405:D *272:17 3.31278e-05
50 *3448:D *272:28 0.000151726
51 *1:11 *3298:S 0.00015046
52 *1:11 *272:8 0.000268181
53 *1:11 *272:47 5.36397e-05
*RES
1 *2899:X *272:8 36.1057
2 *272:8 *272:17 34.6897
3 *272:17 *3273:B_N 9.24915
4 *272:17 *272:28 19.866
5 *272:28 *3274:A1 9.97254
6 *272:28 *2910:A1 31.6361
7 *272:8 *272:47 2.24725
8 *272:47 *3301:S 13.7491
9 *272:47 *3298:S 21.8422
*END
*D_NET *273 0.0131453
*CONN
*I *3094:A I *D sky130_fd_sc_hd__or4_1
*I *3076:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *2905:A I *D sky130_fd_sc_hd__or4_2
*I *3326:B I *D sky130_fd_sc_hd__or4b_2
*I *2900:X O *D sky130_fd_sc_hd__or4_2
*CAP
1 *3094:A 7.59191e-05
2 *3076:A 0
3 *2905:A 0.000642327
4 *3326:B 0.0004785
5 *2900:X 0.00104544
6 *273:31 0.000857508
7 *273:28 0.000642084
8 *273:19 0.00124616
9 *273:14 0.00146212
10 *2905:A *2905:C 4.82966e-05
11 *2905:A *3272:A0 6.50586e-05
12 *2905:A *3272:S 0.000252342
13 *2905:A *3274:A2 0.000113968
14 *2905:A *407:30 0.00012541
15 *2905:A *421:7 0.000464127
16 *2905:A *683:69 0.000113968
17 *2905:A *691:14 0.000129105
18 *2905:A *691:16 0.000206729
19 *3094:A *281:8 0.000213208
20 *3094:A *551:10 0.000202687
21 *3326:B *3225:C1 0.000778395
22 *273:14 *871:DIODE 6.48565e-05
23 *273:14 *2900:A 0.00033746
24 *273:14 *3331:A1 0
25 *273:14 *3331:S 0.000743306
26 *273:14 *3348:A 0.000239106
27 *273:14 *503:26 0
28 *273:14 *685:30 7.17006e-05
29 *273:14 *686:36 5.30345e-05
30 *273:14 *708:12 2.53624e-06
31 *273:19 *3225:C1 0.000254881
32 *273:19 *3347:B 3.31585e-05
33 *273:19 *3348:A 0.000104793
34 *273:19 *685:30 0.000193557
35 *273:28 *281:8 0.000364076
36 *273:28 *551:10 0.000287422
37 *273:28 *693:40 0.000144531
38 *273:31 *421:5 5.09367e-05
39 *273:31 *421:7 0.000114518
40 *2855:B *273:14 0.000123582
41 *2867:A *273:14 0
42 *3478:D *273:14 6.50586e-05
43 *155:10 *273:14 0
44 *230:62 *273:14 0.000115311
45 *230:71 *2905:A 0.000153985
46 *230:71 *273:14 0
47 *230:71 *273:19 1.22513e-05
48 *230:71 *273:28 0.00012222
49 *263:8 *273:14 0.000264568
50 *263:20 *273:14 6.50727e-05
*RES
1 *2900:X *273:14 47.7525
2 *273:14 *273:19 14.1958
3 *273:19 *3326:B 17.737
4 *273:19 *273:28 16.7133
5 *273:28 *273:31 9.66022
6 *273:31 *2905:A 38.8757
7 *273:31 *3076:A 9.24915
8 *273:28 *3094:A 17.6574
*END
*D_NET *274 0.00755983
*CONN
*I *3094:B I *D sky130_fd_sc_hd__or4_1
*I *3089:B I *D sky130_fd_sc_hd__nor4_2
*I *2905:B I *D sky130_fd_sc_hd__or4_2
*I *3244:B I *D sky130_fd_sc_hd__or3_2
*I *2901:X O *D sky130_fd_sc_hd__or2b_1
*CAP
1 *3094:B 0
2 *3089:B 2.15683e-05
3 *2905:B 0.000194586
4 *3244:B 4.27376e-05
5 *2901:X 0.000722926
6 *274:38 0.000780288
7 *274:32 0.00108362
8 *274:18 0.00128515
9 *2905:B *2905:C 3.14978e-05
10 *2905:B *2905:D 0.000154861
11 *2905:B *3089:D 1.41291e-05
12 *2905:B *3103:A 4.58666e-05
13 *2905:B *275:21 3.58044e-05
14 *2905:B *434:5 4.31703e-05
15 *2905:B *437:11 0.000423908
16 *2905:B *692:40 0.000186828
17 *2905:B *692:44 6.3609e-05
18 *3089:B *3089:C 4.31603e-06
19 *3244:B *276:25 6.3657e-05
20 *274:18 *3077:A 0.000113968
21 *274:18 *3077:B 6.98314e-05
22 *274:18 *3084:A 2.4175e-05
23 *274:18 *3244:A 5.33048e-05
24 *274:18 *3244:C 0.000115406
25 *274:18 *3347:A 0
26 *274:18 *282:10 0
27 *274:18 *422:8 0
28 *274:18 *429:10 0.000107052
29 *274:18 *693:40 0
30 *274:18 *702:63 0
31 *274:18 *707:25 2.79907e-05
32 *274:18 *708:44 0.00027329
33 *274:32 *3092:C 2.57847e-05
34 *274:32 *3244:A 0
35 *274:32 *421:24 0
36 *274:32 *551:10 0.00042223
37 *274:32 *706:40 1.66626e-05
38 *274:38 *3092:C 0.00019907
39 *274:38 *3092:D 2.61831e-05
40 *274:38 *275:21 0.000258388
41 *274:38 *437:11 0.000627976
*RES
1 *2901:X *274:18 31.977
2 *274:18 *3244:B 14.4725
3 *274:18 *274:32 15.7024
4 *274:32 *274:38 14.6367
5 *274:38 *2905:B 27.5935
6 *274:38 *3089:B 9.82786
7 *274:32 *3094:B 9.24915
*END
*D_NET *275 0.00421627
*CONN
*I *3092:B_N I *D sky130_fd_sc_hd__and4bb_1
*I *3089:C I *D sky130_fd_sc_hd__nor4_2
*I *2905:C I *D sky130_fd_sc_hd__or4_2
*I *3094:C I *D sky130_fd_sc_hd__or4_1
*I *2902:X O *D sky130_fd_sc_hd__or3b_1
*CAP
1 *3092:B_N 0
2 *3089:C 1.65429e-05
3 *2905:C 0.000202481
4 *3094:C 0
5 *2902:X 0.000162118
6 *275:21 0.000457935
7 *275:19 0.000300615
8 *275:11 0.000223823
9 *2905:C *3089:D 6.50727e-05
10 *2905:C *3272:S 9.04224e-05
11 *2905:C *332:49 5.54692e-05
12 *2905:C *467:11 0.000213725
13 *2905:C *692:40 0
14 *3089:C *3089:D 1.47978e-05
15 *275:11 *2909:A 2.57847e-05
16 *275:11 *3092:C 6.50586e-05
17 *275:11 *551:10 0.000107063
18 *275:11 *702:86 0
19 *275:19 *3092:C 1.6383e-05
20 *275:19 *3094:D 0.000203747
21 *275:19 *439:14 0.000314044
22 *275:21 *3089:D 0.000211478
23 *275:21 *3092:C 4.0752e-05
24 *275:21 *3092:D 6.50727e-05
25 *275:21 *3094:D 0.000919147
26 *275:21 *439:14 6.64392e-05
27 *2905:A *2905:C 4.82966e-05
28 *2905:B *2905:C 3.14978e-05
29 *2905:B *275:21 3.58044e-05
30 *3089:B *3089:C 4.31603e-06
31 *274:38 *275:21 0.000258388
*RES
1 *2902:X *275:11 21.9432
2 *275:11 *3094:C 9.24915
3 *275:11 *275:19 4.07513
4 *275:19 *275:21 12.3701
5 *275:21 *2905:C 24.4375
6 *275:21 *3089:C 9.82786
7 *275:19 *3092:B_N 9.24915
*END
*D_NET *276 0.00488659
*CONN
*I *3075:B I *D sky130_fd_sc_hd__and2b_1
*I *3276:A I *D sky130_fd_sc_hd__and2_1
*I *3352:A I *D sky130_fd_sc_hd__and2_1
*I *3083:B_N I *D sky130_fd_sc_hd__or2b_1
*I *2904:A I *D sky130_fd_sc_hd__nand2_1
*I *2903:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3075:B 0.000127015
2 *3276:A 0
3 *3352:A 2.06324e-05
4 *3083:B_N 3.93497e-05
5 *2904:A 0.000464985
6 *2903:X 0
7 *276:36 0.000358122
8 *276:25 0.000492116
9 *276:9 0.000707125
10 *276:4 0.000443167
11 *2904:A *799:DIODE 2.61237e-05
12 *2904:A *3090:A 0.000258066
13 *2904:A *3090:B 3.79253e-05
14 *2904:A *3090:C 2.65831e-05
15 *2904:A *3090:D 1.92172e-05
16 *2904:A *435:8 0.000169041
17 *2904:A *692:75 0.000164843
18 *2904:A *692:84 6.50586e-05
19 *3075:B *3075:A_N 4.26486e-05
20 *3075:B *464:28 0
21 *3075:B *691:83 5.64867e-05
22 *3075:B *706:82 0.000160115
23 *276:9 *3092:D 7.36804e-06
24 *276:9 *282:10 0.00011946
25 *276:9 *422:8 2.68066e-05
26 *276:9 *691:69 0.000260374
27 *276:9 *692:97 2.95757e-05
28 *276:25 *551:10 0.00022284
29 *276:25 *692:97 6.08467e-05
30 *276:25 *692:120 8.70343e-05
31 *276:25 *702:63 3.024e-05
32 *276:36 *281:5 9.14669e-05
33 *276:36 *551:10 1.61631e-05
34 *276:36 *691:83 0.000136538
35 *276:36 *692:120 1.10258e-05
36 *3244:B *276:25 6.3657e-05
37 *230:71 *3075:B 1.52761e-05
38 *230:71 *276:36 2.92924e-05
*RES
1 *2903:X *276:4 9.24915
2 *276:4 *276:9 14.7437
3 *276:9 *2904:A 22.0533
4 *276:9 *3083:B_N 10.2378
5 *276:4 *276:25 7.37864
6 *276:25 *3352:A 9.82786
7 *276:25 *276:36 10.6589
8 *276:36 *3276:A 13.7491
9 *276:36 *3075:B 18.0727
*END
*D_NET *277 0.00419278
*CONN
*I *2905:D I *D sky130_fd_sc_hd__or4_2
*I *3222:B I *D sky130_fd_sc_hd__nor4_1
*I *3219:B I *D sky130_fd_sc_hd__or4_1
*I *2904:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *2905:D 0.000271413
2 *3222:B 0.000271215
3 *3219:B 0.000276814
4 *2904:Y 0.000170368
5 *277:8 0.00069139
6 *277:7 0.000585142
7 *2905:D *3087:D 7.58896e-05
8 *2905:D *3103:A 0.000116865
9 *2905:D *692:44 0.000116601
10 *3219:B *3090:C 0.000366815
11 *3219:B *3219:D 5.48512e-05
12 *3219:B *3220:A 0.000122098
13 *3219:B *430:19 2.15348e-05
14 *3219:B *431:29 6.08697e-06
15 *3219:B *534:7 6.08467e-05
16 *3222:B *3222:D 5.51483e-06
17 *3222:B *431:5 0.000167076
18 *3222:B *702:46 0.000213725
19 *277:7 *3090:A 0.000264586
20 *277:8 *3087:D 7.4235e-06
21 *277:8 *3090:C 0.000134191
22 *277:8 *431:29 2.57485e-05
23 *277:8 *692:44 1.17185e-05
24 *2905:B *2905:D 0.000154861
*RES
1 *2904:Y *277:7 16.691
2 *277:7 *277:8 3.493
3 *277:8 *3219:B 21.8422
4 *277:8 *3222:B 18.3548
5 *277:7 *2905:D 22.2252
*END
*D_NET *278 0.00398235
*CONN
*I *2906:S I *D sky130_fd_sc_hd__mux2_1
*I *3153:B I *D sky130_fd_sc_hd__or2_1
*I *3272:S I *D sky130_fd_sc_hd__mux2_1
*I *2905:X O *D sky130_fd_sc_hd__or4_2
*CAP
1 *2906:S 7.62714e-06
2 *3153:B 0.000298441
3 *3272:S 0.000159319
4 *2905:X 0
5 *278:21 0.000393732
6 *278:5 0.000246983
7 *2906:S *3101:B1 5.08751e-05
8 *2906:S *399:24 6.50727e-05
9 *3153:B *3088:A 0.000213725
10 *3153:B *3097:A2 5.39109e-05
11 *3153:B *3101:B1 0.000699854
12 *3153:B *399:24 0.000616991
13 *3153:B *486:8 0.00025447
14 *3272:S *332:49 1.18938e-05
15 *3272:S *467:11 0.000429417
16 *3272:S *692:40 2.12377e-05
17 *278:21 *332:49 1.79672e-05
18 *278:21 *692:40 3.29941e-05
19 *2905:A *3272:S 0.000252342
20 *2905:C *3272:S 9.04224e-05
21 *230:71 *3272:S 6.50727e-05
*RES
1 *2905:X *278:5 13.7491
2 *278:5 *3272:S 21.6282
3 *278:5 *278:21 6.74725
4 *278:21 *3153:B 23.3313
5 *278:21 *2906:S 9.97254
*END
*D_NET *279 0.000489391
*CONN
*I *2910:A2 I *D sky130_fd_sc_hd__o21a_1
*I *2906:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *2910:A2 0.000135727
2 *2906:X 0.000135727
3 *2910:A2 *3101:B1 0.000217937
*RES
1 *2906:X *2910:A2 20.8855
*END
*D_NET *280 0.00106916
*CONN
*I *2908:A I *D sky130_fd_sc_hd__clkbuf_2
*I *2990:A I *D sky130_fd_sc_hd__clkbuf_2
*I *2907:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *2908:A 0.000142788
2 *2990:A 7.04578e-05
3 *2907:Y 0
4 *280:4 0.000213246
5 *2908:A *281:5 6.50727e-05
6 *2908:A *625:8 3.00073e-05
7 *2908:A *691:83 2.20702e-05
8 *2908:A *692:120 0.000124942
9 *2990:A *3353:A 0.000118166
10 *2990:A *693:40 0.000271058
11 *230:71 *2908:A 1.13509e-05
*RES
1 *2907:Y *280:4 9.24915
2 *280:4 *2990:A 12.191
3 *280:4 *2908:A 22.329
*END
*D_NET *281 0.0156358
*CONN
*I *3352:B I *D sky130_fd_sc_hd__and2_1
*I *3158:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *3021:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *3248:A I *D sky130_fd_sc_hd__clkbuf_1
*I *2909:A I *D sky130_fd_sc_hd__buf_2
*I *2908:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3352:B 0
2 *3158:A 0
3 *3021:A 0.00048028
4 *3248:A 3.57214e-05
5 *2909:A 0.000467004
6 *2908:X 4.92306e-05
7 *281:52 0.00182166
8 *281:29 0.00216891
9 *281:8 0.00157421
10 *281:5 0.000364631
11 *2909:A *2902:A 0.000307781
12 *2909:A *282:7 2.65831e-05
13 *3021:A *3156:B 0
14 *3021:A *3405:CLK 4.82966e-05
15 *3021:A *637:22 0.000409212
16 *3021:A *684:91 0.000576683
17 *3248:A *2844:A2 0.000320502
18 *3248:A *2844:B2 0.000320502
19 *281:5 *691:83 7.34948e-06
20 *281:5 *692:120 0.00018321
21 *281:8 *551:10 6.08927e-05
22 *281:29 *796:DIODE 3.63837e-05
23 *281:29 *2843:B2 0.000500139
24 *281:29 *2902:B 7.08723e-06
25 *281:29 *3245:A1 0.000179862
26 *281:29 *3250:A0 6.5713e-05
27 *281:29 *3283:B 2.82537e-05
28 *281:29 *3515:A 0.000181897
29 *281:29 *551:10 0.000150095
30 *281:29 *551:26 2.26334e-05
31 *281:29 *685:100 0
32 *281:29 *706:82 1.76268e-05
33 *281:52 *2841:A2 0.000313481
34 *281:52 *3162:A0 1.89836e-05
35 *281:52 *3172:B 1.41291e-05
36 *281:52 *3173:A 0.000421676
37 *281:52 *3250:A0 3.20069e-06
38 *281:52 *3251:B 0.000116201
39 *281:52 *3253:A0 6.84784e-06
40 *281:52 *3257:B 0.000118245
41 *281:52 *3258:A 4.82966e-05
42 *281:52 *3260:A 0.000151311
43 *281:52 *3443:CLK 0.000224395
44 *281:52 *554:21 2.57847e-05
45 *281:52 *554:39 7.90842e-05
46 *281:52 *555:14 0.000191541
47 *281:52 *637:22 0.000242134
48 *281:52 *675:8 0.000117544
49 *281:52 *685:100 0
50 *2908:A *281:5 6.50727e-05
51 *3094:A *281:8 0.000213208
52 *3405:D *3021:A 0.000257267
53 *3410:D *281:52 0.000111708
54 *3443:D *281:52 0.000280451
55 *3450:D *281:29 0.000101148
56 *3451:D *281:29 0.000256473
57 *230:71 *2909:A 0.000514573
58 *230:71 *281:8 0.000724899
59 *230:71 *281:29 2.95757e-05
60 *272:17 *3021:A 9.48427e-05
61 *273:28 *281:8 0.000364076
62 *275:11 *2909:A 2.57847e-05
63 *276:36 *281:5 9.14669e-05
*RES
1 *2908:X *281:5 12.191
2 *281:5 *281:8 17.9591
3 *281:8 *2909:A 25.6611
4 *281:8 *281:29 25.306
5 *281:29 *3248:A 17.2456
6 *281:29 *281:52 49.6665
7 *281:52 *3021:A 31.1552
8 *281:52 *3158:A 13.7491
9 *281:5 *3352:B 9.24915
*END
*D_NET *282 0.0239812
*CONN
*I *800:DIODE I *D sky130_fd_sc_hd__diode_2
*I *2910:B1 I *D sky130_fd_sc_hd__o21a_1
*I *3363:B1 I *D sky130_fd_sc_hd__o21a_1
*I *876:DIODE I *D sky130_fd_sc_hd__diode_2
*I *836:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3213:B1 I *D sky130_fd_sc_hd__o21a_1
*I *833:DIODE I *D sky130_fd_sc_hd__diode_2
*I *806:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3039:C1 I *D sky130_fd_sc_hd__o211a_1
*I *3187:B1 I *D sky130_fd_sc_hd__o21a_1
*I *2909:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *800:DIODE 0.00024992
2 *2910:B1 0
3 *3363:B1 3.02604e-05
4 *876:DIODE 0
5 *836:DIODE 7.98254e-05
6 *3213:B1 0.000102427
7 *833:DIODE 0
8 *806:DIODE 0
9 *3039:C1 0.000579336
10 *3187:B1 0
11 *2909:X 4.51676e-05
12 *282:108 0.000444559
13 *282:76 0.000507323
14 *282:74 0.000649619
15 *282:65 0.000566308
16 *282:37 0.000854596
17 *282:36 0.00133834
18 *282:19 0.00121619
19 *282:17 0.000752632
20 *282:14 0.0011934
21 *282:10 0.00194376
22 *282:7 0.00180119
23 *800:DIODE *2906:A1 0.000413821
24 *800:DIODE *399:24 0.000454058
25 *836:DIODE *363:31 0.000107496
26 *3039:C1 *2921:A 4.09969e-06
27 *3039:C1 *3039:B1 4.94e-06
28 *3039:C1 *3047:B1 2.3339e-05
29 *3039:C1 *300:23 1.59204e-05
30 *3039:C1 *304:14 8.97962e-05
31 *3039:C1 *356:8 0
32 *3213:B1 *708:12 7.50722e-05
33 *3213:B1 *711:10 2.652e-05
34 *3363:B1 *2900:A 0.000158371
35 *3363:B1 *2900:D 0.000144173
36 *282:10 *798:DIODE 2.04806e-05
37 *282:10 *812:DIODE 4.12533e-05
38 *282:10 *3077:A 2.22198e-05
39 *282:10 *3092:D 0.000158359
40 *282:10 *3326:A 0.000132804
41 *282:10 *402:11 0
42 *282:10 *402:20 0
43 *282:10 *407:30 0.000604413
44 *282:10 *422:8 6.63228e-05
45 *282:10 *683:44 0
46 *282:10 *690:25 0.000338001
47 *282:10 *692:97 4.06401e-05
48 *282:10 *702:86 0
49 *282:10 *708:22 0
50 *282:10 *708:43 0
51 *282:14 *2900:B 2.95757e-05
52 *282:14 *402:20 0.000152761
53 *282:14 *681:20 1.91246e-05
54 *282:17 *835:DIODE 6.08467e-05
55 *282:17 *2900:B 6.08467e-05
56 *282:17 *3180:A 7.92757e-06
57 *282:17 *402:32 0.000152878
58 *282:19 *3187:A2 2.20702e-05
59 *282:36 *2926:B 0.000216073
60 *282:36 *2931:A1 6.49002e-05
61 *282:36 *2931:A2 5.2504e-06
62 *282:36 *2931:B1 0.000140472
63 *282:36 *2931:B2 0.000529279
64 *282:36 *2947:A 0.000623377
65 *282:36 *2947:B 2.57847e-05
66 *282:36 *2948:D 0.000426044
67 *282:36 *2961:A1 0.000190958
68 *282:36 *2962:A2 0
69 *282:36 *3187:A2 7.34948e-06
70 *282:36 *313:26 7.19676e-05
71 *282:36 *640:59 5.90082e-05
72 *282:36 *752:8 8.06881e-05
73 *282:37 *2929:A 0.000258128
74 *282:37 *2929:B 7.48797e-05
75 *282:37 *2931:B1 0.000311235
76 *282:37 *300:23 5.63408e-05
77 *282:37 *658:7 0.000111722
78 *282:65 *2900:A 0.000273036
79 *282:65 *2900:B 0.000377273
80 *282:65 *2900:D 0.000247818
81 *282:65 *525:5 7.02172e-06
82 *282:65 *659:51 8.18344e-06
83 *282:74 *3363:A2 0.000168546
84 *282:74 *501:8 5.04829e-06
85 *282:74 *659:51 0.000143017
86 *282:74 *711:10 8.07794e-05
87 *282:76 *3363:A2 5.92342e-05
88 *282:76 *708:12 0.000668243
89 *282:76 *711:10 0.000319473
90 *282:108 *795:DIODE 0
91 *282:108 *399:24 0.000111708
92 *282:108 *407:30 0.000242151
93 *282:108 *445:10 0
94 *282:108 *702:86 0
95 *2909:A *282:7 2.65831e-05
96 *2910:A1 *800:DIODE 0.000164829
97 *3180:C *282:14 0
98 *3180:C *282:17 0.00112873
99 *3180:C *282:65 0.000314433
100 *3365:D *800:DIODE 1.61631e-05
101 *3365:D *282:108 3.58044e-05
102 *3413:D *282:36 2.99287e-05
103 *3432:D *282:10 0
104 *3469:D *282:10 4.77259e-05
105 *229:20 *282:74 0.000139435
106 *230:15 *282:65 2.52592e-05
107 *262:35 *3213:B1 0.000164843
108 *274:18 *282:10 0
109 *276:9 *282:10 0.00011946
*RES
1 *2909:X *282:7 14.4725
2 *282:7 *282:10 42.197
3 *282:10 *282:14 14.8691
4 *282:14 *282:17 17.7955
5 *282:17 *282:19 3.49641
6 *282:19 *3187:B1 9.24915
7 *282:19 *282:36 45.4544
8 *282:36 *282:37 9.59705
9 *282:37 *3039:C1 27.7598
10 *282:37 *806:DIODE 9.24915
11 *282:17 *833:DIODE 9.24915
12 *282:14 *282:65 18.6581
13 *282:65 *282:74 22.7367
14 *282:74 *282:76 13.4591
15 *282:76 *3213:B1 16.9985
16 *282:76 *836:DIODE 15.0271
17 *282:74 *876:DIODE 13.7491
18 *282:65 *3363:B1 11.0817
19 *282:7 *282:108 10.5168
20 *282:108 *2910:B1 9.24915
21 *282:108 *800:DIODE 19.4249
*END
*D_NET *283 0.00508669
*CONN
*I *2950:A2 I *D sky130_fd_sc_hd__o31ai_1
*I *2944:A1 I *D sky130_fd_sc_hd__o21ai_1
*I *2948:B I *D sky130_fd_sc_hd__or4_1
*I *2940:C1 I *D sky130_fd_sc_hd__a211o_1
*I *2936:A I *D sky130_fd_sc_hd__nand2_1
*I *2911:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *2950:A2 0.000506321
2 *2944:A1 0
3 *2948:B 0
4 *2940:C1 8.01447e-05
5 *2936:A 9.15343e-05
6 *2911:X 0
7 *283:37 0.000579885
8 *283:28 0.000256921
9 *283:15 0.000336261
10 *283:4 0.000164295
11 *2936:A *2911:A 0.000114584
12 *2936:A *2951:A 1.03434e-05
13 *2936:A *3512:A 9.62794e-05
14 *2936:A *310:17 0.000367565
15 *2940:C1 *3519:A 0.000210992
16 *2940:C1 *339:48 0.000210992
17 *2950:A2 *2950:A3 3.67857e-05
18 *2950:A2 *2950:B1 6.3657e-05
19 *2950:A2 *2954:C 1.65872e-05
20 *2950:A2 *2956:A1 0.000100857
21 *2950:A2 *311:37 0.000398169
22 *2950:A2 *750:13 3.4418e-05
23 *2950:A2 *750:33 7.92757e-06
24 *283:15 *3512:A 0.000260388
25 *283:15 *310:13 0.000207266
26 *283:15 *310:17 3.03403e-05
27 *283:28 *2944:A2 9.91024e-05
28 *283:28 *307:48 0.000148144
29 *283:28 *310:13 0.000190823
30 *283:28 *311:12 0.000247246
31 *283:37 *2944:A2 7.86847e-05
32 *283:37 *2948:A 9.6321e-06
33 *283:37 *311:12 0.000130539
*RES
1 *2911:X *283:4 9.24915
2 *283:4 *2936:A 14.4576
3 *283:4 *283:15 3.49641
4 *283:15 *2940:C1 22.1574
5 *283:15 *283:28 11.2107
6 *283:28 *2948:B 13.7491
7 *283:28 *283:37 7.1625
8 *283:37 *2944:A1 9.24915
9 *283:37 *2950:A2 19.9433
*END
*D_NET *284 0.000572575
*CONN
*I *2915:A2 I *D sky130_fd_sc_hd__a221o_1
*I *2912:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *2915:A2 7.84864e-05
2 *2912:Y 7.84864e-05
3 *2915:A2 *2915:A1 0.000200562
4 *2915:A2 *2915:B1 0
5 *2915:A2 *2919:A 0.000215039
*RES
1 *2912:Y *2915:A2 22.4649
*END
*D_NET *285 0.00274596
*CONN
*I *3235:A I *D sky130_fd_sc_hd__nand2_1
*I *2915:B2 I *D sky130_fd_sc_hd__a221o_1
*I *2913:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *3235:A 9.2547e-05
2 *2915:B2 0.000299461
3 *2913:Y 1.16004e-05
4 *285:5 0.000403608
5 *2915:B2 *2915:B1 3.24516e-05
6 *2915:B2 *2920:A1 0.000217141
7 *2915:B2 *2920:B1 1.2693e-05
8 *2915:B2 *3437:CLK 0
9 *2915:B2 *290:23 0.000266846
10 *2915:B2 *355:39 0.000164829
11 *2915:B2 *399:46 0.000423989
12 *3235:A *3238:A2 0.000140451
13 *3235:A *684:67 0
14 *3235:A *689:46 2.58518e-05
15 *3235:A *689:51 2.10723e-05
16 *285:5 *290:23 6.08467e-05
17 *3437:D *2915:B2 0.000523679
18 *3437:D *285:5 4.88955e-05
*RES
1 *2913:Y *285:5 9.97254
2 *285:5 *2915:B2 31.9953
3 *285:5 *3235:A 21.3269
*END
*D_NET *286 0.000756607
*CONN
*I *2915:C1 I *D sky130_fd_sc_hd__a221o_1
*I *2914:X O *D sky130_fd_sc_hd__xor2_1
*CAP
1 *2915:C1 0.000155055
2 *2914:X 0.000155055
3 *2915:C1 *2915:B1 0.000224395
4 *2915:C1 *2920:A1 0
5 *2915:C1 *3437:CLK 0.000203833
6 *2915:C1 *399:46 0
7 *2915:C1 *729:8 1.82696e-05
*RES
1 *2914:X *2915:C1 33.3785
*END
*D_NET *287 0.00222111
*CONN
*I *2933:A1 I *D sky130_fd_sc_hd__o41a_1
*I *2915:X O *D sky130_fd_sc_hd__a221o_1
*CAP
1 *2933:A1 0.000346959
2 *2915:X 0.000346959
3 *2933:A1 *2915:A1 0.000111722
4 *2933:A1 *2933:A2 0.000628914
5 *2933:A1 *2933:A4 2.65667e-05
6 *2933:A1 *300:8 0
7 *2933:A1 *640:54 0.000435274
8 *2933:A1 *640:59 0.000324713
*RES
1 *2915:X *2933:A1 42.1282
*END
*D_NET *288 0.00300595
*CONN
*I *3237:A I *D sky130_fd_sc_hd__nand2_1
*I *2920:A1 I *D sky130_fd_sc_hd__a221o_1
*I *2916:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *3237:A 0.000282596
2 *2920:A1 0.000430444
3 *2916:Y 0
4 *288:4 0.00071304
5 *2920:A1 *2920:B1 5.92342e-05
6 *2920:A1 *2920:C1 0
7 *2920:A1 *2952:A 0.000357884
8 *2920:A1 *3437:CLK 0
9 *2920:A1 *399:46 5.01668e-05
10 *3237:A *2915:A1 0.000262495
11 *3237:A *2919:A 0.000232434
12 *3237:A *2920:A2 3.67528e-06
13 *3237:A *2952:A 0.000256861
14 *3237:A *3438:CLK 0.000113374
15 *3237:A *724:34 2.66039e-05
16 *2915:B2 *2920:A1 0.000217141
17 *2915:C1 *2920:A1 0
*RES
1 *2916:Y *288:4 9.24915
2 *288:4 *2920:A1 28.2847
3 *288:4 *3237:A 26.38
*END
*D_NET *289 0.000568184
*CONN
*I *2920:B1 I *D sky130_fd_sc_hd__a221o_1
*I *2917:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *2920:B1 0.000227132
2 *2917:Y 0.000227132
3 *2920:B1 *2920:B2 2.37096e-05
4 *2920:B1 *2920:C1 1.82832e-05
5 *2920:B1 *3437:CLK 0
6 *2915:B2 *2920:B1 1.2693e-05
7 *2920:A1 *2920:B1 5.92342e-05
*RES
1 *2917:Y *2920:B1 32.9661
*END
*D_NET *290 0.0109438
*CONN
*I *3127:A1 I *D sky130_fd_sc_hd__o22a_1
*I *2983:B I *D sky130_fd_sc_hd__or4_2
*I *2982:A1 I *D sky130_fd_sc_hd__o31a_1
*I *2974:A I *D sky130_fd_sc_hd__xnor2_2
*I *2920:B2 I *D sky130_fd_sc_hd__a221o_1
*I *2918:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *3127:A1 0.000513325
2 *2983:B 0.000153489
3 *2982:A1 9.36721e-06
4 *2974:A 0.000333221
5 *2920:B2 0.000463491
6 *2918:X 0
7 *290:30 0.000630981
8 *290:28 0.00107445
9 *290:23 0.00193796
10 *290:4 0.00125557
11 *2920:B2 *845:DIODE 0.000113107
12 *2920:B2 *2920:A2 9.9777e-05
13 *2920:B2 *640:54 0.000190042
14 *2920:B2 *689:51 1.48603e-05
15 *2974:A *2982:A2 9.80912e-05
16 *2974:A *2982:B1 0.000202404
17 *2974:A *327:42 2.18695e-05
18 *2974:A *341:8 0.000127288
19 *2974:A *348:8 8.62625e-06
20 *2974:A *402:79 0.000183171
21 *2974:A *684:8 1.87469e-05
22 *2982:A1 *2960:A 6.50586e-05
23 *2982:A1 *2982:B1 6.50586e-05
24 *2983:B *684:14 6.23875e-05
25 *2983:B *731:11 1.37189e-05
26 *3127:A1 *819:DIODE 2.95757e-05
27 *3127:A1 *3134:A2 4.19401e-06
28 *3127:A1 *3137:A1 1.9784e-05
29 *3127:A1 *3241:B1 1.61631e-05
30 *3127:A1 *299:59 0
31 *3127:A1 *427:8 0
32 *3127:A1 *427:10 0
33 *3127:A1 *432:22 0.000172111
34 *3127:A1 *434:17 3.76125e-05
35 *3127:A1 *535:28 0.000128001
36 *3127:A1 *702:24 0.00015298
37 *3127:A1 *702:46 6.08467e-05
38 *3127:A1 *734:10 2.18741e-05
39 *3127:A1 *734:26 3.31745e-05
40 *290:23 *3236:A2 6.77297e-05
41 *290:23 *3236:B1 7.63448e-05
42 *290:23 *3236:C1 2.65667e-05
43 *290:23 *3239:A 2.16355e-05
44 *290:23 *3241:B1 0.000207821
45 *290:23 *684:67 1.69394e-06
46 *290:23 *734:26 2.16355e-05
47 *290:28 *819:DIODE 0.000323388
48 *290:28 *849:DIODE 0.000138503
49 *290:28 *2958:A 5.64929e-05
50 *290:28 *3230:B1 0.000179642
51 *290:28 *3237:B 2.64238e-05
52 *290:28 *299:59 4.06587e-05
53 *290:28 *536:24 0.000549953
54 *290:28 *538:8 0
55 *290:28 *684:14 1.14605e-05
56 *290:28 *684:37 2.45599e-05
57 *290:28 *684:51 6.72596e-06
58 *290:28 *702:46 0
59 *290:28 *734:10 2.77564e-05
60 *290:28 *734:30 0
61 *290:30 *849:DIODE 3.20069e-06
62 *290:30 *2958:A 9.91932e-05
63 *290:30 *2982:B1 0
64 *290:30 *2983:D 1.15174e-05
65 *290:30 *684:8 0.000155052
66 *290:30 *734:30 0
67 *2915:B2 *290:23 0.000266846
68 *2920:B1 *2920:B2 2.37096e-05
69 *3437:D *290:23 0.000104452
70 *3503:A *3127:A1 7.76351e-05
71 *285:5 *290:23 6.08467e-05
*RES
1 *2918:X *290:4 9.24915
2 *290:4 *2920:B2 28.0658
3 *290:4 *290:23 15.336
4 *290:23 *290:28 24.3513
5 *290:28 *290:30 8.06078
6 *290:30 *2974:A 23.2867
7 *290:30 *2982:A1 14.4725
8 *290:28 *2983:B 16.1364
9 *290:23 *3127:A1 32.1557
*END
*D_NET *291 0.00124808
*CONN
*I *2920:C1 I *D sky130_fd_sc_hd__a221o_1
*I *2919:X O *D sky130_fd_sc_hd__xor2_1
*CAP
1 *2920:C1 0.000406514
2 *2919:X 0.000406514
3 *2920:C1 *2919:A 0.000360276
4 *2920:C1 *3437:CLK 5.64906e-05
5 *2920:A1 *2920:C1 0
6 *2920:B1 *2920:C1 1.82832e-05
*RES
1 *2919:X *2920:C1 35.321
*END
*D_NET *292 0.00217204
*CONN
*I *2933:A2 I *D sky130_fd_sc_hd__o41a_1
*I *2920:X O *D sky130_fd_sc_hd__a221o_1
*CAP
1 *2933:A2 0.000486402
2 *2920:X 0.000486402
3 *2933:A2 *2933:A4 0.000122378
4 *2933:A2 *3437:CLK 0
5 *2933:A2 *300:8 0
6 *2933:A2 *300:23 0
7 *2933:A2 *399:46 0.000371545
8 *2933:A2 *640:54 7.64007e-05
9 *2933:A2 *658:19 0
10 *2933:A2 *729:8 0
11 *2933:A1 *2933:A2 0.000628914
*RES
1 *2920:X *2933:A2 43.4811
*END
*D_NET *293 0.00259636
*CONN
*I *2922:D I *D sky130_fd_sc_hd__or4_1
*I *2921:X O *D sky130_fd_sc_hd__xor2_1
*CAP
1 *2922:D 0.000938283
2 *2921:X 0.000938283
3 *2922:D *2921:B 4.81015e-05
4 *2922:D *2922:A 2.21765e-05
5 *2922:D *3034:B 0
6 *2922:D *3036:A 4.801e-05
7 *2922:D *3070:B 2.652e-05
8 *2922:D *304:14 1.04235e-05
9 *2922:D *390:7 4.31539e-05
10 *2922:D *403:21 7.44269e-05
11 *2922:D *417:13 0.000446985
*RES
1 *2921:X *2922:D 43.5007
*END
*D_NET *294 0.00605723
*CONN
*I *2933:A3 I *D sky130_fd_sc_hd__o41a_1
*I *2922:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *2933:A3 0
2 *2922:X 0.00140995
3 *294:13 0.00140995
4 *294:13 *2933:A4 6.92705e-05
5 *294:13 *2933:B1 0.000942108
6 *294:13 *3044:A2 8.938e-05
7 *294:13 *3047:B1 0.00031414
8 *294:13 *3052:A 0.000428134
9 *294:13 *3070:B 7.15368e-05
10 *294:13 *3520:A 0.000818501
11 *294:13 *391:21 0.000482231
12 *294:13 *640:59 7.02172e-06
13 *294:13 *657:11 1.5006e-05
*RES
1 *2922:X *294:13 42.5254
2 *294:13 *2933:A3 9.24915
*END
*D_NET *295 0.000961697
*CONN
*I *2931:A1 I *D sky130_fd_sc_hd__a22o_1
*I *2923:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *2931:A1 0.000170603
2 *2923:X 0.000170603
3 *2931:A1 *2926:B 0.000149628
4 *2931:A1 *2931:A2 0.000294241
5 *2931:A1 *402:79 0.000111722
6 *282:36 *2931:A1 6.49002e-05
*RES
1 *2923:X *2931:A1 33.9303
*END
*D_NET *296 0.00836033
*CONN
*I *3106:A1 I *D sky130_fd_sc_hd__o22a_1
*I *3226:A I *D sky130_fd_sc_hd__or2_1
*I *2945:A I *D sky130_fd_sc_hd__or2_1
*I *2926:A I *D sky130_fd_sc_hd__nand2_1
*I *2946:A I *D sky130_fd_sc_hd__nand2_1
*I *2924:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3106:A1 0
2 *3226:A 0
3 *2945:A 1.98947e-05
4 *2926:A 0.000121907
5 *2946:A 0
6 *2924:X 0
7 *296:57 0.00112347
8 *296:34 0.00134611
9 *296:11 0.00025027
10 *296:6 0.000248932
11 *296:5 0.000323315
12 *2926:A *2923:A 5.97411e-05
13 *2926:A *2946:B 6.08467e-05
14 *2926:A *329:8 3.03541e-05
15 *2926:A *402:79 0.000354236
16 *2945:A *2958:C 2.16355e-05
17 *2945:A *309:10 6.08467e-05
18 *296:6 *309:10 9.22013e-06
19 *296:6 *322:8 0.000188508
20 *296:6 *728:8 0
21 *296:6 *728:10 0
22 *296:6 *733:21 5.26029e-05
23 *296:11 *309:10 9.42733e-05
24 *296:11 *309:20 3.20069e-06
25 *296:11 *322:8 9.04083e-05
26 *296:11 *322:12 0.000148159
27 *296:11 *329:8 6.78596e-05
28 *296:11 *402:79 1.88014e-05
29 *296:34 *322:8 0.000503793
30 *296:34 *541:28 2.39535e-05
31 *296:34 *733:12 1.44611e-05
32 *296:34 *733:21 0.000438472
33 *296:57 *842:DIODE 1.5714e-05
34 *296:57 *3097:A1 3.30804e-05
35 *296:57 *3097:A2 2.47282e-05
36 *296:57 *3118:A2 0.000150551
37 *296:57 *3132:A1 0.000508865
38 *296:57 *3236:A1 0.000156116
39 *296:57 *3236:C1 4.20607e-05
40 *296:57 *309:66 1.27259e-05
41 *296:57 *322:42 3.06917e-06
42 *296:57 *399:36 9.70351e-05
43 *296:57 *399:46 0.000110891
44 *296:57 *399:72 5.26268e-05
45 *296:57 *441:22 6.1726e-05
46 *296:57 *541:28 0.000138059
47 *296:57 *637:22 7.20777e-05
48 *296:57 *684:67 0.000249293
49 *296:57 *684:68 0.00087518
50 *296:57 *733:10 3.60268e-05
51 *296:57 *733:12 4.52324e-05
*RES
1 *2924:X *296:5 13.7491
2 *296:5 *296:6 3.493
3 *296:6 *296:11 9.5469
4 *296:11 *2946:A 9.24915
5 *296:11 *2926:A 14.4576
6 *296:6 *2945:A 14.4725
7 *296:5 *296:34 10.137
8 *296:34 *3226:A 13.7491
9 *296:34 *296:57 44.0795
10 *296:57 *3106:A1 9.24915
*END
*D_NET *297 0.00588466
*CONN
*I *2926:B I *D sky130_fd_sc_hd__nand2_1
*I *3039:A1 I *D sky130_fd_sc_hd__o211a_1
*I *3038:A I *D sky130_fd_sc_hd__nand2_1
*I *3042:B I *D sky130_fd_sc_hd__and2_1
*I *3041:B I *D sky130_fd_sc_hd__nor2_1
*I *2925:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *2926:B 9.47431e-05
2 *3039:A1 6.42292e-05
3 *3038:A 2.06324e-05
4 *3042:B 0.000208155
5 *3041:B 1.59132e-05
6 *2925:X 6.89197e-05
7 *297:18 0.000757737
8 *297:13 0.000574934
9 *297:11 0.000886188
10 *297:5 0.00096499
11 *2926:B *2923:A 0.000122378
12 *2926:B *313:26 1.75625e-05
13 *2926:B *402:79 5.07314e-05
14 *3039:A1 *3039:B1 0.000119004
15 *3039:A1 *356:8 0
16 *3039:A1 *640:78 1.1573e-05
17 *3041:B *2921:A 2.65831e-05
18 *3041:B *304:14 2.65831e-05
19 *3042:B *3044:A3 7.2401e-05
20 *3042:B *3052:A 0
21 *3042:B *300:30 0.000260388
22 *297:11 *2923:B 1.92336e-05
23 *297:11 *2925:A 0.000164815
24 *297:11 *3384:CLK 5.64335e-05
25 *297:11 *721:8 5.62792e-06
26 *297:18 *3038:B 0.000368656
27 *297:18 *3044:A1 6.66538e-05
28 *297:18 *3044:A2 7.50872e-05
29 *297:18 *3044:A3 5.64929e-05
30 *297:18 *3052:A 0
31 *297:18 *391:41 0
32 *297:18 *721:8 1.8078e-05
33 *2931:A1 *2926:B 0.000149628
34 *3384:D *3039:A1 1.79807e-05
35 *3384:D *297:11 0.000306257
36 *282:36 *2926:B 0.000216073
*RES
1 *2925:X *297:5 10.5271
2 *297:5 *297:11 15.1913
3 *297:11 *297:13 0.578717
4 *297:13 *297:18 18.833
5 *297:18 *3041:B 14.4725
6 *297:18 *3042:B 19.7687
7 *297:13 *3038:A 9.82786
8 *297:11 *3039:A1 20.4964
9 *297:5 *2926:B 23.4354
*END
*D_NET *298 0.00084181
*CONN
*I *2931:A2 I *D sky130_fd_sc_hd__a22o_1
*I *2926:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *2931:A2 0.000152192
2 *2926:Y 0.000152192
3 *2931:A2 *2931:B1 5.22654e-06
4 *2931:A2 *299:28 6.6516e-05
5 *2931:A2 *313:26 0.000101133
6 *2931:A2 *402:79 6.50586e-05
7 *2931:A1 *2931:A2 0.000294241
8 *282:36 *2931:A2 5.2504e-06
*RES
1 *2926:Y *2931:A2 33.791
*END
*D_NET *299 0.0128137
*CONN
*I *3231:A I *D sky130_fd_sc_hd__and2_1
*I *3116:A1 I *D sky130_fd_sc_hd__o22a_1
*I *2959:B1 I *D sky130_fd_sc_hd__o21ai_1
*I *2930:A I *D sky130_fd_sc_hd__or2_1
*I *2929:A I *D sky130_fd_sc_hd__nand2_1
*I *2927:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3231:A 2.11028e-05
2 *3116:A1 0
3 *2959:B1 0.000298299
4 *2930:A 0.000422702
5 *2929:A 0.000245587
6 *2927:X 0
7 *299:59 0.00114737
8 *299:52 0.00138168
9 *299:28 0.00108271
10 *299:15 0.0011171
11 *299:4 0.000723009
12 *2929:A *2931:B1 1.65872e-05
13 *2929:A *3433:CLK 6.50586e-05
14 *2930:A *2931:B2 0.000247842
15 *2930:A *314:37 0.000113968
16 *2930:A *339:9 1.65872e-05
17 *2959:B1 *2953:A 1.65872e-05
18 *2959:B1 *2959:A2 0.000260374
19 *3231:A *309:66 4.0752e-05
20 *3231:A *538:11 6.50727e-05
21 *3231:A *538:23 4.88955e-05
22 *299:15 *2958:A 0.000317721
23 *299:15 *3433:CLK 0.000215771
24 *299:15 *728:8 0.000175619
25 *299:28 *2931:B1 0
26 *299:28 *313:26 0.00016554
27 *299:28 *683:22 0.000740655
28 *299:28 *683:29 4.84944e-05
29 *299:52 *849:DIODE 0.000124748
30 *299:52 *734:30 0.000124748
31 *299:59 *3091:B1 4.15661e-05
32 *299:59 *3111:A2 1.32772e-05
33 *299:59 *3116:B2 6.36477e-05
34 *299:59 *3121:A1 0.000203495
35 *299:59 *3127:B1 1.18934e-05
36 *299:59 *3132:B1 0.000131377
37 *299:59 *3132:B2 2.90106e-05
38 *299:59 *3134:A2 2.26985e-05
39 *299:59 *3137:A1 7.86987e-05
40 *299:59 *3230:B1 0.000158357
41 *299:59 *3236:A2 8.89366e-05
42 *299:59 *3241:A1 0.000148088
43 *299:59 *309:66 5.73392e-05
44 *299:59 *322:42 0
45 *299:59 *433:28 6.36477e-05
46 *299:59 *434:17 3.08875e-05
47 *299:59 *435:25 1.5714e-05
48 *299:59 *536:24 0.000419145
49 *299:59 *538:11 0.000307037
50 *299:59 *637:22 0.000561382
51 *299:59 *684:51 0.000219428
52 *299:59 *734:10 0.000298037
53 *2931:A2 *299:28 6.6516e-05
54 *3127:A1 *299:59 0
55 *3369:D *2930:A 2.16355e-05
56 *3433:D *299:15 9.45781e-05
57 *3439:D *299:59 2.21668e-05
58 *148:20 *299:28 0.000101729
59 *282:37 *2929:A 0.000258128
60 *290:28 *299:59 4.06587e-05
*RES
1 *2927:X *299:4 9.24915
2 *299:4 *299:15 14.9984
3 *299:15 *2929:A 16.0973
4 *299:15 *299:28 22.8743
5 *299:28 *2930:A 20.727
6 *299:28 *2959:B1 16.0973
7 *299:4 *299:52 14.9845
8 *299:52 *299:59 46.611
9 *299:59 *3116:A1 9.24915
10 *299:52 *3231:A 10.5271
*END
*D_NET *300 0.00996287
*CONN
*I *3058:C I *D sky130_fd_sc_hd__and3_1
*I *3055:B I *D sky130_fd_sc_hd__and4_1
*I *3048:B1 I *D sky130_fd_sc_hd__a21o_1
*I *3049:A I *D sky130_fd_sc_hd__nand3_1
*I *2929:B I *D sky130_fd_sc_hd__nand2_1
*I *2928:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *3058:C 0.00060922
2 *3055:B 0.000387551
3 *3048:B1 0.000324159
4 *3049:A 5.06337e-05
5 *2929:B 5.23662e-05
6 *2928:X 0.00054426
7 *300:40 0.00071171
8 *300:30 0.000406899
9 *300:23 0.00152759
10 *300:8 0.00115873
11 *3048:B1 *2921:B 0.000210992
12 *3048:B1 *3052:A 0
13 *3048:B1 *3053:A 6.85662e-05
14 *3048:B1 *391:21 0.000266846
15 *3048:B1 *657:5 0.000271058
16 *3049:A *3049:C 0
17 *3049:A *3052:C 0.000164815
18 *3049:A *396:20 6.22539e-05
19 *3055:B *2921:B 0.000216105
20 *3055:B *3055:A 0.000178971
21 *3055:B *3055:C 6.50586e-05
22 *3055:B *3060:C1 2.81262e-05
23 *3055:B *395:10 0
24 *3055:B *408:11 9.24241e-05
25 *3058:C *2919:A 5.0715e-05
26 *3058:C *2919:B 9.71212e-05
27 *3058:C *3047:C1 5.64929e-05
28 *3058:C *3060:A1 0.000123754
29 *3058:C *356:8 0.000141587
30 *300:8 *2923:B 0
31 *300:8 *2931:B2 0
32 *300:8 *2972:A 5.07314e-05
33 *300:8 *3384:CLK 1.6628e-05
34 *300:8 *3521:A 6.9339e-05
35 *300:8 *305:6 0.000137287
36 *300:8 *402:87 0.000212208
37 *300:8 *640:59 0
38 *300:23 *3047:C1 5.04734e-05
39 *300:23 *356:8 4.70005e-05
40 *300:23 *658:19 1.45944e-05
41 *300:30 *2914:B 7.23987e-05
42 *300:30 *3041:A 0.000133252
43 *300:30 *3042:A 1.05106e-05
44 *300:30 *3052:C 6.1432e-05
45 *300:30 *395:10 2.15348e-05
46 *300:30 *396:20 0.000591935
47 *300:30 *720:7 3.73806e-05
48 *2933:A1 *300:8 0
49 *2933:A2 *300:8 0
50 *2933:A2 *300:23 0
51 *3039:C1 *300:23 1.59204e-05
52 *3042:B *300:30 0.000260388
53 *3385:D *300:30 0.000160617
54 *282:37 *2929:B 7.48797e-05
55 *282:37 *300:23 5.63408e-05
*RES
1 *2928:X *300:8 29.0464
2 *300:8 *2929:B 15.5817
3 *300:8 *300:23 19.0728
4 *300:23 *300:30 19.2814
5 *300:30 *3049:A 11.6605
6 *300:30 *300:40 4.5
7 *300:40 *3048:B1 23.9212
8 *300:40 *3055:B 22.2602
9 *300:23 *3058:C 26.6542
*END
*D_NET *301 0.00114548
*CONN
*I *2931:B1 I *D sky130_fd_sc_hd__a22o_1
*I *2929:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *2931:B1 0.000335979
2 *2929:Y 0.000335979
3 *2929:A *2931:B1 1.65872e-05
4 *2931:A2 *2931:B1 5.22654e-06
5 *282:36 *2931:B1 0.000140472
6 *282:37 *2931:B1 0.000311235
7 *299:28 *2931:B1 0
*RES
1 *2929:Y *2931:B1 34.2118
*END
*D_NET *302 0.00174858
*CONN
*I *2931:B2 I *D sky130_fd_sc_hd__a22o_1
*I *2930:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *2931:B2 0.000444593
2 *2930:X 0.000444593
3 *2931:B2 *2923:B 4.90829e-05
4 *2931:B2 *339:9 0
5 *2931:B2 *640:59 3.31882e-05
6 *2930:A *2931:B2 0.000247842
7 *282:36 *2931:B2 0.000529279
8 *300:8 *2931:B2 0
*RES
1 *2930:X *2931:B2 41.965
*END
*D_NET *303 0.000867374
*CONN
*I *2933:A4 I *D sky130_fd_sc_hd__o41a_1
*I *2931:X O *D sky130_fd_sc_hd__a22o_1
*CAP
1 *2933:A4 0.000291279
2 *2931:X 0.000291279
3 *2933:A4 *640:59 6.66012e-05
4 *2933:A1 *2933:A4 2.65667e-05
5 *2933:A2 *2933:A4 0.000122378
6 *294:13 *2933:A4 6.92705e-05
*RES
1 *2931:X *2933:A4 25.8769
*END
*D_NET *304 0.00744501
*CONN
*I *3151:A1 I *D sky130_fd_sc_hd__o31ai_1
*I *2933:B1 I *D sky130_fd_sc_hd__o41a_1
*I *2932:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *3151:A1 0.000510222
2 *2933:B1 0.000142299
3 *2932:Y 0
4 *304:14 0.00164639
5 *304:4 0.00201431
6 *2933:B1 *3520:A 0.000647008
7 *2933:B1 *3521:A 0.000164815
8 *2933:B1 *640:59 3.61993e-05
9 *3151:A1 *3006:B1 0
10 *3151:A1 *3368:CLK 0
11 *3151:A1 *389:8 5.7995e-05
12 *3151:A1 *402:89 1.05374e-05
13 *3151:A1 *402:102 0
14 *3151:A1 *759:29 0
15 *304:14 *2921:A 6.39664e-05
16 *304:14 *2921:B 6.47133e-05
17 *304:14 *3036:A 0.00011971
18 *304:14 *3041:A 2.65667e-05
19 *304:14 *3047:A1 5.1584e-05
20 *304:14 *3047:A2 9.65246e-06
21 *304:14 *3047:B1 3.85152e-05
22 *304:14 *3047:C1 7.57081e-06
23 *304:14 *3048:A2 7.0957e-05
24 *304:14 *356:8 0
25 *304:14 *389:8 0
26 *304:14 *391:10 0.000266696
27 *304:14 *402:102 3.08133e-05
28 *304:14 *657:28 0
29 *2896:B *3151:A1 7.74547e-05
30 *2922:D *304:14 1.04235e-05
31 *3039:C1 *304:14 8.97962e-05
32 *3041:B *304:14 2.65831e-05
33 *3385:D *304:14 3.20069e-06
34 *265:8 *3151:A1 5.57434e-05
35 *265:10 *3151:A1 0.000105156
36 *269:15 *3151:A1 5.64867e-05
37 *269:24 *3151:A1 9.75356e-05
38 *294:13 *2933:B1 0.000942108
*RES
1 *2932:Y *304:4 9.24915
2 *304:4 *304:14 46.0848
3 *304:14 *2933:B1 20.3894
4 *304:4 *3151:A1 31.4645
*END
*D_NET *305 0.00428554
*CONN
*I *2972:A I *D sky130_fd_sc_hd__clkbuf_2
*I *2934:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *2968:A I *D sky130_fd_sc_hd__clkbuf_2
*I *2939:A I *D sky130_fd_sc_hd__clkbuf_2
*I *2933:X O *D sky130_fd_sc_hd__o41a_1
*CAP
1 *2972:A 9.00729e-05
2 *2934:A 0
3 *2968:A 0.000263537
4 *2939:A 0.00013234
5 *2933:X 0.000205416
6 *305:24 0.000621382
7 *305:8 0.000775522
8 *305:6 0.000580826
9 *2939:A *683:21 0.000138973
10 *2968:A *2935:A 2.8873e-05
11 *2968:A *2989:B1 3.20069e-06
12 *2968:A *306:7 9.88615e-05
13 *2968:A *306:29 0.000205985
14 *2968:A *306:30 5.36834e-05
15 *2968:A *310:13 0
16 *2972:A *402:79 1.13647e-05
17 *2972:A *402:87 1.20686e-05
18 *305:6 *2923:B 0
19 *305:6 *3384:CLK 9.96342e-05
20 *305:6 *356:8 0
21 *305:8 *3369:CLK 4.42033e-05
22 *305:8 *314:37 8.72942e-05
23 *305:8 *324:31 7.02358e-06
24 *305:8 *339:9 3.83819e-05
25 *305:8 *356:8 0
26 *305:24 *2935:A 6.50727e-05
27 *305:24 *2948:C 3.15519e-05
28 *305:24 *2949:A2 0.000137667
29 *305:24 *2957:A1 0.000188564
30 *305:24 *3369:CLK 0.000132121
31 *305:24 *314:20 2.12235e-05
32 *305:24 *314:37 1.15124e-05
33 *2938:A *2968:A 0
34 *3367:D *305:24 1.11638e-05
35 *268:20 *2968:A 0
36 *300:8 *2972:A 5.07314e-05
37 *300:8 *305:6 0.000137287
*RES
1 *2933:X *305:6 20.1489
2 *305:6 *305:8 7.23027
3 *305:8 *2939:A 16.1605
4 *305:8 *305:24 15.2627
5 *305:24 *2968:A 25.9566
6 *305:24 *2934:A 9.24915
7 *305:6 *2972:A 16.1364
*END
*D_NET *306 0.00503842
*CONN
*I *2961:B1 I *D sky130_fd_sc_hd__a2111o_1
*I *2985:C I *D sky130_fd_sc_hd__or4_1
*I *3004:B I *D sky130_fd_sc_hd__or3_1
*I *2948:C I *D sky130_fd_sc_hd__or4_1
*I *2935:A I *D sky130_fd_sc_hd__clkbuf_2
*I *2934:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *2961:B1 0.000136913
2 *2985:C 1.77893e-05
3 *3004:B 0.000150909
4 *2948:C 0.000234295
5 *2935:A 7.82522e-05
6 *2934:X 0
7 *306:30 0.000572084
8 *306:29 0.000615534
9 *306:7 0.000344825
10 *306:4 0.000107513
11 *2935:A *307:5 1.43848e-05
12 *2935:A *310:13 0.000341032
13 *2948:C *2892:A 1.00846e-05
14 *2948:C *2948:D 0.00041102
15 *2948:C *2957:A1 1.44467e-05
16 *2948:C *2957:A2 0.000161298
17 *2948:C *310:13 3.85049e-05
18 *2961:B1 *2961:A1 0.000135905
19 *2961:B1 *310:48 0.000148129
20 *2961:B1 *752:13 0.000116986
21 *2985:C *346:21 0.000113968
22 *3004:B *2981:B1 0
23 *3004:B *2985:B 9.62777e-06
24 *3004:B *2985:D 1.67245e-05
25 *3004:B *2993:A 0.000166471
26 *3004:B *3004:C 3.49272e-05
27 *3004:B *357:8 5.77352e-05
28 *306:7 *310:13 1.92172e-05
29 *306:29 *310:13 1.65872e-05
30 *306:30 *2978:A 0
31 *306:30 *2985:B 8.75504e-06
32 *306:30 *2985:D 1.69093e-05
33 *306:30 *2989:B1 0
34 *306:30 *336:9 0
35 *306:30 *345:8 0
36 *306:30 *345:10 0
37 *306:30 *656:64 0
38 *2938:A *2948:C 3.20069e-06
39 *2938:A *306:29 0.000326398
40 *2968:A *2935:A 2.8873e-05
41 *2968:A *306:7 9.88615e-05
42 *2968:A *306:29 0.000205985
43 *2968:A *306:30 5.36834e-05
44 *2984:B *2985:C 0.000113968
45 *305:24 *2935:A 6.50727e-05
46 *305:24 *2948:C 3.15519e-05
*RES
1 *2934:X *306:4 9.24915
2 *306:4 *306:7 1.57941
3 *306:7 *2935:A 13.0229
4 *306:7 *2948:C 26.7939
5 *306:4 *306:29 8.55102
6 *306:29 *306:30 9.72179
7 *306:30 *3004:B 18.4879
8 *306:30 *2985:C 15.0271
9 *306:29 *2961:B1 19.7337
*END
*D_NET *307 0.00732907
*CONN
*I *2944:A2 I *D sky130_fd_sc_hd__o21ai_1
*I *2957:A2 I *D sky130_fd_sc_hd__o21ai_1
*I *2936:B I *D sky130_fd_sc_hd__nand2_1
*I *2988:B I *D sky130_fd_sc_hd__nor2_1
*I *2963:A2 I *D sky130_fd_sc_hd__o21ai_1
*I *2935:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *2944:A2 6.67e-05
2 *2957:A2 8.16997e-05
3 *2936:B 0.00012109
4 *2988:B 0.00030445
5 *2963:A2 0.000412722
6 *2935:X 0.000111595
7 *307:48 0.000293832
8 *307:10 0.000828485
9 *307:9 0.000865525
10 *307:5 0.000890149
11 *2936:B *2951:A 5.04829e-06
12 *2936:B *3145:C 9.22013e-06
13 *2936:B *3512:A 6.92705e-05
14 *2936:B *310:17 2.65831e-05
15 *2936:B *314:55 3.00073e-05
16 *2944:A2 *2948:A 6.66538e-05
17 *2944:A2 *3369:CLK 0
18 *2957:A2 *2892:A 0.000440415
19 *2957:A2 *2948:D 1.00981e-05
20 *2963:A2 *2943:A1 1.07248e-05
21 *2963:A2 *2970:A1 1.03403e-05
22 *2963:A2 *2970:A2 1.89261e-05
23 *2963:A2 *2971:A1 0.000115615
24 *2963:A2 *2971:B1 0.000231148
25 *2963:A2 *3145:C 9.2346e-06
26 *2963:A2 *3146:B1 0.000238375
27 *2963:A2 *336:22 5.92342e-05
28 *2988:B *2943:A2 0.000357911
29 *2988:B *2988:A 4.80635e-06
30 *2988:B *2996:A1 3.072e-06
31 *307:5 *310:13 2.00543e-05
32 *307:9 *310:13 0.00016444
33 *307:9 *310:17 0.0004577
34 *307:10 *2943:A1 0.000245764
35 *307:10 *3146:B1 7.50722e-05
36 *307:10 *314:55 7.69735e-05
37 *307:48 *2940:A1 3.58592e-05
38 *307:48 *3369:CLK 0
39 *307:48 *311:12 2.82537e-05
40 *2935:A *307:5 1.43848e-05
41 *2948:C *2957:A2 0.000161298
42 *2963:A1 *2963:A2 1.89348e-05
43 *3366:D *2963:A2 1.14755e-05
44 *268:34 *2936:B 0
45 *283:28 *2944:A2 9.91024e-05
46 *283:28 *307:48 0.000148144
47 *283:37 *2944:A2 7.86847e-05
*RES
1 *2935:X *307:5 11.0817
2 *307:5 *307:9 14.6517
3 *307:9 *307:10 4.73876
4 *307:10 *2963:A2 25.6361
5 *307:10 *2988:B 21.176
6 *307:9 *2936:B 16.6221
7 *307:5 *307:48 8.40826
8 *307:48 *2957:A2 18.9335
9 *307:48 *2944:A2 16.8269
*END
*D_NET *308 0.000721305
*CONN
*I *2943:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *2936:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *2943:A1 0.000104164
2 *2936:Y 0.000104164
3 *2943:A1 *3145:C 0.000256488
4 *2963:A2 *2943:A1 1.07248e-05
5 *307:10 *2943:A1 0.000245764
*RES
1 *2936:Y *2943:A1 32.2371
*END
*D_NET *309 0.0192619
*CONN
*I *3224:A I *D sky130_fd_sc_hd__or2_1
*I *3097:A1 I *D sky130_fd_sc_hd__o22a_1
*I *2946:B I *D sky130_fd_sc_hd__nand2_1
*I *3177:A1 I *D sky130_fd_sc_hd__a211o_1
*I *2940:A1 I *D sky130_fd_sc_hd__a211o_1
*I *2937:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3224:A 6.2148e-05
2 *3097:A1 0.000265724
3 *2946:B 1.47608e-05
4 *3177:A1 0.000169066
5 *2940:A1 0.00123075
6 *2937:X 9.79345e-05
7 *309:66 0.00157713
8 *309:57 0.0018621
9 *309:20 0.00187026
10 *309:10 0.00151735
11 *309:5 0.00161862
12 *2940:A1 *2940:B1 6.47919e-05
13 *2940:A1 *2989:A3 4.56831e-05
14 *2940:A1 *2996:B1 0.000118166
15 *2940:A1 *3177:A2 2.65831e-05
16 *2940:A1 *3369:CLK 0.00023344
17 *2940:A1 *310:7 0.000220183
18 *2940:A1 *310:13 0.00077856
19 *2940:A1 *311:12 0
20 *2940:A1 *356:11 0.000111722
21 *2940:A1 *358:27 2.20702e-05
22 *2946:B *329:8 6.08467e-05
23 *3097:A1 *3132:A1 9.08094e-05
24 *3097:A1 *3139:A2 1.91246e-05
25 *3097:A1 *322:42 0.000370087
26 *3177:A1 *3177:A2 6.91184e-05
27 *3177:A1 *3177:B1 2.54098e-05
28 *3177:A1 *3177:C1 7.06733e-06
29 *3224:A *3231:B 5.04829e-06
30 *3224:A *3233:B 0.000106215
31 *3224:A *332:43 6.50727e-05
32 *3224:A *536:55 5.8547e-05
33 *3224:A *538:33 2.38485e-05
34 *309:5 *746:9 0.000159322
35 *309:10 *2923:A 9.98029e-06
36 *309:10 *2945:B 0.000216467
37 *309:10 *2958:C 3.51032e-05
38 *309:10 *316:8 0.000130808
39 *309:10 *728:10 2.72089e-05
40 *309:10 *746:9 0.000923377
41 *309:20 *2947:B 5.26446e-05
42 *309:20 *2947:C 7.25424e-05
43 *309:20 *3177:A2 0.000259486
44 *309:20 *3181:A2 3.82228e-05
45 *309:20 *3183:A2 1.4091e-06
46 *309:20 *316:8 0.000191541
47 *309:20 *316:10 0.000369301
48 *309:20 *317:6 0.000478241
49 *309:20 *322:12 8.20492e-06
50 *309:20 *349:8 0.000259486
51 *309:57 *3232:A2 1.57364e-05
52 *309:57 *332:8 0
53 *309:57 *332:43 0.00018229
54 *309:57 *536:55 7.14746e-05
55 *309:57 *686:18 0
56 *309:57 *690:25 0
57 *309:57 *734:30 0
58 *309:66 *3132:B1 5.70383e-06
59 *309:66 *3228:B1 0.00025175
60 *309:66 *3229:A 0.000200228
61 *309:66 *3230:B1 0.000207266
62 *309:66 *3231:B 0.000139764
63 *309:66 *3232:B1 0.00011818
64 *309:66 *3236:A1 1.92403e-05
65 *309:66 *3236:B1 0.000111921
66 *309:66 *3238:A1 5.68225e-06
67 *309:66 *3238:A2 0
68 *309:66 *3238:B1 5.8261e-05
69 *309:66 *3438:CLK 0
70 *309:66 *3439:CLK 2.41274e-06
71 *309:66 *322:5 0.000205101
72 *309:66 *322:30 9.75243e-05
73 *309:66 *536:55 6.08467e-05
74 *309:66 *538:11 2.1249e-05
75 *309:66 *538:23 7.68538e-06
76 *309:66 *684:67 0.000162123
77 *309:66 *684:68 4.70005e-05
78 *309:66 *689:46 0
79 *309:66 *733:10 0.000150259
80 *309:66 *733:12 0.000135905
81 *309:66 *733:21 5.05252e-05
82 *2926:A *2946:B 6.08467e-05
83 *2945:A *309:10 6.08467e-05
84 *3231:A *309:66 4.0752e-05
85 *3435:D *309:57 9.35069e-05
86 *3439:D *309:66 0.000165181
87 *268:34 *2940:A1 0.000225346
88 *296:6 *309:10 9.22013e-06
89 *296:11 *309:10 9.42733e-05
90 *296:11 *309:20 3.20069e-06
91 *296:57 *3097:A1 3.30804e-05
92 *296:57 *309:66 1.27259e-05
93 *299:59 *309:66 5.73392e-05
94 *307:48 *2940:A1 3.58592e-05
*RES
1 *2937:X *309:5 11.0817
2 *309:5 *309:10 24.3818
3 *309:10 *309:20 31.0389
4 *309:20 *2940:A1 45.1185
5 *309:20 *3177:A1 12.9626
6 *309:10 *2946:B 14.4725
7 *309:5 *309:57 20.798
8 *309:57 *309:66 49.0599
9 *309:66 *3097:A1 21.3947
10 *309:57 *3224:A 12.2392
*END
*D_NET *310 0.00985499
*CONN
*I *2961:A1 I *D sky130_fd_sc_hd__a2111o_1
*I *2947:A I *D sky130_fd_sc_hd__and3_1
*I *2940:A2 I *D sky130_fd_sc_hd__a211o_1
*I *3145:C I *D sky130_fd_sc_hd__and3_1
*I *2951:A I *D sky130_fd_sc_hd__clkbuf_2
*I *2938:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *2961:A1 8.52644e-05
2 *2947:A 0.000202573
3 *2940:A2 8.04828e-05
4 *3145:C 0.000522137
5 *2951:A 0.000222803
6 *2938:X 6.7968e-05
7 *310:48 0.000373817
8 *310:17 0.000886594
9 *310:13 0.000552901
10 *310:7 0.000484712
11 *2940:A2 *321:38 0.000190013
12 *2947:A *2942:A 0.000171273
13 *2947:A *2947:C 2.23105e-05
14 *2947:A *2948:D 1.92336e-05
15 *2951:A *3512:A 0.000236265
16 *2951:A *321:11 3.14978e-05
17 *2951:A *321:31 0.000129996
18 *2961:A1 *313:26 0
19 *2961:A1 *752:8 5.22654e-06
20 *2961:A1 *752:13 2.99644e-05
21 *3145:C *2943:B1 2.65831e-05
22 *3145:C *393:7 0.000164829
23 *310:17 *3512:A 7.6719e-06
24 *310:48 *2978:A 0
25 *310:48 *313:26 0
26 *2935:A *310:13 0.000341032
27 *2936:A *2951:A 1.03434e-05
28 *2936:A *310:17 0.000367565
29 *2936:B *2951:A 5.04829e-06
30 *2936:B *3145:C 9.22013e-06
31 *2936:B *310:17 2.65831e-05
32 *2938:A *310:7 5.31074e-05
33 *2938:A *310:13 0.000377273
34 *2940:A1 *310:7 0.000220183
35 *2940:A1 *310:13 0.00077856
36 *2943:A1 *3145:C 0.000256488
37 *2948:C *310:13 3.85049e-05
38 *2961:B1 *2961:A1 0.000135905
39 *2961:B1 *310:48 0.000148129
40 *2963:A2 *3145:C 9.2346e-06
41 *2968:A *310:13 0
42 *3040:A *3145:C 0.000317707
43 *3145:A *3145:C 1.3119e-05
44 *3366:D *3145:C 0.000118485
45 *266:8 *2940:A2 0.000193625
46 *268:34 *3145:C 0
47 *282:36 *2947:A 0.000623377
48 *282:36 *2961:A1 0.000190958
49 *283:15 *310:13 0.000207266
50 *283:15 *310:17 3.03403e-05
51 *283:28 *310:13 0.000190823
52 *306:7 *310:13 1.92172e-05
53 *306:29 *310:13 1.65872e-05
54 *307:5 *310:13 2.00543e-05
55 *307:9 *310:13 0.00016444
56 *307:9 *310:17 0.0004577
*RES
1 *2938:X *310:7 12.2151
2 *310:7 *310:13 16.3005
3 *310:13 *310:17 6.84815
4 *310:17 *2951:A 17.2306
5 *310:17 *3145:C 33.2226
6 *310:13 *2940:A2 21.7421
7 *310:7 *310:48 7.1625
8 *310:48 *2947:A 21.7065
9 *310:48 *2961:A1 17.6574
*END
*D_NET *311 0.00862287
*CONN
*I *2955:B1 I *D sky130_fd_sc_hd__a211o_1
*I *2950:A3 I *D sky130_fd_sc_hd__o31ai_1
*I *3141:B1 I *D sky130_fd_sc_hd__a21o_1
*I *2989:A3 I *D sky130_fd_sc_hd__o31a_1
*I *2940:B1 I *D sky130_fd_sc_hd__a211o_1
*I *2939:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *2955:B1 0.000178461
2 *2950:A3 4.85288e-05
3 *3141:B1 0.00110719
4 *2989:A3 0.000199361
5 *2940:B1 7.34657e-05
6 *2939:X 4.62843e-05
7 *311:37 0.00130697
8 *311:12 0.000568806
9 *311:8 0.000535401
10 *311:7 0.00031292
11 *2940:B1 *356:11 6.50586e-05
12 *2940:B1 *358:27 0.000160617
13 *2950:A3 *2950:B1 6.3657e-05
14 *2950:A3 *2954:C 6.08467e-05
15 *2950:A3 *750:13 0.000110297
16 *2950:A3 *750:23 0.000107496
17 *2955:B1 *2955:A1 2.84093e-05
18 *2955:B1 *2955:A2 0.000200794
19 *2955:B1 *2955:C1 0.000143356
20 *2955:B1 *324:16 2.95757e-05
21 *2955:B1 *324:31 6.08467e-05
22 *2955:B1 *356:8 8.97218e-06
23 *2955:B1 *683:21 7.92757e-06
24 *2989:A3 *2989:A1 6.39307e-05
25 *2989:A3 *2996:A2 6.50727e-05
26 *2989:A3 *2996:B1 0.000102003
27 *2989:A3 *356:11 6.50727e-05
28 *3141:B1 *2954:C 4.31921e-05
29 *3141:B1 *3044:A1 2.16809e-06
30 *3141:B1 *3141:A2 4.31703e-05
31 *3141:B1 *683:5 5.60885e-05
32 *3141:B1 *750:13 0.000417401
33 *3141:B1 *750:23 9.32983e-05
34 *3141:B1 *751:11 1.42855e-05
35 *311:7 *683:21 0.000111722
36 *311:8 *324:31 0
37 *311:8 *356:8 0.00010617
38 *311:12 *2996:B1 6.08697e-06
39 *311:12 *339:48 9.2346e-06
40 *311:12 *356:8 0.000600268
41 *311:37 *2954:C 8.23074e-05
42 *311:37 *750:33 0.000125118
43 *311:37 *751:6 5.1493e-06
44 *311:37 *751:11 7.26959e-06
45 *2940:A1 *2940:B1 6.47919e-05
46 *2940:A1 *2989:A3 4.56831e-05
47 *2940:A1 *311:12 0
48 *2950:A2 *2950:A3 3.67857e-05
49 *2950:A2 *311:37 0.000398169
50 *3367:D *3141:B1 0.000154915
51 *268:34 *2989:A3 7.2234e-05
52 *283:28 *311:12 0.000247246
53 *283:37 *311:12 0.000130539
54 *307:48 *311:12 2.82537e-05
*RES
1 *2939:X *311:7 15.0271
2 *311:7 *311:8 2.24725
3 *311:8 *311:12 15.8828
4 *311:12 *2940:B1 12.2151
5 *311:12 *2989:A3 16.1455
6 *311:8 *311:37 14.6071
7 *311:37 *3141:B1 33.8483
8 *311:37 *2950:A3 16.1364
9 *311:7 *2955:B1 19.2745
*END
*D_NET *312 0.00094024
*CONN
*I *2943:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *2940:X O *D sky130_fd_sc_hd__a211o_1
*CAP
1 *2943:A2 0.000180862
2 *2940:X 0.000180862
3 *2943:A2 *314:55 0.000107496
4 *2988:B *2943:A2 0.000357911
5 *266:8 *2943:A2 0.000113109
*RES
1 *2940:X *2943:A2 33.7966
*END
*D_NET *313 0.0113962
*CONN
*I *3347:A I *D sky130_fd_sc_hd__or2_1
*I *3332:A I *D sky130_fd_sc_hd__or2_1
*I *3338:A I *D sky130_fd_sc_hd__or2_1
*I *2942:A I *D sky130_fd_sc_hd__clkbuf_2
*I *2978:A I *D sky130_fd_sc_hd__clkbuf_2
*I *2941:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3347:A 0.000332388
2 *3332:A 0
3 *3338:A 0.000106086
4 *2942:A 5.83915e-05
5 *2978:A 0.000294063
6 *2941:X 0
7 *313:26 0.00099362
8 *313:21 0.00222594
9 *313:9 0.00170849
10 *313:8 0.000376148
11 *313:5 0.000690913
12 *2978:A *3177:A2 0
13 *2978:A *3178:A2 0
14 *2978:A *349:8 0
15 *2978:A *656:64 3.67528e-06
16 *3338:A *3524:A 0.00014879
17 *3338:A *708:22 0.000248423
18 *3347:A *3050:A 2.77419e-05
19 *3347:A *541:11 0.000366603
20 *3347:A *607:14 0.000153024
21 *3347:A *693:40 0.000365624
22 *3347:A *708:43 0
23 *313:8 *3050:A 0.0001454
24 *313:8 *3332:B 5.22654e-06
25 *313:8 *3472:CLK 9.74396e-05
26 *313:8 *707:12 3.47066e-05
27 *313:8 *708:22 0
28 *313:8 *708:43 0
29 *313:9 *3432:CLK 0
30 *313:21 *2958:B 0.000309765
31 *313:21 *2958:C 0.000705979
32 *313:21 *2983:D 0.000167076
33 *313:21 *3333:A 1.88152e-05
34 *313:21 *3432:CLK 6.23555e-05
35 *313:21 *3524:A 0.000141312
36 *313:21 *316:8 0.000110306
37 *313:21 *327:18 0.000213739
38 *313:21 *708:22 0.000268824
39 *313:21 *746:7 4.64885e-05
40 *313:21 *746:9 0.000249485
41 *313:26 *2947:B 0
42 *313:26 *2947:C 0
43 *313:26 *2961:A2 0
44 *313:26 *3186:A2 8.25327e-05
45 *313:26 *316:10 0
46 *313:26 *323:6 6.64609e-05
47 *313:26 *683:22 8.58213e-06
48 *2926:B *313:26 1.75625e-05
49 *2931:A2 *313:26 0.000101133
50 *2947:A *2942:A 0.000171273
51 *2961:A1 *313:26 0
52 *3432:D *313:21 3.43406e-05
53 *274:18 *3347:A 0
54 *282:36 *313:26 7.19676e-05
55 *299:28 *313:26 0.00016554
56 *306:30 *2978:A 0
57 *310:48 *2978:A 0
58 *310:48 *313:26 0
*RES
1 *2941:X *313:5 13.7491
2 *313:5 *313:8 13.8065
3 *313:8 *313:9 0.578717
4 *313:9 *313:21 44.8414
5 *313:21 *313:26 17.6849
6 *313:26 *2978:A 20.1489
7 *313:26 *2942:A 15.5817
8 *313:9 *3338:A 13.8789
9 *313:8 *3332:A 9.24915
10 *313:5 *3347:A 25.0304
*END
*D_NET *314 0.00829592
*CONN
*I *2962:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *2971:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *2943:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *2956:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *2949:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *2942:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *2962:B1 0.000169032
2 *2971:B1 0.000199658
3 *2943:B1 7.31717e-05
4 *2956:B1 4.5422e-05
5 *2949:B1 3.12886e-05
6 *2942:X 0.000336861
7 *314:55 0.00110824
8 *314:37 0.0015137
9 *314:20 0.000859551
10 *314:11 0.000425798
11 *2949:B1 *2961:D1 2.65831e-05
12 *2956:B1 *683:21 2.65667e-05
13 *2962:B1 *2962:A1 0.000114017
14 *2962:B1 *339:9 2.36813e-05
15 *2971:B1 *2971:A1 7.0954e-05
16 *2971:B1 *2971:A2 8.92568e-06
17 *2971:B1 *336:22 3.94852e-05
18 *314:11 *2948:D 0.000211464
19 *314:11 *2961:D1 0.000108266
20 *314:11 *2962:A1 6.56963e-05
21 *314:11 *2962:A2 6.50727e-05
22 *314:11 *752:8 9.22013e-06
23 *314:11 *752:13 5.64761e-05
24 *314:20 *2962:A1 1.97183e-05
25 *314:37 *2930:B 0.000192209
26 *314:37 *2956:A2 0.000168313
27 *314:37 *339:9 0.00066173
28 *314:37 *339:35 0.000317504
29 *314:37 *683:21 0.00015068
30 *314:55 *2892:C 0
31 *314:55 *2950:B1 3.28433e-06
32 *314:55 *2954:C 0
33 *314:55 *2956:A1 7.86847e-05
34 *314:55 *3044:A1 0
35 *314:55 *3146:B1 0.000139435
36 *314:55 *640:78 4.15236e-05
37 *314:55 *751:6 0
38 *2930:A *314:37 0.000113968
39 *2936:B *314:55 3.00073e-05
40 *2943:A2 *314:55 0.000107496
41 *2963:A2 *2971:B1 0.000231148
42 *3145:C *2943:B1 2.65831e-05
43 *3366:D *2971:B1 1.44467e-05
44 *3368:D *2956:B1 7.34948e-06
45 *3368:D *314:37 0
46 *3369:D *2962:B1 0.00014192
47 *3369:D *314:37 6.37831e-05
48 *268:34 *314:55 0
49 *305:8 *314:37 8.72942e-05
50 *305:24 *314:20 2.12235e-05
51 *305:24 *314:37 1.15124e-05
52 *307:10 *314:55 7.69735e-05
*RES
1 *2942:X *314:11 26.6559
2 *314:11 *2949:B1 9.97254
3 *314:11 *314:20 6.12437
4 *314:20 *314:37 45.2059
5 *314:37 *2956:B1 10.5513
6 *314:37 *314:55 28.9327
7 *314:55 *2943:B1 11.1059
8 *314:55 *2971:B1 24.2337
9 *314:20 *2962:B1 19.4283
*END
*D_NET *315 0.000290413
*CONN
*I *2949:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *2944:Y O *D sky130_fd_sc_hd__o21ai_1
*CAP
1 *2949:A1 0.000115277
2 *2944:Y 0.000115277
3 *2949:A1 *324:31 5.481e-05
4 *2949:A1 *750:33 5.04829e-06
*RES
1 *2944:Y *2949:A1 20.8855
*END
*D_NET *316 0.0033153
*CONN
*I *2959:A2 I *D sky130_fd_sc_hd__o21ai_1
*I *2953:B I *D sky130_fd_sc_hd__xor2_1
*I *3181:A2 I *D sky130_fd_sc_hd__a31o_1
*I *2947:B I *D sky130_fd_sc_hd__and3_1
*I *2945:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *2959:A2 5.32542e-05
2 *2953:B 0
3 *3181:A2 7.77106e-05
4 *2947:B 0.000164939
5 *2945:X 0.000206181
6 *316:30 5.32542e-05
7 *316:10 0.000378541
8 *316:8 0.000342072
9 *2947:B *2947:C 2.82537e-05
10 *2959:A2 *2953:A 0.000211478
11 *3181:A2 *3183:A2 2.61955e-05
12 *316:8 *2923:A 6.77678e-05
13 *316:8 *329:8 0.000163465
14 *316:10 *2961:A2 0.000188997
15 *316:10 *329:8 0.000174205
16 *2959:B1 *2959:A2 0.000260374
17 *282:36 *2947:B 2.57847e-05
18 *309:10 *316:8 0.000130808
19 *309:20 *2947:B 5.26446e-05
20 *309:20 *3181:A2 3.82228e-05
21 *309:20 *316:8 0.000191541
22 *309:20 *316:10 0.000369301
23 *313:21 *316:8 0.000110306
24 *313:26 *2947:B 0
25 *313:26 *316:10 0
*RES
1 *2945:X *316:8 21.0117
2 *316:8 *316:10 6.81502
3 *316:10 *2947:B 17.4526
4 *316:10 *3181:A2 15.5817
5 *316:8 *316:30 4.5
6 *316:30 *2953:B 9.24915
7 *316:30 *2959:A2 12.191
*END
*D_NET *317 0.00173264
*CONN
*I *3181:A3 I *D sky130_fd_sc_hd__a31o_1
*I *2947:C I *D sky130_fd_sc_hd__and3_1
*I *2946:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *3181:A3 0
2 *2947:C 0.000142897
3 *2946:Y 0.000221401
4 *317:6 0.000364297
5 *2947:C *3177:A2 0.0002243
6 *317:6 *3177:A2 1.07248e-05
7 *317:6 *322:12 5.54078e-05
8 *317:6 *349:8 5.37249e-05
9 *317:6 *503:39 5.85446e-05
10 *2947:A *2947:C 2.23105e-05
11 *2947:B *2947:C 2.82537e-05
12 *309:20 *2947:C 7.25424e-05
13 *309:20 *317:6 0.000478241
14 *313:26 *2947:C 0
*RES
1 *2946:Y *317:6 22.6404
2 *317:6 *2947:C 18.3379
3 *317:6 *3181:A3 13.7491
*END
*D_NET *318 0.00266262
*CONN
*I *2948:D I *D sky130_fd_sc_hd__or4_1
*I *2947:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *2948:D 0.000496876
2 *2947:X 0.000496876
3 *2948:D *2892:A 1.98582e-05
4 *2948:D *2949:A2 6.50727e-05
5 *2948:D *2962:A1 0.000175485
6 *2948:D *752:13 0.000330596
7 *2947:A *2948:D 1.92336e-05
8 *2948:C *2948:D 0.00041102
9 *2957:A2 *2948:D 1.00981e-05
10 *282:36 *2948:D 0.000426044
11 *314:11 *2948:D 0.000211464
*RES
1 *2947:X *2948:D 35.1846
*END
*D_NET *319 0.000550529
*CONN
*I *2949:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *2948:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *2949:A2 0.000105062
2 *2948:X 0.000105062
3 *2949:A2 *3369:CLK 0.000137667
4 *2948:D *2949:A2 6.50727e-05
5 *305:24 *2949:A2 0.000137667
*RES
1 *2948:X *2949:A2 30.8842
*END
*D_NET *320 0.000493011
*CONN
*I *2956:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *2950:Y O *D sky130_fd_sc_hd__o31ai_1
*CAP
1 *2956:A1 0.000154297
2 *2950:Y 0.000154297
3 *2956:A1 *750:13 4.87574e-06
4 *2950:A2 *2956:A1 0.000100857
5 *314:55 *2956:A1 7.86847e-05
*RES
1 *2950:Y *2956:A1 30.7476
*END
*D_NET *321 0.0107229
*CONN
*I *2955:A1 I *D sky130_fd_sc_hd__a211o_1
*I *2977:A1 I *D sky130_fd_sc_hd__a211o_1
*I *2970:A1 I *D sky130_fd_sc_hd__a211o_1
*I *3147:A2 I *D sky130_fd_sc_hd__a32o_1
*I *3150:A2 I *D sky130_fd_sc_hd__a32o_1
*I *2951:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *2955:A1 0.000354128
2 *2977:A1 4.2973e-05
3 *2970:A1 0.000162847
4 *3147:A2 2.06324e-05
5 *3150:A2 0.000341942
6 *2951:X 0
7 *321:38 0.000662114
8 *321:31 0.00156947
9 *321:11 0.00131965
10 *321:4 0.00171612
11 *2955:A1 *2954:C 0
12 *2955:A1 *2955:A2 7.76922e-05
13 *2955:A1 *339:48 0.000160334
14 *2955:A1 *641:12 9.75356e-05
15 *2955:A1 *683:21 2.79121e-05
16 *2955:A1 *751:6 0.000205532
17 *2955:A1 *751:11 0.000137667
18 *2970:A1 *2970:A2 0.000548219
19 *2970:A1 *2977:A2 0.000107496
20 *2977:A1 *2970:A2 0.000115934
21 *2977:A1 *2977:A2 4.62571e-05
22 *2977:A1 *2977:B1 9.95922e-06
23 *3150:A2 *3147:A3 1.31657e-05
24 *3150:A2 *3148:A1 6.25467e-05
25 *3150:A2 *3150:A1 0.000221963
26 *321:11 *3143:B2 7.89747e-05
27 *321:11 *3146:A2 2.71397e-05
28 *321:11 *3147:A3 7.02172e-06
29 *321:11 *3147:B1 0.000450476
30 *321:11 *358:35 7.77309e-06
31 *321:11 *393:17 4.84029e-05
32 *321:11 *477:22 0
33 *321:31 *3512:A 0.000937628
34 *321:38 *3519:A 0.000152228
35 *321:38 *339:48 0
36 *321:38 *641:12 4.57241e-06
37 *2940:A2 *321:38 0.000190013
38 *2951:A *321:11 3.14978e-05
39 *2951:A *321:31 0.000129996
40 *2955:B1 *2955:A1 2.84093e-05
41 *2963:A2 *2970:A1 1.03403e-05
42 *3145:A *321:11 1.84293e-05
43 *3402:D *3150:A2 2.36494e-05
44 *265:10 *321:11 0.000140467
45 *265:14 *321:11 2.652e-05
46 *266:8 *2955:A1 1.29348e-05
47 *266:8 *321:38 0.000147453
48 *266:14 *321:38 2.66948e-05
49 *269:8 *321:11 0.000200236
*RES
1 *2951:X *321:4 9.24915
2 *321:4 *321:11 28.3539
3 *321:11 *3150:A2 27.4486
4 *321:11 *3147:A2 9.82786
5 *321:4 *321:31 17.9793
6 *321:31 *321:38 16.9331
7 *321:38 *2970:A1 15.5427
8 *321:38 *2977:A1 11.3229
9 *321:31 *2955:A1 24.9901
*END
*D_NET *322 0.00994299
*CONN
*I *3229:A I *D sky130_fd_sc_hd__or2_1
*I *3111:A1 I *D sky130_fd_sc_hd__o22a_1
*I *2958:B I *D sky130_fd_sc_hd__or4_2
*I *2959:A1 I *D sky130_fd_sc_hd__o21ai_1
*I *2953:A I *D sky130_fd_sc_hd__xor2_1
*I *2952:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3229:A 5.11507e-05
2 *3111:A1 0
3 *2958:B 0.00020474
4 *2959:A1 0
5 *2953:A 0.000109273
6 *2952:X 0.000100858
7 *322:42 0.00151622
8 *322:30 0.00159906
9 *322:12 0.00022444
10 *322:8 0.000710148
11 *322:5 0.000522788
12 *3229:A *3226:B 0.000110306
13 *3229:A *538:11 5.05502e-05
14 *322:5 *2916:A 0.000163404
15 *322:8 *3228:B1 2.00832e-05
16 *322:8 *349:8 0.000277417
17 *322:8 *541:28 0.000143017
18 *322:8 *684:14 0
19 *322:8 *684:37 0
20 *322:12 *349:8 0.000311608
21 *322:30 *3226:B 6.08467e-05
22 *322:42 *3097:A2 5.60485e-05
23 *322:42 *3108:B1 0.000118485
24 *322:42 *3111:B1 5.28741e-05
25 *322:42 *3121:A1 0
26 *322:42 *3127:B1 4.45535e-05
27 *322:42 *3132:A1 0.000289484
28 *322:42 *3236:A2 0
29 *322:42 *3236:C1 1.35264e-05
30 *322:42 *3241:A1 0
31 *322:42 *399:36 2.29042e-05
32 *322:42 *399:46 5.21758e-06
33 *322:42 *399:72 9.99861e-05
34 *322:42 *399:101 6.61114e-05
35 *322:42 *541:28 0.000281097
36 *322:42 *688:39 0.00023344
37 *322:42 *692:32 5.28741e-05
38 *2959:A2 *2953:A 0.000211478
39 *2959:B1 *2953:A 1.65872e-05
40 *3097:A1 *322:42 0.000370087
41 *3439:D *322:42 2.21668e-05
42 *296:6 *322:8 0.000188508
43 *296:11 *322:8 9.04083e-05
44 *296:11 *322:12 0.000148159
45 *296:34 *322:8 0.000503793
46 *296:57 *322:42 3.06917e-06
47 *299:59 *322:42 0
48 *309:20 *322:12 8.20492e-06
49 *309:66 *3229:A 0.000200228
50 *309:66 *322:5 0.000205101
51 *309:66 *322:30 9.75243e-05
52 *313:21 *2958:B 0.000309765
53 *317:6 *322:12 5.54078e-05
*RES
1 *2952:X *322:5 12.7456
2 *322:5 *322:8 18.7896
3 *322:8 *322:12 10.0693
4 *322:12 *2953:A 12.191
5 *322:12 *2959:A1 9.24915
6 *322:8 *2958:B 17.2456
7 *322:5 *322:30 1.278
8 *322:30 *322:42 48.6278
9 *322:42 *3111:A1 9.24915
10 *322:30 *3229:A 12.2151
*END
*D_NET *323 0.00460392
*CONN
*I *2955:A2 I *D sky130_fd_sc_hd__a211o_1
*I *3186:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *2953:X O *D sky130_fd_sc_hd__xor2_1
*CAP
1 *2955:A2 0.00114831
2 *3186:A2 0.000247422
3 *2953:X 3.66845e-05
4 *323:6 0.00143241
5 *2955:A2 *3369:CLK 2.23259e-05
6 *2955:A2 *324:31 8.90486e-05
7 *2955:A2 *683:21 0.000135725
8 *3186:A2 *3189:A2 0.0005624
9 *3186:A2 *503:39 0.000316224
10 *3186:A2 *683:21 0.000118166
11 *3186:A2 *683:22 3.45299e-05
12 *323:6 *683:22 3.00073e-05
13 *2955:A1 *2955:A2 7.76922e-05
14 *2955:B1 *2955:A2 0.000200794
15 *3369:D *2955:A2 3.18826e-06
16 *313:26 *3186:A2 8.25327e-05
17 *313:26 *323:6 6.64609e-05
*RES
1 *2953:X *323:6 15.1659
2 *323:6 *3186:A2 23.6537
3 *323:6 *2955:A2 31.9546
*END
*D_NET *324 0.00427551
*CONN
*I *2961:D1 I *D sky130_fd_sc_hd__a2111o_1
*I *2957:A1 I *D sky130_fd_sc_hd__o21ai_1
*I *2955:C1 I *D sky130_fd_sc_hd__a211o_1
*I *2954:X O *D sky130_fd_sc_hd__or3_1
*CAP
1 *2961:D1 0.000167286
2 *2957:A1 0.000104739
3 *2955:C1 3.90598e-05
4 *2954:X 0.000388045
5 *324:31 0.000606988
6 *324:16 0.000762068
7 *2955:C1 *683:21 9.16191e-05
8 *2957:A1 *2962:A1 0
9 *2957:A1 *752:13 0
10 *324:16 *339:9 0.000107496
11 *324:16 *339:48 0.000349942
12 *324:16 *356:8 0.000454607
13 *324:16 *750:33 2.16355e-05
14 *324:31 *2948:A 7.09666e-06
15 *324:31 *3369:CLK 0.000169779
16 *324:31 *683:21 0.000254881
17 *2948:C *2957:A1 1.44467e-05
18 *2949:A1 *324:31 5.481e-05
19 *2949:B1 *2961:D1 2.65831e-05
20 *2955:A2 *324:31 8.90486e-05
21 *2955:B1 *2955:C1 0.000143356
22 *2955:B1 *324:16 2.95757e-05
23 *2955:B1 *324:31 6.08467e-05
24 *3367:D *2957:A1 2.77419e-05
25 *305:8 *324:31 7.02358e-06
26 *305:24 *2957:A1 0.000188564
27 *311:8 *324:31 0
28 *314:11 *2961:D1 0.000108266
*RES
1 *2954:X *324:16 38.3128
2 *324:16 *2955:C1 11.1059
3 *324:16 *324:31 18.2373
4 *324:31 *2957:A1 21.7421
5 *324:31 *2961:D1 13.8548
*END
*D_NET *325 0.000529288
*CONN
*I *2956:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *2955:X O *D sky130_fd_sc_hd__a211o_1
*CAP
1 *2956:A2 4.46844e-05
2 *2955:X 4.46844e-05
3 *2956:A2 *683:21 0.000271606
4 *314:37 *2956:A2 0.000168313
*RES
1 *2955:X *2956:A2 21.4401
*END
*D_NET *326 0.00146871
*CONN
*I *2962:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *2957:Y O *D sky130_fd_sc_hd__o21ai_1
*CAP
1 *2962:A1 0.000382647
2 *2957:Y 0.000382647
3 *2962:A1 *2962:A2 0.000328499
4 *2948:D *2962:A1 0.000175485
5 *2957:A1 *2962:A1 0
6 *2962:B1 *2962:A1 0.000114017
7 *314:11 *2962:A1 6.56963e-05
8 *314:20 *2962:A1 1.97183e-05
*RES
1 *2957:Y *2962:A1 38.6374
*END
*D_NET *327 0.00367216
*CONN
*I *2982:A3 I *D sky130_fd_sc_hd__o31a_1
*I *2960:A I *D sky130_fd_sc_hd__and2_1
*I *2966:B I *D sky130_fd_sc_hd__nand2_1
*I *2965:B I *D sky130_fd_sc_hd__nor2_1
*I *2983:D I *D sky130_fd_sc_hd__or4_2
*I *2958:X O *D sky130_fd_sc_hd__or4_2
*CAP
1 *2982:A3 0
2 *2960:A 4.71873e-05
3 *2966:B 0
4 *2965:B 0.000105023
5 *2983:D 0.000254883
6 *2958:X 0
7 *327:42 7.57215e-05
8 *327:23 0.000328948
9 *327:18 0.000464149
10 *327:4 0.000466573
11 *2960:A *2982:A2 6.50727e-05
12 *2960:A *2982:B1 0.000137886
13 *2960:A *402:79 0.000287946
14 *2965:B *2965:A 0.000129973
15 *2965:B *2967:B 0.000209793
16 *2983:D *2958:A 0.000135866
17 *2983:D *2982:B1 0
18 *327:18 *684:8 3.88655e-05
19 *327:18 *734:30 4.20662e-05
20 *327:23 *684:8 7.86982e-05
21 *327:23 *734:30 8.01741e-05
22 *327:42 *2982:A2 0.000164829
23 *327:42 *2982:B1 7.92416e-05
24 *2974:A *327:42 2.18695e-05
25 *2982:A1 *2960:A 6.50586e-05
26 *290:30 *2983:D 1.15174e-05
27 *313:21 *2983:D 0.000167076
28 *313:21 *327:18 0.000213739
*RES
1 *2958:X *327:4 9.24915
2 *327:4 *2983:D 23.99
3 *327:4 *327:18 9.13445
4 *327:18 *327:23 9.82561
5 *327:23 *2965:B 14.0718
6 *327:23 *2966:B 9.24915
7 *327:18 *327:42 6.3326
8 *327:42 *2960:A 13.3002
9 *327:42 *2982:A3 9.24915
*END
*D_NET *328 0.000233079
*CONN
*I *2960:B I *D sky130_fd_sc_hd__and2_1
*I *2959:Y O *D sky130_fd_sc_hd__o21ai_1
*CAP
1 *2960:B 8.01956e-05
2 *2959:Y 8.01956e-05
3 *2960:B *2982:B1 3.31882e-05
4 *2960:B *349:8 3.94997e-05
*RES
1 *2959:Y *2960:B 29.7455
*END
*D_NET *329 0.00512595
*CONN
*I *3189:A2 I *D sky130_fd_sc_hd__a211o_1
*I *2961:A2 I *D sky130_fd_sc_hd__a2111o_1
*I *2960:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *3189:A2 0.000388465
2 *2961:A2 0.000272209
3 *2960:X 0.000275693
4 *329:8 0.000936368
5 *2961:A2 *683:22 1.77661e-05
6 *3189:A2 *3186:B1 0.000782786
7 *3189:A2 *3189:A1 1.09551e-05
8 *3189:A2 *3189:B1 1.02993e-06
9 *3189:A2 *503:34 0.000987973
10 *3189:A2 *503:39 3.14645e-05
11 *329:8 *2923:A 8.62625e-06
12 *329:8 *402:79 1.80122e-05
13 *329:8 *683:22 0.000146472
14 *2926:A *329:8 3.03541e-05
15 *2946:B *329:8 6.08467e-05
16 *3186:A2 *3189:A2 0.0005624
17 *296:11 *329:8 6.78596e-05
18 *313:26 *2961:A2 0
19 *316:8 *329:8 0.000163465
20 *316:10 *2961:A2 0.000188997
21 *316:10 *329:8 0.000174205
*RES
1 *2960:X *329:8 23.2301
2 *329:8 *2961:A2 20.7386
3 *329:8 *3189:A2 32.4369
*END
*D_NET *330 0.000869005
*CONN
*I *2962:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *2961:X O *D sky130_fd_sc_hd__a2111o_1
*CAP
1 *2962:A2 0.000228726
2 *2961:X 0.000228726
3 *2962:A2 *752:8 1.79807e-05
4 *2962:A1 *2962:A2 0.000328499
5 *282:36 *2962:A2 0
6 *314:11 *2962:A2 6.50727e-05
*RES
1 *2961:X *2962:A2 34.2062
*END
*D_NET *331 0.00085099
*CONN
*I *2971:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *2963:Y O *D sky130_fd_sc_hd__o21ai_1
*CAP
1 *2971:A1 0.000154124
2 *2963:Y 0.000154124
3 *2971:A1 *2963:B1 0
4 *2971:A1 *2971:A2 7.86847e-05
5 *2963:A1 *2971:A1 0.000277488
6 *2963:A2 *2971:A1 0.000115615
7 *2971:B1 *2971:A1 7.0954e-05
*RES
1 *2963:Y *2971:A1 33.5179
*END
*D_NET *332 0.011519
*CONN
*I *3233:A I *D sky130_fd_sc_hd__or2_1
*I *3121:A1 I *D sky130_fd_sc_hd__o22a_1
*I *2982:A2 I *D sky130_fd_sc_hd__o31a_1
*I *2966:A I *D sky130_fd_sc_hd__nand2_1
*I *2965:A I *D sky130_fd_sc_hd__nor2_1
*I *2964:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3233:A 2.44888e-05
2 *3121:A1 0.000216004
3 *2982:A2 8.6612e-05
4 *2966:A 0
5 *2965:A 0.000199143
6 *2964:X 0
7 *332:49 0.00194154
8 *332:43 0.00228647
9 *332:10 0.000336132
10 *332:8 0.000527611
11 *332:4 0.00084045
12 *2965:A *2967:B 2.82583e-05
13 *2965:A *341:8 1.36691e-05
14 *2965:A *690:12 2.22198e-05
15 *2982:A2 *402:79 0.000459929
16 *3121:A1 *3118:B1 0.000107496
17 *3121:A1 *3121:B1 3.82228e-05
18 *3233:A *3234:B1 1.37189e-05
19 *332:8 *690:12 0.000124246
20 *332:8 *690:25 3.84001e-05
21 *332:8 *731:11 5.07314e-05
22 *332:10 *333:9 0
23 *332:10 *341:8 3.1218e-05
24 *332:10 *690:12 8.96809e-05
25 *332:43 *840:DIODE 6.66393e-05
26 *332:43 *3225:A2 0
27 *332:43 *3232:A1 6.31504e-05
28 *332:43 *3232:A2 0
29 *332:43 *3233:B 0.000124152
30 *332:43 *3234:A2 0
31 *332:43 *3234:B1 4.99469e-05
32 *332:43 *536:55 9.40969e-05
33 *332:43 *686:18 0
34 *332:43 *731:7 1.8078e-05
35 *332:43 *731:11 4.33655e-05
36 *332:49 *820:DIODE 0
37 *332:49 *3090:C 0
38 *332:49 *3101:B1 0.00126448
39 *332:49 *3225:A1 4.6012e-05
40 *332:49 *3225:A2 1.18802e-05
41 *332:49 *3225:B1 0.000115451
42 *332:49 *407:43 0
43 *332:49 *640:8 0
44 *332:49 *682:27 0.00115136
45 *332:49 *691:46 0
46 *332:49 *692:44 0
47 *2905:C *332:49 5.54692e-05
48 *2960:A *2982:A2 6.50727e-05
49 *2965:B *2965:A 0.000129973
50 *2974:A *2982:A2 9.80912e-05
51 *3224:A *332:43 6.50727e-05
52 *3272:S *332:49 1.18938e-05
53 *278:21 *332:49 1.79672e-05
54 *299:59 *3121:A1 0.000203495
55 *309:57 *332:8 0
56 *309:57 *332:43 0.00018229
57 *322:42 *3121:A1 0
58 *327:42 *2982:A2 0.000164829
*RES
1 *2964:X *332:4 9.24915
2 *332:4 *332:8 12.593
3 *332:8 *332:10 3.90826
4 *332:10 *2965:A 19.2357
5 *332:10 *2966:A 13.7491
6 *332:8 *2982:A2 18.9094
7 *332:4 *332:43 25.3235
8 *332:43 *332:49 48.5353
9 *332:49 *3121:A1 23.8507
10 *332:43 *3233:A 9.97254
*END
*D_NET *333 0.000830778
*CONN
*I *2967:A_N I *D sky130_fd_sc_hd__and2b_1
*I *2974:B I *D sky130_fd_sc_hd__xnor2_2
*I *2965:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *2967:A_N 6.31959e-05
2 *2974:B 0
3 *2965:Y 0.000140479
4 *333:9 0.000203674
5 *2967:A_N *402:79 0.000222149
6 *333:9 *341:8 2.99929e-05
7 *333:9 *402:79 0.000171288
8 *333:9 *686:18 0
9 *332:10 *333:9 0
*RES
1 *2965:Y *333:9 22.329
2 *333:9 *2974:B 9.24915
3 *333:9 *2967:A_N 11.6364
*END
*D_NET *334 0.00146682
*CONN
*I *2967:B I *D sky130_fd_sc_hd__and2b_1
*I *2966:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *2967:B 0.000492444
2 *2966:Y 0.000492444
3 *2967:B *3232:C1 8.01987e-05
4 *2967:B *3449:CLK 0
5 *2967:B *335:10 2.692e-05
6 *2967:B *508:8 0.000123597
7 *2965:A *2967:B 2.82583e-05
8 *2965:B *2967:B 0.000209793
9 *3414:D *2967:B 1.31657e-05
*RES
1 *2966:Y *2967:B 40.0337
*END
*D_NET *335 0.0120092
*CONN
*I *3192:A2 I *D sky130_fd_sc_hd__a2111o_1
*I *2970:A2 I *D sky130_fd_sc_hd__a211o_1
*I *2967:X O *D sky130_fd_sc_hd__and2b_1
*CAP
1 *3192:A2 0.000360543
2 *2970:A2 0.000519386
3 *2967:X 0.000716202
4 *335:24 0.00274117
5 *335:10 0.00329853
6 *2970:A2 *2977:B1 3.14978e-05
7 *2970:A2 *2977:C1 0.000103867
8 *2970:A2 *2996:A1 2.99291e-05
9 *2970:A2 *358:5 1.8078e-05
10 *3192:A2 *834:DIODE 4.88955e-05
11 *3192:A2 *709:12 4.55535e-05
12 *3192:A2 *786:26 0
13 *335:10 *869:DIODE 4.34007e-05
14 *335:10 *2850:A 0.000225799
15 *335:10 *3414:CLK 0
16 *335:10 *3449:CLK 0.000127164
17 *335:10 *402:20 0
18 *335:10 *402:41 0.000562454
19 *335:10 *508:7 6.50586e-05
20 *335:10 *508:8 0
21 *335:10 *508:17 0
22 *335:10 *659:56 0
23 *335:10 *659:61 0
24 *335:10 *683:44 2.31017e-05
25 *335:10 *785:8 9.24241e-05
26 *335:24 *834:DIODE 6.92705e-05
27 *335:24 *2989:A1 8.98943e-05
28 *335:24 *2989:A2 7.01586e-06
29 *335:24 *3175:A 0.000163249
30 *335:24 *3177:B1 1.97262e-05
31 *335:24 *3178:A1 1.21771e-05
32 *335:24 *3178:B1 1.43983e-05
33 *335:24 *3179:A1 7.85867e-05
34 *335:24 *3179:B1 0.000204647
35 *335:24 *501:26 0.000162218
36 *335:24 *501:34 4.89898e-06
37 *335:24 *516:8 0.000169742
38 *335:24 *656:64 0.000135307
39 *2963:A1 *2970:A2 0.000510645
40 *2963:A2 *2970:A2 1.89261e-05
41 *2967:B *335:10 2.692e-05
42 *2970:A1 *2970:A2 0.000548219
43 *2977:A1 *2970:A2 0.000115934
44 *3194:A *3192:A2 6.50727e-05
45 *3194:D *3192:A2 7.68538e-06
46 *3194:D *335:24 1.00981e-05
47 *3195:A *3192:A2 0.00027103
48 *3195:A *335:24 0
49 *3373:D *2970:A2 6.50727e-05
50 *3373:D *335:24 7.73931e-05
51 *3411:D *335:24 1.27394e-06
52 *3414:D *335:10 5.96936e-05
53 *233:20 *335:10 4.70005e-05
*RES
1 *2967:X *335:10 40.3214
2 *335:10 *335:24 47.5564
3 *335:24 *2970:A2 25.9355
4 *335:10 *3192:A2 25.102
*END
*D_NET *336 0.00940168
*CONN
*I *3001:B1 I *D sky130_fd_sc_hd__a211o_1
*I *2995:B I *D sky130_fd_sc_hd__or3b_1
*I *2977:B1 I *D sky130_fd_sc_hd__a211o_1
*I *3146:B1 I *D sky130_fd_sc_hd__a211o_1
*I *2970:B1 I *D sky130_fd_sc_hd__a211o_1
*I *2968:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3001:B1 3.30507e-05
2 *2995:B 0
3 *2977:B1 0.000182767
4 *3146:B1 0.000730387
5 *2970:B1 0
6 *2968:X 0.00057958
7 *336:22 0.00118915
8 *336:17 0.000895371
9 *336:16 0.000720552
10 *336:9 0.00107934
11 *2977:B1 *2977:C1 8.67924e-06
12 *2977:B1 *2979:B1 3.14544e-05
13 *2977:B1 *2995:A 0
14 *2977:B1 *2997:A1 0
15 *2977:B1 *339:48 3.98321e-05
16 *3001:B1 *3001:A1 7.24917e-06
17 *3146:B1 *2970:C1 1.07248e-05
18 *3146:B1 *3146:C1 6.08467e-05
19 *3146:B1 *358:27 0.000577883
20 *336:9 *2893:C 5.302e-05
21 *336:9 *2985:B 0.000226905
22 *336:9 *2989:B1 7.50872e-05
23 *336:9 *2993:A 3.89332e-06
24 *336:9 *339:74 9.57557e-06
25 *336:9 *346:13 0.000116971
26 *336:9 *357:8 1.18938e-05
27 *336:9 *364:8 2.652e-05
28 *336:9 *756:10 8.1237e-05
29 *336:16 *2979:B1 0
30 *336:16 *2997:A1 0.000108428
31 *336:16 *2998:A 8.39059e-05
32 *336:16 *3001:A1 4.73084e-05
33 *336:16 *342:20 0.000148144
34 *336:17 *2973:A2 5.81185e-06
35 *336:17 *339:74 4.95605e-05
36 *336:22 *2970:C1 0.000172144
37 *336:22 *2971:A2 0
38 *336:22 *2973:A2 2.18041e-06
39 *336:22 *337:8 8.01837e-05
40 *336:22 *753:9 0
41 *2963:A2 *3146:B1 0.000238375
42 *2963:A2 *336:22 5.92342e-05
43 *2970:A2 *2977:B1 3.14978e-05
44 *2971:B1 *336:22 3.94852e-05
45 *2977:A1 *2977:B1 9.95922e-06
46 *3146:A1 *3146:B1 8.15376e-05
47 *3366:D *3146:B1 0.000282684
48 *3371:D *336:22 0.000541734
49 *266:8 *3146:B1 0
50 *266:14 *2977:B1 3.24939e-05
51 *266:14 *3146:B1 0
52 *267:7 *336:9 2.20688e-05
53 *267:7 *336:16 1.11605e-05
54 *268:16 *336:9 0.000387311
55 *306:30 *336:9 0
56 *307:10 *3146:B1 7.50722e-05
57 *314:55 *3146:B1 0.000139435
*RES
1 *2968:X *336:9 34.7866
2 *336:9 *336:16 18.899
3 *336:16 *336:17 4.05102
4 *336:17 *336:22 15.0929
5 *336:22 *2970:B1 13.7491
6 *336:22 *3146:B1 32.3208
7 *336:17 *2977:B1 23.4595
8 *336:16 *2995:B 9.24915
9 *336:9 *3001:B1 10.2378
*END
*D_NET *337 0.00100065
*CONN
*I *2970:C1 I *D sky130_fd_sc_hd__a211o_1
*I *2973:A1 I *D sky130_fd_sc_hd__o21ai_1
*I *2969:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *2970:C1 9.82783e-05
2 *2973:A1 0
3 *2969:X 0.000211151
4 *337:8 0.000309429
5 *337:8 *2894:B 5.04829e-06
6 *337:8 *2973:B1 2.1588e-05
7 *337:8 *753:17 6.73186e-05
8 *3146:B1 *2970:C1 1.07248e-05
9 *3371:D *337:8 2.47808e-05
10 *336:22 *2970:C1 0.000172144
11 *336:22 *337:8 8.01837e-05
*RES
1 *2969:X *337:8 18.2442
2 *337:8 *2973:A1 13.7491
3 *337:8 *2970:C1 16.8269
*END
*D_NET *338 0.000240722
*CONN
*I *2971:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *2970:X O *D sky130_fd_sc_hd__a211o_1
*CAP
1 *2971:A2 5.51738e-05
2 *2970:X 5.51738e-05
3 *2971:A2 *2963:B1 2.12377e-05
4 *2971:A2 *753:9 2.15266e-05
5 *2971:A1 *2971:A2 7.86847e-05
6 *2971:B1 *2971:A2 8.92568e-06
7 *336:22 *2971:A2 0
*RES
1 *2970:X *2971:A2 29.7455
*END
*D_NET *339 0.0163195
*CONN
*I *2981:A2 I *D sky130_fd_sc_hd__o21ai_1
*I *3003:A2 I *D sky130_fd_sc_hd__o21ai_1
*I *2997:A2 I *D sky130_fd_sc_hd__o21ai_1
*I *2973:A2 I *D sky130_fd_sc_hd__o21ai_1
*I *3214:A_N I *D sky130_fd_sc_hd__and2b_1
*I *2972:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *2981:A2 0.000442522
2 *3003:A2 0
3 *2997:A2 0.000106664
4 *2973:A2 0.00044021
5 *3214:A_N 0
6 *2972:X 0.000344291
7 *339:92 0.000503877
8 *339:74 0.000999387
9 *339:48 0.00207034
10 *339:35 0.00140852
11 *339:9 0.00255157
12 *2973:A2 *2979:A1 0.00021217
13 *2973:A2 *2979:B1 2.65831e-05
14 *2981:A2 *2995:C_N 0.00011818
15 *2981:A2 *2997:B1 6.9102e-05
16 *2981:A2 *3005:A2 0.00049962
17 *2981:A2 *345:15 0
18 *2981:A2 *345:27 0.000234647
19 *2997:A2 *345:27 6.92705e-05
20 *2997:A2 *357:8 6.50586e-05
21 *2997:A2 *656:11 7.20173e-06
22 *339:35 *2896:A 0.00021243
23 *339:35 *3006:B1 4.56667e-05
24 *339:35 *3214:B 0.000639214
25 *339:35 *3368:CLK 0.000270816
26 *339:35 *368:7 2.65667e-05
27 *339:35 *745:19 6.50727e-05
28 *339:48 *2996:C1 1.43055e-05
29 *339:48 *3519:A 6.08076e-05
30 *339:48 *356:8 0.000377724
31 *339:48 *358:27 0.000362772
32 *339:48 *641:12 0.000103691
33 *339:48 *751:6 2.18741e-05
34 *339:74 *2977:A2 2.5968e-05
35 *339:74 *2995:C_N 1.19721e-05
36 *339:74 *3001:A2 5.30785e-05
37 *339:74 *3003:A1 0.000174456
38 *339:74 *343:8 4.51619e-05
39 *339:74 *343:11 6.08467e-05
40 *339:74 *343:39 2.95757e-05
41 *339:74 *346:18 2.29466e-05
42 *339:74 *352:7 0.000207266
43 *339:74 *364:8 0.000114955
44 *339:74 *656:11 0
45 *339:74 *756:15 6.01329e-05
46 *339:92 *2997:B1 0.000324166
47 *339:92 *3003:A1 0.000175174
48 *339:92 *345:27 0.000102868
49 *2898:A *339:35 3.18922e-05
50 *2930:A *339:9 1.65872e-05
51 *2931:B2 *339:9 0
52 *2940:C1 *339:48 0.000210992
53 *2955:A1 *339:48 0.000160334
54 *2962:B1 *339:9 2.36813e-05
55 *2977:B1 *339:48 3.98321e-05
56 *3006:A2 *339:35 0.000166542
57 *3035:A1 *339:35 0.000175677
58 *3368:D *339:35 3.18826e-06
59 *3371:D *2973:A2 2.02763e-05
60 *3375:D *2981:A2 1.44611e-05
61 *265:10 *339:35 0
62 *266:14 *339:48 0
63 *268:16 *339:74 5.35684e-05
64 *270:9 *339:35 7.23606e-05
65 *305:8 *339:9 3.83819e-05
66 *311:12 *339:48 9.2346e-06
67 *314:37 *339:9 0.00066173
68 *314:37 *339:35 0.000317504
69 *321:38 *339:48 0
70 *324:16 *339:9 0.000107496
71 *324:16 *339:48 0.000349942
72 *336:9 *339:74 9.57557e-06
73 *336:17 *2973:A2 5.81185e-06
74 *336:17 *339:74 4.95605e-05
75 *336:22 *2973:A2 2.18041e-06
*RES
1 *2972:X *339:9 28.7055
2 *339:9 *339:35 45.6837
3 *339:35 *3214:A_N 9.24915
4 *339:9 *339:48 34.9899
5 *339:48 *2973:A2 16.5072
6 *339:48 *339:74 28.9959
7 *339:74 *2997:A2 16.1458
8 *339:74 *339:92 8.95374
9 *339:92 *3003:A2 9.24915
10 *339:92 *2981:A2 32.6623
*END
*D_NET *340 0.00036111
*CONN
*I *2979:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *2973:Y O *D sky130_fd_sc_hd__o21ai_1
*CAP
1 *2979:A1 4.8509e-05
2 *2973:Y 4.8509e-05
3 *2973:A2 *2979:A1 0.00021217
4 *3371:D *2979:A1 5.19216e-05
*RES
1 *2973:Y *2979:A1 20.8855
*END
*D_NET *341 0.00793861
*CONN
*I *3197:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *2977:A2 I *D sky130_fd_sc_hd__a211o_1
*I *2974:Y O *D sky130_fd_sc_hd__xnor2_2
*CAP
1 *3197:A2 0
2 *2977:A2 0.00188622
3 *2974:Y 0.00133064
4 *341:8 0.00321686
5 *341:8 *2850:B 0
6 *341:8 *3180:B 0.000127194
7 *341:8 *3202:B1 0
8 *341:8 *506:8 0
9 *341:8 *510:8 0
10 *341:8 *686:18 0
11 *341:8 *690:12 0
12 *341:8 *734:30 0
13 *341:8 *734:37 0
14 *341:8 *782:39 9.75356e-05
15 *341:8 *783:19 0
16 *2965:A *341:8 1.36691e-05
17 *2970:A1 *2977:A2 0.000107496
18 *2974:A *341:8 0.000127288
19 *2977:A1 *2977:A2 4.62571e-05
20 *3416:D *341:8 0
21 *151:18 *2977:A2 0.000881608
22 *266:14 *2977:A2 1.66626e-05
23 *332:10 *341:8 3.1218e-05
24 *333:9 *341:8 2.99929e-05
25 *339:74 *2977:A2 2.5968e-05
*RES
1 *2974:Y *341:8 43.1622
2 *341:8 *2977:A2 28.0501
3 *341:8 *3197:A2 13.7491
*END
*D_NET *342 0.00354971
*CONN
*I *2976:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *3000:D I *D sky130_fd_sc_hd__or4_1
*I *2992:A I *D sky130_fd_sc_hd__or2_1
*I *2975:X O *D sky130_fd_sc_hd__or3_1
*CAP
1 *2976:A 0
2 *3000:D 0.000168959
3 *2992:A 0.00010857
4 *2975:X 0.000409264
5 *342:20 0.000490819
6 *342:7 0.000839693
7 *2992:A *3002:A2 5.78637e-05
8 *3000:D *3001:A2 1.777e-05
9 *3000:D *346:5 4.33655e-05
10 *3000:D *346:13 8.12759e-05
11 *3000:D *364:8 0.000164829
12 *342:20 *2979:B1 0
13 *342:20 *2997:A1 3.49955e-05
14 *342:20 *3001:A2 0.0002646
15 *342:20 *3002:A2 3.20069e-06
16 *342:20 *343:8 0.000164829
17 *342:20 *364:8 7.68538e-06
18 *2894:D *342:20 0.00010618
19 *2975:C *342:7 5.48642e-05
20 *2992:B *2992:A 6.54443e-05
21 *2992:B *342:20 7.77309e-06
22 *267:7 *342:7 0.000113968
23 *268:16 *3000:D 3.75603e-05
24 *268:16 *342:20 0.00015806
25 *336:16 *342:20 0.000148144
*RES
1 *2975:X *342:7 20.0186
2 *342:7 *2992:A 16.8269
3 *342:7 *342:20 16.6415
4 *342:20 *3000:D 14.4335
5 *342:20 *2976:A 9.24915
*END
*D_NET *343 0.00547352
*CONN
*I *2989:A2 I *D sky130_fd_sc_hd__o31a_1
*I *2977:C1 I *D sky130_fd_sc_hd__a211o_1
*I *2981:A1 I *D sky130_fd_sc_hd__o21ai_1
*I *2985:B I *D sky130_fd_sc_hd__or4_1
*I *2987:C I *D sky130_fd_sc_hd__or3_1
*I *2976:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *2989:A2 0.000289343
2 *2977:C1 0.000147753
3 *2981:A1 0.000211231
4 *2985:B 0.000281765
5 *2987:C 0
6 *2976:X 0.00014166
7 *343:39 0.000539739
8 *343:17 0.000541057
9 *343:11 0.000160752
10 *343:8 0.000356995
11 *2977:C1 *2996:A1 8.83972e-05
12 *2977:C1 *358:5 0.000207266
13 *2977:C1 *358:27 0.000266846
14 *2981:A1 *2995:C_N 5.00728e-05
15 *2981:A1 *3004:C 0.000211478
16 *2985:B *2993:A 5.78559e-05
17 *2989:A2 *2989:A1 3.9282e-05
18 *2989:A2 *2996:A1 0.000134294
19 *2989:A2 *3369:CLK 0.000116835
20 *2989:A2 *346:18 5.48015e-06
21 *2989:A2 *656:11 1.08021e-05
22 *343:8 *3001:A2 5.41377e-05
23 *343:8 *346:18 9.7244e-06
24 *343:8 *656:11 0.000104733
25 *343:8 *756:15 0
26 *343:11 *2995:C_N 0.000125689
27 *343:11 *352:7 2.15348e-05
28 *343:17 *2995:C_N 3.8122e-05
29 *343:39 *346:18 2.03328e-05
30 *343:39 *656:11 4.6248e-05
31 *2970:A2 *2977:C1 0.000103867
32 *2977:B1 *2977:C1 8.67924e-06
33 *2984:B *2985:B 0.000217951
34 *3004:B *2985:B 9.62777e-06
35 *3373:D *2989:A2 0.000141833
36 *268:16 *343:8 0.000169041
37 *268:20 *2989:A2 0
38 *306:30 *2985:B 8.75504e-06
39 *335:24 *2989:A2 7.01586e-06
40 *336:9 *2985:B 0.000226905
41 *339:74 *343:8 4.51619e-05
42 *339:74 *343:11 6.08467e-05
43 *339:74 *343:39 2.95757e-05
44 *342:20 *343:8 0.000164829
*RES
1 *2976:X *343:8 19.49
2 *343:8 *343:11 7.99641
3 *343:11 *2987:C 9.24915
4 *343:11 *343:17 1.278
5 *343:17 *2985:B 24.9599
6 *343:17 *2981:A1 15.0122
7 *343:8 *343:39 2.6625
8 *343:39 *2977:C1 20.1512
9 *343:39 *2989:A2 21.8099
*END
*D_NET *344 0.000271055
*CONN
*I *2979:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *2977:X O *D sky130_fd_sc_hd__a211o_1
*CAP
1 *2979:A2 7.52356e-05
2 *2977:X 7.52356e-05
3 *266:14 *2979:A2 0.000120584
*RES
1 *2977:X *2979:A2 29.7455
*END
*D_NET *345 0.0067999
*CONN
*I *3178:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *2986:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *3005:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *3002:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *2979:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *2978:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3178:B1 2.97789e-05
2 *2986:B1 1.67835e-05
3 *3005:B1 0
4 *3002:B1 0
5 *2979:B1 0.000384713
6 *2978:X 0.000270351
7 *345:27 0.000912879
8 *345:15 0.00100805
9 *345:10 0.000777587
10 *345:8 0.000581048
11 *2986:B1 *2986:A1 0.00011818
12 *2986:B1 *2995:C_N 5.07314e-05
13 *345:8 *3178:A2 0
14 *345:8 *656:64 0.000119171
15 *345:10 *2981:B1 0.000151794
16 *345:10 *2985:D 0
17 *345:10 *2986:A2 2.7961e-05
18 *345:10 *349:8 0
19 *345:10 *349:10 0
20 *345:15 *2980:A 0.000127179
21 *345:15 *2981:B1 3.67708e-05
22 *345:15 *2986:A2 1.77537e-06
23 *345:15 *2999:B 0
24 *345:15 *3004:C 0
25 *345:15 *349:10 0
26 *345:27 *2997:A1 0.000111722
27 *345:27 *2997:B1 3.51034e-05
28 *345:27 *3002:A1 0.000141146
29 *345:27 *3002:A2 0.000370815
30 *345:27 *3003:A1 0.000175485
31 *345:27 *3005:A1 0.000217937
32 *345:27 *357:8 8.63853e-05
33 *2894:D *2979:B1 0
34 *2973:A2 *2979:B1 2.65831e-05
35 *2975:C *2979:B1 0.000196638
36 *2977:B1 *2979:B1 3.14544e-05
37 *2981:A2 *345:15 0
38 *2981:A2 *345:27 0.000234647
39 *2992:B *2979:B1 0
40 *2997:A2 *345:27 6.92705e-05
41 *3371:D *2979:B1 2.65831e-05
42 *3372:D *345:15 6.77533e-05
43 *3374:D *345:27 2.92975e-06
44 *3375:D *345:15 2.22518e-05
45 *3411:D *345:8 2.99929e-05
46 *266:14 *2979:B1 0.000221185
47 *306:30 *345:8 0
48 *306:30 *345:10 0
49 *335:24 *3178:B1 1.43983e-05
50 *336:16 *2979:B1 0
51 *339:92 *345:27 0.000102868
52 *342:20 *2979:B1 0
*RES
1 *2978:X *345:8 18.9382
2 *345:8 *345:10 6.81502
3 *345:10 *345:15 17.3001
4 *345:15 *345:27 19.4352
5 *345:27 *2979:B1 29.5248
6 *345:27 *3002:B1 9.24915
7 *345:15 *3005:B1 9.24915
8 *345:10 *2986:B1 15.0271
9 *345:8 *3178:B1 14.4725
*END
*D_NET *346 0.00569959
*CONN
*I *3000:C I *D sky130_fd_sc_hd__or4_1
*I *2987:B I *D sky130_fd_sc_hd__or3_1
*I *2989:A1 I *D sky130_fd_sc_hd__o31a_1
*I *2981:B1 I *D sky130_fd_sc_hd__o21ai_1
*I *2985:A I *D sky130_fd_sc_hd__or4_1
*I *2980:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *3000:C 0
2 *2987:B 0
3 *2989:A1 0.000333763
4 *2981:B1 0.000175828
5 *2985:A 0
6 *2980:X 0.000177486
7 *346:21 0.000361602
8 *346:18 0.000732941
9 *346:13 0.000463254
10 *346:5 0.000427336
11 *2981:B1 *2986:A2 4.95146e-05
12 *2981:B1 *3004:C 0
13 *2989:A1 *2989:B1 1.71173e-05
14 *2989:A1 *756:10 2.00832e-05
15 *346:5 *3001:A2 6.92705e-05
16 *346:5 *363:5 0.000554054
17 *346:13 *2893:C 5.05252e-05
18 *346:13 *2995:C_N 0.000205006
19 *346:13 *3001:A2 0.000211478
20 *346:13 *357:8 2.33193e-05
21 *346:18 *2995:C_N 6.50727e-05
22 *346:18 *756:10 7.12966e-05
23 *346:18 *756:15 1.48605e-05
24 *346:21 *2985:D 6.50586e-05
25 *346:21 *2993:A 0.000193792
26 *346:21 *358:5 2.65831e-05
27 *2984:B *2981:B1 9.78112e-05
28 *2984:B *346:21 0.00013871
29 *2985:C *346:21 0.000113968
30 *2989:A2 *2989:A1 3.9282e-05
31 *2989:A2 *346:18 5.48015e-06
32 *2989:A3 *2989:A1 6.39307e-05
33 *3000:D *346:5 4.33655e-05
34 *3000:D *346:13 8.12759e-05
35 *3004:B *2981:B1 0
36 *3373:D *2989:A1 3.46062e-05
37 *268:20 *2989:A1 0.000276392
38 *268:20 *346:21 4.31539e-05
39 *268:34 *2989:A1 3.94198e-06
40 *335:24 *2989:A1 8.98943e-05
41 *336:9 *346:13 0.000116971
42 *339:74 *346:18 2.29466e-05
43 *343:8 *346:18 9.7244e-06
44 *343:39 *346:18 2.03328e-05
45 *345:10 *2981:B1 0.000151794
46 *345:15 *2981:B1 3.67708e-05
*RES
1 *2980:X *346:5 16.0732
2 *346:5 *346:13 16.0217
3 *346:13 *346:18 9.5469
4 *346:18 *346:21 11.324
5 *346:21 *2985:A 9.24915
6 *346:21 *2981:B1 24.1294
7 *346:18 *2989:A1 23.1095
8 *346:13 *2987:B 9.24915
9 *346:5 *3000:C 9.24915
*END
*D_NET *347 0.000422023
*CONN
*I *2986:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *2981:Y O *D sky130_fd_sc_hd__o21ai_1
*CAP
1 *2986:A1 0.000108618
2 *2981:Y 0.000108618
3 *2986:A1 *2986:A2 6.50727e-05
4 *2986:A1 *2995:C_N 2.15344e-05
5 *2986:B1 *2986:A1 0.00011818
*RES
1 *2981:Y *2986:A1 21.4401
*END
*D_NET *348 0.00416804
*CONN
*I *2984:A_N I *D sky130_fd_sc_hd__and3b_1
*I *3200:B I *D sky130_fd_sc_hd__or4b_1
*I *2982:X O *D sky130_fd_sc_hd__o31a_1
*CAP
1 *2984:A_N 0.000139107
2 *3200:B 0.000318095
3 *2982:X 0.000911723
4 *348:8 0.00136892
5 *3200:B *2999:A 0.00010623
6 *3200:B *3200:D_N 6.99486e-05
7 *3200:B *349:8 0
8 *3200:B *349:10 0
9 *3200:B *684:8 7.84621e-05
10 *3200:B *734:37 7.98425e-06
11 *348:8 *2982:B1 0.000116755
12 *348:8 *3177:B1 0
13 *348:8 *3187:A1 5.39463e-05
14 *348:8 *3187:A2 0.000336827
15 *348:8 *349:8 0
16 *348:8 *684:8 0.000232026
17 *348:8 *782:8 0.000250843
18 *348:8 *782:27 0.000168546
19 *2974:A *348:8 8.62625e-06
*RES
1 *2982:X *348:8 40.1133
2 *348:8 *3200:B 21.2904
3 *348:8 *2984:A_N 16.691
*END
*D_NET *349 0.00672653
*CONN
*I *2984:C I *D sky130_fd_sc_hd__and3b_1
*I *3200:D_N I *D sky130_fd_sc_hd__or4b_1
*I *2994:B I *D sky130_fd_sc_hd__xor2_1
*I *2999:B I *D sky130_fd_sc_hd__or2_2
*I *2983:X O *D sky130_fd_sc_hd__or4_2
*CAP
1 *2984:C 0
2 *3200:D_N 0.000131098
3 *2994:B 0
4 *2999:B 0.000306586
5 *2983:X 0.00109487
6 *349:22 0.000238044
7 *349:10 0.0005363
8 *349:8 0.00121764
9 *2999:B *2999:A 6.50727e-05
10 *2999:B *3206:A2 0.000529382
11 *2999:B *363:5 4.29635e-05
12 *3200:D_N *2994:A 4.87301e-05
13 *3200:D_N *734:37 8.14875e-05
14 *349:8 *2958:A 0
15 *349:8 *2982:B1 0.000400503
16 *349:8 *2983:A 2.57986e-05
17 *349:8 *3177:A2 4.02903e-05
18 *349:8 *3177:B1 0.000339738
19 *349:8 *3178:A2 0.000132352
20 *349:8 *3187:A2 0
21 *349:8 *3413:CLK 0.000161775
22 *349:8 *503:34 0
23 *349:8 *503:39 0.000145506
24 *349:8 *733:21 2.16355e-05
25 *349:10 *2986:A2 2.7961e-05
26 *349:10 *2999:A 0
27 *349:22 *2995:C_N 0.000116764
28 *2960:B *349:8 3.94997e-05
29 *2978:A *349:8 0
30 *3200:A *3200:D_N 1.03434e-05
31 *3200:B *3200:D_N 6.99486e-05
32 *3200:B *349:8 0
33 *3200:B *349:10 0
34 *3372:D *2999:B 0
35 *3413:D *349:8 0
36 *309:20 *349:8 0.000259486
37 *317:6 *349:8 5.37249e-05
38 *322:8 *349:8 0.000277417
39 *322:12 *349:8 0.000311608
40 *345:10 *349:8 0
41 *345:10 *349:10 0
42 *345:15 *2999:B 0
43 *345:15 *349:10 0
44 *348:8 *349:8 0
*RES
1 *2983:X *349:8 49.6641
2 *349:8 *349:10 3.07775
3 *349:10 *2999:B 23.5116
4 *349:10 *349:22 7.44181
5 *349:22 *2994:B 9.24915
6 *349:22 *3200:D_N 13.8789
7 *349:8 *2984:C 13.7491
*END
*D_NET *350 0.00161811
*CONN
*I *2985:D I *D sky130_fd_sc_hd__or4_1
*I *2984:X O *D sky130_fd_sc_hd__and3b_1
*CAP
1 *2985:D 0.000467024
2 *2984:X 0.000467024
3 *2984:B *2985:D 0.000585366
4 *3004:B *2985:D 1.67245e-05
5 *306:30 *2985:D 1.69093e-05
6 *345:10 *2985:D 0
7 *346:21 *2985:D 6.50586e-05
*RES
1 *2984:X *2985:D 36.3231
*END
*D_NET *351 0.000939345
*CONN
*I *2986:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *2985:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *2986:A2 0.000341616
2 *2985:X 0.000341616
3 *2986:A2 *2995:C_N 5.56461e-05
4 *2981:B1 *2986:A2 4.95146e-05
5 *2984:B *2986:A2 2.81824e-05
6 *2986:A1 *2986:A2 6.50727e-05
7 *345:10 *2986:A2 2.7961e-05
8 *345:15 *2986:A2 1.77537e-06
9 *349:10 *2986:A2 2.7961e-05
*RES
1 *2985:X *2986:A2 34.52
*END
*D_NET *352 0.00376022
*CONN
*I *2988:A I *D sky130_fd_sc_hd__nor2_1
*I *2997:A1 I *D sky130_fd_sc_hd__o21ai_1
*I *2987:X O *D sky130_fd_sc_hd__or3_1
*CAP
1 *2988:A 0.000288932
2 *2997:A1 0.00028232
3 *2987:X 0.000176576
4 *352:7 0.000747829
5 *2988:A *2995:A 6.43474e-05
6 *2988:A *2996:A1 0.000143741
7 *2988:A *2996:A2 0.000179654
8 *2988:A *2996:C1 0
9 *2988:A *3369:CLK 2.09495e-05
10 *2988:A *3519:A 0.000112985
11 *2988:A *656:5 6.08467e-05
12 *2988:A *656:11 0.000260057
13 *2997:A1 *2995:A 5.77352e-05
14 *2997:A1 *3002:A1 0.000115934
15 *2997:A1 *3002:A2 0.000136838
16 *2997:A1 *656:11 0.000622721
17 *2977:B1 *2997:A1 0
18 *2988:B *2988:A 4.80635e-06
19 *336:16 *2997:A1 0.000108428
20 *339:74 *352:7 0.000207266
21 *342:20 *2997:A1 3.49955e-05
22 *343:11 *352:7 2.15348e-05
23 *345:27 *2997:A1 0.000111722
*RES
1 *2987:X *352:7 16.691
2 *352:7 *2997:A1 26.4099
3 *352:7 *2988:A 24.5027
*END
*D_NET *353 0.00171486
*CONN
*I *2996:A1 I *D sky130_fd_sc_hd__o211a_1
*I *2988:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *2996:A1 0.00052348
2 *2988:Y 0.00052348
3 *2996:A1 *3519:A 1.00937e-05
4 *2996:A1 *656:5 0.000107496
5 *2996:A1 *656:11 0.000134294
6 *2996:A1 *656:50 1.65872e-05
7 *2970:A2 *2996:A1 2.99291e-05
8 *2977:C1 *2996:A1 8.83972e-05
9 *2988:A *2996:A1 0.000143741
10 *2988:B *2996:A1 3.072e-06
11 *2989:A2 *2996:A1 0.000134294
*RES
1 *2988:Y *2996:A1 37.9855
*END
*D_NET *354 0.000981315
*CONN
*I *2996:A2 I *D sky130_fd_sc_hd__o211a_1
*I *2989:X O *D sky130_fd_sc_hd__o31a_1
*CAP
1 *2996:A2 0.000172898
2 *2989:X 0.000172898
3 *2996:A2 *2996:B1 0.000329379
4 *2996:A2 *3369:CLK 6.14128e-05
5 *2988:A *2996:A2 0.000179654
6 *2989:A3 *2996:A2 6.50727e-05
*RES
1 *2989:X *2996:A2 34.2062
*END
*D_NET *355 0.0176645
*CONN
*I *3045:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3324:A I *D sky130_fd_sc_hd__clkbuf_1
*I *2991:A I *D sky130_fd_sc_hd__clkbuf_2
*I *3265:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *3308:A I *D sky130_fd_sc_hd__clkbuf_1
*I *2990:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3045:A 0.000226731
2 *3324:A 0.000121388
3 *2991:A 0
4 *3265:A 0.000555989
5 *3308:A 1.71889e-05
6 *2990:X 0
7 *355:71 0.00100708
8 *355:47 0.000851991
9 *355:39 0.00124322
10 *355:21 0.00232903
11 *355:4 0.0020236
12 *3045:A *3350:A 0.000229926
13 *3045:A *398:13 2.16355e-05
14 *3045:A *407:12 0.00042698
15 *3265:A *3304:A 0.000144546
16 *3265:A *3305:A0 0.000238987
17 *3265:A *3305:S 3.84841e-05
18 *3265:A *356:34 0.00113777
19 *3265:A *591:18 5.19205e-05
20 *3265:A *591:31 0.000119804
21 *3265:A *591:44 4.01437e-05
22 *3265:A *640:17 2.28264e-05
23 *3265:A *692:17 0.00020979
24 *3308:A *3321:A1 6.50727e-05
25 *3308:A *664:29 2.16355e-05
26 *3324:A *3350:A 0.000139177
27 *3324:A *407:12 0.000139177
28 *3324:A *605:7 2.65831e-05
29 *3324:A *605:28 7.34948e-06
30 *355:21 *799:DIODE 0.000591156
31 *355:21 *812:DIODE 0.000100263
32 *355:21 *3223:A 9.60216e-05
33 *355:21 *3275:B 1.55995e-05
34 *355:21 *3275:D 3.30253e-05
35 *355:21 *3325:A 0.000217937
36 *355:21 *3326:A 8.65358e-05
37 *355:21 *407:43 0.00041971
38 *355:21 *427:10 5.11679e-05
39 *355:21 *427:15 1.6644e-05
40 *355:21 *535:5 0.000466359
41 *355:21 *535:9 0.000158357
42 *355:21 *535:28 0.000359278
43 *355:21 *535:30 0.000408772
44 *355:21 *536:5 0.00020502
45 *355:21 *548:41 3.99086e-06
46 *355:21 *691:25 5.73392e-05
47 *355:21 *693:40 2.41274e-06
48 *355:21 *734:26 0.000114242
49 *355:39 *842:DIODE 6.50727e-05
50 *355:39 *3236:B1 0.000311329
51 *355:39 *3238:A2 6.50727e-05
52 *355:39 *3437:CLK 2.41274e-06
53 *355:39 *356:7 1.41291e-05
54 *355:39 *356:8 0
55 *355:39 *356:34 0
56 *355:39 *399:46 4.27003e-05
57 *355:39 *535:30 0.000342154
58 *355:39 *640:54 9.75356e-05
59 *355:39 *702:15 0.000118166
60 *355:39 *702:24 8.82538e-05
61 *355:47 *3321:A1 9.55447e-05
62 *355:47 *3323:A 0
63 *355:47 *356:7 6.08167e-05
64 *355:47 *356:34 0.000370261
65 *355:47 *664:29 3.82228e-05
66 *355:47 *702:15 0.000224395
67 *355:71 *693:35 0.000261987
68 *355:71 *693:40 8.24833e-05
69 *2915:B2 *355:39 0.000164829
70 *3437:D *355:39 9.79811e-05
71 *3461:D *3265:A 0.000153225
72 *109:9 *355:47 3.0902e-05
73 *155:10 *3045:A 7.51761e-05
*RES
1 *2990:X *355:4 9.24915
2 *355:4 *355:21 49.9674
3 *355:21 *355:39 40.9411
4 *355:39 *355:47 19.4802
5 *355:47 *3308:A 9.97254
6 *355:47 *3265:A 43.6518
7 *355:39 *2991:A 9.24915
8 *355:4 *355:71 15.2063
9 *355:71 *3324:A 17.6896
10 *355:71 *3045:A 22.5333
*END
*D_NET *356 0.0202821
*CONN
*I *805:DIODE I *D sky130_fd_sc_hd__diode_2
*I *802:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3013:A I *D sky130_fd_sc_hd__and2_1
*I *803:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3010:A I *D sky130_fd_sc_hd__and2_1
*I *3019:A I *D sky130_fd_sc_hd__and2_1
*I *3016:A I *D sky130_fd_sc_hd__and2_1
*I *804:DIODE I *D sky130_fd_sc_hd__diode_2
*I *2996:B1 I *D sky130_fd_sc_hd__o211a_1
*I *801:DIODE I *D sky130_fd_sc_hd__diode_2
*I *2991:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *805:DIODE 0
2 *802:DIODE 0.000227834
3 *3013:A 0
4 *803:DIODE 4.08289e-05
5 *3010:A 2.64699e-05
6 *3019:A 0
7 *3016:A 0.000251239
8 *804:DIODE 8.65685e-05
9 *2996:B1 0.000244947
10 *801:DIODE 0
11 *2991:X 0.000215127
12 *356:81 0.000739284
13 *356:74 0.00105535
14 *356:63 0.000403276
15 *356:40 0.000359744
16 *356:38 7.42047e-05
17 *356:36 0.000327413
18 *356:34 0.000943767
19 *356:11 0.000264638
20 *356:8 0.00177959
21 *356:7 0.00289139
22 *802:DIODE *3009:S 0.000317707
23 *802:DIODE *3301:A1 6.33695e-05
24 *803:DIODE *689:21 0.000175485
25 *804:DIODE *3305:A1 2.65667e-05
26 *804:DIODE *692:17 0.000324166
27 *2996:B1 *2996:C1 3.5534e-06
28 *2996:B1 *3369:CLK 9.98029e-06
29 *2996:B1 *358:27 0
30 *3010:A *3513:A 2.65667e-05
31 *3016:A *3015:A1 5.04829e-06
32 *3016:A *3015:S 0.000107496
33 *3016:A *3016:B 0.000175485
34 *3016:A *3019:B 0
35 *3016:A *3305:A1 0.000101148
36 *3016:A *3379:CLK 0
37 *3016:A *370:22 2.19276e-05
38 *3016:A *680:7 0.000118166
39 *3016:A *736:6 5.92192e-05
40 *356:7 *702:15 6.12686e-06
41 *356:8 *2914:B 3.1218e-05
42 *356:8 *2915:B1 0
43 *356:8 *2917:A 0
44 *356:8 *2930:B 8.07939e-05
45 *356:8 *3039:A2 0
46 *356:8 *3047:C1 0
47 *356:8 *3384:CLK 0
48 *356:8 *3437:CLK 0
49 *356:8 *640:78 5.39635e-06
50 *356:8 *658:19 0
51 *356:8 *721:8 5.0459e-05
52 *356:34 *3095:A 0
53 *356:34 *3304:A 1.55462e-05
54 *356:34 *3305:A0 4.38712e-05
55 *356:34 *3305:A1 0.000289652
56 *356:34 *3309:A0 7.50722e-05
57 *356:34 *3322:B 0.000150478
58 *356:34 *3461:CLK 0.000167228
59 *356:34 *664:6 0
60 *356:34 *664:13 0
61 *356:34 *664:50 0
62 *356:34 *692:17 0.000799677
63 *356:34 *748:8 4.92086e-05
64 *356:36 *3020:A 6.50727e-05
65 *356:36 *3305:A1 0.00018584
66 *356:36 *692:17 0.000259093
67 *356:38 *3020:A 0.000220183
68 *356:38 *3305:A1 8.64186e-05
69 *356:38 *692:17 0.000411971
70 *356:40 *3305:A1 9.9028e-05
71 *356:40 *692:17 0.00021243
72 *356:63 *3306:B 0
73 *356:63 *3379:CLK 0
74 *356:63 *3460:CLK 4.15008e-05
75 *356:63 *650:19 1.82832e-05
76 *356:63 *737:16 3.22726e-05
77 *356:74 *3010:B 0.000122083
78 *356:74 *3011:A 2.18741e-05
79 *356:74 *3306:B 0
80 *356:74 *650:19 6.62407e-05
81 *356:81 *3009:S 0.000223747
82 *356:81 *3013:B 0.000280282
83 *356:81 *370:34 9.9028e-05
84 *356:81 *689:21 0.0002817
85 *2940:A1 *2996:B1 0.000118166
86 *2940:A1 *356:11 0.000111722
87 *2940:B1 *356:11 6.50586e-05
88 *2955:B1 *356:8 8.97218e-06
89 *2989:A3 *2996:B1 0.000102003
90 *2989:A3 *356:11 6.50727e-05
91 *2996:A2 *2996:B1 0.000329379
92 *3039:A1 *356:8 0
93 *3039:C1 *356:8 0
94 *3058:C *356:8 0.000141587
95 *3265:A *356:34 0.00113777
96 *3379:D *356:63 9.60216e-05
97 *3384:D *356:8 9.60366e-05
98 *3463:D *356:34 1.38872e-05
99 *1:11 *356:34 0
100 *300:23 *356:8 4.70005e-05
101 *304:14 *356:8 0
102 *305:6 *356:8 0
103 *305:8 *356:8 0
104 *311:8 *356:8 0.00010617
105 *311:12 *2996:B1 6.08697e-06
106 *311:12 *356:8 0.000600268
107 *324:16 *356:8 0.000454607
108 *339:48 *356:8 0.000377724
109 *355:39 *356:7 1.41291e-05
110 *355:39 *356:8 0
111 *355:39 *356:34 0
112 *355:47 *356:7 6.08167e-05
113 *355:47 *356:34 0.000370261
*RES
1 *2991:X *356:7 17.2456
2 *356:7 *356:8 49.1707
3 *356:8 *356:11 5.778
4 *356:11 *801:DIODE 9.24915
5 *356:11 *2996:B1 26.3422
6 *356:7 *356:34 41.4523
7 *356:34 *356:36 2.94181
8 *356:36 *356:38 4.60562
9 *356:38 *356:40 2.38721
10 *356:40 *804:DIODE 12.7456
11 *356:40 *3016:A 26.3478
12 *356:38 *3019:A 9.24915
13 *356:36 *356:63 11.315
14 *356:63 *3010:A 14.4725
15 *356:63 *356:74 8.82351
16 *356:74 *356:81 15.2154
17 *356:81 *803:DIODE 11.0817
18 *356:81 *3013:A 9.24915
19 *356:74 *802:DIODE 15.5186
20 *356:34 *805:DIODE 9.24915
*END
*D_NET *357 0.00360645
*CONN
*I *2993:A I *D sky130_fd_sc_hd__clkbuf_2
*I *3004:A I *D sky130_fd_sc_hd__or3_1
*I *2992:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *2993:A 0.000258743
2 *3004:A 0
3 *2992:X 0.00071685
4 *357:8 0.000975593
5 *357:8 *2893:C 0.00025439
6 *357:8 *2997:B1 0.000421662
7 *357:8 *2998:A 1.70077e-05
8 *357:8 *3000:A 0.000137746
9 *357:8 *3004:C 1.86171e-05
10 *357:8 *3005:A2 0
11 *357:8 *758:17 0.000139435
12 *2985:B *2993:A 5.78559e-05
13 *2997:A2 *357:8 6.50586e-05
14 *3004:B *2993:A 0.000166471
15 *3004:B *357:8 5.77352e-05
16 *336:9 *2993:A 3.89332e-06
17 *336:9 *357:8 1.18938e-05
18 *345:27 *357:8 8.63853e-05
19 *346:13 *357:8 2.33193e-05
20 *346:21 *2993:A 0.000193792
*RES
1 *2992:X *357:8 30.5737
2 *357:8 *3004:A 13.7491
3 *357:8 *2993:A 21.0949
*END
*D_NET *358 0.00790969
*CONN
*I *2995:A I *D sky130_fd_sc_hd__or3b_1
*I *3151:A2 I *D sky130_fd_sc_hd__o31ai_1
*I *3141:A2 I *D sky130_fd_sc_hd__a21o_1
*I *3142:B I *D sky130_fd_sc_hd__nor2_1
*I *3146:A2 I *D sky130_fd_sc_hd__a211o_1
*I *2993:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *2995:A 6.45864e-05
2 *3151:A2 0.000105343
3 *3141:A2 2.78838e-05
4 *3142:B 4.65618e-05
5 *3146:A2 2.83695e-05
6 *2993:X 0.000279899
7 *358:48 0.000263373
8 *358:35 0.000329374
9 *358:27 0.0014001
10 *358:5 0.00156355
11 *2995:A *2996:C1 1.79672e-05
12 *3141:A2 *683:5 0.000111722
13 *3142:B *3143:A2 1.05746e-05
14 *3142:B *3149:B 6.92705e-05
15 *3142:B *3150:A3 6.50586e-05
16 *3146:A2 *477:22 0
17 *3151:A2 *3152:A1 0
18 *3151:A2 *3152:B1 3.08133e-05
19 *3151:A2 *649:30 3.75221e-05
20 *358:27 *2996:C1 1.42855e-05
21 *358:27 *3146:C1 2.16355e-05
22 *358:27 *3366:CLK 0
23 *358:27 *649:9 2.82583e-05
24 *358:27 *649:11 5.4373e-05
25 *358:27 *649:16 7.29862e-05
26 *358:35 *3142:A 0
27 *358:35 *3143:A2 1.36177e-05
28 *358:35 *3143:B1 0.000101118
29 *358:35 *477:22 0
30 *358:48 *3143:A2 3.64684e-05
31 *358:48 *3152:B1 8.12388e-06
32 *2940:A1 *358:27 2.20702e-05
33 *2940:B1 *358:27 0.000160617
34 *2970:A2 *358:5 1.8078e-05
35 *2977:B1 *2995:A 0
36 *2977:C1 *358:5 0.000207266
37 *2977:C1 *358:27 0.000266846
38 *2988:A *2995:A 6.43474e-05
39 *2996:B1 *358:27 0
40 *2997:A1 *2995:A 5.77352e-05
41 *3141:B1 *3141:A2 4.31703e-05
42 *3146:A1 *358:27 1.40978e-05
43 *3146:B1 *358:27 0.000577883
44 *3151:A3 *3151:A2 1.33419e-05
45 *3366:D *358:27 0.000282684
46 *268:34 *358:27 0.000197418
47 *269:8 *358:27 6.50727e-05
48 *269:8 *358:35 0.000307264
49 *269:8 *358:48 2.27135e-05
50 *269:15 *3151:A2 0.000159159
51 *269:15 *358:48 0.000202819
52 *321:11 *3146:A2 2.71397e-05
53 *321:11 *358:35 7.77309e-06
54 *339:48 *358:27 0.000362772
55 *346:21 *358:5 2.65831e-05
*RES
1 *2993:X *358:5 13.8548
2 *358:5 *358:27 46.5984
3 *358:27 *3146:A2 14.7506
4 *358:27 *358:35 5.77689
5 *358:35 *3142:B 15.584
6 *358:35 *358:48 4.11588
7 *358:48 *3141:A2 15.0271
8 *358:48 *3151:A2 17.273
9 *358:5 *2995:A 20.4964
*END
*D_NET *359 0.00731052
*CONN
*I *2995:C_N I *D sky130_fd_sc_hd__or3b_1
*I *3206:A2 I *D sky130_fd_sc_hd__a221oi_1
*I *2994:X O *D sky130_fd_sc_hd__xor2_1
*CAP
1 *2995:C_N 0.000604431
2 *3206:A2 0.000616854
3 *2994:X 0
4 *359:4 0.00122129
5 *2995:C_N *3004:C 8.39059e-05
6 *2995:C_N *3005:A2 6.08467e-05
7 *3206:A2 *2851:A 4.58003e-05
8 *3206:A2 *3201:A 0.00041745
9 *3206:A2 *363:5 0.000267404
10 *3206:A2 *363:31 0.00231031
11 *2887:B *3206:A2 0.000164829
12 *2981:A1 *2995:C_N 5.00728e-05
13 *2981:A2 *2995:C_N 0.00011818
14 *2986:A1 *2995:C_N 2.15344e-05
15 *2986:A2 *2995:C_N 5.56461e-05
16 *2986:B1 *2995:C_N 5.07314e-05
17 *2999:B *3206:A2 0.000529382
18 *262:21 *3206:A2 0.000103706
19 *262:35 *3206:A2 2.55257e-05
20 *339:74 *2995:C_N 1.19721e-05
21 *343:11 *2995:C_N 0.000125689
22 *343:17 *2995:C_N 3.8122e-05
23 *346:13 *2995:C_N 0.000205006
24 *346:18 *2995:C_N 6.50727e-05
25 *349:22 *2995:C_N 0.000116764
*RES
1 *2994:X *359:4 9.24915
2 *359:4 *3206:A2 48.9741
3 *359:4 *2995:C_N 27.0688
*END
*D_NET *360 0.000248369
*CONN
*I *2996:C1 I *D sky130_fd_sc_hd__o211a_1
*I *2995:X O *D sky130_fd_sc_hd__or3b_1
*CAP
1 *2996:C1 9.91287e-05
2 *2995:X 9.91287e-05
3 *2988:A *2996:C1 0
4 *2995:A *2996:C1 1.79672e-05
5 *2996:B1 *2996:C1 3.5534e-06
6 *339:48 *2996:C1 1.43055e-05
7 *358:27 *2996:C1 1.42855e-05
*RES
1 *2995:X *2996:C1 29.7455
*END
*D_NET *361 0.00121557
*CONN
*I *3002:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *2997:Y O *D sky130_fd_sc_hd__o21ai_1
*CAP
1 *3002:A1 0.000372166
2 *2997:Y 0.000372166
3 *3002:A1 *3002:A2 0.00021416
4 *2997:A1 *3002:A1 0.000115934
5 *345:27 *3002:A1 0.000141146
*RES
1 *2997:Y *3002:A1 27.179
*END
*D_NET *362 0.000821763
*CONN
*I *3001:A1 I *D sky130_fd_sc_hd__a211o_1
*I *2998:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *3001:A1 8.03024e-05
2 *2998:Y 8.03024e-05
3 *3001:A1 *2998:A 0.000211478
4 *3001:B1 *3001:A1 7.24917e-06
5 *267:7 *3001:A1 0.000395122
6 *336:16 *3001:A1 4.73084e-05
*RES
1 *2998:Y *3001:A1 23.4053
*END
*D_NET *363 0.0132072
*CONN
*I *3210:A2 I *D sky130_fd_sc_hd__a211oi_1
*I *3212:B I *D sky130_fd_sc_hd__nor2_1
*I *3004:C I *D sky130_fd_sc_hd__or3_1
*I *3001:A2 I *D sky130_fd_sc_hd__a211o_1
*I *2999:X O *D sky130_fd_sc_hd__or2_2
*CAP
1 *3210:A2 6.32797e-05
2 *3212:B 0.000148454
3 *3004:C 0.00014823
4 *3001:A2 0.000314042
5 *2999:X 0
6 *363:31 0.00144264
7 *363:5 0.000862503
8 *363:4 0.00163114
9 *3001:A2 *2998:A 8.62625e-06
10 *3001:A2 *3000:B 9.75243e-05
11 *3001:A2 *656:11 3.90318e-05
12 *3001:A2 *756:15 0.000200794
13 *3004:C *3005:A2 9.75356e-05
14 *3210:A2 *3210:A1 0.000228593
15 *3212:B *3213:A2 7.50872e-05
16 *3212:B *660:11 0
17 *363:31 *3201:B 0.000523679
18 *363:31 *3210:C1 6.50727e-05
19 *363:31 *3211:A1 6.50586e-05
20 *363:31 *3211:A2 1.19721e-05
21 *363:31 *3213:A1 4.82966e-05
22 *363:31 *3213:A2 0.000736719
23 *836:DIODE *363:31 0.000107496
24 *2981:A1 *3004:C 0.000211478
25 *2981:B1 *3004:C 0
26 *2995:C_N *3004:C 8.39059e-05
27 *2999:B *363:5 4.29635e-05
28 *3000:D *3001:A2 1.777e-05
29 *3004:B *3004:C 3.49272e-05
30 *3206:A2 *363:5 0.000267404
31 *3206:A2 *363:31 0.00231031
32 *3207:A *3210:A2 0.000334808
33 *3207:A *363:31 0.000254906
34 *3399:D *3212:B 8.36326e-05
35 *3419:D *3210:A2 0.000224395
36 *3419:D *363:31 0.000217937
37 *262:21 *363:31 9.54357e-06
38 *262:35 *363:31 0.000972205
39 *339:74 *3001:A2 5.30785e-05
40 *342:20 *3001:A2 0.0002646
41 *343:8 *3001:A2 5.41377e-05
42 *345:15 *3004:C 0
43 *346:5 *3001:A2 6.92705e-05
44 *346:5 *363:5 0.000554054
45 *346:13 *3001:A2 0.000211478
46 *357:8 *3004:C 1.86171e-05
*RES
1 *2999:X *363:4 9.24915
2 *363:4 *363:5 11.2609
3 *363:5 *3001:A2 28.9814
4 *363:5 *3004:C 23.7141
5 *363:4 *363:31 48.0576
6 *363:31 *3212:B 22.4655
7 *363:31 *3210:A2 15.0122
*END
*D_NET *364 0.00159326
*CONN
*I *3001:C1 I *D sky130_fd_sc_hd__a211o_1
*I *3003:A1 I *D sky130_fd_sc_hd__o21ai_1
*I *3000:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *3001:C1 0
2 *3003:A1 0.00015433
3 *3000:X 0.000202511
4 *364:8 0.000356842
5 *3003:A1 *2998:A 2.25948e-05
6 *3003:A1 *656:11 0
7 *3003:A1 *758:8 0
8 *364:8 *2893:C 0
9 *364:8 *2998:A 3.67528e-06
10 *3000:D *364:8 0.000164829
11 *268:16 *364:8 1.41976e-05
12 *336:9 *364:8 2.652e-05
13 *339:74 *3003:A1 0.000174456
14 *339:74 *364:8 0.000114955
15 *339:92 *3003:A1 0.000175174
16 *342:20 *364:8 7.68538e-06
17 *345:27 *3003:A1 0.000175485
*RES
1 *3000:X *364:8 18.3836
2 *364:8 *3003:A1 19.49
3 *364:8 *3001:C1 13.7491
*END
*D_NET *365 0.00140133
*CONN
*I *3002:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *3001:X O *D sky130_fd_sc_hd__a211o_1
*CAP
1 *3002:A2 0.00023004
2 *3001:X 0.00023004
3 *2992:A *3002:A2 5.78637e-05
4 *2997:A1 *3002:A2 0.000136838
5 *3002:A1 *3002:A2 0.00021416
6 *267:7 *3002:A2 0.000158371
7 *342:20 *3002:A2 3.20069e-06
8 *345:27 *3002:A2 0.000370815
*RES
1 *3001:X *3002:A2 36.0444
*END
*D_NET *366 0.000489391
*CONN
*I *3005:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *3003:Y O *D sky130_fd_sc_hd__o21ai_1
*CAP
1 *3005:A1 0.000135727
2 *3003:Y 0.000135727
3 *345:27 *3005:A1 0.000217937
*RES
1 *3003:Y *3005:A1 20.8855
*END
*D_NET *367 0.00136664
*CONN
*I *3005:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *3004:X O *D sky130_fd_sc_hd__or3_1
*CAP
1 *3005:A2 0.000279743
2 *3004:X 0.000279743
3 *3005:A2 *3000:A 8.80418e-05
4 *3005:A2 *757:8 1.6917e-05
5 *3005:A2 *757:19 3.92275e-05
6 *2981:A2 *3005:A2 0.00049962
7 *2995:C_N *3005:A2 6.08467e-05
8 *3004:C *3005:A2 9.75356e-05
9 *3375:D *3005:A2 4.96202e-06
10 *357:8 *3005:A2 0
*RES
1 *3004:X *3005:A2 37.5282
*END
*D_NET *368 0.00108299
*CONN
*I *3007:B I *D sky130_fd_sc_hd__and2_1
*I *3152:A1 I *D sky130_fd_sc_hd__o21ai_1
*I *3006:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *3007:B 0.000154148
2 *3152:A1 0.000247582
3 *3006:X 4.51847e-05
4 *368:7 0.000446915
5 *3007:B *3214:B 9.63545e-05
6 *3007:B *745:19 2.26084e-05
7 *3152:A1 *3152:B1 0
8 *3152:A1 *745:19 1.79672e-05
9 *3151:A2 *3152:A1 0
10 *3404:D *3152:A1 2.56676e-05
11 *119:14 *3007:B 0
12 *269:15 *3152:A1 0
13 *269:24 *3152:A1 0
14 *339:35 *368:7 2.65667e-05
*RES
1 *3006:X *368:7 14.4725
2 *368:7 *3152:A1 19.3184
3 *368:7 *3007:B 18.0727
*END
*D_NET *369 0.00631731
*CONN
*I *3031:S I *D sky130_fd_sc_hd__mux2_1
*I *3008:A I *D sky130_fd_sc_hd__clkbuf_2
*I *3025:S I *D sky130_fd_sc_hd__mux2_1
*I *3028:S I *D sky130_fd_sc_hd__mux2_1
*I *3007:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *3031:S 0
2 *3008:A 0.000164099
3 *3025:S 5.23488e-05
4 *3028:S 0
5 *3007:X 0.000925489
6 *369:21 0.000399942
7 *369:12 0.000283087
8 *369:9 0.00017341
9 *369:6 0.000999305
10 *3008:A *3315:A1 4.58003e-05
11 *3008:A *680:12 4.18479e-05
12 *3025:S *3315:A1 4.31539e-05
13 *369:6 *811:DIODE 2.53624e-06
14 *369:6 *3031:A0 0
15 *369:6 *3034:B 0.000170607
16 *369:6 *3051:A 3.00073e-05
17 *369:6 *3059:B 0
18 *369:6 *3063:A 3.14055e-05
19 *369:6 *3064:B 0
20 *369:6 *3073:A2 5.54078e-05
21 *369:6 *3214:B 0
22 *369:6 *403:21 0
23 *369:6 *403:38 0.000331029
24 *369:6 *680:18 0.00144018
25 *369:9 *680:18 0.000123176
26 *369:9 *741:7 0.000220183
27 *369:12 *3030:A 0
28 *369:12 *680:12 0.000169093
29 *369:21 *680:12 0.000387686
30 *3364:D *369:6 0
31 *3382:D *369:12 0
32 *3382:D *369:21 0
33 *3387:D *369:6 0
34 *3462:D *3008:A 0
35 *119:14 *369:6 5.67995e-05
36 *119:29 *369:6 0.000170715
37 *119:41 *369:6 0
*RES
1 *3007:X *369:6 46.3098
2 *369:6 *369:9 7.99641
3 *369:9 *369:12 7.57775
4 *369:12 *3028:S 13.7491
5 *369:12 *369:21 11.315
6 *369:21 *3025:S 10.5271
7 *369:21 *3008:A 22.6049
8 *369:9 *3031:S 9.24915
*END
*D_NET *370 0.00511151
*CONN
*I *3009:S I *D sky130_fd_sc_hd__mux2_1
*I *3012:S I *D sky130_fd_sc_hd__mux2_1
*I *3015:S I *D sky130_fd_sc_hd__mux2_1
*I *3018:S I *D sky130_fd_sc_hd__mux2_1
*I *3022:S I *D sky130_fd_sc_hd__mux2_1
*I *3008:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3009:S 0.000136781
2 *3012:S 0
3 *3015:S 6.74317e-05
4 *3018:S 7.92911e-05
5 *3022:S 0
6 *3008:X 0.000108765
7 *370:34 0.000368574
8 *370:22 0.000551538
9 *370:13 0.000481267
10 *370:6 0.000258426
11 *3009:S *3012:A0 0.000139959
12 *3009:S *3301:A1 3.14978e-05
13 *3009:S *735:17 0.000197119
14 *3015:S *3015:A1 1.19856e-05
15 *3018:S *3018:A0 0.000122378
16 *3018:S *738:17 0.000301469
17 *370:6 *663:55 0
18 *370:6 *680:12 0
19 *370:13 *3022:A0 1.79672e-05
20 *370:13 *3379:CLK 0
21 *370:13 *663:55 0
22 *370:13 *680:12 0
23 *370:22 *3019:B 0
24 *370:22 *3305:A1 0.000104731
25 *370:22 *3379:CLK 0
26 *370:22 *680:12 0
27 *370:22 *736:6 6.94439e-05
28 *370:34 *3012:A0 4.82966e-05
29 *370:34 *3012:A1 0.000315354
30 *370:34 *735:8 0
31 *370:34 *736:6 0.000127194
32 *802:DIODE *3009:S 0.000317707
33 *3016:A *3015:S 0.000107496
34 *3016:A *370:22 2.19276e-05
35 *149:27 *3018:S 0.000802132
36 *356:81 *3009:S 0.000223747
37 *356:81 *370:34 9.9028e-05
*RES
1 *3008:X *370:6 15.9964
2 *370:6 *3022:S 13.7491
3 *370:6 *370:13 3.90826
4 *370:13 *3018:S 22.237
5 *370:13 *370:22 6.81502
6 *370:22 *3015:S 15.5817
7 *370:22 *370:34 13.7022
8 *370:34 *3012:S 9.24915
9 *370:34 *3009:S 18.2916
*END
*D_NET *371 0.000430978
*CONN
*I *3010:B I *D sky130_fd_sc_hd__and2_1
*I *3009:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *3010:B 0.000109028
2 *3009:X 0.000109028
3 *3010:B *3011:A 2.99929e-05
4 *3010:B *3306:B 0
5 *3010:B *646:8 0
6 *3010:B *735:17 6.08467e-05
7 *356:74 *3010:B 0.000122083
*RES
1 *3009:X *3010:B 30.4689
*END
*D_NET *372 0.00102507
*CONN
*I *3011:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3010:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *3011:A 0.000337251
2 *3010:X 0.000337251
3 *3011:A *3009:A0 0.000271778
4 *3011:A *640:37 0
5 *3011:A *646:8 0
6 *3011:A *650:19 0
7 *3010:B *3011:A 2.99929e-05
8 *3376:D *3011:A 2.692e-05
9 *356:74 *3011:A 2.18741e-05
*RES
1 *3010:X *3011:A 37.113
*END
*D_NET *373 0.000485328
*CONN
*I *3013:B I *D sky130_fd_sc_hd__and2_1
*I *3012:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *3013:B 0.000102523
2 *3012:X 0.000102523
3 *356:81 *3013:B 0.000280282
*RES
1 *3012:X *3013:B 22.4287
*END
*D_NET *374 0.000673863
*CONN
*I *3014:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3013:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *3014:A 0.000297589
2 *3013:X 0.000297589
3 *3014:A *3378:CLK 0
4 *3014:A *689:21 7.86847e-05
5 *3377:D *3014:A 0
*RES
1 *3013:X *3014:A 34.9002
*END
*D_NET *375 0.000449022
*CONN
*I *3016:B I *D sky130_fd_sc_hd__and2_1
*I *3015:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *3016:B 0.00011262
2 *3015:X 0.00011262
3 *3016:B *680:7 4.82966e-05
4 *3016:A *3016:B 0.000175485
*RES
1 *3015:X *3016:B 22.5975
*END
*D_NET *376 0.000418527
*CONN
*I *3017:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3016:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *3017:A 5.2134e-05
2 *3016:X 5.2134e-05
3 *3017:A *680:7 0.0002817
4 *3378:D *3017:A 3.25584e-05
*RES
1 *3016:X *3017:A 21.4401
*END
*D_NET *377 0.000410942
*CONN
*I *3019:B I *D sky130_fd_sc_hd__and2_1
*I *3018:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *3019:B 0.000141705
2 *3018:X 0.000141705
3 *3019:B *3379:CLK 5.8261e-05
4 *3016:A *3019:B 0
5 *149:27 *3019:B 6.92705e-05
6 *370:22 *3019:B 0
*RES
1 *3018:X *3019:B 30.8842
*END
*D_NET *378 0.000619332
*CONN
*I *3020:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3019:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *3020:A 3.15093e-05
2 *3019:X 3.15093e-05
3 *3020:A *3305:A1 0.000271058
4 *356:36 *3020:A 6.50727e-05
5 *356:38 *3020:A 0.000220183
*RES
1 *3019:X *3020:A 21.4401
*END
*D_NET *379 0.00955506
*CONN
*I *3156:A I *D sky130_fd_sc_hd__and2_1
*I *3023:A I *D sky130_fd_sc_hd__and2_1
*I *3026:A I *D sky130_fd_sc_hd__and2_1
*I *3029:A I *D sky130_fd_sc_hd__and2_1
*I *3032:A I *D sky130_fd_sc_hd__and2_1
*I *3021:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *3156:A 3.87102e-05
2 *3023:A 3.5415e-05
3 *3026:A 2.31637e-05
4 *3029:A 0
5 *3032:A 0.000175996
6 *3021:X 0.000200786
7 *379:36 0.000442955
8 *379:32 0.000609982
9 *379:27 0.00111054
10 *379:23 0.00206947
11 *379:8 0.0015537
12 *3023:A *3022:A1 0
13 *3023:A *3380:CLK 3.31882e-05
14 *3026:A *3026:B 6.50727e-05
15 *3156:A *692:17 5.07314e-05
16 *379:8 *3102:A 6.21908e-05
17 *379:8 *689:46 0
18 *379:23 *3102:A 5.30921e-05
19 *379:23 *3114:A 0.000102003
20 *379:23 *3309:A0 0.000827188
21 *379:23 *3310:A 0.000153179
22 *379:23 *3310:B 6.08467e-05
23 *379:23 *3311:A 9.19632e-06
24 *379:23 *3312:A0 5.32988e-05
25 *379:23 *3312:S 0.000252642
26 *379:23 *3526:A 7.85867e-05
27 *379:23 *438:10 0
28 *379:23 *663:7 0
29 *379:23 *689:46 0
30 *379:27 *3526:A 3.02812e-05
31 *379:27 *663:7 0.00105478
32 *379:27 *663:54 2.52287e-06
33 *379:32 *3022:A1 0
34 *379:32 *663:18 0
35 *379:32 *739:8 0
36 *379:36 *3025:A1 0
37 *3381:D *379:32 0
38 *3461:D *379:23 0.000352811
39 *149:27 *3023:A 0
40 *272:17 *379:8 5.27412e-05
*RES
1 *3021:X *379:8 19.6294
2 *379:8 *379:23 38.914
3 *379:23 *379:27 17.9793
4 *379:27 *379:32 6.8883
5 *379:32 *379:36 10.8998
6 *379:36 *3032:A 13.3002
7 *379:36 *3029:A 9.24915
8 *379:32 *3026:A 14.4725
9 *379:27 *3023:A 14.7506
10 *379:8 *3156:A 15.0271
*END
*D_NET *380 0.000578017
*CONN
*I *3023:B I *D sky130_fd_sc_hd__and2_1
*I *3022:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *3023:B 0.000121448
2 *3022:X 0.000121448
3 *3023:B *3022:A1 6.50727e-05
4 *3023:B *3024:A 3.14978e-05
5 *3023:B *663:7 1.41689e-05
6 *149:27 *3023:B 0.000224381
*RES
1 *3022:X *3023:B 22.9833
*END
*D_NET *381 0.000738556
*CONN
*I *3024:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3023:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *3024:A 0.000222465
2 *3023:X 0.000222465
3 *3024:A *3380:CLK 0
4 *3024:A *680:12 0
5 *3023:B *3024:A 3.14978e-05
6 *3380:D *3024:A 0.000226296
7 *149:27 *3024:A 3.58321e-05
*RES
1 *3023:X *3024:A 34.2062
*END
*D_NET *382 0.000433786
*CONN
*I *3026:B I *D sky130_fd_sc_hd__and2_1
*I *3025:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *3026:B 0.000184357
2 *3025:X 0.000184357
3 *3026:A *3026:B 6.50727e-05
*RES
1 *3025:X *3026:B 22.5975
*END
*D_NET *383 0.000314206
*CONN
*I *3027:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3026:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *3027:A 0.000143811
2 *3026:X 0.000143811
3 *3381:D *3027:A 2.65831e-05
*RES
1 *3026:X *3027:A 21.9947
*END
*D_NET *384 0.000427471
*CONN
*I *3029:B I *D sky130_fd_sc_hd__and2_1
*I *3028:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *3029:B 0.000125993
2 *3028:X 0.000125993
3 *3029:B *3030:A 0.000175485
*RES
1 *3028:X *3029:B 22.6216
*END
*D_NET *385 0.0019563
*CONN
*I *3030:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3029:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *3030:A 0.000642233
2 *3029:X 0.000642233
3 *3030:A *3320:A 0
4 *3030:A *3321:A1 0.000405513
5 *3030:A *680:12 0
6 *3030:A *741:18 6.08467e-05
7 *3029:B *3030:A 0.000175485
8 *3382:D *3030:A 2.99929e-05
9 *369:12 *3030:A 0
*RES
1 *3029:X *3030:A 39.2032
*END
*D_NET *386 0.00168604
*CONN
*I *3032:B I *D sky130_fd_sc_hd__and2_1
*I *3031:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *3032:B 0.000686015
2 *3031:X 0.000686015
3 *3032:B *3033:A 0
4 *3032:B *663:18 0.000138523
5 *3032:B *741:7 0.000175485
*RES
1 *3031:X *3032:B 39.372
*END
*D_NET *387 0.000637077
*CONN
*I *3033:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3032:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *3033:A 0.000318538
2 *3032:X 0.000318538
3 *3033:A *3383:CLK 0
4 *3033:A *663:18 0
5 *3032:B *3033:A 0
*RES
1 *3032:X *3033:A 33.1026
*END
*D_NET *388 0.00445439
*CONN
*I *3039:A2 I *D sky130_fd_sc_hd__o211a_1
*I *3035:B1 I *D sky130_fd_sc_hd__a31o_1
*I *3034:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *3039:A2 0.000531806
2 *3035:B1 2.06324e-05
3 *3034:Y 0.000511176
4 *388:9 0.00106361
5 *3039:A2 *3039:B1 7.50722e-05
6 *3039:A2 *3520:A 1.03403e-05
7 *3039:A2 *402:87 0.000789099
8 *388:9 *3214:B 0.000101148
9 *388:9 *389:8 0.000330596
10 *388:9 *402:87 0.000228593
11 *388:9 *759:7 0.000428134
12 *388:9 *759:15 0.000118166
13 *3007:A *388:9 0.000130399
14 *3384:D *3039:A2 0
15 *265:8 *388:9 0.000115615
16 *356:8 *3039:A2 0
*RES
1 *3034:Y *388:9 35.7788
2 *388:9 *3035:B1 9.82786
3 *388:9 *3039:A2 33.603
*END
*D_NET *389 0.00593259
*CONN
*I *3055:C I *D sky130_fd_sc_hd__and4_1
*I *3061:A1 I *D sky130_fd_sc_hd__a31o_1
*I *3067:B I *D sky130_fd_sc_hd__and3_1
*I *3036:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *3035:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *3055:C 9.93047e-05
2 *3061:A1 2.73076e-05
3 *3067:B 0.000544195
4 *3036:A 0.000124907
5 *3035:X 0.000492795
6 *389:32 0.000240779
7 *389:17 0.000788671
8 *389:8 0.000748012
9 *3036:A *390:7 0.000111708
10 *3055:C *3057:A2 0.000139435
11 *3055:C *3060:C1 0.000113968
12 *3055:C *657:28 5.65074e-05
13 *3061:A1 *3061:A3 0.000107496
14 *3061:A1 *3061:B1 6.50727e-05
15 *3061:A1 *411:7 1.65872e-05
16 *3067:B *3052:C 0.000366603
17 *3067:B *3069:B 7.50872e-05
18 *3067:B *390:18 2.77625e-06
19 *3067:B *396:20 6.08467e-05
20 *3067:B *396:24 0.000113968
21 *3067:B *403:33 0.000211464
22 *3067:B *680:18 3.00073e-05
23 *389:8 *3053:A 0.000101743
24 *389:8 *3368:CLK 0
25 *389:8 *657:28 0.000238552
26 *389:17 *2921:B 0
27 *389:17 *3053:A 2.7961e-05
28 *389:17 *3057:A2 3.20069e-06
29 *389:17 *657:28 0.000224814
30 *389:32 *3057:A2 4.66386e-05
31 *389:32 *657:28 0.000130808
32 *2922:D *3036:A 4.801e-05
33 *3055:B *3055:C 6.50586e-05
34 *3151:A1 *389:8 5.7995e-05
35 *304:14 *3036:A 0.00011971
36 *304:14 *389:8 0
37 *388:9 *389:8 0.000330596
*RES
1 *3035:X *389:8 26.5521
2 *389:8 *3036:A 17.8002
3 *389:8 *389:17 4.32351
4 *389:17 *3067:B 32.6537
5 *389:17 *389:32 3.3953
6 *389:32 *3061:A1 15.0271
7 *389:32 *3055:C 17.6896
*END
*D_NET *390 0.00372592
*CONN
*I *3049:B I *D sky130_fd_sc_hd__nand3_1
*I *3037:A I *D sky130_fd_sc_hd__clkbuf_2
*I *3063:A I *D sky130_fd_sc_hd__nand2_1
*I *3073:A2 I *D sky130_fd_sc_hd__a311o_1
*I *3048:A1 I *D sky130_fd_sc_hd__a21o_1
*I *3036:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *3049:B 5.02007e-05
2 *3037:A 0
3 *3063:A 8.657e-05
4 *3073:A2 0.000192578
5 *3048:A1 6.94879e-05
6 *3036:X 2.79079e-05
7 *390:20 0.000279148
8 *390:18 0.000145783
9 *390:17 0.000265321
10 *390:7 0.000166733
11 *3048:A1 *3048:A2 0.000190042
12 *3048:A1 *657:28 0.000190042
13 *3049:B *3052:C 0.000144173
14 *3049:B *396:20 1.99131e-05
15 *3049:B *396:24 1.03403e-05
16 *3063:A *811:DIODE 3.31882e-05
17 *3063:A *403:38 0.000174175
18 *3073:A2 *811:DIODE 0.000123597
19 *3073:A2 *2922:A 7.50156e-05
20 *3073:A2 *3073:A1 1.09551e-05
21 *3073:A2 *3073:A3 0.000121183
22 *3073:A2 *3073:B1 2.75423e-05
23 *3073:A2 *417:13 5.51483e-06
24 *3073:A2 *727:13 3.14978e-05
25 *390:17 *3048:A2 0.000151758
26 *390:17 *657:28 0.000160384
27 *390:18 *811:DIODE 0.000217937
28 *390:18 *3052:C 0.000125508
29 *390:18 *391:10 0.000107496
30 *390:18 *396:24 1.03403e-05
31 *2922:D *390:7 4.31539e-05
32 *3036:A *390:7 0.000111708
33 *3067:B *390:18 2.77625e-06
34 *3073:C1 *3073:A2 0.000107496
35 *119:41 *3063:A 9.45719e-05
36 *119:41 *3073:A2 6.50727e-05
37 *369:6 *3063:A 3.14055e-05
38 *369:6 *3073:A2 5.54078e-05
*RES
1 *3036:X *390:7 15.0271
2 *390:7 *3048:A1 17.2421
3 *390:7 *390:17 7.57775
4 *390:17 *390:18 4.60562
5 *390:18 *390:20 4.5
6 *390:20 *3073:A2 20.7949
7 *390:20 *3063:A 18.0727
8 *390:18 *3037:A 9.24915
9 *390:17 *3049:B 11.0817
*END
*D_NET *391 0.00738495
*CONN
*I *3059:A I *D sky130_fd_sc_hd__nand3_1
*I *3047:A2 I *D sky130_fd_sc_hd__o211a_1
*I *3038:B I *D sky130_fd_sc_hd__nand2_1
*I *3044:B1 I *D sky130_fd_sc_hd__o31ai_1
*I *3070:A I *D sky130_fd_sc_hd__nand2_1
*I *3037:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3059:A 0.000859597
2 *3047:A2 0.000207822
3 *3038:B 0.000214553
4 *3044:B1 2.06324e-05
5 *3070:A 0
6 *3037:X 0.000232968
7 *391:41 0.00119649
8 *391:31 0.000385706
9 *391:21 0.000453776
10 *391:10 0.000624027
11 *3038:B *3044:A1 0
12 *3038:B *721:8 4.19662e-05
13 *3047:A2 *2921:A 0.000111802
14 *3047:A2 *3047:B1 2.30934e-05
15 *3059:A *809:DIODE 0
16 *3059:A *2921:A 9.65752e-06
17 *3059:A *3041:A 0.000212491
18 *3059:A *3044:A3 1.85012e-05
19 *3059:A *3047:B1 1.13102e-05
20 *3059:A *3047:C1 2.19079e-05
21 *3059:A *3388:CLK 6.73022e-05
22 *3059:A *396:8 3.51113e-05
23 *3059:A *722:12 0
24 *3059:A *722:19 0
25 *3059:A *723:10 0.000157467
26 *391:10 *811:DIODE 7.77309e-06
27 *391:10 *3048:A2 0
28 *391:10 *3052:C 1.77537e-06
29 *391:10 *402:102 2.82537e-05
30 *391:10 *417:13 0.000116971
31 *391:21 *3044:A3 6.92705e-05
32 *391:21 *3070:B 1.64789e-05
33 *391:21 *3520:A 0.000215657
34 *391:21 *657:5 3.58044e-05
35 *391:21 *657:11 0.000139764
36 *391:31 *3520:A 5.0715e-05
37 *391:41 *2921:A 3.36001e-05
38 *391:41 *3044:A3 3.09155e-05
39 *3048:B1 *391:21 0.000266846
40 *3388:D *3059:A 0.000106635
41 *119:41 *391:10 0.000123582
42 *294:13 *391:21 0.000482231
43 *297:18 *3038:B 0.000368656
44 *297:18 *391:41 0
45 *304:14 *3047:A2 9.65246e-06
46 *304:14 *391:10 0.000266696
47 *390:18 *391:10 0.000107496
*RES
1 *3037:X *391:10 25.5117
2 *391:10 *3070:A 9.24915
3 *391:10 *391:21 15.2154
4 *391:21 *3044:B1 9.82786
5 *391:21 *391:31 5.778
6 *391:31 *3038:B 20.4627
7 *391:31 *391:41 3.07775
8 *391:41 *3047:A2 17.7399
9 *391:41 *3059:A 35.1628
*END
*D_NET *392 0.000439642
*CONN
*I *3039:B1 I *D sky130_fd_sc_hd__o211a_1
*I *3038:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *3039:B1 0.000120313
2 *3038:Y 0.000120313
3 *3039:A1 *3039:B1 0.000119004
4 *3039:A2 *3039:B1 7.50722e-05
5 *3039:C1 *3039:B1 4.94e-06
*RES
1 *3038:Y *3039:B1 30.6493
*END
*D_NET *393 0.00867086
*CONN
*I *3044:A1 I *D sky130_fd_sc_hd__o31ai_1
*I *3141:A1 I *D sky130_fd_sc_hd__a21o_1
*I *3143:B2 I *D sky130_fd_sc_hd__a22o_1
*I *3147:A1 I *D sky130_fd_sc_hd__a32o_1
*I *3150:A1 I *D sky130_fd_sc_hd__a32o_1
*I *3040:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3044:A1 0.000987001
2 *3141:A1 0.000173656
3 *3143:B2 0.00012899
4 *3147:A1 0
5 *3150:A1 0.000307279
6 *3040:X 0.000106127
7 *393:46 0.00138923
8 *393:35 0.000532733
9 *393:17 0.000555354
10 *393:7 0.00052937
11 *3044:A1 *3368:CLK 0
12 *3044:A1 *657:66 0
13 *3044:A1 *683:5 4.66492e-05
14 *3141:A1 *683:5 0.000260374
15 *3150:A1 *2895:C 0.000330292
16 *3150:A1 *743:22 4.86511e-05
17 *393:17 *3144:B 0.000175485
18 *393:17 *3145:B 0.000383717
19 *393:17 *3146:C1 1.07248e-05
20 *393:17 *3147:A3 0.000351287
21 *393:17 *3147:B1 9.65701e-05
22 *393:17 *649:70 9.75356e-05
23 *393:35 *3146:C1 0.000111358
24 *393:35 *649:9 0
25 *393:35 *649:16 0.000149628
26 *393:35 *649:70 0.000186445
27 *393:46 *649:16 0.000371965
28 *393:46 *649:30 5.41227e-05
29 *393:46 *683:21 2.95757e-05
30 *3035:A1 *3044:A1 6.81008e-05
31 *3035:A3 *3044:A1 0
32 *3038:B *3044:A1 0
33 *3040:A *393:17 4.33655e-05
34 *3141:B1 *3044:A1 2.16809e-06
35 *3145:A *393:17 0.000175485
36 *3145:C *393:7 0.000164829
37 *3150:A2 *3150:A1 0.000221963
38 *3367:D *3044:A1 0.000219502
39 *3368:D *3044:A1 3.88002e-05
40 *265:10 *393:35 2.65145e-05
41 *265:14 *393:17 2.47808e-05
42 *268:34 *3044:A1 4.92784e-05
43 *268:34 *393:35 0
44 *268:34 *393:46 0
45 *268:49 *3044:A1 2.79236e-05
46 *297:18 *3044:A1 6.66538e-05
47 *314:55 *3044:A1 0
48 *321:11 *3143:B2 7.89747e-05
49 *321:11 *393:17 4.84029e-05
*RES
1 *3040:X *393:7 15.5817
2 *393:7 *393:17 17.8099
3 *393:17 *3150:A1 28.4595
4 *393:17 *3147:A1 9.24915
5 *393:7 *393:35 5.98452
6 *393:35 *3143:B2 16.691
7 *393:35 *393:46 12.1455
8 *393:46 *3141:A1 12.191
9 *393:46 *3044:A1 40.0539
*END
*D_NET *394 0.000419799
*CONN
*I *3044:A2 I *D sky130_fd_sc_hd__o31ai_1
*I *3041:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *3044:A2 9.01221e-05
2 *3041:Y 9.01221e-05
3 *3044:A2 *3044:A3 7.50872e-05
4 *294:13 *3044:A2 8.938e-05
5 *297:18 *3044:A2 7.50872e-05
*RES
1 *3041:Y *3044:A2 29.9157
*END
*D_NET *395 0.00267483
*CONN
*I *3043:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *3062:B I *D sky130_fd_sc_hd__and3_1
*I *3055:D I *D sky130_fd_sc_hd__and4_1
*I *3042:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *3043:A 0
2 *3062:B 0
3 *3055:D 0.000368279
4 *3042:X 0.000190508
5 *395:13 0.000517013
6 *395:10 0.000339241
7 *3055:D *3059:B 6.81008e-05
8 *3055:D *396:36 4.75345e-05
9 *3055:D *411:7 0.000307037
10 *3055:D *657:28 0.000190057
11 *3055:D *724:19 1.5613e-05
12 *395:10 *396:8 5.19205e-05
13 *395:10 *396:20 0.000118166
14 *395:13 *724:19 0.000125395
15 *395:13 *724:34 0.000314433
16 *3055:B *395:10 0
17 *300:30 *395:10 2.15348e-05
*RES
1 *3042:X *395:10 22.329
2 *395:10 *395:13 5.59426
3 *395:13 *3055:D 26.3478
4 *395:13 *3062:B 9.24915
5 *395:10 *3043:A 9.24915
*END
*D_NET *396 0.0065164
*CONN
*I *3049:C I *D sky130_fd_sc_hd__nand3_1
*I *3059:B I *D sky130_fd_sc_hd__nand3_1
*I *3061:A2 I *D sky130_fd_sc_hd__a31o_1
*I *3048:A2 I *D sky130_fd_sc_hd__a21o_1
*I *3044:A3 I *D sky130_fd_sc_hd__o31ai_1
*I *3043:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *3049:C 3.5247e-05
2 *3059:B 0.000471537
3 *3061:A2 3.85533e-05
4 *3048:A2 0.000201242
5 *3044:A3 0.000251499
6 *3043:X 0.000112499
7 *396:36 0.000645972
8 *396:24 0.00037816
9 *396:20 0.000281276
10 *396:8 0.000568991
11 *3044:A3 *3041:A 9.14057e-05
12 *3044:A3 *3520:A 6.50586e-05
13 *3048:A2 *3052:C 0.000102632
14 *3048:A2 *657:28 3.31736e-05
15 *3059:B *2919:B 0.000127179
16 *3059:B *3064:B 0.000195139
17 *3059:B *3388:CLK 0.000197119
18 *3059:B *407:81 0.000119483
19 *3059:B *657:28 2.14422e-05
20 *3061:A2 *3061:A3 2.16355e-05
21 *3061:A2 *411:7 0.000111708
22 *396:8 *3041:A 3.20069e-06
23 *396:8 *724:34 6.50727e-05
24 *396:20 *3052:B 0.000321905
25 *396:20 *3052:C 7.02172e-06
26 *396:36 *657:28 3.25539e-05
27 *3042:B *3044:A3 7.2401e-05
28 *3044:A2 *3044:A3 7.50872e-05
29 *3048:A1 *3048:A2 0.000190042
30 *3049:A *3049:C 0
31 *3049:A *396:20 6.22539e-05
32 *3049:B *396:20 1.99131e-05
33 *3049:B *396:24 1.03403e-05
34 *3055:D *3059:B 6.81008e-05
35 *3055:D *396:36 4.75345e-05
36 *3059:A *3044:A3 1.85012e-05
37 *3059:A *396:8 3.51113e-05
38 *3067:B *396:20 6.08467e-05
39 *3067:B *396:24 0.000113968
40 *3364:D *3059:B 1.55462e-05
41 *3387:D *3059:B 6.50586e-05
42 *119:41 *3059:B 0
43 *119:41 *396:36 0
44 *119:51 *3059:B 9.2346e-06
45 *297:18 *3044:A3 5.64929e-05
46 *300:30 *396:20 0.000591935
47 *304:14 *3048:A2 7.0957e-05
48 *369:6 *3059:B 0
49 *390:17 *3048:A2 0.000151758
50 *390:18 *396:24 1.03403e-05
51 *391:10 *3048:A2 0
52 *391:21 *3044:A3 6.92705e-05
53 *391:41 *3044:A3 3.09155e-05
54 *395:10 *396:8 5.19205e-05
55 *395:10 *396:20 0.000118166
*RES
1 *3043:X *396:8 17.135
2 *396:8 *3044:A3 22.1181
3 *396:8 *396:20 14.0971
4 *396:20 *396:24 5.778
5 *396:24 *3048:A2 21.3947
6 *396:24 *396:36 3.56628
7 *396:36 *3061:A2 15.0271
8 *396:36 *3059:B 28.2187
9 *396:20 *3049:C 10.2378
*END
*D_NET *397 0.0013007
*CONN
*I *3047:B1 I *D sky130_fd_sc_hd__o211a_1
*I *3044:Y O *D sky130_fd_sc_hd__o31ai_1
*CAP
1 *3047:B1 0.000396211
2 *3044:Y 0.000396211
3 *3047:B1 *2921:A 9.22109e-05
4 *3047:B1 *3047:A1 2.1203e-06
5 *3047:B1 *3047:C1 3.5534e-06
6 *3039:C1 *3047:B1 2.3339e-05
7 *3047:A2 *3047:B1 2.30934e-05
8 *3059:A *3047:B1 1.13102e-05
9 *294:13 *3047:B1 0.00031414
10 *304:14 *3047:B1 3.85152e-05
*RES
1 *3044:Y *3047:B1 35.6439
*END
*D_NET *398 0.00252625
*CONN
*I *3288:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *3240:A I *D sky130_fd_sc_hd__clkbuf_2
*I *3046:A I *D sky130_fd_sc_hd__buf_2
*I *3123:A I *D sky130_fd_sc_hd__clkbuf_2
*I *3227:A I *D sky130_fd_sc_hd__clkbuf_2
*I *3045:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3288:A 4.89476e-05
2 *3240:A 7.20126e-05
3 *3046:A 0
4 *3123:A 1.26553e-05
5 *3227:A 0.000173539
6 *3045:X 5.2672e-05
7 *398:16 0.000299851
8 *398:14 0.000212373
9 *398:13 0.000319242
10 *398:8 0.000250132
11 *3123:A *3353:A 2.65667e-05
12 *3123:A *693:35 6.50586e-05
13 *3227:A *3225:C1 4.32488e-05
14 *3227:A *464:28 3.67528e-06
15 *3240:A *399:9 1.58588e-05
16 *3240:A *424:11 4.28184e-05
17 *3288:A *815:DIODE 5.73392e-05
18 *3288:A *3133:A 5.97576e-05
19 *398:8 *3133:A 2.77564e-05
20 *398:8 *407:12 1.37385e-05
21 *398:8 *640:150 6.7034e-05
22 *398:13 *815:DIODE 0.000457669
23 *398:13 *3133:A 1.41291e-05
24 *398:14 *3098:A 1.32509e-05
25 *398:14 *399:9 3.59283e-05
26 *398:14 *424:11 7.09666e-06
27 *398:14 *573:11 6.17551e-05
28 *398:16 *464:28 3.60501e-05
29 *398:16 *573:11 1.44611e-05
30 *3045:A *398:13 2.16355e-05
31 *155:10 *3227:A 0
32 *155:10 *398:14 0
33 *155:10 *398:16 0
*RES
1 *3045:X *398:8 19.6659
2 *398:8 *398:13 10.2389
3 *398:13 *398:14 3.07775
4 *398:14 *398:16 3.07775
5 *398:16 *3227:A 17.6574
6 *398:16 *3123:A 14.4725
7 *398:14 *3046:A 13.7491
8 *398:13 *3240:A 16.0286
9 *398:8 *3288:A 11.0817
*END
*D_NET *399 0.0235486
*CONN
*I *3113:C1 I *D sky130_fd_sc_hd__o211a_1
*I *824:DIODE I *D sky130_fd_sc_hd__diode_2
*I *825:DIODE I *D sky130_fd_sc_hd__diode_2
*I *822:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3118:C1 I *D sky130_fd_sc_hd__o211a_1
*I *823:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3108:C1 I *D sky130_fd_sc_hd__o211a_1
*I *3101:C1 I *D sky130_fd_sc_hd__o211a_1
*I *807:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3047:C1 I *D sky130_fd_sc_hd__o211a_1
*I *3046:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *3113:C1 0
2 *824:DIODE 0.000483004
3 *825:DIODE 3.63633e-05
4 *822:DIODE 1.71094e-05
5 *3118:C1 0
6 *823:DIODE 0.000158687
7 *3108:C1 5.85904e-05
8 *3101:C1 0
9 *807:DIODE 0
10 *3047:C1 0.000520843
11 *3046:X 0.000511193
12 *399:123 0.000830156
13 *399:101 4.30756e-05
14 *399:72 0.000453558
15 *399:47 0.00114923
16 *399:46 0.00195045
17 *399:36 0.0014204
18 *399:32 0.000378044
19 *399:27 0.000598044
20 *399:24 0.00140994
21 *399:9 0.00170327
22 *822:DIODE *3118:B1 0.00016553
23 *823:DIODE *3106:B2 6.78549e-05
24 *823:DIODE *426:51 7.77309e-06
25 *823:DIODE *684:68 2.17228e-05
26 *823:DIODE *692:32 3.77659e-05
27 *824:DIODE *3113:B1 0
28 *824:DIODE *3122:A 0.000258128
29 *824:DIODE *3122:B 9.04224e-05
30 *824:DIODE *3124:B1 8.11294e-06
31 *824:DIODE *426:18 0.000139764
32 *824:DIODE *426:43 2.52287e-06
33 *824:DIODE *454:7 3.3298e-05
34 *825:DIODE *3124:A2 6.08467e-05
35 *3047:C1 *2921:A 6.08503e-05
36 *3108:C1 *3108:A2 4.48869e-05
37 *3108:C1 *3108:B1 6.08467e-05
38 *3108:C1 *3111:B1 7.92757e-06
39 *3108:C1 *426:51 4.29635e-05
40 *3108:C1 *692:32 1.55025e-05
41 *399:9 *3098:A 0.000300376
42 *399:9 *407:12 0.000111496
43 *399:9 *424:11 8.28675e-06
44 *399:9 *444:7 1.00846e-05
45 *399:9 *444:40 1.03403e-05
46 *399:9 *548:9 0.000675079
47 *399:24 *2902:B 0.000102682
48 *399:24 *3101:B1 0.00125598
49 *399:24 *3127:B2 4.49637e-05
50 *399:24 *3450:CLK 0.000168313
51 *399:24 *444:7 6.43174e-05
52 *399:24 *548:41 0.000117018
53 *399:24 *665:7 2.57847e-05
54 *399:27 *3118:B1 0.000416878
55 *399:27 *3124:A2 0.000113968
56 *399:27 *459:15 1.41689e-05
57 *399:36 *3118:A2 3.65419e-06
58 *399:46 *3093:A 0.00042113
59 *399:46 *3097:A2 1.91391e-05
60 *399:46 *3323:A 0
61 *399:46 *637:22 5.62164e-05
62 *399:46 *638:26 2.62943e-05
63 *399:46 *640:54 0.000127949
64 *399:46 *664:13 0
65 *399:46 *689:46 0
66 *399:46 *691:12 0.00112739
67 *399:46 *729:8 0
68 *399:46 *748:27 0
69 *399:47 *724:34 0.000790361
70 *399:72 *3108:B1 0.000122083
71 *399:72 *3111:B2 0
72 *399:72 *3118:A2 0.000150366
73 *399:72 *426:51 2.36813e-05
74 *399:72 *684:68 4.36187e-05
75 *399:72 *692:32 5.22654e-06
76 *399:101 *3118:B1 6.08467e-05
77 *399:123 *3100:A 5.56367e-05
78 *399:123 *3113:B1 1.77537e-06
79 *399:123 *3122:B 0
80 *399:123 *3281:A 0.000323403
81 *399:123 *3286:C1 4.01437e-05
82 *399:123 *407:12 0
83 *399:123 *454:7 2.65831e-05
84 *399:123 *548:9 0.000164017
85 *399:123 *548:12 5.66868e-06
86 *800:DIODE *399:24 0.000454058
87 *2906:S *399:24 6.50727e-05
88 *2910:A1 *399:24 0.000169041
89 *2915:B2 *399:46 0.000423989
90 *2915:C1 *399:46 0
91 *2920:A1 *399:46 5.01668e-05
92 *2933:A2 *399:46 0.000371545
93 *3047:B1 *3047:C1 3.5534e-06
94 *3058:C *3047:C1 5.64929e-05
95 *3059:A *3047:C1 2.19079e-05
96 *3153:B *399:24 0.000616991
97 *3240:A *399:9 1.58588e-05
98 *3385:D *3047:C1 0.000101133
99 *3397:D *824:DIODE 0
100 *3450:D *399:24 0.0002209
101 *3465:D *399:46 3.59283e-05
102 *148:20 *399:46 7.93002e-06
103 *150:7 *824:DIODE 0.0002817
104 *151:18 *399:46 2.18346e-05
105 *155:10 *399:9 4.37999e-05
106 *282:108 *399:24 0.000111708
107 *296:57 *399:36 9.70351e-05
108 *296:57 *399:46 0.000110891
109 *296:57 *399:72 5.26268e-05
110 *300:23 *3047:C1 5.04734e-05
111 *304:14 *3047:C1 7.57081e-06
112 *322:42 *399:36 2.29042e-05
113 *322:42 *399:46 5.21758e-06
114 *322:42 *399:72 9.99861e-05
115 *322:42 *399:101 6.61114e-05
116 *355:39 *399:46 4.27003e-05
117 *356:8 *3047:C1 0
118 *398:14 *399:9 3.59283e-05
*RES
1 *3046:X *399:9 35.4777
2 *399:9 *399:24 43.4143
3 *399:24 *399:27 13.5424
4 *399:27 *399:32 0.732798
5 *399:32 *399:36 2.77242
6 *399:36 *399:46 40.9046
7 *399:46 *399:47 10.7063
8 *399:47 *3047:C1 31.3888
9 *399:47 *807:DIODE 9.24915
10 *399:36 *3101:C1 13.7491
11 *399:32 *399:72 8.68366
12 *399:72 *3108:C1 16.1846
13 *399:72 *823:DIODE 18.0388
14 *399:27 *399:101 6.43251
15 *399:101 *3118:C1 9.24915
16 *399:101 *822:DIODE 11.0817
17 *399:24 *825:DIODE 9.97254
18 *399:9 *399:123 19.4452
19 *399:123 *824:DIODE 30.8356
20 *399:123 *3113:C1 9.24915
*END
*D_NET *400 0.00146795
*CONN
*I *3052:A I *D sky130_fd_sc_hd__and3_1
*I *3048:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *3052:A 0.000519907
2 *3048:X 0.000519907
3 *3042:B *3052:A 0
4 *3048:B1 *3052:A 0
5 *294:13 *3052:A 0.000428134
6 *297:18 *3052:A 0
*RES
1 *3048:X *3052:A 37.2579
*END
*D_NET *401 0.00195194
*CONN
*I *3052:B I *D sky130_fd_sc_hd__and3_1
*I *3057:A2 I *D sky130_fd_sc_hd__a2111oi_1
*I *3049:Y O *D sky130_fd_sc_hd__nand3_1
*CAP
1 *3052:B 0.00019727
2 *3057:A2 0.000267402
3 *3049:Y 0
4 *401:4 0.000464672
5 *3057:A2 *2921:B 3.88655e-05
6 *3057:A2 *3057:A1 1.07248e-05
7 *3057:A2 *3057:B1 5.04879e-05
8 *3057:A2 *657:28 1.68281e-05
9 *3057:A2 *657:40 5.68225e-06
10 *3057:A2 *724:10 0.000388826
11 *3055:C *3057:A2 0.000139435
12 *389:17 *3057:A2 3.20069e-06
13 *389:32 *3057:A2 4.66386e-05
14 *396:20 *3052:B 0.000321905
*RES
1 *3049:Y *401:4 9.24915
2 *401:4 *3057:A2 27.5557
3 *401:4 *3052:B 12.7456
*END
*D_NET *402 0.0203454
*CONN
*I *832:DIODE I *D sky130_fd_sc_hd__diode_2
*I *808:DIODE I *D sky130_fd_sc_hd__diode_2
*I *811:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3073:B1 I *D sky130_fd_sc_hd__a311o_1
*I *3051:A I *D sky130_fd_sc_hd__nor2_2
*I *834:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3196:B1 I *D sky130_fd_sc_hd__a21o_1
*I *835:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3205:A I *D sky130_fd_sc_hd__clkbuf_2
*I *3182:A I *D sky130_fd_sc_hd__clkbuf_2
*I *3050:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *832:DIODE 0
2 *808:DIODE 0
3 *811:DIODE 0.000115375
4 *3073:B1 7.38668e-05
5 *3051:A 0.00022588
6 *834:DIODE 1.68076e-05
7 *3196:B1 0.000342237
8 *835:DIODE 4.51842e-05
9 *3205:A 8.8275e-05
10 *3182:A 0
11 *3050:X 0.000496323
12 *402:102 0.000388477
13 *402:89 0.00072896
14 *402:87 0.00188012
15 *402:79 0.00314564
16 *402:41 0.000670657
17 *402:32 0.000459448
18 *402:20 0.000588745
19 *402:13 0.0020737
20 *402:11 0.000602839
21 *811:DIODE *3052:C 9.14669e-05
22 *3051:A *3034:A 3.58044e-05
23 *3051:A *3214:B 1.44467e-05
24 *3051:A *403:7 0.000164843
25 *3051:A *403:21 2.22342e-05
26 *3073:B1 *3073:A3 0
27 *3073:B1 *417:13 0.000253916
28 *3196:B1 *2852:A 0
29 *3196:B1 *3196:A1 0.000113968
30 *3196:B1 *3196:A2 0.000202342
31 *3196:B1 *709:12 0
32 *3205:A *792:DIODE 5.0715e-05
33 *3205:A *2900:B 2.652e-05
34 *402:11 *3333:A 9.2346e-06
35 *402:11 *3339:A 4.58003e-05
36 *402:11 *3467:CLK 0.000250829
37 *402:11 *661:25 0.000111358
38 *402:11 *708:22 5.64929e-05
39 *402:20 *869:DIODE 0.000144531
40 *402:20 *2900:B 3.20069e-06
41 *402:20 *683:44 0.000252342
42 *402:41 *2900:B 0
43 *402:41 *709:12 0
44 *402:41 *786:12 0
45 *402:79 *2923:A 4.77678e-05
46 *402:79 *2982:B1 3.16019e-05
47 *402:79 *508:7 0.000127271
48 *402:87 *3368:CLK 7.14746e-05
49 *402:87 *3386:CLK 1.03403e-05
50 *402:89 *3034:A 4.58003e-05
51 *402:102 *417:13 0.000116971
52 *402:102 *759:29 3.49417e-05
53 *2886:C *3196:B1 0
54 *2926:A *402:79 0.000354236
55 *2926:B *402:79 5.07314e-05
56 *2931:A1 *402:79 0.000111722
57 *2931:A2 *402:79 6.50586e-05
58 *2960:A *402:79 0.000287946
59 *2967:A_N *402:79 0.000222149
60 *2972:A *402:79 1.13647e-05
61 *2972:A *402:87 1.20686e-05
62 *2974:A *402:79 0.000183171
63 *2982:A2 *402:79 0.000459929
64 *3039:A2 *402:87 0.000789099
65 *3063:A *811:DIODE 3.31882e-05
66 *3073:A2 *811:DIODE 0.000123597
67 *3073:A2 *3073:B1 2.75423e-05
68 *3073:C1 *3073:B1 0.000107496
69 *3151:A1 *402:89 1.05374e-05
70 *3151:A1 *402:102 0
71 *3180:C *3205:A 0.000217951
72 *3192:A2 *834:DIODE 4.88955e-05
73 *3194:D *834:DIODE 5.0715e-05
74 *3197:A1 *3196:B1 4.31234e-05
75 *3200:C *3196:B1 6.50727e-05
76 *3386:D *402:87 7.97098e-06
77 *3469:D *402:11 7.0954e-05
78 *119:14 *402:102 7.65861e-05
79 *119:29 *811:DIODE 7.77309e-06
80 *119:29 *402:102 0.000341823
81 *119:41 *811:DIODE 0.000165481
82 *119:41 *3073:B1 9.97706e-05
83 *233:20 *3196:B1 1.82832e-05
84 *233:20 *402:41 3.89332e-06
85 *233:25 *3196:B1 3.41286e-05
86 *235:23 *3196:B1 0
87 *282:10 *402:11 0
88 *282:10 *402:20 0
89 *282:14 *402:20 0.000152761
90 *282:17 *835:DIODE 6.08467e-05
91 *282:17 *402:32 0.000152878
92 *296:11 *402:79 1.88014e-05
93 *300:8 *402:87 0.000212208
94 *304:14 *402:102 3.08133e-05
95 *329:8 *402:79 1.80122e-05
96 *333:9 *402:79 0.000171288
97 *335:10 *402:20 0
98 *335:10 *402:41 0.000562454
99 *335:24 *834:DIODE 6.92705e-05
100 *369:6 *811:DIODE 2.53624e-06
101 *369:6 *3051:A 3.00073e-05
102 *388:9 *402:87 0.000228593
103 *390:18 *811:DIODE 0.000217937
104 *391:10 *811:DIODE 7.77309e-06
105 *391:10 *402:102 2.82537e-05
*RES
1 *3050:X *402:11 31.7755
2 *402:11 *402:13 2.38721
3 *402:13 *3182:A 9.24915
4 *402:13 *402:20 16.7133
5 *402:20 *3205:A 17.5531
6 *402:20 *402:32 6.3326
7 *402:32 *835:DIODE 9.97254
8 *402:32 *402:41 15.0523
9 *402:41 *3196:B1 23.6303
10 *402:41 *834:DIODE 15.0271
11 *402:13 *402:79 39.0031
12 *402:79 *402:87 35.4342
13 *402:87 *402:89 4.60562
14 *402:89 *3051:A 22.6077
15 *402:89 *402:102 12.1455
16 *402:102 *3073:B1 17.2697
17 *402:102 *811:DIODE 19.6294
18 *402:87 *808:DIODE 9.24915
19 *402:11 *832:DIODE 9.24915
*END
*D_NET *403 0.00992091
*CONN
*I *3052:C I *D sky130_fd_sc_hd__and3_1
*I *3060:C1 I *D sky130_fd_sc_hd__o211a_1
*I *3064:A I *D sky130_fd_sc_hd__and3_1
*I *3071:C1 I *D sky130_fd_sc_hd__o211a_1
*I *3214:B I *D sky130_fd_sc_hd__and2b_1
*I *3051:Y O *D sky130_fd_sc_hd__nor2_2
*CAP
1 *3052:C 0.000443702
2 *3060:C1 0.000238249
3 *3064:A 4.89138e-05
4 *3071:C1 3.63143e-05
5 *3214:B 0.000473573
6 *3051:Y 6.23433e-05
7 *403:38 0.000420608
8 *403:33 0.000750046
9 *403:21 0.000673657
10 *403:7 0.00100036
11 *3052:C *3053:A 0.000158371
12 *3060:C1 *3055:A 0.000107496
13 *3060:C1 *3060:A2 0.00030153
14 *3060:C1 *406:5 0.000217951
15 *3060:C1 *408:7 5.04829e-06
16 *3064:A *3068:A2 6.08467e-06
17 *3064:A *412:9 6.08467e-05
18 *3071:C1 *3071:A2 0.000277488
19 *3071:C1 *726:19 0.000224381
20 *3214:B *3215:A 0.000267394
21 *403:7 *3034:A 0.000264614
22 *403:21 *2922:B 0.000280451
23 *403:21 *3034:B 1.28704e-05
24 *403:21 *3069:C 3.31733e-05
25 *403:21 *3070:B 0
26 *403:21 *416:8 0
27 *403:21 *680:18 0
28 *403:21 *726:10 0
29 *403:33 *3069:B 0.000111722
30 *403:33 *3069:C 5.05252e-05
31 *403:33 *3071:A2 0.000107496
32 *403:33 *416:8 9.18559e-06
33 *403:33 *726:10 0
34 *403:38 *412:9 7.50722e-05
35 *811:DIODE *3052:C 9.14669e-05
36 *2922:D *403:21 7.44269e-05
37 *3007:B *3214:B 9.63545e-05
38 *3048:A2 *3052:C 0.000102632
39 *3049:A *3052:C 0.000164815
40 *3049:B *3052:C 0.000144173
41 *3051:A *3214:B 1.44467e-05
42 *3051:A *403:7 0.000164843
43 *3051:A *403:21 2.22342e-05
44 *3055:B *3060:C1 2.81262e-05
45 *3055:C *3060:C1 0.000113968
46 *3063:A *403:38 0.000174175
47 *3067:B *3052:C 0.000366603
48 *3067:B *403:33 0.000211464
49 *3068:D1 *3060:C1 6.99486e-05
50 *119:14 *3214:B 0
51 *119:41 *3052:C 0
52 *119:41 *403:38 1.81988e-05
53 *119:51 *3060:C1 7.64392e-05
54 *300:30 *3052:C 6.1432e-05
55 *339:35 *3214:B 0.000639214
56 *369:6 *3214:B 0
57 *369:6 *403:21 0
58 *369:6 *403:38 0.000331029
59 *388:9 *3214:B 0.000101148
60 *390:18 *3052:C 0.000125508
61 *391:10 *3052:C 1.77537e-06
62 *396:20 *3052:C 7.02172e-06
*RES
1 *3051:Y *403:7 16.691
2 *403:7 *3214:B 29.052
3 *403:7 *403:21 12.5797
4 *403:21 *3071:C1 16.691
5 *403:21 *403:33 10.1071
6 *403:33 *403:38 14.9845
7 *403:38 *3064:A 11.1059
8 *403:38 *3060:C1 18.8462
9 *403:33 *3052:C 31.5108
*END
*D_NET *404 0.0013313
*CONN
*I *3053:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3052:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *3053:A 0.000390894
2 *3052:X 0.000390894
3 *3053:A *2921:B 0.000148114
4 *3053:A *3368:CLK 3.67528e-06
5 *3053:A *657:66 4.10825e-05
6 *3048:B1 *3053:A 6.85662e-05
7 *3052:C *3053:A 0.000158371
8 *389:8 *3053:A 0.000101743
9 *389:17 *3053:A 2.7961e-05
*RES
1 *3052:X *3053:A 38.2222
*END
*D_NET *405 0.000741552
*CONN
*I *3057:A1 I *D sky130_fd_sc_hd__a2111oi_1
*I *3054:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *3057:A1 0.000308154
2 *3054:Y 0.000308154
3 *3057:A1 *657:40 5.39608e-05
4 *3057:A1 *724:10 6.05594e-05
5 *3057:A2 *3057:A1 1.07248e-05
*RES
1 *3054:Y *3057:A1 33.242
*END
*D_NET *406 0.00150427
*CONN
*I *3060:A2 I *D sky130_fd_sc_hd__o211a_1
*I *3057:B1 I *D sky130_fd_sc_hd__a2111oi_1
*I *3055:X O *D sky130_fd_sc_hd__and4_1
*CAP
1 *3060:A2 0.000110222
2 *3057:B1 5.66894e-05
3 *3055:X 3.63341e-05
4 *406:5 0.000203246
5 *3057:B1 *657:28 0.000122098
6 *3060:A2 *2919:B 0.00020053
7 *3060:A2 *3060:A1 1.09551e-05
8 *3060:A2 *408:7 4.02438e-05
9 *406:5 *2919:B 3.58044e-05
10 *3057:A2 *3057:B1 5.04879e-05
11 *3060:C1 *3060:A2 0.00030153
12 *3060:C1 *406:5 0.000217951
13 *119:51 *406:5 0.00011818
*RES
1 *3055:X *406:5 11.6364
2 *406:5 *3057:B1 20.4964
3 *406:5 *3060:A2 14.9881
*END
*D_NET *407 0.0318106
*CONN
*I *837:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3217:A I *D sky130_fd_sc_hd__or2_1
*I *850:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3246:A I *D sky130_fd_sc_hd__or2_1
*I *3275:A I *D sky130_fd_sc_hd__nor4_1
*I *3057:C1 I *D sky130_fd_sc_hd__a2111oi_1
*I *810:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3068:C1 I *D sky130_fd_sc_hd__a2111oi_1
*I *809:DIODE I *D sky130_fd_sc_hd__diode_2
*I *859:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3056:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *837:DIODE 6.90146e-05
2 *3217:A 3.31527e-05
3 *850:DIODE 0
4 *3246:A 0
5 *3275:A 0
6 *3057:C1 1.76235e-05
7 *810:DIODE 0
8 *3068:C1 0.000132591
9 *809:DIODE 0.000113895
10 *859:DIODE 0.000134716
11 *3056:X 0
12 *407:123 0.00147399
13 *407:81 0.000543337
14 *407:71 0.000687122
15 *407:58 0.00200432
16 *407:43 0.00302759
17 *407:30 0.00210959
18 *407:20 0.00189391
19 *407:15 0.00124768
20 *407:12 0.00194488
21 *407:4 0.00311455
22 *809:DIODE *722:12 0.000205644
23 *837:DIODE *3218:A 0.000113968
24 *859:DIODE *2952:A 0.000207266
25 *859:DIODE *640:54 4.20662e-05
26 *859:DIODE *724:34 0.000125972
27 *3068:C1 *3065:A 0
28 *3068:C1 *3068:A1 5.39608e-05
29 *3068:C1 *680:18 0
30 *3068:C1 *725:9 1.43983e-05
31 *3217:A *660:11 2.22198e-05
32 *407:12 *870:DIODE 4.52978e-05
33 *407:12 *3056:A 5.0715e-05
34 *407:12 *3122:B 9.55672e-05
35 *407:12 *3124:C1 5.47392e-05
36 *407:12 *3133:A 6.02661e-05
37 *407:12 *3134:B1 0
38 *407:12 *3334:S 0.000115632
39 *407:12 *3335:A 0.000106952
40 *407:12 *3350:A 1.56202e-05
41 *407:12 *3350:B 0.000127447
42 *407:12 *444:40 0.000218456
43 *407:12 *464:37 0.000163997
44 *407:12 *548:9 0
45 *407:12 *640:150 0.00012371
46 *407:12 *688:25 9.14505e-05
47 *407:15 *459:15 1.22938e-05
48 *407:15 *548:9 7.92757e-06
49 *407:20 *2843:B1 0
50 *407:20 *3282:A1 0.000527577
51 *407:20 *3282:A2 1.57187e-05
52 *407:20 *3450:CLK 0
53 *407:20 *459:15 7.75049e-06
54 *407:20 *548:9 3.20011e-05
55 *407:20 *682:41 0.000533009
56 *407:30 *798:DIODE 9.60366e-05
57 *407:30 *820:DIODE 0.000165495
58 *407:30 *2903:A 2.65831e-05
59 *407:30 *3242:D 4.0752e-05
60 *407:30 *3450:CLK 0
61 *407:30 *682:27 8.65147e-05
62 *407:30 *683:78 0
63 *407:30 *691:12 3.03437e-05
64 *407:30 *691:14 2.18741e-05
65 *407:30 *691:16 4.54835e-05
66 *407:30 *691:18 6.98648e-06
67 *407:30 *691:40 0.000307037
68 *407:30 *692:97 0.000307037
69 *407:30 *706:40 4.18989e-05
70 *407:43 *2915:A1 0.000561793
71 *407:43 *2919:A 0.000123353
72 *407:43 *3219:D 6.50586e-05
73 *407:43 *3237:B 0.000697954
74 *407:43 *3275:B 0.000180515
75 *407:43 *430:17 3.58044e-05
76 *407:43 *430:19 6.24819e-05
77 *407:43 *430:24 0.000103943
78 *407:43 *691:46 0.0002371
79 *407:58 *845:DIODE 2.44031e-06
80 *407:58 *2920:A2 4.52383e-05
81 *407:58 *640:54 6.57189e-05
82 *407:58 *724:34 1.87469e-05
83 *407:71 *3060:B1 6.50586e-05
84 *407:71 *3388:CLK 0.000672345
85 *407:81 *2919:B 0.00023726
86 *407:81 *3066:A 3.01683e-06
87 *407:81 *3068:A1 0.000172954
88 *407:81 *3388:CLK 1.41976e-05
89 *407:81 *725:9 4.89898e-06
90 *407:81 *725:38 7.02172e-06
91 *407:123 *2865:A0 7.86847e-05
92 *407:123 *2868:A0 0.000119353
93 *407:123 *2878:A0 0
94 *407:123 *3056:A 0.000105652
95 *407:123 *3218:A 0.000148144
96 *407:123 *3354:A 0.000120773
97 *407:123 *3430:CLK 0
98 *407:123 *660:6 9.20398e-05
99 *407:123 *660:11 0.000129166
100 *407:123 *660:59 8.29941e-05
101 *407:123 *761:30 0
102 *407:123 *762:6 3.14544e-05
103 *407:123 *777:11 8.8567e-05
104 *2865:A1 *407:123 0.000544811
105 *2866:A *407:123 0.000148929
106 *2870:A *407:123 0
107 *2872:A *407:123 0
108 *2880:A *407:123 0.000331059
109 *2905:A *407:30 0.00012541
110 *3045:A *407:12 0.00042698
111 *3059:A *809:DIODE 0
112 *3059:B *407:81 0.000119483
113 *3324:A *407:12 0.000139177
114 *3365:D *407:30 9.49135e-05
115 *3388:D *809:DIODE 8.59671e-05
116 *3390:D *3068:C1 4.01573e-05
117 *3424:D *407:123 6.80864e-05
118 *3428:D *407:123 0.000466964
119 *3431:D *3217:A 1.36556e-05
120 *3431:D *407:123 4.3116e-06
121 *155:10 *407:12 0.000248761
122 *240:7 *837:DIODE 0.000271044
123 *282:10 *407:30 0.000604413
124 *282:108 *407:30 0.000242151
125 *332:49 *407:43 0
126 *355:21 *407:43 0.00041971
127 *398:8 *407:12 1.37385e-05
128 *399:9 *407:12 0.000111496
129 *399:123 *407:12 0
*RES
1 *3056:X *407:4 9.24915
2 *407:4 *407:12 49.5084
3 *407:12 *407:15 7.44181
4 *407:15 *407:20 22.0297
5 *407:20 *407:30 41.4258
6 *407:30 *407:43 46.6388
7 *407:43 *859:DIODE 18.3836
8 *407:43 *407:58 13.1324
9 *407:58 *809:DIODE 17.4498
10 *407:58 *407:71 12.4574
11 *407:71 *407:81 12.0566
12 *407:81 *3068:C1 22.0503
13 *407:81 *810:DIODE 9.24915
14 *407:71 *3057:C1 9.82786
15 *407:30 *3275:A 9.24915
16 *407:20 *3246:A 13.7491
17 *407:15 *850:DIODE 9.24915
18 *407:4 *407:123 49.3579
19 *407:123 *3217:A 14.7506
20 *407:123 *837:DIODE 16.691
*END
*D_NET *408 0.00263358
*CONN
*I *3059:C I *D sky130_fd_sc_hd__nand3_1
*I *3062:C I *D sky130_fd_sc_hd__and3_1
*I *3061:A3 I *D sky130_fd_sc_hd__a31o_1
*I *3058:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *3059:C 0.000172888
2 *3062:C 0
3 *3061:A3 0.00021809
4 *3058:X 0.000330784
5 *408:11 0.000295246
6 *408:7 0.000580827
7 *3059:C *3055:A 7.77309e-06
8 *3059:C *3060:B1 5.8261e-05
9 *3059:C *722:12 0
10 *3059:C *722:19 0
11 *3059:C *724:10 5.56419e-05
12 *3061:A3 *3061:B1 3.07561e-05
13 *3061:A3 *411:7 5.51483e-06
14 *3061:A3 *411:19 0
15 *3061:A3 *722:19 9.12701e-05
16 *3061:A3 *724:19 0.000139899
17 *408:7 *2919:B 2.52287e-06
18 *408:7 *3060:A1 0.000328363
19 *408:11 *722:19 3.04234e-05
20 *408:11 *724:19 1.84721e-05
21 *3055:B *408:11 9.24241e-05
22 *3060:A2 *408:7 4.02438e-05
23 *3060:C1 *408:7 5.04829e-06
24 *3061:A1 *3061:A3 0.000107496
25 *3061:A2 *3061:A3 2.16355e-05
*RES
1 *3058:X *408:7 19.464
2 *408:7 *408:11 6.69369
3 *408:11 *3061:A3 14.7952
4 *408:11 *3062:C 9.24915
5 *408:7 *3059:C 18.4879
*END
*D_NET *409 0.000441578
*CONN
*I *3060:B1 I *D sky130_fd_sc_hd__o211a_1
*I *3059:Y O *D sky130_fd_sc_hd__nand3_1
*CAP
1 *3060:B1 0.00014799
2 *3059:Y 0.00014799
3 *3060:B1 *722:12 2.22788e-05
4 *3060:B1 *722:19 0
5 *3059:C *3060:B1 5.8261e-05
6 *407:71 *3060:B1 6.50586e-05
*RES
1 *3059:Y *3060:B1 30.8842
*END
*D_NET *410 0.0011448
*CONN
*I *3064:B I *D sky130_fd_sc_hd__and3_1
*I *3061:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *3064:B 0.000281116
2 *3061:X 0.000281116
3 *3059:B *3064:B 0.000195139
4 *3068:D1 *3064:B 0.000171273
5 *119:41 *3064:B 4.90829e-05
6 *119:51 *3064:B 0.000167076
7 *369:6 *3064:B 0
*RES
1 *3061:X *3064:B 34.4877
*END
*D_NET *411 0.00270766
*CONN
*I *3067:C I *D sky130_fd_sc_hd__and3_1
*I *3069:C I *D sky130_fd_sc_hd__and3_1
*I *3063:B I *D sky130_fd_sc_hd__nand2_1
*I *3062:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *3067:C 0
2 *3069:C 0.000304956
3 *3063:B 0
4 *3062:X 0.000244697
5 *411:19 0.00059863
6 *411:7 0.000538371
7 *3069:C *3068:B1 0.000126632
8 *3069:C *3071:A2 0.000124919
9 *3069:C *680:18 0
10 *3069:C *726:10 0
11 *411:7 *3061:B1 0.000164843
12 *411:7 *724:19 1.92172e-05
13 *411:19 *3067:A 6.08467e-05
14 *3055:D *411:7 0.000307037
15 *3061:A1 *411:7 1.65872e-05
16 *3061:A2 *411:7 0.000111708
17 *3061:A3 *411:7 5.51483e-06
18 *3061:A3 *411:19 0
19 *403:21 *3069:C 3.31733e-05
20 *403:33 *3069:C 5.05252e-05
*RES
1 *3062:X *411:7 17.0618
2 *411:7 *3063:B 9.24915
3 *411:7 *411:19 5.4737
4 *411:19 *3069:C 25.4046
5 *411:19 *3067:C 9.24915
*END
*D_NET *412 0.00084531
*CONN
*I *3064:C I *D sky130_fd_sc_hd__and3_1
*I *3068:A2 I *D sky130_fd_sc_hd__a2111oi_1
*I *3063:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *3064:C 0
2 *3068:A2 0.000238594
3 *3063:Y 8.26901e-05
4 *412:9 0.000321285
5 *3064:A *3068:A2 6.08467e-06
6 *3064:A *412:9 6.08467e-05
7 *3068:D1 *3068:A2 3.00505e-05
8 *3068:D1 *412:9 6.94062e-07
9 *119:41 *412:9 2.99929e-05
10 *403:38 *412:9 7.50722e-05
*RES
1 *3063:Y *412:9 20.4857
2 *412:9 *3068:A2 13.0831
3 *412:9 *3064:C 9.24915
*END
*D_NET *413 0.000505498
*CONN
*I *3065:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3064:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *3065:A 0.00011116
2 *3064:X 0.00011116
3 *3065:A *3068:A1 0
4 *3065:A *680:18 0.000143047
5 *3065:A *725:12 7.50722e-05
6 *3068:C1 *3065:A 0
7 *3068:D1 *3065:A 6.50586e-05
*RES
1 *3064:X *3065:A 30.8842
*END
*D_NET *414 0.000929795
*CONN
*I *3068:A1 I *D sky130_fd_sc_hd__a2111oi_1
*I *3066:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *3068:A1 0.00023654
2 *3066:Y 0.00023654
3 *3068:A1 *3068:B1 3.31733e-05
4 *3068:A1 *725:9 6.99486e-05
5 *3068:A1 *725:12 2.61857e-05
6 *3068:A1 *725:38 0.000100493
7 *3065:A *3068:A1 0
8 *3068:C1 *3068:A1 5.39608e-05
9 *407:81 *3068:A1 0.000172954
*RES
1 *3066:Y *3068:A1 35.5969
*END
*D_NET *415 0.00192538
*CONN
*I *3068:B1 I *D sky130_fd_sc_hd__a2111oi_1
*I *3071:A2 I *D sky130_fd_sc_hd__o211a_1
*I *3067:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *3068:B1 0.000151465
2 *3071:A2 0.00025399
3 *3067:X 0
4 *415:5 0.000405455
5 *3068:B1 *3069:B 0.000101886
6 *3068:B1 *725:12 7.69794e-05
7 *3071:A2 *3069:B 7.50872e-05
8 *3071:A2 *3071:A1 5.28825e-05
9 *3071:A2 *726:19 1.92336e-05
10 *3068:A1 *3068:B1 3.31733e-05
11 *3068:D1 *3068:B1 0.000111708
12 *3069:C *3068:B1 0.000126632
13 *3069:C *3071:A2 0.000124919
14 *3071:C1 *3071:A2 0.000277488
15 *3391:D *3071:A2 6.98337e-06
16 *403:33 *3071:A2 0.000107496
*RES
1 *3067:X *415:5 13.7491
2 *415:5 *3071:A2 22.2899
3 *415:5 *3068:B1 19.7659
*END
*D_NET *416 0.00175066
*CONN
*I *3073:A3 I *D sky130_fd_sc_hd__a311o_1
*I *3070:B I *D sky130_fd_sc_hd__nand2_1
*I *3069:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *3073:A3 2.99796e-05
2 *3070:B 0.000276229
3 *3069:X 0.000171002
4 *416:8 0.00047721
5 *3070:B *2922:A 0.000113374
6 *3070:B *2922:C 5.23032e-05
7 *3070:B *657:11 6.79023e-05
8 *3073:A3 *417:13 0.000208834
9 *3073:A3 *727:13 1.41291e-05
10 *416:8 *2922:A 7.14746e-05
11 *416:8 *680:18 2.33193e-05
12 *2922:D *3070:B 2.652e-05
13 *3073:A2 *3073:A3 0.000121183
14 *3073:B1 *3073:A3 0
15 *294:13 *3070:B 7.15368e-05
16 *391:21 *3070:B 1.64789e-05
17 *403:21 *3070:B 0
18 *403:21 *416:8 0
19 *403:33 *416:8 9.18559e-06
*RES
1 *3069:X *416:8 17.135
2 *416:8 *3070:B 21.5665
3 *416:8 *3073:A3 16.1605
*END
*D_NET *417 0.00266156
*CONN
*I *3071:B1 I *D sky130_fd_sc_hd__o211a_1
*I *3074:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *3070:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *3071:B1 0.000149094
2 *3074:A2 1.20439e-05
3 *3070:Y 0.000267733
4 *417:13 0.000428871
5 *3071:B1 *726:10 3.0676e-05
6 *3074:A2 *3074:B1 6.64392e-05
7 *3074:A2 *727:13 2.57847e-05
8 *417:13 *3074:B1 0.000351243
9 *417:13 *727:13 0.000163893
10 *2922:D *417:13 0.000446985
11 *3073:A2 *417:13 5.51483e-06
12 *3073:A3 *417:13 0.000208834
13 *3073:B1 *417:13 0.000253916
14 *119:41 *417:13 1.65872e-05
15 *391:10 *417:13 0.000116971
16 *402:102 *417:13 0.000116971
*RES
1 *3070:Y *417:13 31.8055
2 *417:13 *3074:A2 9.97254
3 *417:13 *3071:B1 21.3269
*END
*D_NET *418 0.000565103
*CONN
*I *3074:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *3072:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *3074:A1 5.01596e-05
2 *3072:Y 5.01596e-05
3 *3074:A1 *3072:A 6.92705e-05
4 *3074:A1 *3074:B1 5.28741e-05
5 *3074:A1 *727:13 0.00032688
6 *3392:D *3074:A1 1.57593e-05
*RES
1 *3072:Y *3074:A1 21.9947
*END
*D_NET *419 0.000860125
*CONN
*I *3074:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *3073:X O *D sky130_fd_sc_hd__a311o_1
*CAP
1 *3074:B1 0.000186521
2 *3073:X 0.000186521
3 *3074:B1 *727:13 9.54357e-06
4 *3074:A1 *3074:B1 5.28741e-05
5 *3074:A2 *3074:B1 6.64392e-05
6 *3392:D *3074:B1 6.98337e-06
7 *417:13 *3074:B1 0.000351243
*RES
1 *3073:X *3074:B1 25.3706
*END
*D_NET *420 0.00485057
*CONN
*I *3080:A I *D sky130_fd_sc_hd__and2_1
*I *3098:A I *D sky130_fd_sc_hd__nand2_1
*I *3092:C I *D sky130_fd_sc_hd__and4bb_1
*I *3075:X O *D sky130_fd_sc_hd__and2b_1
*CAP
1 *3080:A 0
2 *3098:A 0.000307972
3 *3092:C 0.000281887
4 *3075:X 0
5 *420:15 0.000558924
6 *420:4 0.00053284
7 *3092:C *3094:D 0.000375646
8 *3092:C *439:14 2.37827e-05
9 *3092:C *706:82 0.000169114
10 *3098:A *3277:A 6.50727e-05
11 *3098:A *3350:A 0.000107496
12 *3098:A *3351:A 0.000164843
13 *3098:A *573:11 0.000251014
14 *3098:A *691:83 0.000371683
15 *420:15 *3075:A_N 2.65831e-05
16 *420:15 *424:11 0.000113968
17 *420:15 *424:23 0.000107496
18 *420:15 *425:8 0.000210053
19 *155:10 *3098:A 0.000348828
20 *230:71 *3092:C 0.000172696
21 *274:32 *3092:C 2.57847e-05
22 *274:38 *3092:C 0.00019907
23 *275:11 *3092:C 6.50586e-05
24 *275:19 *3092:C 1.6383e-05
25 *275:21 *3092:C 4.0752e-05
26 *398:14 *3098:A 1.32509e-05
27 *399:9 *3098:A 0.000300376
*RES
1 *3075:X *420:4 9.24915
2 *420:4 *3092:C 29.8147
3 *420:4 *420:15 6.82404
4 *420:15 *3098:A 32.0811
5 *420:15 *3080:A 9.24915
*END
*D_NET *421 0.00332158
*CONN
*I *3092:A_N I *D sky130_fd_sc_hd__and4bb_1
*I *3079:A_N I *D sky130_fd_sc_hd__and3b_1
*I *3244:A I *D sky130_fd_sc_hd__or3_2
*I *3082:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *3089:A I *D sky130_fd_sc_hd__nor4_2
*I *3076:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *3092:A_N 0.000121693
2 *3079:A_N 5.58081e-05
3 *3244:A 0.000170977
4 *3082:A 0
5 *3089:A 0.000126821
6 *3076:X 2.13527e-05
7 *421:24 0.000406442
8 *421:21 0.00030135
9 *421:7 0.000460688
10 *421:5 0.00035522
11 *3079:A_N *691:69 0.000167076
12 *3089:A *428:16 0.000127164
13 *3089:A *428:27 6.48631e-05
14 *3089:A *683:69 0
15 *3092:A_N *551:10 0
16 *3092:A_N *702:86 0
17 *3244:A *702:63 3.43044e-05
18 *3244:A *702:86 6.16595e-06
19 *421:7 *427:7 0.000175485
20 *421:24 *702:86 4.32821e-05
21 *2905:A *421:7 0.000464127
22 *273:31 *421:5 5.09367e-05
23 *273:31 *421:7 0.000114518
24 *274:18 *3244:A 5.33048e-05
25 *274:32 *3244:A 0
26 *274:32 *421:24 0
*RES
1 *3076:X *421:5 9.97254
2 *421:5 *421:7 9.59705
3 *421:7 *3089:A 21.7421
4 *421:7 *3082:A 9.24915
5 *421:5 *421:21 4.5
6 *421:21 *421:24 4.2258
7 *421:24 *3244:A 17.2421
8 *421:24 *3079:A_N 15.5817
9 *421:21 *3092:A_N 16.4116
*END
*D_NET *422 0.00396701
*CONN
*I *3092:D I *D sky130_fd_sc_hd__and4bb_1
*I *3079:B I *D sky130_fd_sc_hd__and3b_1
*I *3077:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *3092:D 0.000365463
2 *3079:B 0.000175145
3 *3077:Y 0.000683536
4 *422:8 0.00122414
5 *3079:B *691:69 1.65872e-05
6 *3079:B *702:70 0.000216535
7 *3092:D *702:63 3.04443e-05
8 *3092:D *702:86 0.000333594
9 *422:8 *812:DIODE 9.24241e-05
10 *422:8 *3077:A 1.00981e-05
11 *422:8 *3077:B 0.00013715
12 *422:8 *3244:C 1.72464e-05
13 *422:8 *429:10 2.03531e-05
14 *422:8 *692:97 0.000123582
15 *422:8 *702:63 0.000170592
16 *274:18 *422:8 0
17 *274:38 *3092:D 2.61831e-05
18 *275:21 *3092:D 6.50727e-05
19 *276:9 *3092:D 7.36804e-06
20 *276:9 *422:8 2.68066e-05
21 *282:10 *3092:D 0.000158359
22 *282:10 *422:8 6.63228e-05
*RES
1 *3077:Y *422:8 29.183
2 *422:8 *3079:B 16.691
3 *422:8 *3092:D 23.9989
*END
*D_NET *423 0.000474084
*CONN
*I *3079:C I *D sky130_fd_sc_hd__and3b_1
*I *3078:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *3079:C 0.000179181
2 *3078:X 0.000179181
3 *3079:C *691:69 5.48756e-05
4 *3079:C *706:40 6.08467e-05
*RES
1 *3078:X *3079:C 21.4401
*END
*D_NET *424 0.00497965
*CONN
*I *3277:B I *D sky130_fd_sc_hd__nand2_1
*I *3098:B I *D sky130_fd_sc_hd__nand2_1
*I *3279:B I *D sky130_fd_sc_hd__and2_1
*I *3080:B I *D sky130_fd_sc_hd__and2_1
*I *3079:X O *D sky130_fd_sc_hd__and3b_1
*CAP
1 *3277:B 2.92857e-05
2 *3098:B 0
3 *3279:B 0
4 *3080:B 0
5 *3079:X 0.000378926
6 *424:34 0.000240543
7 *424:23 0.000465293
8 *424:11 0.000632962
9 *3277:B *3277:A 0.000162583
10 *3277:B *3351:A 0.000158371
11 *424:11 *815:DIODE 0.000550967
12 *424:11 *464:28 5.91067e-05
13 *424:11 *548:9 4.39573e-05
14 *424:11 *573:11 6.80097e-05
15 *424:11 *691:69 0.000352118
16 *424:11 *691:83 4.41269e-05
17 *424:11 *702:70 0.000258819
18 *424:23 *3133:B 0.000113308
19 *424:23 *3295:C1 0
20 *424:23 *425:8 0.000452979
21 *424:23 *688:25 1.92172e-05
22 *424:34 *3133:B 5.04829e-06
23 *424:34 *3279:A 1.43055e-05
24 *424:34 *443:6 0.000235011
25 *424:34 *443:20 5.56367e-05
26 *424:34 *685:25 0.000351481
27 *424:34 *688:25 7.92757e-06
28 *3240:A *424:11 4.28184e-05
29 *398:14 *424:11 7.09666e-06
30 *399:9 *424:11 8.28675e-06
31 *420:15 *424:11 0.000113968
32 *420:15 *424:23 0.000107496
*RES
1 *3079:X *424:11 32.4779
2 *424:11 *3080:B 9.24915
3 *424:11 *424:23 9.25947
4 *424:23 *3279:B 9.24915
5 *424:23 *424:34 16.5384
6 *424:34 *3098:B 9.24915
7 *424:34 *3277:B 11.0817
*END
*D_NET *425 0.00479978
*CONN
*I *3081:A I *D sky130_fd_sc_hd__clkbuf_2
*I *3129:A1 I *D sky130_fd_sc_hd__o211a_1
*I *3134:A1 I *D sky130_fd_sc_hd__o211a_1
*I *3139:A1 I *D sky130_fd_sc_hd__o211a_1
*I *3080:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *3081:A 0.000193448
2 *3129:A1 6.9756e-05
3 *3134:A1 3.51772e-05
4 *3139:A1 0.000203948
5 *3080:X 0.000362547
6 *425:29 0.000359152
7 *425:11 0.000268532
8 *425:8 0.000487902
9 *3081:A *3124:C1 0
10 *3081:A *443:31 6.51725e-05
11 *3081:A *575:6 0.00014076
12 *3081:A *577:17 0
13 *3081:A *685:25 1.46079e-05
14 *3129:A1 *3138:B 6.50586e-05
15 *3129:A1 *443:20 0.000167076
16 *3134:A1 *3134:A2 4.80635e-06
17 *3139:A1 *3134:C1 6.50727e-05
18 *3139:A1 *3139:A2 9.95922e-06
19 *3139:A1 *464:28 4.48847e-05
20 *3139:A1 *464:34 0.000260374
21 *425:8 *3134:B1 6.92705e-05
22 *425:8 *443:20 0.000191526
23 *425:8 *688:25 0.000173163
24 *425:29 *443:20 0.000113374
25 *425:29 *443:31 6.08697e-06
26 *425:29 *464:37 0
27 *425:29 *685:25 4.3116e-06
28 *3138:A *3139:A1 0.000627647
29 *3138:A *425:11 0.000118166
30 *154:10 *425:11 1.21461e-06
31 *155:7 *3139:A1 1.37563e-05
32 *420:15 *425:8 0.000210053
33 *424:23 *425:8 0.000452979
*RES
1 *3080:X *425:8 25.73
2 *425:8 *425:11 5.778
3 *425:11 *3139:A1 18.3157
4 *425:11 *3134:A1 10.5513
5 *425:8 *425:29 2.6625
6 *425:29 *3129:A1 16.1364
7 *425:29 *3081:A 20.1489
*END
*D_NET *426 0.0113292
*CONN
*I *3108:A1 I *D sky130_fd_sc_hd__o211a_1
*I *3118:A1 I *D sky130_fd_sc_hd__o211a_1
*I *3101:A1 I *D sky130_fd_sc_hd__o211a_1
*I *3113:A1 I *D sky130_fd_sc_hd__o211a_1
*I *3124:A1 I *D sky130_fd_sc_hd__o211a_1
*I *3081:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3108:A1 0
2 *3118:A1 4.39519e-05
3 *3101:A1 0.000129158
4 *3113:A1 0
5 *3124:A1 2.64699e-05
6 *3081:X 0.000103749
7 *426:55 0.000338084
8 *426:51 0.00104828
9 *426:43 0.00275062
10 *426:18 0.00204442
11 *426:6 0.000307329
12 *3101:A1 *3101:A2 6.08467e-05
13 *3101:A1 *3153:A 6.08467e-05
14 *3101:A1 *638:26 0.000171274
15 *3101:A1 *684:68 1.41761e-05
16 *3118:A1 *3121:B1 2.99291e-05
17 *3124:A1 *575:11 2.65667e-05
18 *426:6 *3124:A2 7.93468e-05
19 *426:6 *575:6 0
20 *426:6 *575:34 0
21 *426:18 *3122:B 0.000271044
22 *426:18 *3124:A2 2.5386e-05
23 *426:18 *3124:B1 3.35091e-05
24 *426:18 *575:34 0
25 *426:43 *3107:A 1.82017e-05
26 *426:43 *3112:B 7.14746e-05
27 *426:43 *3120:A1 7.14746e-05
28 *426:43 *3126:A2 9.9028e-05
29 *426:43 *3448:CLK 0.000222032
30 *426:43 *454:7 0.000534612
31 *426:43 *639:15 2.7995e-05
32 *426:43 *652:51 7.14746e-05
33 *426:43 *666:7 0.0002136
34 *426:51 *3108:A2 6.50727e-05
35 *426:51 *3111:B1 1.75155e-06
36 *426:51 *454:7 0.000670112
37 *426:51 *684:68 8.01987e-05
38 *426:51 *692:32 0.000427242
39 *426:55 *3106:B2 0.000177787
40 *426:55 *3108:A2 3.82228e-05
41 *426:55 *3111:B1 0.000111722
42 *426:55 *638:26 5.82402e-05
43 *426:55 *684:68 0.000384665
44 *823:DIODE *426:51 7.77309e-06
45 *824:DIODE *426:18 0.000139764
46 *824:DIODE *426:43 2.52287e-06
47 *3108:C1 *426:51 4.29635e-05
48 *3397:D *426:18 5.04734e-05
49 *3448:D *426:43 3.18826e-06
50 *3451:D *426:43 3.3186e-05
51 *151:18 *3101:A1 0.000115772
52 *399:72 *426:51 2.36813e-05
*RES
1 *3081:X *426:6 16.8269
2 *426:6 *3124:A1 14.4725
3 *426:6 *426:18 11.6288
4 *426:18 *3113:A1 9.24915
5 *426:18 *426:43 48.9586
6 *426:43 *426:51 29.5052
7 *426:51 *426:55 12.593
8 *426:55 *3101:A1 18.8449
9 *426:55 *3118:A1 14.7498
10 *426:51 *3108:A1 9.24915
*END
*D_NET *427 0.00665632
*CONN
*I *3087:A I *D sky130_fd_sc_hd__or4_2
*I *3090:A I *D sky130_fd_sc_hd__nor4_2
*I *3222:A I *D sky130_fd_sc_hd__nor4_1
*I *3275:B I *D sky130_fd_sc_hd__nor4_1
*I *3219:A I *D sky130_fd_sc_hd__or4_1
*I *3082:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *3087:A 0.000107368
2 *3090:A 0.000239794
3 *3222:A 1.7468e-05
4 *3275:B 0.000376024
5 *3219:A 9.84319e-05
6 *3082:X 0.000590614
7 *427:15 0.000684213
8 *427:10 0.00037889
9 *427:8 0.000479459
10 *427:7 0.000785982
11 *3087:A *3087:C 0.000191115
12 *3087:A *548:41 8.6297e-06
13 *3090:A *799:DIODE 7.92757e-06
14 *3090:A *3090:B 7.47599e-05
15 *3090:A *3090:C 6.50727e-05
16 *3090:A *3090:D 0.000167076
17 *3090:A *435:8 1.65872e-05
18 *3090:A *548:41 0.000107496
19 *3090:A *692:51 6.08467e-05
20 *3219:A *3219:D 6.80977e-05
21 *3219:A *430:24 4.15143e-05
22 *3219:A *734:30 0
23 *3222:A *537:5 7.92757e-06
24 *3222:A *702:46 6.50586e-05
25 *3222:A *734:26 1.61631e-05
26 *3275:B *535:5 0.000112149
27 *427:8 *3087:C 0.000137956
28 *427:10 *3087:C 0.000191112
29 *427:10 *430:24 8.62625e-06
30 *427:10 *535:28 2.55661e-06
31 *427:15 *430:24 0.000225677
32 *427:15 *535:5 6.49003e-05
33 *427:15 *535:9 2.16355e-05
34 *427:15 *734:26 0.000185785
35 *2904:A *3090:A 0.000258066
36 *3127:A1 *427:8 0
37 *3127:A1 *427:10 0
38 *3503:A *3087:A 8.37929e-05
39 *3503:A *427:8 3.5534e-06
40 *277:7 *3090:A 0.000264586
41 *355:21 *3275:B 1.55995e-05
42 *355:21 *427:10 5.11679e-05
43 *355:21 *427:15 1.6644e-05
44 *407:43 *3275:B 0.000180515
45 *421:7 *427:7 0.000175485
*RES
1 *3082:X *427:7 26.6738
2 *427:7 *427:8 2.6625
3 *427:8 *427:10 3.90826
4 *427:10 *427:15 11.2107
5 *427:15 *3219:A 21.3269
6 *427:15 *3275:B 18.2916
7 *427:10 *3222:A 14.4725
8 *427:8 *3090:A 23.9731
9 *427:7 *3087:A 17.6574
*END
*D_NET *428 0.00666138
*CONN
*I *3094:D I *D sky130_fd_sc_hd__or4_1
*I *3089:D I *D sky130_fd_sc_hd__nor4_2
*I *3087:B I *D sky130_fd_sc_hd__or4_2
*I *3090:B I *D sky130_fd_sc_hd__nor4_2
*I *3083:X O *D sky130_fd_sc_hd__or2b_1
*CAP
1 *3094:D 0.000575599
2 *3089:D 0.00024184
3 *3087:B 0.000516101
4 *3090:B 0.000184427
5 *3083:X 0
6 *428:27 0.000918641
7 *428:16 0.000769384
8 *428:4 0.000336508
9 *3087:B *437:11 0.000822976
10 *3094:D *439:14 2.1203e-06
11 *3094:D *702:86 4.49767e-05
12 *428:16 *682:27 0.000110101
13 *428:16 *683:69 0
14 *428:27 *682:27 2.99725e-05
15 *2904:A *3090:B 3.79253e-05
16 *2905:B *3089:D 1.41291e-05
17 *2905:C *3089:D 6.50727e-05
18 *3089:A *428:16 0.000127164
19 *3089:A *428:27 6.48631e-05
20 *3089:C *3089:D 1.47978e-05
21 *3090:A *3090:B 7.47599e-05
22 *3092:C *3094:D 0.000375646
23 *275:19 *3094:D 0.000203747
24 *275:21 *3089:D 0.000211478
25 *275:21 *3094:D 0.000919147
*RES
1 *3083:X *428:4 9.24915
2 *428:4 *3090:B 13.903
3 *428:4 *428:16 9.23876
4 *428:16 *3087:B 22.7916
5 *428:16 *428:27 6.74725
6 *428:27 *3089:D 13.7342
7 *428:27 *3094:D 31.7895
*END
*D_NET *429 0.00105682
*CONN
*I *3085:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *3326:C I *D sky130_fd_sc_hd__or4b_2
*I *3084:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *3085:A 0
2 *3326:C 2.67587e-05
3 *3084:X 0.000162753
4 *429:10 0.000189512
5 *3326:C *430:10 0.000217937
6 *3326:C *541:11 0.000217937
7 *429:10 *707:25 0.000114518
8 *274:18 *429:10 0.000107052
9 *422:8 *429:10 2.03531e-05
*RES
1 *3084:X *429:10 21.7744
2 *429:10 *3326:C 11.6364
3 *429:10 *3085:A 9.24915
*END
*D_NET *430 0.00797466
*CONN
*I *3275:C I *D sky130_fd_sc_hd__nor4_1
*I *3090:C I *D sky130_fd_sc_hd__nor4_2
*I *3219:C I *D sky130_fd_sc_hd__or4_1
*I *3087:C I *D sky130_fd_sc_hd__or4_2
*I *3222:C I *D sky130_fd_sc_hd__nor4_1
*I *3085:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *3275:C 3.66845e-05
2 *3090:C 0.000296223
3 *3219:C 0
4 *3087:C 0.00027162
5 *3222:C 0
6 *3085:X 0.000248388
7 *430:24 0.000515503
8 *430:19 0.000290958
9 *430:17 0.00074712
10 *430:10 0.000688894
11 *3087:C *431:29 0
12 *3087:C *435:8 9.65406e-06
13 *3087:C *548:41 0.000301025
14 *3087:C *692:51 1.9101e-05
15 *3087:C *702:46 9.34396e-06
16 *3090:C *3220:A 7.77309e-06
17 *3090:C *3231:B 1.09738e-05
18 *3090:C *691:46 0.00035061
19 *3275:C *820:DIODE 6.64609e-05
20 *430:10 *820:DIODE 9.19421e-05
21 *430:10 *3225:C1 7.92757e-06
22 *430:10 *3326:D_N 0.00011818
23 *430:10 *541:11 0.000241675
24 *430:10 *607:14 0.000617631
25 *430:17 *3225:C1 0.00045501
26 *430:19 *3219:D 6.08467e-05
27 *430:19 *534:7 0.000111708
28 *430:24 *3219:D 0
29 *430:24 *534:7 0.000169041
30 *430:24 *702:46 1.5212e-05
31 *430:24 *734:26 0.000127984
32 *430:24 *734:30 8.62625e-06
33 *2904:A *3090:C 2.65831e-05
34 *3087:A *3087:C 0.000191115
35 *3090:A *3090:C 6.50727e-05
36 *3219:A *430:24 4.15143e-05
37 *3219:B *3090:C 0.000366815
38 *3219:B *430:19 2.15348e-05
39 *3326:C *430:10 0.000217937
40 *3432:D *430:10 0.000205006
41 *195:9 *3275:C 3.00073e-05
42 *195:9 *430:10 1.31711e-05
43 *277:8 *3090:C 0.000134191
44 *332:49 *3090:C 0
45 *407:43 *430:17 3.58044e-05
46 *407:43 *430:19 6.24819e-05
47 *407:43 *430:24 0.000103943
48 *427:8 *3087:C 0.000137956
49 *427:10 *3087:C 0.000191112
50 *427:10 *430:24 8.62625e-06
51 *427:15 *430:24 0.000225677
*RES
1 *3085:X *430:10 25.7569
2 *430:10 *430:17 12.4815
3 *430:17 *430:19 2.38721
4 *430:19 *430:24 13.7022
5 *430:24 *3222:C 13.7491
6 *430:24 *3087:C 23.4709
7 *430:19 *3219:C 9.24915
8 *430:17 *3090:C 29.1096
9 *430:10 *3275:C 15.1659
*END
*D_NET *431 0.00347463
*CONN
*I *3087:D I *D sky130_fd_sc_hd__or4_2
*I *3090:D I *D sky130_fd_sc_hd__nor4_2
*I *3219:D I *D sky130_fd_sc_hd__or4_1
*I *3222:D I *D sky130_fd_sc_hd__nor4_1
*I *3086:Y O *D sky130_fd_sc_hd__nand3b_2
*CAP
1 *3087:D 0.000249802
2 *3090:D 2.37873e-05
3 *3219:D 0.000336481
4 *3222:D 7.28314e-05
5 *3086:Y 6.81707e-05
6 *431:29 0.000414274
7 *431:15 0.000477166
8 *431:5 0.000141002
9 *3087:D *434:17 5.23032e-05
10 *3087:D *435:8 0.000344421
11 *3087:D *437:11 4.31539e-05
12 *3090:D *435:8 0.00011818
13 *3219:D *3220:A 0.000127744
14 *3219:D *3231:B 4.79289e-05
15 *3219:D *702:46 7.02602e-05
16 *3222:D *3086:A_N 2.53145e-06
17 *3222:D *691:40 0
18 *3222:D *702:46 8.74134e-05
19 *431:5 *702:46 3.61993e-05
20 *431:5 *702:54 1.03403e-05
21 *431:29 *435:8 2.77564e-05
22 *2904:A *3090:D 1.92172e-05
23 *2905:D *3087:D 7.58896e-05
24 *3087:C *431:29 0
25 *3090:A *3090:D 0.000167076
26 *3219:A *3219:D 6.80977e-05
27 *3219:B *3219:D 5.48512e-05
28 *3219:B *431:29 6.08697e-06
29 *3222:B *3222:D 5.51483e-06
30 *3222:B *431:5 0.000167076
31 *277:8 *3087:D 7.4235e-06
32 *277:8 *431:29 2.57485e-05
33 *407:43 *3219:D 6.50586e-05
34 *430:19 *3219:D 6.08467e-05
35 *430:24 *3219:D 0
*RES
1 *3086:Y *431:5 11.6364
2 *431:5 *3222:D 11.5158
3 *431:5 *431:15 4.5
4 *431:15 *3219:D 23.0219
5 *431:15 *431:29 3.07775
6 *431:29 *3090:D 15.5817
7 *431:29 *3087:D 21.8422
*END
*D_NET *432 0.00298489
*CONN
*I *3088:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *3127:A2 I *D sky130_fd_sc_hd__o22a_1
*I *3132:A2 I *D sky130_fd_sc_hd__o22a_1
*I *3137:A2 I *D sky130_fd_sc_hd__o22a_1
*I *3087:X O *D sky130_fd_sc_hd__or4_2
*CAP
1 *3088:A 0.000176641
2 *3127:A2 0
3 *3132:A2 0
4 *3137:A2 0.000114059
5 *3087:X 4.41062e-05
6 *432:22 0.000282777
7 *432:9 0.000275233
8 *432:7 0.000311417
9 *3088:A *3097:A2 6.08467e-05
10 *3088:A *3101:B1 1.92172e-05
11 *3088:A *3127:B2 4.97209e-05
12 *3088:A *433:5 0.00011818
13 *3088:A *433:18 4.86172e-06
14 *3088:A *637:22 0
15 *3137:A2 *3127:B1 0.000456114
16 *3137:A2 *3132:A1 8.31378e-05
17 *3137:A2 *3137:B1 7.92757e-06
18 *3137:A2 *3137:B2 4.95146e-05
19 *432:7 *434:17 1.03403e-05
20 *432:7 *437:11 2.91764e-05
21 *432:9 *3127:B1 4.81452e-05
22 *432:9 *434:17 3.64415e-05
23 *432:9 *437:11 0.000168917
24 *432:22 *637:22 0
25 *3127:A1 *432:22 0.000172111
26 *3153:B *3088:A 0.000213725
27 *3503:A *3088:A 5.41227e-05
28 *3503:A *432:22 0.000198157
*RES
1 *3087:X *432:7 10.5513
2 *432:7 *432:9 5.16022
3 *432:9 *3137:A2 14.4094
4 *432:9 *3132:A2 9.24915
5 *432:7 *432:22 8.40826
6 *432:22 *3127:A2 13.7491
7 *432:22 *3088:A 19.6294
*END
*D_NET *433 0.00413567
*CONN
*I *3116:A2 I *D sky130_fd_sc_hd__o22a_1
*I *3106:A2 I *D sky130_fd_sc_hd__o22a_1
*I *3111:A2 I *D sky130_fd_sc_hd__o22a_1
*I *3121:A2 I *D sky130_fd_sc_hd__o22a_1
*I *3097:A2 I *D sky130_fd_sc_hd__o22a_1
*I *3088:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *3116:A2 0
2 *3106:A2 0.000185293
3 *3111:A2 0.000161786
4 *3121:A2 0
5 *3097:A2 0.000221409
6 *3088:X 9.24427e-06
7 *433:28 0.000489669
8 *433:18 0.000259649
9 *433:5 0.000347711
10 *3097:A2 *3101:B1 0.000508556
11 *3097:A2 *3153:A 8.94959e-05
12 *3097:A2 *441:22 7.36117e-05
13 *3097:A2 *486:8 2.32625e-05
14 *3106:A2 *3116:B1 0.000314507
15 *3106:A2 *3116:B2 3.95036e-05
16 *3106:A2 *3118:A2 0.000111722
17 *3106:A2 *451:11 6.78364e-06
18 *3111:A2 *3111:B2 1.69371e-05
19 *3111:A2 *637:22 2.96004e-05
20 *433:5 *3101:B1 0.000106215
21 *433:18 *3127:B2 0
22 *433:18 *486:8 0.000143032
23 *433:18 *637:22 0.000193395
24 *433:28 *3116:B2 5.19897e-05
25 *433:28 *486:8 0.00017419
26 *433:28 *637:22 0.000163465
27 *3088:A *3097:A2 6.08467e-05
28 *3088:A *433:5 0.00011818
29 *3088:A *433:18 4.86172e-06
30 *3153:B *3097:A2 5.39109e-05
31 *296:57 *3097:A2 2.47282e-05
32 *299:59 *3111:A2 1.32772e-05
33 *299:59 *433:28 6.36477e-05
34 *322:42 *3097:A2 5.60485e-05
35 *399:46 *3097:A2 1.91391e-05
*RES
1 *3088:X *433:5 10.5271
2 *433:5 *3097:A2 27.0417
3 *433:5 *433:18 8.40826
4 *433:18 *3121:A2 13.7491
5 *433:18 *433:28 9.96496
6 *433:28 *3111:A2 22.1574
7 *433:28 *3106:A2 15.1569
8 *433:28 *3116:A2 9.24915
*END
*D_NET *434 0.00568208
*CONN
*I *3103:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *3091:A2 I *D sky130_fd_sc_hd__a21o_1
*I *3131:A2 I *D sky130_fd_sc_hd__a21o_1
*I *3136:A2 I *D sky130_fd_sc_hd__a21o_1
*I *3089:Y O *D sky130_fd_sc_hd__nor4_2
*CAP
1 *3103:A 0.000473764
2 *3091:A2 0
3 *3131:A2 0
4 *3136:A2 1.85644e-05
5 *3089:Y 8.00749e-05
6 *434:25 0.000367226
7 *434:17 0.000968968
8 *434:5 0.00117415
9 *3103:A *3104:A 0.000155309
10 *3103:A *3124:A2 4.69495e-06
11 *3103:A *435:8 1.61547e-05
12 *3103:A *692:40 1.21361e-05
13 *3136:A2 *3136:B1 7.86286e-05
14 *3136:A2 *3137:B2 0.000171273
15 *434:5 *817:DIODE 0.000107496
16 *434:5 *437:11 1.777e-05
17 *434:17 *3091:A1 4.88955e-05
18 *434:17 *3127:B1 2.16355e-05
19 *434:17 *437:11 4.99506e-05
20 *434:17 *637:22 1.5714e-05
21 *434:25 *3091:A1 6.50727e-05
22 *434:25 *3097:B1 7.99176e-05
23 *434:25 *3127:B1 5.98836e-05
24 *434:25 *3132:B2 0.00075045
25 *434:25 *3136:B1 0.000163634
26 *434:25 *3137:B2 5.22909e-05
27 *434:25 *435:13 2.57365e-05
28 *434:25 *435:25 0.000315541
29 *2905:B *3103:A 4.58666e-05
30 *2905:B *434:5 4.31703e-05
31 *2905:D *3103:A 0.000116865
32 *3087:D *434:17 5.23032e-05
33 *3127:A1 *434:17 3.76125e-05
34 *3503:A *434:25 1.36705e-05
35 *299:59 *434:17 3.08875e-05
36 *432:7 *434:17 1.03403e-05
37 *432:9 *434:17 3.64415e-05
*RES
1 *3089:Y *434:5 11.6364
2 *434:5 *434:17 20.0968
3 *434:17 *434:25 14.2509
4 *434:25 *3136:A2 11.0817
5 *434:25 *3131:A2 9.24915
6 *434:17 *3091:A2 9.24915
7 *434:5 *3103:A 30.8777
*END
*D_NET *435 0.00832646
*CONN
*I *3104:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *3091:B1 I *D sky130_fd_sc_hd__a21o_1
*I *3131:B1 I *D sky130_fd_sc_hd__a21o_1
*I *3136:B1 I *D sky130_fd_sc_hd__a21o_1
*I *3090:Y O *D sky130_fd_sc_hd__nor4_2
*CAP
1 *3104:A 0.000334438
2 *3091:B1 9.22538e-05
3 *3131:B1 0
4 *3136:B1 0.0003594
5 *3090:Y 0.000346824
6 *435:25 0.00075097
7 *435:13 0.00092336
8 *435:8 0.0011208
9 *3091:B1 *3127:B1 1.27402e-05
10 *3104:A *3091:A1 0.000340754
11 *3104:A *3124:A2 1.9101e-05
12 *3104:A *451:11 1.43848e-05
13 *3104:A *548:41 0.000110405
14 *3136:B1 *3137:B2 5.99691e-05
15 *3136:B1 *689:46 4.49912e-05
16 *435:8 *548:41 0.000168428
17 *435:8 *692:51 4.69495e-06
18 *435:13 *3091:A1 0.000563898
19 *435:13 *467:11 6.11359e-06
20 *435:25 *3101:A2 3.8122e-05
21 *435:25 *3132:B2 1.5714e-05
22 *435:25 *3137:B2 0.000218017
23 *435:25 *439:14 0.000614179
24 *435:25 *637:22 0.000600384
25 *435:25 *638:26 1.49935e-05
26 *435:25 *684:68 5.36085e-05
27 *2904:A *435:8 0.000169041
28 *3087:C *435:8 9.65406e-06
29 *3087:D *435:8 0.000344421
30 *3090:A *435:8 1.65872e-05
31 *3090:D *435:8 0.00011818
32 *3103:A *3104:A 0.000155309
33 *3103:A *435:8 1.61547e-05
34 *3136:A2 *3136:B1 7.86286e-05
35 *299:59 *3091:B1 4.15661e-05
36 *299:59 *435:25 1.5714e-05
37 *431:29 *435:8 2.77564e-05
38 *434:25 *3136:B1 0.000163634
39 *434:25 *435:13 2.57365e-05
40 *434:25 *435:25 0.000315541
*RES
1 *3090:Y *435:8 24.8883
2 *435:8 *435:13 16.3786
3 *435:13 *435:25 17.9458
4 *435:25 *3136:B1 25.7371
5 *435:25 *3131:B1 9.24915
6 *435:13 *3091:B1 15.4834
7 *435:8 *3104:A 25.4401
*END
*D_NET *436 0.000705511
*CONN
*I *3097:B1 I *D sky130_fd_sc_hd__o22a_1
*I *3091:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *3097:B1 0.000135641
2 *3091:X 0.000135641
3 *3503:A *3097:B1 0.000354312
4 *434:25 *3097:B1 7.99176e-05
*RES
1 *3091:X *3097:B1 23.538
*END
*D_NET *437 0.00850204
*CONN
*I *3130:B I *D sky130_fd_sc_hd__and3_1
*I *3125:B I *D sky130_fd_sc_hd__and3_1
*I *3135:B I *D sky130_fd_sc_hd__and3_1
*I *3093:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3092:X O *D sky130_fd_sc_hd__and4bb_1
*CAP
1 *3130:B 4.20469e-05
2 *3125:B 0
3 *3135:B 0
4 *3093:A 0.000451631
5 *3092:X 0.00134635
6 *437:15 0.000699377
7 *437:13 0.000284386
8 *437:11 0.00142503
9 *3093:A *3096:A 1.44467e-05
10 *3093:A *3119:A 0.000360159
11 *3093:A *3125:A 0
12 *3093:A *3315:A0 0
13 *3093:A *440:5 6.23875e-05
14 *3093:A *440:11 0
15 *3093:A *440:29 9.40969e-05
16 *3093:A *441:22 7.43034e-05
17 *3093:A *638:26 2.27135e-05
18 *3093:A *689:46 0
19 *3093:A *748:27 0
20 *3130:B *3130:C 7.50722e-05
21 *3130:B *689:46 1.79672e-05
22 *437:11 *3127:B1 0.000757609
23 *437:11 *3137:B1 1.65872e-05
24 *437:13 *3137:B1 2.65667e-05
25 *437:15 *3095:A 8.27079e-07
26 *437:15 *3137:B1 0.000120546
27 *437:15 *439:29 4.98477e-06
28 *2905:B *437:11 0.000423908
29 *3087:B *437:11 0.000822976
30 *3087:D *437:11 4.31539e-05
31 *272:8 *3093:A 0
32 *274:38 *437:11 0.000627976
33 *399:46 *3093:A 0.00042113
34 *432:7 *437:11 2.91764e-05
35 *432:9 *437:11 0.000168917
36 *434:5 *437:11 1.777e-05
37 *434:17 *437:11 4.99506e-05
*RES
1 *3092:X *437:11 41.802
2 *437:11 *437:13 0.723396
3 *437:13 *437:15 4.05102
4 *437:15 *3093:A 33.2677
5 *437:15 *3135:B 9.24915
6 *437:13 *3125:B 9.24915
7 *437:11 *3130:B 19.6659
*END
*D_NET *438 0.00277336
*CONN
*I *3119:B I *D sky130_fd_sc_hd__and3_1
*I *3114:B I *D sky130_fd_sc_hd__and3_1
*I *3096:B I *D sky130_fd_sc_hd__and3_1
*I *3102:B I *D sky130_fd_sc_hd__and3_1
*I *3109:B I *D sky130_fd_sc_hd__and3_1
*I *3093:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3119:B 5.02471e-05
2 *3114:B 3.00303e-05
3 *3096:B 0
4 *3102:B 0.00014737
5 *3109:B 0.000114468
6 *3093:X 0.000153604
7 *438:29 0.000286247
8 *438:23 0.00024581
9 *438:10 0.00044356
10 *438:5 0.000375165
11 *3114:B *689:46 7.50872e-05
12 *3119:B *440:39 2.51716e-06
13 *438:5 *3119:A 8.39223e-05
14 *438:10 *3096:A 0.000374442
15 *438:10 *3102:A 0.000101133
16 *438:10 *689:46 0
17 *438:23 *3119:A 2.41483e-05
18 *438:29 *3119:A 0.000113197
19 *438:29 *440:39 3.62662e-06
20 *149:11 *3102:B 8.14875e-05
21 *149:11 *3109:B 6.73022e-05
22 *379:23 *438:10 0
*RES
1 *3093:X *438:5 11.6364
2 *438:5 *438:10 15.815
3 *438:10 *3109:B 11.0817
4 *438:10 *3102:B 11.6364
5 *438:5 *438:23 0.723396
6 *438:23 *3096:B 9.24915
7 *438:23 *438:29 3.49641
8 *438:29 *3114:B 19.6659
9 *438:29 *3119:B 9.97254
*END
*D_NET *439 0.0119072
*CONN
*I *3130:C I *D sky130_fd_sc_hd__and3_1
*I *3135:C I *D sky130_fd_sc_hd__and3_1
*I *3095:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3125:C I *D sky130_fd_sc_hd__and3_1
*I *3094:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *3130:C 0.000270883
2 *3135:C 0
3 *3095:A 0.000613817
4 *3125:C 1.58351e-05
5 *3094:X 0.00189783
6 *439:29 0.00088409
7 *439:17 0.000304245
8 *439:14 0.00218685
9 *3095:A *3119:A 0.000171273
10 *3095:A *3461:CLK 0
11 *3095:A *440:5 2.65667e-05
12 *3095:A *664:6 0.000101133
13 *3095:A *664:13 8.62625e-06
14 *3095:A *664:50 0.000179256
15 *3095:A *748:27 5.89338e-05
16 *3125:C *3137:B1 2.40723e-05
17 *3130:C *3130:A 3.87956e-06
18 *3130:C *3137:A1 0
19 *3130:C *3137:B2 3.67528e-06
20 *3130:C *3439:CLK 2.76977e-05
21 *3130:C *684:68 0
22 *3130:C *689:46 2.19276e-05
23 *439:14 *3124:A2 0
24 *439:14 *3137:A1 2.40433e-05
25 *439:14 *3137:B2 0
26 *439:14 *3139:A2 0.00294641
27 *439:14 *637:22 7.58568e-05
28 *439:14 *638:26 0.000316432
29 *439:14 *640:9 0
30 *439:14 *689:46 4.3648e-05
31 *439:14 *691:12 0
32 *439:14 *702:86 1.27831e-06
33 *439:17 *3137:B1 1.20742e-05
34 *439:29 *3137:B1 7.47793e-05
35 *3092:C *439:14 2.37827e-05
36 *3094:D *439:14 2.1203e-06
37 *3130:B *3130:C 7.50722e-05
38 *3135:A *3095:A 0.000381471
39 *3463:D *3095:A 0
40 *1:11 *3095:A 0.000129158
41 *275:19 *439:14 0.000314044
42 *275:21 *439:14 6.64392e-05
43 *356:34 *3095:A 0
44 *435:25 *439:14 0.000614179
45 *437:15 *3095:A 8.27079e-07
46 *437:15 *439:29 4.98477e-06
*RES
1 *3094:X *439:14 32.2428
2 *439:14 *439:17 5.12694
3 *439:17 *3125:C 9.97254
4 *439:17 *439:29 4.56945
5 *439:29 *3095:A 34.29
6 *439:29 *3135:C 9.24915
7 *439:14 *3130:C 20.571
*END
*D_NET *440 0.00402214
*CONN
*I *3114:C I *D sky130_fd_sc_hd__and3_1
*I *3119:C I *D sky130_fd_sc_hd__and3_1
*I *3096:C I *D sky130_fd_sc_hd__and3_1
*I *3102:C I *D sky130_fd_sc_hd__and3_1
*I *3109:C I *D sky130_fd_sc_hd__and3_1
*I *3095:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3114:C 0.000227922
2 *3119:C 1.77692e-05
3 *3096:C 0
4 *3102:C 0.00014327
5 *3109:C 0
6 *3095:X 0.000225983
7 *440:39 0.000523285
8 *440:29 0.000418062
9 *440:11 0.000328664
10 *440:5 0.000551845
11 *3102:C *3102:A 6.50727e-05
12 *3102:C *3111:B1 9.61186e-05
13 *3114:C *3116:B1 5.04829e-06
14 *3114:C *638:26 0
15 *3114:C *689:46 0.000278358
16 *3119:C *3119:A 4.97109e-06
17 *440:5 *3119:A 1.96574e-05
18 *440:11 *3096:A 0.000147899
19 *440:29 *3119:A 1.37871e-06
20 *440:39 *3119:A 6.77276e-05
21 *3093:A *440:5 6.23875e-05
22 *3093:A *440:11 0
23 *3093:A *440:29 9.40969e-05
24 *3095:A *440:5 2.65667e-05
25 *3119:B *440:39 2.51716e-06
26 *149:11 *3102:C 0.000328067
27 *149:11 *440:11 3.79145e-06
28 *272:8 *440:11 0.000378054
29 *438:29 *440:39 3.62662e-06
*RES
1 *3095:X *440:5 12.7456
2 *440:5 *440:11 16.0803
3 *440:11 *3109:C 9.24915
4 *440:11 *3102:C 15.0363
5 *440:5 *440:29 2.48366
6 *440:29 *3096:C 9.24915
7 *440:29 *440:39 4.88895
8 *440:39 *3119:C 9.88212
9 *440:39 *3114:C 24.6095
*END
*D_NET *441 0.00242513
*CONN
*I *3097:B2 I *D sky130_fd_sc_hd__o22a_1
*I *3096:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *3097:B2 0
2 *3096:X 0.00070836
3 *441:22 0.00070836
4 *441:22 *3096:A 0
5 *441:22 *3101:A2 0.000288367
6 *441:22 *3101:B1 0.000111812
7 *441:22 *3153:A 3.46411e-05
8 *441:22 *3315:A0 1.51748e-05
9 *441:22 *684:68 8.60155e-05
10 *441:22 *689:46 0
11 *441:22 *717:7 0.000171273
12 *441:22 *748:27 9.14834e-05
13 *3093:A *441:22 7.43034e-05
14 *3097:A2 *441:22 7.36117e-05
15 *296:57 *441:22 6.1726e-05
*RES
1 *3096:X *441:22 45.3442
2 *441:22 *3097:B2 9.24915
*END
*D_NET *442 0.0014924
*CONN
*I *3101:A2 I *D sky130_fd_sc_hd__o211a_1
*I *3097:X O *D sky130_fd_sc_hd__o22a_1
*CAP
1 *3101:A2 0.000222121
2 *3097:X 0.000222121
3 *3101:A2 *3101:B1 3.41459e-05
4 *3101:A2 *3137:B2 0.000169107
5 *3101:A2 *3153:A 0.000103022
6 *3101:A2 *638:26 2.33103e-06
7 *3101:A2 *689:46 5.62122e-05
8 *3101:A1 *3101:A2 6.08467e-05
9 *3503:A *3101:A2 0.000258222
10 *148:20 *3101:A2 3.77804e-05
11 *435:25 *3101:A2 3.8122e-05
12 *441:22 *3101:A2 0.000288367
*RES
1 *3097:X *3101:A2 37.1777
*END
*D_NET *443 0.00439095
*CONN
*I *3099:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3128:B I *D sky130_fd_sc_hd__or2_1
*I *3138:B I *D sky130_fd_sc_hd__or2_1
*I *3133:B I *D sky130_fd_sc_hd__or2_1
*I *3098:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *3099:A 0.000249877
2 *3128:B 0
3 *3138:B 0.000209802
4 *3133:B 0.000364844
5 *3098:Y 0.000152044
6 *443:31 0.000400883
7 *443:20 0.000626763
8 *443:6 0.000782844
9 *3099:A *3128:A 5.55213e-05
10 *3099:A *3129:B1 5.20546e-06
11 *3099:A *444:40 2.32702e-05
12 *3138:B *467:11 9.98519e-05
13 *443:6 *3279:A 9.03272e-05
14 *443:20 *3279:A 2.39535e-05
15 *443:20 *685:25 0.000141225
16 *443:20 *688:25 0
17 *443:31 *3124:C1 0
18 *443:31 *3129:B1 3.55296e-05
19 *443:31 *464:37 0
20 *3081:A *443:31 6.51725e-05
21 *3129:A1 *3138:B 6.50586e-05
22 *3129:A1 *443:20 0.000167076
23 *153:10 *443:20 0.000111708
24 *424:23 *3133:B 0.000113308
25 *424:34 *3133:B 5.04829e-06
26 *424:34 *443:6 0.000235011
27 *424:34 *443:20 5.56367e-05
28 *425:8 *443:20 0.000191526
29 *425:29 *443:20 0.000113374
30 *425:29 *443:31 6.08697e-06
*RES
1 *3098:Y *443:6 18.4879
2 *443:6 *3133:B 19.4881
3 *443:6 *443:20 14.1175
4 *443:20 *3138:B 14.4094
5 *443:20 *443:31 13.3235
6 *443:31 *3128:B 9.24915
7 *443:31 *3099:A 15.0122
*END
*D_NET *444 0.00589386
*CONN
*I *3122:B I *D sky130_fd_sc_hd__or2_1
*I *3117:B I *D sky130_fd_sc_hd__or2_1
*I *3112:B I *D sky130_fd_sc_hd__or2_1
*I *3107:B I *D sky130_fd_sc_hd__or2_1
*I *3100:B I *D sky130_fd_sc_hd__or2_1
*I *3099:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3122:B 0.000251466
2 *3117:B 6.52794e-05
3 *3112:B 0.000213879
4 *3107:B 2.40228e-05
5 *3100:B 0.000115041
6 *3099:X 0
7 *444:40 0.0005844
8 *444:19 0.000546879
9 *444:7 0.000652063
10 *444:4 0.0004957
11 *3100:B *796:DIODE 7.20173e-06
12 *3100:B *3100:A 0
13 *3100:B *548:9 0
14 *3100:B *706:82 4.78771e-05
15 *3107:B *548:15 4.88955e-05
16 *3107:B *548:27 6.50727e-05
17 *3107:B *575:11 4.58003e-05
18 *3112:B *3284:A2 4.57241e-06
19 *3112:B *3284:C1 0.000148129
20 *3112:B *3286:C1 0.000442093
21 *3112:B *3452:CLK 5.22654e-06
22 *3112:B *548:12 2.95757e-05
23 *3112:B *652:51 3.66465e-05
24 *3112:B *683:101 1.25165e-05
25 *3117:B *3117:A 0.000111722
26 *3117:B *577:17 6.50727e-05
27 *3122:B *3124:B1 0.000138279
28 *3122:B *3124:C1 0.000245779
29 *3122:B *577:17 9.22013e-06
30 *444:19 *796:DIODE 5.2164e-05
31 *444:19 *3100:A 0
32 *444:19 *3281:A 1.1965e-05
33 *444:19 *3282:A2 9.22013e-06
34 *444:19 *3282:B1 9.60216e-05
35 *444:19 *3284:A2 2.36813e-05
36 *444:19 *548:12 0.000213341
37 *444:40 *3124:C1 0.000220077
38 *824:DIODE *3122:B 9.04224e-05
39 *3099:A *444:40 2.32702e-05
40 *3138:A *3100:B 0
41 *399:9 *444:7 1.00846e-05
42 *399:9 *444:40 1.03403e-05
43 *399:24 *444:7 6.43174e-05
44 *399:123 *3122:B 0
45 *407:12 *3122:B 9.55672e-05
46 *407:12 *444:40 0.000218456
47 *426:18 *3122:B 0.000271044
48 *426:43 *3112:B 7.14746e-05
*RES
1 *3099:X *444:4 9.24915
2 *444:4 *444:7 7.99641
3 *444:7 *3100:B 16.8269
4 *444:7 *444:19 8.96456
5 *444:19 *3107:B 15.0271
6 *444:19 *3112:B 22.3968
7 *444:4 *444:40 11.3501
8 *444:40 *3117:B 15.5817
9 *444:40 *3122:B 23.506
*END
*D_NET *445 0.00707059
*CONN
*I *3101:B1 I *D sky130_fd_sc_hd__o211a_1
*I *3100:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *3101:B1 0.000770984
2 *3100:X 0.000338321
3 *445:10 0.0011093
4 *3101:B1 *2902:B 6.08467e-05
5 *3101:B1 *3153:A 2.99978e-05
6 *445:10 *2902:B 6.96846e-05
7 *445:10 *467:11 0.000422382
8 *2906:S *3101:B1 5.08751e-05
9 *2910:A2 *3101:B1 0.000217937
10 *3088:A *3101:B1 1.92172e-05
11 *3097:A2 *3101:B1 0.000508556
12 *3101:A2 *3101:B1 3.41459e-05
13 *3153:B *3101:B1 0.000699854
14 *282:108 *445:10 0
15 *332:49 *3101:B1 0.00126448
16 *399:24 *3101:B1 0.00125598
17 *433:5 *3101:B1 0.000106215
18 *441:22 *3101:B1 0.000111812
*RES
1 *3100:X *445:10 24.6868
2 *445:10 *3101:B1 42.5736
*END
*D_NET *446 0.00127532
*CONN
*I *3106:B1 I *D sky130_fd_sc_hd__o22a_1
*I *3102:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *3106:B1 0.000301771
2 *3102:X 0.000301771
3 *3106:B1 *3106:B2 0.000127164
4 *3106:B1 *3111:B1 0.00041745
5 *3106:B1 *638:26 0.000127164
*RES
1 *3102:X *3106:B1 34.3512
*END
*D_NET *447 0.00364645
*CONN
*I *3115:A2 I *D sky130_fd_sc_hd__a21o_1
*I *3126:A2 I *D sky130_fd_sc_hd__a21o_1
*I *3105:A2 I *D sky130_fd_sc_hd__a21o_1
*I *3110:A2 I *D sky130_fd_sc_hd__a21o_1
*I *3120:A2 I *D sky130_fd_sc_hd__a21o_1
*I *3103:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *3115:A2 0.000137675
2 *3126:A2 8.8523e-05
3 *3105:A2 0
4 *3110:A2 0.000118999
5 *3120:A2 9.71685e-06
6 *3103:X 0.000167782
7 *447:21 0.000143649
8 *447:20 0.000113173
9 *447:18 0.000300479
10 *447:9 0.000340303
11 *3110:A2 *3106:B2 0.000164843
12 *3110:A2 *3110:B1 0.000113717
13 *3110:A2 *3126:B1 6.24819e-05
14 *3110:A2 *3127:B2 9.14669e-05
15 *3110:A2 *448:22 3.01683e-06
16 *3115:A2 *3115:B1 0
17 *3115:A2 *3120:A1 0.000160384
18 *3115:A2 *3273:A 0
19 *3115:A2 *684:91 9.40969e-05
20 *3120:A2 *3120:A1 0.000122378
21 *3120:A2 *692:32 0.000118166
22 *3126:A2 *3126:B1 6.8304e-05
23 *3126:A2 *3127:B2 2.16355e-05
24 *3126:A2 *3448:CLK 0
25 *3126:A2 *639:15 6.5475e-05
26 *447:9 *3120:A1 5.49825e-05
27 *447:9 *448:6 0
28 *447:9 *548:41 0.000106006
29 *447:9 *692:32 0.000213725
30 *447:9 *692:40 3.67708e-05
31 *447:18 *3115:B1 0
32 *447:18 *3120:A1 0.000202283
33 *447:18 *448:15 0
34 *447:21 *3126:B1 0.000171273
35 *447:21 *3127:B2 3.59302e-05
36 *272:17 *3115:A2 0.000220183
37 *426:43 *3126:A2 9.9028e-05
*RES
1 *3103:X *447:9 23.7141
2 *447:9 *3120:A2 10.5271
3 *447:9 *447:18 8.40826
4 *447:18 *447:20 4.5
5 *447:20 *447:21 1.8326
6 *447:21 *3110:A2 15.0122
7 *447:21 *3105:A2 9.24915
8 *447:20 *3126:A2 13.903
9 *447:18 *3115:A2 19.2141
*END
*D_NET *448 0.00287983
*CONN
*I *3115:B1 I *D sky130_fd_sc_hd__a21o_1
*I *3126:B1 I *D sky130_fd_sc_hd__a21o_1
*I *3110:B1 I *D sky130_fd_sc_hd__a21o_1
*I *3105:B1 I *D sky130_fd_sc_hd__a21o_1
*I *3120:B1 I *D sky130_fd_sc_hd__a21o_1
*I *3104:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *3115:B1 0.000140942
2 *3126:B1 0.000101068
3 *3110:B1 0.000207948
4 *3105:B1 0
5 *3120:B1 2.31637e-05
6 *3104:X 7.46869e-05
7 *448:22 0.000328412
8 *448:17 1.93962e-05
9 *448:15 0.000290444
10 *448:6 0.000247352
11 *3110:B1 *3106:B2 3.40423e-05
12 *3115:B1 *3116:B2 3.08133e-05
13 *3115:B1 *3273:A 3.77659e-05
14 *3115:B1 *684:91 7.60137e-05
15 *3120:B1 *692:32 6.50727e-05
16 *3126:B1 *3448:CLK 0
17 *3126:B1 *639:15 0.000385968
18 *448:6 *3120:A1 0
19 *448:6 *3127:B2 0.000172676
20 *448:6 *548:41 5.39463e-05
21 *448:15 *3116:B2 1.75625e-05
22 *448:15 *3127:B2 0.000127196
23 *3110:A2 *3110:B1 0.000113717
24 *3110:A2 *3126:B1 6.24819e-05
25 *3110:A2 *448:22 3.01683e-06
26 *3115:A2 *3115:B1 0
27 *3126:A2 *3126:B1 6.8304e-05
28 *272:17 *3115:B1 2.65667e-05
29 *447:9 *448:6 0
30 *447:18 *3115:B1 0
31 *447:18 *448:15 0
32 *447:21 *3126:B1 0.000171273
*RES
1 *3104:X *448:6 16.8269
2 *448:6 *3120:B1 14.4725
3 *448:6 *448:15 3.90826
4 *448:15 *448:17 4.5
5 *448:17 *3105:B1 9.24915
6 *448:17 *448:22 0.578717
7 *448:22 *3110:B1 14.4335
8 *448:22 *3126:B1 14.9881
9 *448:15 *3115:B1 17.7138
*END
*D_NET *449 0.0022547
*CONN
*I *3106:B2 I *D sky130_fd_sc_hd__o22a_1
*I *3105:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *3106:B2 0.000473497
2 *3105:X 0.000473497
3 *3106:B2 *3111:B2 0.000114584
4 *3106:B2 *3156:B 0.000110701
5 *3106:B2 *638:26 8.3314e-05
6 *3106:B2 *684:68 6.07931e-05
7 *3106:B2 *692:17 0.000366617
8 *823:DIODE *3106:B2 6.78549e-05
9 *3106:B1 *3106:B2 0.000127164
10 *3110:A2 *3106:B2 0.000164843
11 *3110:B1 *3106:B2 3.40423e-05
12 *426:55 *3106:B2 0.000177787
*RES
1 *3105:X *3106:B2 44.1891
*END
*D_NET *450 0.00106245
*CONN
*I *3108:A2 I *D sky130_fd_sc_hd__o211a_1
*I *3106:X O *D sky130_fd_sc_hd__o22a_1
*CAP
1 *3108:A2 0.000284661
2 *3106:X 0.000284661
3 *3108:A2 *3111:B1 2.03443e-05
4 *3108:A2 *638:26 0.000163982
5 *3108:A2 *689:46 0
6 *3108:C1 *3108:A2 4.48869e-05
7 *149:11 *3108:A2 0.000160617
8 *426:51 *3108:A2 6.50727e-05
9 *426:55 *3108:A2 3.82228e-05
*RES
1 *3106:X *3108:A2 35.8026
*END
*D_NET *451 0.00668167
*CONN
*I *3108:B1 I *D sky130_fd_sc_hd__o211a_1
*I *3107:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *3108:B1 6.74894e-05
2 *3107:X 0.00196367
3 *451:11 0.00203116
4 *3108:B1 *3111:B1 2.16355e-05
5 *451:11 *847:DIODE 0.000268954
6 *451:11 *3116:B1 2.61028e-05
7 *451:11 *3116:B2 0.000458365
8 *451:11 *3283:B 6.92705e-05
9 *451:11 *3440:CLK 0.000632126
10 *451:11 *548:27 0.0004849
11 *451:11 *672:7 0.000165521
12 *451:11 *683:88 2.8182e-06
13 *451:11 *692:40 0.000167076
14 *3104:A *451:11 1.43848e-05
15 *3106:A2 *451:11 6.78364e-06
16 *3108:C1 *3108:B1 6.08467e-05
17 *322:42 *3108:B1 0.000118485
18 *399:72 *3108:B1 0.000122083
*RES
1 *3107:X *451:11 48.4963
2 *451:11 *3108:B1 16.7198
*END
*D_NET *452 0.00281303
*CONN
*I *3111:B1 I *D sky130_fd_sc_hd__o22a_1
*I *3109:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *3111:B1 0.000875868
2 *3109:X 0.000875868
3 *3111:B1 *3102:A 6.92705e-05
4 *3111:B1 *692:32 3.46386e-05
5 *3102:C *3111:B1 9.61186e-05
6 *3106:B1 *3111:B1 0.00041745
7 *3108:A2 *3111:B1 2.03443e-05
8 *3108:B1 *3111:B1 2.16355e-05
9 *3108:C1 *3111:B1 7.92757e-06
10 *149:11 *3111:B1 0.000227558
11 *322:42 *3111:B1 5.28741e-05
12 *426:51 *3111:B1 1.75155e-06
13 *426:55 *3111:B1 0.000111722
*RES
1 *3109:X *3111:B1 37.7889
*END
*D_NET *453 0.000598111
*CONN
*I *3111:B2 I *D sky130_fd_sc_hd__o22a_1
*I *3110:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *3111:B2 0.000161786
2 *3110:X 0.000161786
3 *3111:B2 *637:22 0.000143017
4 *3106:B2 *3111:B2 0.000114584
5 *3111:A2 *3111:B2 1.69371e-05
6 *399:72 *3111:B2 0
*RES
1 *3110:X *3111:B2 31.4388
*END
*D_NET *454 0.0061279
*CONN
*I *3113:A2 I *D sky130_fd_sc_hd__o211a_1
*I *3111:X O *D sky130_fd_sc_hd__o22a_1
*CAP
1 *3113:A2 0
2 *3111:X 0.000823837
3 *454:7 0.000823837
4 *454:7 *3274:A2 0.000161234
5 *454:7 *3284:A2 7.92757e-06
6 *454:7 *3284:B1 0.000596142
7 *454:7 *3515:A 0.000161075
8 *454:7 *548:27 0.000130001
9 *454:7 *652:5 0.000383703
10 *454:7 *652:51 0.000680974
11 *454:7 *692:32 0.000984545
12 *824:DIODE *454:7 3.3298e-05
13 *2910:A1 *454:7 4.0752e-05
14 *3448:D *454:7 6.92705e-05
15 *399:123 *454:7 2.65831e-05
16 *426:43 *454:7 0.000534612
17 *426:51 *454:7 0.000670112
*RES
1 *3111:X *454:7 46.4558
2 *454:7 *3113:A2 9.24915
*END
*D_NET *455 0.000244954
*CONN
*I *3113:B1 I *D sky130_fd_sc_hd__o211a_1
*I *3112:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *3113:B1 0.000121589
2 *3112:X 0.000121589
3 *3113:B1 *3286:C1 0
4 *824:DIODE *3113:B1 0
5 *150:8 *3113:B1 0
6 *399:123 *3113:B1 1.77537e-06
*RES
1 *3112:X *3113:B1 30.1608
*END
*D_NET *456 0.00105122
*CONN
*I *3116:B1 I *D sky130_fd_sc_hd__o22a_1
*I *3114:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *3116:B1 0.000347527
2 *3114:X 0.000347527
3 *3116:B1 *3116:B2 1.05106e-05
4 *3106:A2 *3116:B1 0.000314507
5 *3114:C *3116:B1 5.04829e-06
6 *451:11 *3116:B1 2.61028e-05
*RES
1 *3114:X *3116:B1 27.589
*END
*D_NET *457 0.00184022
*CONN
*I *3116:B2 I *D sky130_fd_sc_hd__o22a_1
*I *3115:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *3116:B2 0.000407562
2 *3115:X 0.000407562
3 *3116:B2 *3121:B2 9.24241e-05
4 *3116:B2 *3127:B2 0.000109819
5 *3116:B2 *486:8 0.00015046
6 *3106:A2 *3116:B2 3.95036e-05
7 *3115:B1 *3116:B2 3.08133e-05
8 *3116:B1 *3116:B2 1.05106e-05
9 *299:59 *3116:B2 6.36477e-05
10 *433:28 *3116:B2 5.19897e-05
11 *448:15 *3116:B2 1.75625e-05
12 *451:11 *3116:B2 0.000458365
*RES
1 *3115:X *3116:B2 41.7133
*END
*D_NET *458 0.000691112
*CONN
*I *3118:A2 I *D sky130_fd_sc_hd__o211a_1
*I *3116:X O *D sky130_fd_sc_hd__o22a_1
*CAP
1 *3118:A2 0.000137409
2 *3116:X 0.000137409
3 *3106:A2 *3118:A2 0.000111722
4 *296:57 *3118:A2 0.000150551
5 *399:36 *3118:A2 3.65419e-06
6 *399:72 *3118:A2 0.000150366
*RES
1 *3116:X *3118:A2 31.8357
*END
*D_NET *459 0.00664661
*CONN
*I *3118:B1 I *D sky130_fd_sc_hd__o211a_1
*I *3117:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *3118:B1 0.000193335
2 *3117:X 0.00130537
3 *459:15 0.0014987
4 *3118:B1 *3121:B1 0.00038033
5 *3118:B1 *3121:B2 1.67404e-05
6 *459:15 *3091:A1 2.41483e-05
7 *459:15 *3121:B1 1.09551e-05
8 *459:15 *3124:A2 0.000313495
9 *459:15 *3245:A0 6.97364e-05
10 *459:15 *3245:A1 0.000118796
11 *459:15 *3245:S 1.92172e-05
12 *459:15 *3246:B 0.000128091
13 *459:15 *3282:A1 5.44989e-05
14 *459:15 *3282:A2 3.40114e-06
15 *459:15 *3365:CLK 0.000769987
16 *459:15 *548:9 8.26891e-05
17 *459:15 *551:26 8.64351e-05
18 *459:15 *632:19 0.000274082
19 *459:15 *682:41 6.54019e-05
20 *822:DIODE *3118:B1 0.00016553
21 *3121:A1 *3118:B1 0.000107496
22 *3365:D *459:15 0.000446232
23 *399:27 *3118:B1 0.000416878
24 *399:27 *459:15 1.41689e-05
25 *399:101 *3118:B1 6.08467e-05
26 *407:15 *459:15 1.22938e-05
27 *407:20 *459:15 7.75049e-06
*RES
1 *3117:X *459:15 46.4317
2 *459:15 *3118:B1 18.9366
*END
*D_NET *460 0.00171924
*CONN
*I *3121:B1 I *D sky130_fd_sc_hd__o22a_1
*I *3119:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *3121:B1 0.000622549
2 *3119:X 0.000622549
3 *3121:B1 *3121:B2 1.47046e-05
4 *3118:A1 *3121:B1 2.99291e-05
5 *3118:B1 *3121:B1 0.00038033
6 *3121:A1 *3121:B1 3.82228e-05
7 *459:15 *3121:B1 1.09551e-05
*RES
1 *3119:X *3121:B1 32.7492
*END
*D_NET *461 0.00110006
*CONN
*I *3121:B2 I *D sky130_fd_sc_hd__o22a_1
*I *3120:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *3121:B2 0.000269157
2 *3120:X 0.000269157
3 *3121:B2 *3127:B2 7.08276e-05
4 *3121:B2 *486:8 0.000334641
5 *3121:B2 *692:32 3.24105e-05
6 *3116:B2 *3121:B2 9.24241e-05
7 *3118:B1 *3121:B2 1.67404e-05
8 *3121:B1 *3121:B2 1.47046e-05
*RES
1 *3120:X *3121:B2 35.4902
*END
*D_NET *462 0.00614022
*CONN
*I *3124:A2 I *D sky130_fd_sc_hd__o211a_1
*I *3121:X O *D sky130_fd_sc_hd__o22a_1
*CAP
1 *3124:A2 0.00249431
2 *3121:X 0.00249431
3 *3124:A2 *3091:A1 0.000111722
4 *3124:A2 *3124:B1 6.28168e-05
5 *3124:A2 *575:6 0
6 *3124:A2 *577:17 9.67782e-05
7 *3124:A2 *691:12 0.000263436
8 *825:DIODE *3124:A2 6.08467e-05
9 *3103:A *3124:A2 4.69495e-06
10 *3104:A *3124:A2 1.9101e-05
11 *399:27 *3124:A2 0.000113968
12 *426:6 *3124:A2 7.93468e-05
13 *426:18 *3124:A2 2.5386e-05
14 *439:14 *3124:A2 0
15 *459:15 *3124:A2 0.000313495
*RES
1 *3121:X *3124:A2 48.7617
*END
*D_NET *463 0.000884316
*CONN
*I *3124:B1 I *D sky130_fd_sc_hd__o211a_1
*I *3122:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *3124:B1 0.000291027
2 *3122:X 0.000291027
3 *3124:B1 *3122:A 2.63704e-05
4 *3124:B1 *577:17 3.31733e-05
5 *824:DIODE *3124:B1 8.11294e-06
6 *3122:B *3124:B1 0.000138279
7 *3124:A2 *3124:B1 6.28168e-05
8 *426:18 *3124:B1 3.35091e-05
*RES
1 *3122:X *3124:B1 33.242
*END
*D_NET *464 0.00860743
*CONN
*I *3134:C1 I *D sky130_fd_sc_hd__o211a_1
*I *3124:C1 I *D sky130_fd_sc_hd__o211a_1
*I *3129:C1 I *D sky130_fd_sc_hd__o211a_1
*I *3139:C1 I *D sky130_fd_sc_hd__o211a_1
*I *3225:C1 I *D sky130_fd_sc_hd__o211a_1
*I *3123:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3134:C1 1.55075e-05
2 *3124:C1 0.000263474
3 *3129:C1 0
4 *3139:C1 0
5 *3225:C1 0.000639161
6 *3123:X 0
7 *464:37 0.00036709
8 *464:34 0.000199571
9 *464:28 0.000662266
10 *464:5 0.00122098
11 *3124:C1 *3129:B1 0.000148129
12 *3124:C1 *577:17 0.000264374
13 *3225:C1 *3225:A2 2.18348e-05
14 *3225:C1 *541:11 0.00157649
15 *464:28 *3075:A_N 5.26124e-05
16 *464:28 *3139:A2 4.94179e-05
17 *464:28 *548:9 1.54795e-05
18 *464:28 *573:11 0.000231794
19 *464:28 *692:120 0.00010233
20 *464:28 *706:82 0
21 *464:37 *3134:B1 1.77537e-06
22 *3075:B *464:28 0
23 *3081:A *3124:C1 0
24 *3122:B *3124:C1 0.000245779
25 *3139:A1 *3134:C1 6.50727e-05
26 *3139:A1 *464:28 4.48847e-05
27 *3139:A1 *464:34 0.000260374
28 *3227:A *3225:C1 4.32488e-05
29 *3227:A *464:28 3.67528e-06
30 *3326:B *3225:C1 0.000778395
31 *3432:D *3225:C1 6.12686e-06
32 *155:7 *464:28 4.58003e-05
33 *155:7 *464:34 2.99978e-05
34 *230:71 *3225:C1 0
35 *273:19 *3225:C1 0.000254881
36 *398:16 *464:28 3.60501e-05
37 *407:12 *3124:C1 5.47392e-05
38 *407:12 *464:37 0.000163997
39 *424:11 *464:28 5.91067e-05
40 *425:29 *464:37 0
41 *430:10 *3225:C1 7.92757e-06
42 *430:17 *3225:C1 0.00045501
43 *443:31 *3124:C1 0
44 *443:31 *464:37 0
45 *444:40 *3124:C1 0.000220077
*RES
1 *3123:X *464:5 13.7491
2 *464:5 *3225:C1 40.1685
3 *464:5 *464:28 21.0296
4 *464:28 *3139:C1 9.24915
5 *464:28 *464:34 2.94181
6 *464:34 *464:37 7.57775
7 *464:37 *3129:C1 13.7491
8 *464:37 *3124:C1 25.0342
9 *464:34 *3134:C1 9.97254
*END
*D_NET *465 0.00324148
*CONN
*I *3127:B1 I *D sky130_fd_sc_hd__o22a_1
*I *3125:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *3127:B1 0.000539278
2 *3125:X 0.000539278
3 *3127:B1 *3091:A1 6.404e-05
4 *3127:B1 *3132:B1 1.42249e-05
5 *3127:B1 *3132:B2 0.000106529
6 *3127:B1 *3137:B1 8.17268e-05
7 *3127:B1 *3137:B2 2.18035e-05
8 *3091:B1 *3127:B1 1.27402e-05
9 *3137:A2 *3127:B1 0.000456114
10 *3503:A *3127:B1 0.000462023
11 *299:59 *3127:B1 1.18934e-05
12 *322:42 *3127:B1 4.45535e-05
13 *432:9 *3127:B1 4.81452e-05
14 *434:17 *3127:B1 2.16355e-05
15 *434:25 *3127:B1 5.98836e-05
16 *437:11 *3127:B1 0.000757609
*RES
1 *3125:X *3127:B1 47.983
*END
*D_NET *466 0.00255949
*CONN
*I *3127:B2 I *D sky130_fd_sc_hd__o22a_1
*I *3126:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *3127:B2 0.000812082
2 *3126:X 0.000812082
3 *3127:B2 *486:8 0
4 *3127:B2 *548:41 0.000130918
5 *3088:A *3127:B2 4.97209e-05
6 *3110:A2 *3127:B2 9.14669e-05
7 *3116:B2 *3127:B2 0.000109819
8 *3121:B2 *3127:B2 7.08276e-05
9 *3126:A2 *3127:B2 2.16355e-05
10 *3503:A *3127:B2 8.01687e-05
11 *399:24 *3127:B2 4.49637e-05
12 *433:18 *3127:B2 0
13 *447:21 *3127:B2 3.59302e-05
14 *448:6 *3127:B2 0.000172676
15 *448:15 *3127:B2 0.000127196
*RES
1 *3126:X *3127:B2 48.0545
*END
*D_NET *467 0.00537834
*CONN
*I *3129:A2 I *D sky130_fd_sc_hd__o211a_1
*I *3127:X O *D sky130_fd_sc_hd__o22a_1
*CAP
1 *3129:A2 0
2 *3127:X 0.00119976
3 *467:11 0.00119976
4 *467:11 *2902:C_N 0.000519481
5 *467:11 *3091:A1 1.03403e-05
6 *467:11 *3100:A 0.000138563
7 *2905:C *467:11 0.000213725
8 *3138:B *467:11 9.98519e-05
9 *3272:S *467:11 0.000429417
10 *230:71 *467:11 0.00113896
11 *435:13 *467:11 6.11359e-06
12 *445:10 *467:11 0.000422382
*RES
1 *3127:X *467:11 45.6842
2 *467:11 *3129:A2 9.24915
*END
*D_NET *468 0.000494018
*CONN
*I *3129:B1 I *D sky130_fd_sc_hd__o211a_1
*I *3128:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *3129:B1 0.000152577
2 *3128:X 0.000152577
3 *3099:A *3129:B1 5.20546e-06
4 *3124:C1 *3129:B1 0.000148129
5 *443:31 *3129:B1 3.55296e-05
*RES
1 *3128:X *3129:B1 30.8842
*END
*D_NET *469 0.00172893
*CONN
*I *3132:B1 I *D sky130_fd_sc_hd__o22a_1
*I *3130:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *3132:B1 0.000709883
2 *3130:X 0.000709883
3 *3132:B1 *3132:B2 9.12416e-06
4 *3132:B1 *3439:CLK 0.000101539
5 *3127:B1 *3132:B1 1.42249e-05
6 *3439:D *3132:B1 4.71924e-05
7 *299:59 *3132:B1 0.000131377
8 *309:66 *3132:B1 5.70383e-06
*RES
1 *3130:X *3132:B1 39.2032
*END
*D_NET *470 0.00206614
*CONN
*I *3132:B2 I *D sky130_fd_sc_hd__o22a_1
*I *3131:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *3132:B2 0.000577654
2 *3131:X 0.000577654
3 *3127:B1 *3132:B2 0.000106529
4 *3132:B1 *3132:B2 9.12416e-06
5 *299:59 *3132:B2 2.90106e-05
6 *434:25 *3132:B2 0.00075045
7 *435:25 *3132:B2 1.5714e-05
*RES
1 *3131:X *3132:B2 38.2334
*END
*D_NET *471 0.00771954
*CONN
*I *3134:A2 I *D sky130_fd_sc_hd__o211a_1
*I *3132:X O *D sky130_fd_sc_hd__o22a_1
*CAP
1 *3134:A2 0.00178684
2 *3132:X 0.00178684
3 *3134:A2 *3134:B1 2.02035e-05
4 *3134:A2 *3137:A1 6.25838e-06
5 *3134:A2 *3139:A2 0.00349437
6 *3134:A2 *640:9 0.00017164
7 *3134:A2 *640:98 0.00034073
8 *3134:A2 *640:150 8.09681e-05
9 *3127:A1 *3134:A2 4.19401e-06
10 *3134:A1 *3134:A2 4.80635e-06
11 *299:59 *3134:A2 2.26985e-05
*RES
1 *3132:X *3134:A2 41.6154
*END
*D_NET *472 0.000437803
*CONN
*I *3134:B1 I *D sky130_fd_sc_hd__o211a_1
*I *3133:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *3134:B1 0.000171676
2 *3133:X 0.000171676
3 *3134:B1 *688:25 3.20069e-06
4 *3134:A2 *3134:B1 2.02035e-05
5 *407:12 *3134:B1 0
6 *425:8 *3134:B1 6.92705e-05
7 *464:37 *3134:B1 1.77537e-06
*RES
1 *3133:X *3134:B1 30.8842
*END
*D_NET *473 0.00150353
*CONN
*I *3137:B1 I *D sky130_fd_sc_hd__o22a_1
*I *3135:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *3137:B1 0.000441838
2 *3135:X 0.000441838
3 *3137:B1 *3132:A1 0
4 *3137:B1 *3137:B2 0.000255577
5 *3125:C *3137:B1 2.40723e-05
6 *3127:B1 *3137:B1 8.17268e-05
7 *3137:A2 *3137:B1 7.92757e-06
8 *437:11 *3137:B1 1.65872e-05
9 *437:13 *3137:B1 2.65667e-05
10 *437:15 *3137:B1 0.000120546
11 *439:17 *3137:B1 1.20742e-05
12 *439:29 *3137:B1 7.47793e-05
*RES
1 *3135:X *3137:B1 30.1209
*END
*D_NET *474 0.00207246
*CONN
*I *3137:B2 I *D sky130_fd_sc_hd__o22a_1
*I *3136:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *3137:B2 0.000372115
2 *3136:X 0.000372115
3 *3137:B2 *638:26 6.74182e-05
4 *3137:B2 *684:68 0
5 *3137:B2 *689:46 0
6 *3101:A2 *3137:B2 0.000169107
7 *3127:B1 *3137:B2 2.18035e-05
8 *3130:C *3137:B2 3.67528e-06
9 *3136:A2 *3137:B2 0.000171273
10 *3136:B1 *3137:B2 5.99691e-05
11 *3137:A2 *3137:B2 4.95146e-05
12 *3137:B1 *3137:B2 0.000255577
13 *3503:A *3137:B2 0.000259585
14 *434:25 *3137:B2 5.22909e-05
15 *435:25 *3137:B2 0.000218017
16 *439:14 *3137:B2 0
*RES
1 *3136:X *3137:B2 42.0298
*END
*D_NET *475 0.00919173
*CONN
*I *3139:A2 I *D sky130_fd_sc_hd__o211a_1
*I *3137:X O *D sky130_fd_sc_hd__o22a_1
*CAP
1 *3139:A2 0.00128603
2 *3137:X 0.00128603
3 *3139:A2 *3132:A1 2.26985e-05
4 *3139:A2 *3137:A1 5.02786e-05
5 *3139:A2 *3139:B1 1.91246e-05
6 *3139:A2 *548:9 8.28675e-06
7 *3097:A1 *3139:A2 1.91246e-05
8 *3134:A2 *3139:A2 0.00349437
9 *3139:A1 *3139:A2 9.95922e-06
10 *439:14 *3139:A2 0.00294641
11 *464:28 *3139:A2 4.94179e-05
*RES
1 *3137:X *3139:A2 42.2164
*END
*D_NET *476 0.000382246
*CONN
*I *3139:B1 I *D sky130_fd_sc_hd__o211a_1
*I *3138:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *3139:B1 0.000120892
2 *3138:X 0.000120892
3 *3139:B1 *3100:A 2.57847e-05
4 *3139:B1 *548:9 2.04806e-05
5 *3139:B1 *706:82 0
6 *3138:A *3139:B1 7.50722e-05
7 *3139:A2 *3139:B1 1.91246e-05
*RES
1 *3138:X *3139:B1 30.4689
*END
*D_NET *477 0.00392004
*CONN
*I *3143:A1 I *D sky130_fd_sc_hd__a22o_1
*I *3142:A I *D sky130_fd_sc_hd__nor2_1
*I *3144:A I *D sky130_fd_sc_hd__nor2_1
*I *3145:B I *D sky130_fd_sc_hd__and3_1
*I *3148:A1 I *D sky130_fd_sc_hd__o21ai_1
*I *3140:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *3143:A1 7.43691e-05
2 *3142:A 0.000142593
3 *3144:A 0
4 *3145:B 0.000290899
5 *3148:A1 0.000314191
6 *3140:X 0
7 *477:22 0.000552884
8 *477:21 0.000404578
9 *477:19 0.000261734
10 *477:4 0.000501557
11 *3142:A *2895:C 0
12 *3142:A *3143:A2 0.000172676
13 *3142:A *744:20 0
14 *3148:A1 *2895:A 0.000113968
15 *3148:A1 *3149:B 0.000224381
16 *3148:A1 *744:20 5.67722e-05
17 *477:19 *2895:A 0.000207266
18 *477:22 *2895:A 0
19 *477:22 *3147:B1 3.77804e-05
20 *477:22 *743:22 0
21 *3146:A2 *477:22 0
22 *3150:A2 *3148:A1 6.25467e-05
23 *3401:D *477:19 0.000118128
24 *265:14 *3145:B 0
25 *265:14 *477:22 0
26 *321:11 *477:22 0
27 *358:35 *3142:A 0
28 *358:35 *477:22 0
29 *393:17 *3145:B 0.000383717
*RES
1 *3140:X *477:4 9.24915
2 *477:4 *3148:A1 27.2346
3 *477:4 *477:19 5.71483
4 *477:19 *477:21 4.5
5 *477:21 *477:22 6.39977
6 *477:22 *3145:B 19.2169
7 *477:22 *3144:A 13.7491
8 *477:21 *3142:A 17.2421
9 *477:19 *3143:A1 11.1059
*END
*D_NET *478 0.00162171
*CONN
*I *3143:A2 I *D sky130_fd_sc_hd__a22o_1
*I *3152:A2 I *D sky130_fd_sc_hd__o21ai_1
*I *3150:B1 I *D sky130_fd_sc_hd__a32o_1
*I *3141:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *3143:A2 0.000150819
2 *3152:A2 0
3 *3150:B1 0.000147905
4 *3141:X 0
5 *478:5 0.00036878
6 *478:4 0.000371694
7 *3143:A2 *3143:B1 4.26859e-05
8 *3143:A2 *744:20 0
9 *3150:B1 *2895:C 8.62625e-06
10 *3150:B1 *3149:B 1.94236e-05
11 *3150:B1 *3150:A3 3.85049e-05
12 *3150:B1 *3152:B1 2.65831e-05
13 *3150:B1 *744:10 0
14 *3150:B1 *744:20 4.18989e-05
15 *478:5 *3152:B1 0.000171456
16 *3142:A *3143:A2 0.000172676
17 *3142:B *3143:A2 1.05746e-05
18 *3403:D *3150:B1 0
19 *358:35 *3143:A2 1.36177e-05
20 *358:48 *3143:A2 3.64684e-05
*RES
1 *3141:X *478:4 9.24915
2 *478:4 *478:5 4.05102
3 *478:5 *3150:B1 22.0811
4 *478:5 *3152:A2 9.24915
5 *478:4 *3143:A2 23.8184
*END
*D_NET *479 0.000494484
*CONN
*I *3143:B1 I *D sky130_fd_sc_hd__a22o_1
*I *3142:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *3143:B1 0.000118356
2 *3142:Y 0.000118356
3 *3143:B1 *3149:B 0.000113968
4 *3143:A2 *3143:B1 4.26859e-05
5 *358:35 *3143:B1 0.000101118
*RES
1 *3142:Y *3143:B1 30.6083
*END
*D_NET *480 0.00071774
*CONN
*I *3147:A3 I *D sky130_fd_sc_hd__a32o_1
*I *3144:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *3147:A3 0.000173133
2 *3144:Y 0.000173133
3 *3150:A2 *3147:A3 1.31657e-05
4 *321:11 *3147:A3 7.02172e-06
5 *393:17 *3147:A3 0.000351287
*RES
1 *3144:Y *3147:A3 24.0926
*END
*D_NET *481 0.000471737
*CONN
*I *3146:C1 I *D sky130_fd_sc_hd__a211o_1
*I *3145:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *3146:C1 7.07873e-05
2 *3145:X 7.07873e-05
3 *3146:B1 *3146:C1 6.08467e-05
4 *265:10 *3146:C1 5.05252e-05
5 *265:14 *3146:C1 7.50722e-05
6 *358:27 *3146:C1 2.16355e-05
7 *393:17 *3146:C1 1.07248e-05
8 *393:35 *3146:C1 0.000111358
*RES
1 *3145:X *3146:C1 30.4689
*END
*D_NET *482 0.00103014
*CONN
*I *3147:B1 I *D sky130_fd_sc_hd__a32o_1
*I *3146:X O *D sky130_fd_sc_hd__a211o_1
*CAP
1 *3147:B1 0.000137015
2 *3146:X 0.000137015
3 *3147:B1 *3144:B 0.000171288
4 *321:11 *3147:B1 0.000450476
5 *393:17 *3147:B1 9.65701e-05
6 *477:22 *3147:B1 3.77804e-05
*RES
1 *3146:X *3147:B1 34.2132
*END
*D_NET *483 0.00211624
*CONN
*I *3149:B I *D sky130_fd_sc_hd__nand2_1
*I *3148:Y O *D sky130_fd_sc_hd__o21ai_1
*CAP
1 *3149:B 0.0007339
2 *3148:Y 0.0007339
3 *3149:B *3150:A3 0.000216481
4 *3149:B *744:20 4.91225e-06
5 *3142:B *3149:B 6.92705e-05
6 *3143:B1 *3149:B 0.000113968
7 *3148:A1 *3149:B 0.000224381
8 *3150:B1 *3149:B 1.94236e-05
*RES
1 *3148:Y *3149:B 32.7492
*END
*D_NET *484 0.0012256
*CONN
*I *3150:A3 I *D sky130_fd_sc_hd__a32o_1
*I *3149:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *3150:A3 0.000317262
2 *3149:Y 0.000317262
3 *3150:A3 *744:20 0.00027103
4 *3142:B *3150:A3 6.50586e-05
5 *3149:B *3150:A3 0.000216481
6 *3150:B1 *3150:A3 3.85049e-05
*RES
1 *3149:Y *3150:A3 28.6741
*END
*D_NET *485 0.000818328
*CONN
*I *3152:B1 I *D sky130_fd_sc_hd__o21ai_1
*I *3151:Y O *D sky130_fd_sc_hd__o31ai_1
*CAP
1 *3152:B1 0.000180799
2 *3151:Y 0.000180799
3 *3152:B1 *683:5 0.000219753
4 *3150:B1 *3152:B1 2.65831e-05
5 *3151:A2 *3152:B1 3.08133e-05
6 *3152:A1 *3152:B1 0
7 *358:48 *3152:B1 8.12388e-06
8 *478:5 *3152:B1 0.000171456
*RES
1 *3151:Y *3152:B1 35.321
*END
*D_NET *486 0.0049112
*CONN
*I *3171:S I *D sky130_fd_sc_hd__mux2_1
*I *3154:A I *D sky130_fd_sc_hd__clkbuf_2
*I *3153:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *3171:S 0.000482616
2 *3154:A 1.26312e-05
3 *3153:X 0.000883438
4 *486:8 0.00137869
5 *3154:A *2844:B1 2.65831e-05
6 *3154:A *3155:S 6.50727e-05
7 *3171:S *2841:A2 5.29936e-05
8 *3171:S *3159:A1 0
9 *3171:S *3172:B 6.50586e-05
10 *3171:S *487:16 0
11 *3171:S *487:28 0
12 *3171:S *490:10 2.99929e-05
13 *3171:S *633:15 4.84944e-05
14 *3171:S *637:22 0.000110133
15 *3171:S *638:32 2.99287e-05
16 *486:8 *3110:A1 0.000198737
17 *486:8 *3115:A1 0
18 *486:8 *3153:A 0.000114594
19 *486:8 *3159:A1 0
20 *486:8 *3273:A 0
21 *486:8 *633:15 0.000151741
22 *486:8 *637:22 0.000180447
23 *3097:A2 *486:8 2.32625e-05
24 *3116:B2 *486:8 0.00015046
25 *3121:B2 *486:8 0.000334641
26 *3127:B2 *486:8 0
27 *3153:B *486:8 0.00025447
28 *433:18 *486:8 0.000143032
29 *433:28 *486:8 0.00017419
*RES
1 *3153:X *486:8 38.182
2 *486:8 *3154:A 14.4725
3 *486:8 *3171:S 24.6957
*END
*D_NET *487 0.00431528
*CONN
*I *3162:S I *D sky130_fd_sc_hd__mux2_1
*I *3165:S I *D sky130_fd_sc_hd__mux2_1
*I *3168:S I *D sky130_fd_sc_hd__mux2_1
*I *3159:S I *D sky130_fd_sc_hd__mux2_1
*I *3155:S I *D sky130_fd_sc_hd__mux2_1
*I *3154:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3162:S 6.54212e-05
2 *3165:S 8.34506e-05
3 *3168:S 0.000310921
4 *3159:S 0
5 *3155:S 0.000199208
6 *3154:X 0
7 *487:33 0.000705803
8 *487:28 0.000707172
9 *487:16 0.00052528
10 *487:4 0.000394169
11 *3155:S *2844:B1 2.94729e-05
12 *3155:S *3155:A1 2.99733e-05
13 *3162:S *2842:B1 6.50727e-05
14 *3162:S *2842:B2 0
15 *3162:S *3410:CLK 6.49003e-05
16 *3165:S *3164:A 7.68538e-06
17 *3165:S *3165:A0 5.49045e-05
18 *3168:S *2841:B1 7.98171e-06
19 *3168:S *3163:A 6.08467e-05
20 *3168:S *3164:A 8.50305e-05
21 *3168:S *3166:B 6.92705e-05
22 *3168:S *3266:A0 1.79196e-05
23 *3168:S *637:10 0.000148144
24 *3168:S *688:97 5.39463e-05
25 *487:16 *2844:B1 0.000271044
26 *487:16 *3120:A1 0
27 *487:16 *3159:A1 3.42931e-05
28 *487:16 *634:7 1.92926e-05
29 *487:28 *2841:A2 0
30 *487:28 *3120:A1 0
31 *487:28 *3162:A0 3.54138e-05
32 *487:28 *3410:CLK 0.00011818
33 *487:28 *490:10 0
34 *487:28 *490:21 0
35 *487:33 *2841:A2 0
36 *487:33 *3163:B 0
37 *487:33 *490:21 0
38 *487:33 *635:6 2.82537e-05
39 *487:33 *635:8 1.44611e-05
40 *487:33 *636:8 0
41 *487:33 *636:10 0
42 *3154:A *3155:S 6.50727e-05
43 *3171:S *487:16 0
44 *3171:S *487:28 0
45 *3410:D *487:28 4.27003e-05
*RES
1 *3154:X *487:4 9.24915
2 *487:4 *3155:S 14.2888
3 *487:4 *487:16 10.5196
4 *487:16 *3159:S 13.7491
5 *487:16 *487:28 13.1717
6 *487:28 *487:33 15.815
7 *487:33 *3168:S 27.1811
8 *487:33 *3165:S 11.6605
9 *487:28 *3162:S 11.8293
*END
*D_NET *488 0.00120641
*CONN
*I *3156:B I *D sky130_fd_sc_hd__and2_1
*I *3155:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *3156:B 0.000463914
2 *3155:X 0.000463914
3 *3156:B *637:22 0
4 *3156:B *684:68 3.25751e-05
5 *3156:B *684:132 4.87805e-05
6 *3156:B *692:17 8.65278e-05
7 *3021:A *3156:B 0
8 *3106:B2 *3156:B 0.000110701
*RES
1 *3155:X *3156:B 39.8916
*END
*D_NET *489 0.000733918
*CONN
*I *3157:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3156:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *3157:A 0.000204336
2 *3156:X 0.000204336
3 *3157:A *3405:CLK 0.000144546
4 *3157:A *638:26 2.12377e-05
5 *3157:A *651:20 5.92342e-05
6 *3157:A *689:46 6.14273e-05
7 *3405:D *3157:A 3.88002e-05
*RES
1 *3156:X *3157:A 34.2062
*END
*D_NET *490 0.00618974
*CONN
*I *3160:A I *D sky130_fd_sc_hd__and2_1
*I *3166:A I *D sky130_fd_sc_hd__and2_1
*I *3169:A I *D sky130_fd_sc_hd__and2_1
*I *3163:A I *D sky130_fd_sc_hd__and2_1
*I *3172:A I *D sky130_fd_sc_hd__and2_1
*I *3158:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *3160:A 0.000676989
2 *3166:A 0.000144055
3 *3169:A 0.000604297
4 *3163:A 2.3451e-05
5 *3172:A 0
6 *3158:X 0.000240472
7 *490:32 0.000825845
8 *490:21 0.000377066
9 *490:10 0.00037921
10 *490:7 0.00102055
11 *3160:A *3159:A0 7.13618e-06
12 *3160:A *3160:B 6.25562e-05
13 *3160:A *3161:A 1.1934e-05
14 *3163:A *2841:B1 1.03403e-05
15 *3166:A *688:94 2.93863e-05
16 *3169:A *3168:A1 2.23124e-05
17 *3169:A *3169:B 7.38578e-05
18 *3169:A *688:94 1.01177e-05
19 *490:7 *3161:A 3.14978e-05
20 *490:10 *637:22 0
21 *490:21 *2841:A2 0.000207758
22 *490:21 *3163:B 0.000313692
23 *490:21 *637:10 8.16827e-05
24 *490:21 *637:22 0.000304717
25 *490:32 *3166:B 0
26 *490:32 *637:10 0.000109859
27 *3168:S *3163:A 6.08467e-05
28 *3171:S *490:10 2.99929e-05
29 *3406:D *3160:A 0.000530123
30 *487:28 *490:10 0
31 *487:28 *490:21 0
32 *487:33 *490:21 0
*RES
1 *3158:X *490:7 14.8434
2 *490:7 *490:10 6.74725
3 *490:10 *3172:A 13.7491
4 *490:10 *490:21 11.4561
5 *490:21 *3163:A 14.4725
6 *490:21 *490:32 6.74725
7 *490:32 *3169:A 18.7256
8 *490:32 *3166:A 12.0704
9 *490:7 *3160:A 20.944
*END
*D_NET *491 0.000465002
*CONN
*I *3160:B I *D sky130_fd_sc_hd__and2_1
*I *3159:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *3160:B 9.5974e-05
2 *3159:X 9.5974e-05
3 *3160:B *3159:A0 4.56831e-05
4 *3160:B *3161:A 0.000164815
5 *3160:A *3160:B 6.25562e-05
*RES
1 *3159:X *3160:B 22.4287
*END
*D_NET *492 0.00134792
*CONN
*I *3161:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3160:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *3161:A 0.000240666
2 *3160:X 0.000240666
3 *3161:A *3159:A0 0.000364342
4 *3161:A *3159:A1 0.000164843
5 *3160:A *3161:A 1.1934e-05
6 *3160:B *3161:A 0.000164815
7 *3406:D *3161:A 0.000129157
8 *490:7 *3161:A 3.14978e-05
*RES
1 *3160:X *3161:A 28.1195
*END
*D_NET *493 0.00119558
*CONN
*I *3163:B I *D sky130_fd_sc_hd__and2_1
*I *3162:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *3163:B 0.00032777
2 *3162:X 0.00032777
3 *3163:B *2841:A1 5.41377e-05
4 *3163:B *2841:A2 3.60268e-05
5 *3163:B *2841:B1 7.14746e-05
6 *3163:B *3410:CLK 6.47133e-05
7 *487:33 *3163:B 0
8 *490:21 *3163:B 0.000313692
*RES
1 *3162:X *3163:B 36.0094
*END
*D_NET *494 0.00167952
*CONN
*I *3164:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3163:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *3164:A 0.00027707
2 *3163:X 0.00027707
3 *3164:A *3165:A0 0.000379546
4 *3164:A *3165:A1 0.000224381
5 *3164:A *3517:A 0.000228593
6 *3164:A *654:7 0.00011818
7 *3164:A *687:100 8.19676e-05
8 *3165:S *3164:A 7.68538e-06
9 *3168:S *3164:A 8.50305e-05
*RES
1 *3163:X *3164:A 30.5067
*END
*D_NET *495 0.000387541
*CONN
*I *3166:B I *D sky130_fd_sc_hd__and2_1
*I *3165:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *3166:B 0.000159135
2 *3165:X 0.000159135
3 *3166:B *636:8 0
4 *3166:B *637:10 0
5 *3168:S *3166:B 6.92705e-05
6 *3408:D *3166:B 0
7 *490:32 *3166:B 0
*RES
1 *3165:X *3166:B 30.8842
*END
*D_NET *496 0.000468168
*CONN
*I *3167:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3166:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *3167:A 0.00018573
2 *3166:X 0.00018573
3 *3167:A *830:DIODE 9.67077e-05
4 *3167:A *637:8 0
*RES
1 *3166:X *3167:A 32.1327
*END
*D_NET *497 0.000337595
*CONN
*I *3169:B I *D sky130_fd_sc_hd__and2_1
*I *3168:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *3169:B 0.000116512
2 *3168:X 0.000116512
3 *3169:B *3170:A 3.07133e-05
4 *3169:A *3169:B 7.38578e-05
*RES
1 *3168:X *3169:B 22.4287
*END
*D_NET *498 0.000843514
*CONN
*I *3170:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3169:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *3170:A 0.00033038
2 *3169:X 0.00033038
3 *3169:B *3170:A 3.07133e-05
4 *3409:D *3170:A 0.00015204
*RES
1 *3169:X *3170:A 35.4842
*END
*D_NET *499 0.000428192
*CONN
*I *3172:B I *D sky130_fd_sc_hd__and2_1
*I *3171:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *3172:B 0.000126303
2 *3171:X 0.000126303
3 *3172:B *2841:A2 9.63981e-05
4 *3171:S *3172:B 6.50586e-05
5 *281:52 *3172:B 1.41291e-05
*RES
1 *3171:X *3172:B 22.4287
*END
*D_NET *500 0.000683491
*CONN
*I *3173:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3172:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *3173:A 0.00011268
2 *3172:X 0.00011268
3 *3173:A *3162:A0 3.6455e-05
4 *281:52 *3173:A 0.000421676
*RES
1 *3172:X *3173:A 23.1039
*END
*D_NET *501 0.00831532
*CONN
*I *3191:A1 I *D sky130_fd_sc_hd__o21ai_1
*I *3175:B I *D sky130_fd_sc_hd__nand2_1
*I *3177:B1 I *D sky130_fd_sc_hd__a211o_1
*I *3179:A2 I *D sky130_fd_sc_hd__o21ai_1
*I *3361:B I *D sky130_fd_sc_hd__nor3_1
*I *3174:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *3191:A1 5.31392e-05
2 *3175:B 1.97721e-05
3 *3177:B1 0.00030322
4 *3179:A2 0
5 *3361:B 0.000134605
6 *3174:X 0.000103961
7 *501:34 0.0005522
8 *501:26 0.000535709
9 *501:20 0.00127455
10 *501:8 0.00115348
11 *3175:B *3175:A 4.65954e-06
12 *3177:B1 *3175:A 5.28741e-05
13 *3177:B1 *3177:A2 6.08467e-05
14 *3177:B1 *3177:C1 1.05106e-05
15 *3177:B1 *3178:A1 0.000114594
16 *3177:B1 *3413:CLK 0.000201734
17 *3361:B *659:51 0.000122068
18 *501:8 *659:51 0.000240119
19 *501:20 *3191:B1 9.82896e-06
20 *501:26 *3179:B1 0.000477015
21 *501:26 *3183:A1 4.56831e-05
22 *501:26 *659:61 0.000123582
23 *501:26 *686:18 3.58457e-05
24 *501:34 *3175:A 0.000103026
25 *501:34 *3179:A1 0.000324166
26 *501:34 *3183:A1 0.000118166
27 *2857:B *3361:B 7.89747e-05
28 *2886:B *3361:B 7.34948e-06
29 *3177:A1 *3177:B1 2.54098e-05
30 *3192:C1 *501:20 0.000764036
31 *3192:D1 *501:20 0.000103022
32 *228:7 *3361:B 9.5562e-05
33 *229:15 *3361:B 2.65667e-05
34 *229:20 *3361:B 0.000118485
35 *229:20 *501:8 0.000248745
36 *233:11 *3191:A1 3.51034e-05
37 *233:17 *3191:A1 1.61631e-05
38 *233:17 *501:20 5.73392e-05
39 *236:13 *501:20 3.15893e-05
40 *282:74 *501:8 5.04829e-06
41 *335:24 *3177:B1 1.97262e-05
42 *335:24 *501:26 0.000162218
43 *335:24 *501:34 4.89898e-06
44 *348:8 *3177:B1 0
45 *349:8 *3177:B1 0.000339738
*RES
1 *3174:X *501:8 18.7961
2 *501:8 *3361:B 18.9382
3 *501:8 *501:20 19.6431
4 *501:20 *501:26 19.7351
5 *501:26 *3179:A2 9.24915
6 *501:26 *501:34 7.40275
7 *501:34 *3177:B1 29.5868
8 *501:34 *3175:B 9.82786
9 *501:20 *3191:A1 11.13
*END
*D_NET *502 0.000583045
*CONN
*I *3178:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *3175:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *3178:A1 0.000228137
2 *3175:Y 0.000228137
3 *3177:B1 *3178:A1 0.000114594
4 *335:24 *3178:A1 1.21771e-05
*RES
1 *3175:Y *3178:A1 21.9947
*END
*D_NET *503 0.00911804
*CONN
*I *3189:A1 I *D sky130_fd_sc_hd__a211o_1
*I *3186:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *3181:A1 I *D sky130_fd_sc_hd__a31o_1
*I *3177:A2 I *D sky130_fd_sc_hd__a211o_1
*I *3358:A3 I *D sky130_fd_sc_hd__a311oi_1
*I *3176:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3189:A1 1.5751e-05
2 *3186:A1 0
3 *3181:A1 0
4 *3177:A2 0.000280127
5 *3358:A3 1.24136e-05
6 *3176:X 6.96778e-05
7 *503:39 0.000484471
8 *503:34 0.000580931
9 *503:26 0.00188994
10 *503:6 0.00157969
11 *3177:A2 *3178:A2 2.44829e-05
12 *3189:A1 *3189:B1 1.09551e-05
13 *3358:A3 *2900:A 0.000118166
14 *3358:A3 *3358:C1 0.000118166
15 *503:6 *3358:A2 7.50872e-05
16 *503:6 *3363:A2 0
17 *503:6 *711:10 8.92568e-06
18 *503:6 *780:6 0.000137921
19 *503:26 *869:DIODE 0.000164704
20 *503:26 *2855:A 0.00034171
21 *503:26 *3189:B1 6.92705e-05
22 *503:26 *3189:C1 7.26888e-05
23 *503:26 *3190:A2 9.63981e-05
24 *503:26 *3414:CLK 1.03403e-05
25 *503:26 *780:6 0.000169093
26 *503:26 *785:5 1.04187e-05
27 *503:34 *3187:A2 4.31988e-05
28 *503:34 *3190:A2 7.97944e-05
29 *2855:B *503:26 7.5729e-05
30 *2940:A1 *3177:A2 2.65831e-05
31 *2947:C *3177:A2 0.0002243
32 *2978:A *3177:A2 0
33 *3177:A1 *3177:A2 6.91184e-05
34 *3177:B1 *3177:A2 6.08467e-05
35 *3186:A2 *503:39 0.000316224
36 *3189:A2 *3189:A1 1.09551e-05
37 *3189:A2 *503:34 0.000987973
38 *3189:A2 *503:39 3.14645e-05
39 *3363:A1 *503:6 0
40 *3363:A1 *503:26 0
41 *3414:D *503:26 3.18826e-06
42 *155:10 *503:26 0
43 *230:62 *503:26 7.92757e-06
44 *238:5 *503:26 0.000319954
45 *263:8 *503:26 4.89898e-06
46 *273:14 *503:26 0
47 *309:20 *3177:A2 0.000259486
48 *317:6 *3177:A2 1.07248e-05
49 *317:6 *503:39 5.85446e-05
50 *349:8 *3177:A2 4.02903e-05
51 *349:8 *503:34 0
52 *349:8 *503:39 0.000145506
*RES
1 *3176:X *503:6 16.4116
2 *503:6 *3358:A3 15.0271
3 *503:6 *503:26 38.6218
4 *503:26 *503:34 21.4311
5 *503:34 *503:39 11.2135
6 *503:39 *3177:A2 23.6842
7 *503:39 *3181:A1 13.7491
8 *503:34 *3186:A1 9.24915
9 *503:26 *3189:A1 9.82786
*END
*D_NET *504 0.00074861
*CONN
*I *3178:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *3177:X O *D sky130_fd_sc_hd__a211o_1
*CAP
1 *3178:A2 0.000276274
2 *3177:X 0.000276274
3 *3178:A2 *656:64 0
4 *2978:A *3178:A2 0
5 *3177:A2 *3178:A2 2.44829e-05
6 *3411:D *3178:A2 3.92275e-05
7 *345:8 *3178:A2 0
8 *349:8 *3178:A2 0.000132352
*RES
1 *3177:X *3178:A2 34.3456
*END
*D_NET *505 0.00105852
*CONN
*I *3183:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *3179:Y O *D sky130_fd_sc_hd__o21ai_1
*CAP
1 *3183:A1 0.000268471
2 *3179:Y 0.000268471
3 *3183:A1 *3183:A2 1.07248e-05
4 *3183:A1 *684:8 0.00010193
5 *3183:A1 *734:37 8.82459e-05
6 *3412:D *3183:A1 0.000156823
7 *501:26 *3183:A1 4.56831e-05
8 *501:34 *3183:A1 0.000118166
*RES
1 *3179:Y *3183:A1 35.87
*END
*D_NET *506 0.00276393
*CONN
*I *3185:B I *D sky130_fd_sc_hd__or2_1
*I *3184:B I *D sky130_fd_sc_hd__and2_1
*I *3181:B1 I *D sky130_fd_sc_hd__a31o_1
*I *3180:X O *D sky130_fd_sc_hd__or3_1
*CAP
1 *3185:B 5.68053e-05
2 *3184:B 0
3 *3181:B1 0.000325893
4 *3180:X 0.000136565
5 *506:19 0.000201792
6 *506:8 0.000607445
7 *3181:B1 *3183:A2 0.000718891
8 *3181:B1 *3187:A1 4.62844e-05
9 *3181:B1 *3413:CLK 4.87439e-05
10 *3185:B *3185:A 0.000169041
11 *3185:B *510:8 0.000169041
12 *3185:B *784:5 1.92172e-05
13 *3185:B *784:17 1.92336e-05
14 *506:8 *3180:B 3.67528e-06
15 *506:8 *734:30 6.77448e-05
16 *506:19 *3187:A1 1.00981e-05
17 *506:19 *784:5 0.000144695
18 *3413:D *3181:B1 1.87611e-05
19 *341:8 *506:8 0
*RES
1 *3180:X *506:8 21.3269
2 *506:8 *3181:B1 19.9795
3 *506:8 *506:19 4.62973
4 *506:19 *3184:B 9.24915
5 *506:19 *3185:B 12.191
*END
*D_NET *507 0.00290602
*CONN
*I *3183:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *3181:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *3183:A2 0.00089682
2 *3181:X 0.00089682
3 *3183:A2 *684:8 0.000182812
4 *3183:A2 *734:30 0.00017156
5 *3183:A2 *734:37 7.8756e-07
6 *3181:A2 *3183:A2 2.61955e-05
7 *3181:B1 *3183:A2 0.000718891
8 *3183:A1 *3183:A2 1.07248e-05
9 *309:20 *3183:A2 1.4091e-06
*RES
1 *3181:X *3183:A2 45.8501
*END
*D_NET *508 0.00944792
*CONN
*I *3232:C1 I *D sky130_fd_sc_hd__a211o_1
*I *3190:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *3193:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *3202:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *3183:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *3182:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3232:C1 0.000739398
2 *3190:B1 0
3 *3193:B1 0
4 *3202:B1 0.000543289
5 *3183:B1 0.000210445
6 *3182:X 0.000129399
7 *508:21 0.000834899
8 *508:17 0.000545813
9 *508:8 0.000548379
10 *508:7 0.000952527
11 *3183:B1 *782:39 0.000224395
12 *3202:B1 *3179:B1 0.000148144
13 *3202:B1 *3202:A1 3.14978e-05
14 *3202:B1 *3417:CLK 9.23856e-05
15 *3202:B1 *686:12 0.000646251
16 *3202:B1 *686:18 1.72799e-05
17 *3202:B1 *783:19 0.00027273
18 *3232:C1 *820:DIODE 1.62928e-05
19 *3232:C1 *3230:C1 2.53145e-06
20 *3232:C1 *3232:A1 0.000426157
21 *3232:C1 *3234:A1 5.84166e-05
22 *3232:C1 *3234:A2 0.000111722
23 *3232:C1 *3234:B1 5.88052e-06
24 *3232:C1 *3449:CLK 0
25 *3232:C1 *536:55 0.000317707
26 *3232:C1 *541:11 3.21413e-05
27 *3232:C1 *541:13 5.03419e-05
28 *3232:C1 *686:18 0.000479408
29 *3232:C1 *686:75 0.000232731
30 *508:8 *686:18 0
31 *508:17 *3190:A1 0.000336155
32 *508:17 *3193:A1 1.07248e-05
33 *508:17 *3414:CLK 0.000176737
34 *508:17 *659:56 0.00030352
35 *508:17 *686:18 7.00269e-05
36 *2967:B *3232:C1 8.01987e-05
37 *2967:B *508:8 0.000123597
38 *3412:D *3183:B1 2.41274e-06
39 *3414:D *508:17 3.83819e-05
40 *3415:D *508:17 4.97617e-05
41 *3415:D *508:21 1.41976e-05
42 *3416:D *3202:B1 0.000207394
43 *3436:D *3232:C1 0.000144072
44 *233:11 *508:17 2.82537e-05
45 *335:10 *508:7 6.50586e-05
46 *335:10 *508:8 0
47 *335:10 *508:17 0
48 *341:8 *3202:B1 0
49 *402:79 *508:7 0.000127271
*RES
1 *3182:X *508:7 17.2456
2 *508:7 *508:8 2.6625
3 *508:8 *508:17 20.2727
4 *508:17 *508:21 1.85672
5 *508:21 *3183:B1 14.964
6 *508:21 *3202:B1 38.6604
7 *508:17 *3193:B1 9.24915
8 *508:8 *3190:B1 13.7491
9 *508:7 *3232:C1 39.0397
*END
*D_NET *509 0.00144522
*CONN
*I *3187:A1 I *D sky130_fd_sc_hd__o21a_1
*I *3184:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *3187:A1 0.000492787
2 *3184:X 0.000492787
3 *3187:A1 *684:8 0.000127164
4 *3187:A1 *784:5 0.000222149
5 *3181:B1 *3187:A1 4.62844e-05
6 *348:8 *3187:A1 5.39463e-05
7 *506:19 *3187:A1 1.00981e-05
*RES
1 *3184:X *3187:A1 36.5696
*END
*D_NET *510 0.00299267
*CONN
*I *3188:B I *D sky130_fd_sc_hd__nand2_1
*I *3189:B1 I *D sky130_fd_sc_hd__a211o_1
*I *3186:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *3185:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *3188:B 4.56503e-05
2 *3189:B1 3.90481e-05
3 *3186:B1 0.000421112
4 *3185:X 0
5 *510:8 0.000652696
6 *510:4 0.000238186
7 *3188:B *3185:A 4.80635e-06
8 *3188:B *3188:A 6.08467e-05
9 *3188:B *3190:A1 3.83172e-05
10 *3188:B *659:55 0.000164815
11 *3189:B1 *3189:C1 1.35212e-05
12 *510:8 *3185:A 4.33655e-05
13 *510:8 *3190:A1 0.000162583
14 *510:8 *659:55 1.92336e-05
15 *510:8 *686:18 5.54078e-05
16 *3185:B *510:8 0.000169041
17 *3189:A1 *3189:B1 1.09551e-05
18 *3189:A2 *3186:B1 0.000782786
19 *3189:A2 *3189:B1 1.02993e-06
20 *341:8 *510:8 0
21 *503:26 *3189:B1 6.92705e-05
*RES
1 *3185:X *510:4 9.24915
2 *510:4 *510:8 10.7983
3 *510:8 *3186:B1 24.6552
4 *510:8 *3189:B1 15.0513
5 *510:4 *3188:B 11.6605
*END
*D_NET *511 0.00108768
*CONN
*I *3187:A2 I *D sky130_fd_sc_hd__o21a_1
*I *3186:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *3187:A2 0.000271681
2 *3186:Y 0.000271681
3 *3413:D *3187:A2 0.000134872
4 *282:19 *3187:A2 2.20702e-05
5 *282:36 *3187:A2 7.34948e-06
6 *348:8 *3187:A2 0.000336827
7 *349:8 *3187:A2 0
8 *503:34 *3187:A2 4.31988e-05
*RES
1 *3186:Y *3187:A2 35.1761
*END
*D_NET *512 0.00164607
*CONN
*I *3190:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *3188:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *3190:A1 0.000381802
2 *3188:Y 0.000381802
3 *3190:A1 *3188:A 0.000111722
4 *3190:A1 *3190:A2 0.000153225
5 *3190:A1 *686:18 8.04608e-05
6 *3188:B *3190:A1 3.83172e-05
7 *508:17 *3190:A1 0.000336155
8 *510:8 *3190:A1 0.000162583
*RES
1 *3188:Y *3190:A1 37.5338
*END
*D_NET *513 0.00103124
*CONN
*I *3190:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *3189:X O *D sky130_fd_sc_hd__a211o_1
*CAP
1 *3190:A2 0.000274298
2 *3189:X 0.000274298
3 *3190:A2 *686:18 0.000153225
4 *3190:A1 *3190:A2 0.000153225
5 *503:26 *3190:A2 9.63981e-05
6 *503:34 *3190:A2 7.97944e-05
*RES
1 *3189:X *3190:A2 34.2118
*END
*D_NET *514 0.000755253
*CONN
*I *3193:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *3191:Y O *D sky130_fd_sc_hd__o21ai_1
*CAP
1 *3193:A1 0.000148692
2 *3191:Y 0.000148692
3 *3193:A1 *3193:A2 0.00020899
4 *3193:A1 *659:56 1.5254e-05
5 *233:11 *3193:A1 0.0002229
6 *508:17 *3193:A1 1.07248e-05
*RES
1 *3191:Y *3193:A1 32.2693
*END
*D_NET *515 0.0009027
*CONN
*I *3193:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *3192:X O *D sky130_fd_sc_hd__a2111o_1
*CAP
1 *3193:A2 0.000145542
2 *3192:X 0.000145542
3 *3193:A2 *3191:B1 0.000171273
4 *3193:A2 *659:61 0.000169093
5 *3193:A1 *3193:A2 0.00020899
6 *233:11 *3193:A2 2.88561e-05
7 *233:17 *3193:A2 3.34025e-05
*RES
1 *3192:X *3193:A2 33.5179
*END
*D_NET *516 0.00716008
*CONN
*I *3196:A1 I *D sky130_fd_sc_hd__a21o_1
*I *3201:B I *D sky130_fd_sc_hd__or3b_1
*I *3199:B I *D sky130_fd_sc_hd__nand2_1
*I *3208:C I *D sky130_fd_sc_hd__or3_1
*I *3194:X O *D sky130_fd_sc_hd__or4_2
*CAP
1 *3196:A1 7.47734e-05
2 *3201:B 0.000323399
3 *3199:B 0
4 *3208:C 0.00106597
5 *3194:X 0.000407417
6 *516:12 0.00122713
7 *516:10 0.000661691
8 *516:8 0.000659325
9 *3208:C *2885:B 2.52287e-06
10 *3208:C *3201:A 5.22654e-06
11 *3208:C *3208:A 2.91008e-06
12 *3208:C *3208:B 0.000189456
13 *3208:C *3400:CLK 6.08467e-05
14 *3208:C *686:12 8.43674e-05
15 *3208:C *788:5 8.35699e-06
16 *3208:C *788:8 0
17 *3208:C *789:13 0.000159728
18 *516:8 *3196:A2 0.000217951
19 *516:8 *659:61 9.28816e-05
20 *516:8 *686:12 4.79303e-05
21 *516:8 *686:18 1.44611e-05
22 *516:10 *659:61 3.42931e-05
23 *516:10 *686:12 6.4554e-05
24 *516:12 *2851:B 0
25 *516:12 *3201:A 7.06474e-05
26 *516:12 *686:12 1.29348e-05
27 *516:12 *788:8 0
28 *2852:D *516:10 0
29 *2885:C *3208:C 8.28869e-05
30 *2885:D *3208:C 3.14978e-05
31 *2885:D *516:12 0
32 *3194:D *516:8 0.000629908
33 *3196:B1 *3196:A1 0.000113968
34 *3204:A2 *3208:C 0.000119625
35 *335:24 *516:8 0.000169742
36 *363:31 *3201:B 0.000523679
*RES
1 *3194:X *516:8 26.6999
2 *516:8 *516:10 4.73876
3 *516:10 *516:12 4.32351
4 *516:12 *3208:C 36.5005
5 *516:12 *3199:B 13.7491
6 *516:10 *3201:B 19.464
7 *516:8 *3196:A1 15.0271
*END
*D_NET *517 0.00106698
*CONN
*I *3196:A2 I *D sky130_fd_sc_hd__a21o_1
*I *3195:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *3196:A2 0.000213229
2 *3195:Y 0.000213229
3 *3196:A2 *659:61 8.92568e-06
4 *3196:B1 *3196:A2 0.000202342
5 *3197:A1 *3196:A2 2.41483e-05
6 *233:25 *3196:A2 0.000187156
7 *516:8 *3196:A2 0.000217951
*RES
1 *3195:Y *3196:A2 33.826
*END
*D_NET *518 0.000392807
*CONN
*I *3197:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *3196:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *3197:B1 9.17876e-05
2 *3196:X 9.17876e-05
3 *3197:A1 *3197:B1 0.000209232
*RES
1 *3196:X *3197:B1 20.8855
*END
*D_NET *519 0.00409104
*CONN
*I *3208:B I *D sky130_fd_sc_hd__or3_1
*I *3204:A1 I *D sky130_fd_sc_hd__o31ai_1
*I *3203:B I *D sky130_fd_sc_hd__or4_1
*I *3199:A I *D sky130_fd_sc_hd__nand2_1
*I *3201:A I *D sky130_fd_sc_hd__or3b_1
*I *3198:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *3208:B 0.000152076
2 *3204:A1 4.44562e-05
3 *3203:B 0
4 *3199:A 0
5 *3201:A 0.000223535
6 *3198:X 0
7 *519:38 0.000386217
8 *519:29 0.000648713
9 *519:11 0.000457391
10 *519:4 0.000692882
11 *3201:A *686:12 0.000179271
12 *3204:A1 *709:12 0
13 *3204:A1 *789:19 0
14 *3208:B *3400:CLK 6.08467e-05
15 *519:11 *3198:A 6.50586e-05
16 *519:11 *3202:A1 3.14978e-05
17 *519:29 *789:23 5.20545e-05
18 *519:38 *789:23 2.42138e-05
19 *3203:C *519:29 9.40969e-05
20 *3203:C *519:38 2.65831e-05
21 *3204:A2 *3208:B 8.91253e-05
22 *3204:A3 *3208:B 0.00016553
23 *3204:A3 *519:38 1.47102e-05
24 *3206:A2 *3201:A 0.00041745
25 *3208:C *3201:A 5.22654e-06
26 *3208:C *3208:B 0.000189456
27 *259:10 *3204:A1 0
28 *259:10 *519:38 0
29 *516:12 *3201:A 7.06474e-05
*RES
1 *3198:X *519:4 9.24915
2 *519:4 *519:11 5.93185
3 *519:11 *3201:A 25.9325
4 *519:11 *3199:A 9.24915
5 *519:4 *519:29 7.668
6 *519:29 *3203:B 9.24915
7 *519:29 *519:38 8.1646
8 *519:38 *3204:A1 14.7506
9 *519:38 *3208:B 18.9335
*END
*D_NET *520 0.000388633
*CONN
*I *3202:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *3199:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *3202:A1 0.000162819
2 *3199:Y 0.000162819
3 *3202:B1 *3202:A1 3.14978e-05
4 *519:11 *3202:A1 3.14978e-05
*RES
1 *3199:Y *3202:A1 22.5734
*END
*D_NET *521 0.000512693
*CONN
*I *3201:C_N I *D sky130_fd_sc_hd__or3b_1
*I *3200:X O *D sky130_fd_sc_hd__or4b_1
*CAP
1 *3201:C_N 0.000197257
2 *3200:X 0.000197257
3 *3201:C_N *3417:CLK 0
4 *3201:C_N *684:8 0
5 *3200:A *3201:C_N 0.00011818
*RES
1 *3200:X *3201:C_N 31.4388
*END
*D_NET *522 0.000255752
*CONN
*I *3202:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *3201:X O *D sky130_fd_sc_hd__or3b_1
*CAP
1 *3202:A2 6.24876e-05
2 *3201:X 6.24876e-05
3 *3202:A2 *3417:CLK 0.000130777
*RES
1 *3201:X *3202:A2 29.7455
*END
*D_NET *523 0.000536361
*CONN
*I *3206:B1 I *D sky130_fd_sc_hd__a221oi_1
*I *3203:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *3206:B1 0.000206943
2 *3203:X 0.000206943
3 *3206:B1 *3206:B2 4.65954e-06
4 *3206:B1 *789:19 2.99287e-05
5 *261:10 *3206:B1 0
6 *262:21 *3206:B1 7.41676e-05
7 *262:35 *3206:B1 1.37189e-05
*RES
1 *3203:X *3206:B1 31.4951
*END
*D_NET *524 0.0018599
*CONN
*I *3206:B2 I *D sky130_fd_sc_hd__a221oi_1
*I *3204:Y O *D sky130_fd_sc_hd__o31ai_1
*CAP
1 *3206:B2 0.000322815
2 *3204:Y 0.000322815
3 *3206:B2 *3206:C1 0.000110297
4 *3206:B2 *3418:CLK 7.14746e-05
5 *3206:B2 *659:8 0.000144531
6 *3206:B2 *659:10 0.000196638
7 *3206:B2 *707:12 7.77309e-06
8 *3206:B2 *789:13 0.000161234
9 *3206:B1 *3206:B2 4.65954e-06
10 *3418:D *3206:B2 0.000484739
11 *262:21 *3206:B2 7.06733e-06
12 *262:35 *3206:B2 2.58554e-05
*RES
1 *3204:Y *3206:B2 38.9725
*END
*D_NET *525 0.0104479
*CONN
*I *3358:C1 I *D sky130_fd_sc_hd__a311oi_1
*I *3361:A I *D sky130_fd_sc_hd__nor3_1
*I *3356:C1 I *D sky130_fd_sc_hd__a211oi_1
*I *3210:C1 I *D sky130_fd_sc_hd__a211oi_1
*I *3206:C1 I *D sky130_fd_sc_hd__a221oi_1
*I *3205:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3358:C1 0.000299536
2 *3361:A 0
3 *3356:C1 0.000351081
4 *3210:C1 0.000231253
5 *3206:C1 0.00023144
6 *3205:X 0.000538556
7 *525:26 0.00135627
8 *525:14 0.00114015
9 *525:8 0.000295087
10 *525:5 0.000998408
11 *3206:C1 *707:12 0.000140154
12 *3206:C1 *708:12 0.000322335
13 *3210:C1 *3210:B1 3.20069e-06
14 *3356:C1 *660:11 0
15 *3358:C1 *2900:A 0.00016457
16 *3358:C1 *2900:D 0.000207266
17 *3358:C1 *3358:A1 7.7434e-05
18 *525:5 *2900:D 0.000548719
19 *525:8 *707:12 0.00046132
20 *525:8 *708:12 0.00040437
21 *525:14 *707:12 9.4884e-05
22 *525:14 *708:12 0.000255939
23 *2857:A *3210:C1 9.24241e-05
24 *2857:C *525:26 7.24449e-05
25 *3180:C *525:5 6.08167e-05
26 *3206:B2 *3206:C1 0.000110297
27 *3207:A *3210:C1 6.92705e-05
28 *3358:A3 *3358:C1 0.000118166
29 *3399:D *3210:C1 6.44382e-05
30 *3399:D *3356:C1 0.000109048
31 *3418:D *3206:C1 1.65872e-05
32 *3476:D *3358:C1 2.09695e-05
33 *3477:D *525:14 0.000149628
34 *235:43 *525:26 0.00102898
35 *240:7 *3356:C1 2.44829e-05
36 *262:35 *3206:C1 0.000316296
37 *282:65 *525:5 7.02172e-06
38 *363:31 *3210:C1 6.50727e-05
*RES
1 *3205:X *525:5 18.2916
2 *525:5 *525:8 12.976
3 *525:8 *525:14 6.0578
4 *525:14 *3206:C1 23.2301
5 *525:14 *525:26 17.9793
6 *525:26 *3210:C1 24.9571
7 *525:26 *3356:C1 25.5145
8 *525:8 *3361:A 13.7491
9 *525:5 *3358:C1 17.0136
*END
*D_NET *526 0.000577112
*CONN
*I *3210:A1 I *D sky130_fd_sc_hd__a211oi_1
*I *3207:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *3210:A1 0.000157779
2 *3207:Y 0.000157779
3 *3207:A *3210:A1 3.29619e-05
4 *3210:A2 *3210:A1 0.000228593
*RES
1 *3207:Y *3210:A1 21.4401
*END
*D_NET *527 0.00214601
*CONN
*I *3209:B I *D sky130_fd_sc_hd__xor2_1
*I *3211:A2 I *D sky130_fd_sc_hd__o21a_1
*I *3208:X O *D sky130_fd_sc_hd__or3_1
*CAP
1 *3209:B 1.0445e-05
2 *3211:A2 0.000180115
3 *3208:X 0.000715019
4 *527:8 0.000905579
5 *3209:B *3210:B1 0
6 *3211:A2 *3210:B1 0
7 *3211:A2 *3211:A1 5.04829e-06
8 *3211:A2 *790:18 0.000127179
9 *3211:A2 *791:8 2.69064e-05
10 *527:8 *3400:CLK 9.14669e-05
11 *3399:D *3209:B 0
12 *3399:D *527:8 0
13 *3400:D *3211:A2 0
14 *3400:D *527:8 7.22836e-05
15 *363:31 *3211:A2 1.19721e-05
*RES
1 *3208:X *527:8 25.3858
2 *527:8 *3211:A2 19.0694
3 *527:8 *3209:B 14.1278
*END
*D_NET *528 0.000745894
*CONN
*I *3210:B1 I *D sky130_fd_sc_hd__a211oi_1
*I *3209:X O *D sky130_fd_sc_hd__xor2_1
*CAP
1 *3210:B1 0.000156925
2 *3209:X 0.000156925
3 *3210:B1 *790:7 0.000228593
4 *3210:B1 *790:18 0
5 *3207:A *3210:B1 0
6 *3209:B *3210:B1 0
7 *3210:C1 *3210:B1 3.20069e-06
8 *3211:A2 *3210:B1 0
9 *3399:D *3210:B1 0.000200251
*RES
1 *3209:X *3210:B1 33.3785
*END
*D_NET *529 0.000211885
*CONN
*I *3213:A1 I *D sky130_fd_sc_hd__o21a_1
*I *3211:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *3213:A1 4.71591e-05
2 *3211:X 4.71591e-05
3 *262:35 *3213:A1 6.92705e-05
4 *363:31 *3213:A1 4.82966e-05
*RES
1 *3211:X *3213:A1 20.3309
*END
*D_NET *530 0.00266069
*CONN
*I *3213:A2 I *D sky130_fd_sc_hd__o21a_1
*I *3212:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *3213:A2 0.000790035
2 *3212:Y 0.000790035
3 *3212:B *3213:A2 7.50872e-05
4 *3419:D *3213:A2 0.000268812
5 *363:31 *3213:A2 0.000736719
*RES
1 *3212:Y *3213:A2 41.8397
*END
*D_NET *531 0.00180936
*CONN
*I *3215:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3214:X O *D sky130_fd_sc_hd__and2b_1
*CAP
1 *3215:A 0.000665742
2 *3214:X 0.000665742
3 *3215:A *3421:CLK 0.000210479
4 *3214:B *3215:A 0.000267394
*RES
1 *3214:X *3215:A 41.9973
*END
*D_NET *532 0.000479896
*CONN
*I *3217:B I *D sky130_fd_sc_hd__or2_1
*I *3216:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *3217:B 0.000206318
2 *3216:X 0.000206318
3 *240:7 *3217:B 6.72595e-05
*RES
1 *3216:X *3217:B 22.0188
*END
*D_NET *533 0.000676707
*CONN
*I *3218:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3217:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *3218:A 0.000181527
2 *3217:X 0.000181527
3 *837:DIODE *3218:A 0.000113968
4 *3431:D *3218:A 3.00073e-05
5 *240:7 *3218:A 2.15348e-05
6 *240:10 *3218:A 0
7 *407:123 *3218:A 0.000148144
*RES
1 *3217:X *3218:A 31.9934
*END
*D_NET *534 0.00190271
*CONN
*I *3220:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *3231:B I *D sky130_fd_sc_hd__and2_1
*I *3219:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *3220:A 5.66397e-05
2 *3231:B 0.000224649
3 *3219:X 8.998e-05
4 *534:7 0.000371269
5 *3231:B *3232:A2 6.08697e-06
6 *3231:B *538:23 0.000171273
7 *3231:B *538:33 0.000152878
8 *3231:B *691:46 2.7006e-05
9 *3231:B *734:30 0
10 *3090:C *3220:A 7.77309e-06
11 *3090:C *3231:B 1.09738e-05
12 *3219:B *3220:A 0.000122098
13 *3219:B *534:7 6.08467e-05
14 *3219:D *3220:A 0.000127744
15 *3219:D *3231:B 4.79289e-05
16 *3224:A *3231:B 5.04829e-06
17 *309:66 *3231:B 0.000139764
18 *430:19 *534:7 0.000111708
19 *430:24 *534:7 0.000169041
*RES
1 *3219:X *534:7 16.691
2 *534:7 *3231:B 21.1538
3 *534:7 *3220:A 16.4116
*END
*D_NET *535 0.00785993
*CONN
*I *3221:A I *D sky130_fd_sc_hd__clkbuf_2
*I *3241:A2 I *D sky130_fd_sc_hd__o211a_1
*I *3235:B I *D sky130_fd_sc_hd__nand2_1
*I *3238:A2 I *D sky130_fd_sc_hd__o211a_1
*I *3237:B I *D sky130_fd_sc_hd__nand2_1
*I *3220:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *3221:A 0
2 *3241:A2 1.79769e-05
3 *3235:B 2.06324e-05
4 *3238:A2 0.000370943
5 *3237:B 0.00023439
6 *3220:X 0.000100296
7 *535:30 0.000575978
8 *535:28 0.000470754
9 *535:9 0.000596675
10 *535:5 0.000194207
11 *3237:B *819:DIODE 0.000148129
12 *3237:B *2919:A 0.000697954
13 *3237:B *3223:A 0.000218452
14 *3238:A2 *845:DIODE 0
15 *3238:A2 *2920:A2 1.82696e-05
16 *3238:A2 *3238:A1 2.71542e-05
17 *3238:A2 *3438:CLK 9.8407e-05
18 *3238:A2 *640:54 0
19 *3238:A2 *684:67 4.64537e-05
20 *3238:A2 *702:24 2.65831e-05
21 *3241:A2 *3241:A1 1.17376e-05
22 *3241:A2 *548:41 3.01683e-06
23 *535:9 *536:5 3.58208e-05
24 *535:9 *733:5 1.03403e-05
25 *535:28 *819:DIODE 0.000168295
26 *535:28 *3223:A 0.000118485
27 *535:28 *548:41 1.03403e-05
28 *535:28 *702:24 3.82228e-05
29 *535:30 *842:DIODE 6.08467e-05
30 *535:30 *3241:A1 6.37152e-05
31 *535:30 *684:67 0.000211573
32 *535:30 *702:24 0.000254907
33 *3127:A1 *535:28 0.000128001
34 *3235:A *3238:A2 0.000140451
35 *3275:B *535:5 0.000112149
36 *3438:D *3238:A2 1.53125e-05
37 *290:28 *3237:B 2.64238e-05
38 *309:66 *3238:A2 0
39 *355:21 *535:5 0.000466359
40 *355:21 *535:9 0.000158357
41 *355:21 *535:28 0.000359278
42 *355:21 *535:30 0.000408772
43 *355:39 *3238:A2 6.50727e-05
44 *355:39 *535:30 0.000342154
45 *407:43 *3237:B 0.000697954
46 *427:10 *535:28 2.55661e-06
47 *427:15 *535:5 6.49003e-05
48 *427:15 *535:9 2.16355e-05
*RES
1 *3220:X *535:5 14.4094
2 *535:5 *535:9 7.44181
3 *535:9 *3237:B 26.3234
4 *535:9 *535:28 14.1908
5 *535:28 *535:30 10.1517
6 *535:30 *3238:A2 28.4695
7 *535:30 *3235:B 9.82786
8 *535:28 *3241:A2 9.82786
9 *535:5 *3221:A 9.24915
*END
*D_NET *536 0.00787562
*CONN
*I *3225:A2 I *D sky130_fd_sc_hd__o211a_1
*I *3234:A2 I *D sky130_fd_sc_hd__o211a_1
*I *3230:A2 I *D sky130_fd_sc_hd__o211a_1
*I *3228:A2 I *D sky130_fd_sc_hd__o211a_1
*I *3236:A2 I *D sky130_fd_sc_hd__o211a_1
*I *3221:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3225:A2 0.000311649
2 *3234:A2 0.000127189
3 *3230:A2 3.82899e-05
4 *3228:A2 0.000170976
5 *3236:A2 0.000355124
6 *3221:X 0.000347656
7 *536:55 0.00118463
8 *536:25 0.00022665
9 *536:24 0.000986945
10 *536:5 0.000926546
11 *3225:A2 *820:DIODE 0
12 *3225:A2 *3225:B1 2.652e-05
13 *3225:A2 *3232:A2 0
14 *3225:A2 *3234:B1 8.62625e-06
15 *3225:A2 *691:46 1.79807e-05
16 *3228:A2 *3230:A1 0.00011818
17 *3228:A2 *541:19 0.000123301
18 *3228:A2 *541:28 0.000162663
19 *3230:A2 *3230:A1 3.41459e-05
20 *3230:A2 *3230:C1 2.53145e-06
21 *3230:A2 *541:19 1.00846e-05
22 *3234:A2 *3232:A1 2.1203e-06
23 *3234:A2 *3234:B1 0.000112159
24 *3234:A2 *3234:C1 2.53145e-06
25 *3234:A2 *541:11 5.56461e-05
26 *3236:A2 *3132:A1 0.000107496
27 *3236:A2 *3236:B1 3.15947e-05
28 *3236:A2 *3236:C1 6.50586e-05
29 *3236:A2 *733:5 4.57659e-06
30 *536:5 *733:5 3.79844e-06
31 *536:24 *684:51 5.12464e-05
32 *536:55 *3232:A2 3.00073e-05
33 *536:55 *541:13 2.20688e-05
34 *536:55 *541:19 2.20821e-05
35 *3224:A *536:55 5.8547e-05
36 *3225:C1 *3225:A2 2.18348e-05
37 *3232:C1 *3234:A2 0.000111722
38 *3232:C1 *536:55 0.000317707
39 *3434:D *3228:A2 7.08235e-05
40 *290:23 *3236:A2 6.77297e-05
41 *290:28 *536:24 0.000549953
42 *299:59 *3236:A2 8.89366e-05
43 *299:59 *536:24 0.000419145
44 *309:57 *536:55 7.14746e-05
45 *309:66 *536:55 6.08467e-05
46 *322:42 *3236:A2 0
47 *332:43 *3225:A2 0
48 *332:43 *3234:A2 0
49 *332:43 *536:55 9.40969e-05
50 *332:49 *3225:A2 1.18802e-05
51 *355:21 *536:5 0.00020502
52 *535:9 *536:5 3.58208e-05
*RES
1 *3221:X *536:5 14.4094
2 *536:5 *3236:A2 26.5435
3 *536:5 *536:24 19.137
4 *536:24 *536:25 0.578717
5 *536:25 *3228:A2 15.7356
6 *536:25 *3230:A2 10.9612
7 *536:24 *536:55 26.3464
8 *536:55 *3234:A2 17.8531
9 *536:55 *3225:A2 20.0634
*END
*D_NET *537 0.00160989
*CONN
*I *3223:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3239:B I *D sky130_fd_sc_hd__or2_1
*I *3222:Y O *D sky130_fd_sc_hd__nor4_1
*CAP
1 *3223:A 0.000141766
2 *3239:B 0.000149306
3 *3222:Y 0.000200975
4 *537:5 0.000492046
5 *3223:A *734:26 7.31633e-05
6 *3223:A *734:30 6.73739e-06
7 *3239:B *734:26 5.481e-05
8 *537:5 *702:46 1.19751e-05
9 *537:5 *734:26 3.82228e-05
10 *3222:A *537:5 7.92757e-06
11 *3237:B *3223:A 0.000218452
12 *355:21 *3223:A 9.60216e-05
13 *535:28 *3223:A 0.000118485
*RES
1 *3222:Y *537:5 12.191
2 *537:5 *3239:B 11.6605
3 *537:5 *3223:A 24.2337
*END
*D_NET *538 0.00379011
*CONN
*I *3233:B I *D sky130_fd_sc_hd__or2_1
*I *3224:B I *D sky130_fd_sc_hd__or2_1
*I *3232:A2 I *D sky130_fd_sc_hd__a211o_1
*I *3229:B I *D sky130_fd_sc_hd__or2_1
*I *3226:B I *D sky130_fd_sc_hd__or2_1
*I *3223:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3233:B 7.89344e-05
2 *3224:B 0
3 *3232:A2 0.000191346
4 *3229:B 0
5 *3226:B 6.63661e-05
6 *3223:X 6.21065e-05
7 *538:33 0.000107478
8 *538:23 0.000255452
9 *538:11 0.000301602
10 *538:8 0.000332906
11 *3232:A2 *3232:B1 2.74389e-05
12 *3232:A2 *734:30 0
13 *3233:B *3225:B1 0.000169041
14 *3233:B *691:46 0.000122378
15 *538:8 *849:DIODE 6.08697e-06
16 *538:8 *734:30 9.60366e-05
17 *538:11 *3230:B1 1.92336e-05
18 *538:11 *691:46 0.000167076
19 *538:23 *691:46 0.000266832
20 *538:33 *691:46 0.000217951
21 *3224:A *3233:B 0.000106215
22 *3224:A *538:33 2.38485e-05
23 *3225:A2 *3232:A2 0
24 *3229:A *3226:B 0.000110306
25 *3229:A *538:11 5.05502e-05
26 *3231:A *538:11 6.50727e-05
27 *3231:A *538:23 4.88955e-05
28 *3231:B *3232:A2 6.08697e-06
29 *3231:B *538:23 0.000171273
30 *3231:B *538:33 0.000152878
31 *290:28 *538:8 0
32 *299:59 *538:11 0.000307037
33 *309:57 *3232:A2 1.57364e-05
34 *309:66 *538:11 2.1249e-05
35 *309:66 *538:23 7.68538e-06
36 *322:30 *3226:B 6.08467e-05
37 *332:43 *3232:A2 0
38 *332:43 *3233:B 0.000124152
39 *536:55 *3232:A2 3.00073e-05
*RES
1 *3223:X *538:8 20.0811
2 *538:8 *538:11 7.40275
3 *538:11 *3226:B 11.0817
4 *538:11 *3229:B 9.24915
5 *538:8 *538:23 2.94181
6 *538:23 *3232:A2 22.5727
7 *538:23 *538:33 2.38721
8 *538:33 *3224:B 9.24915
9 *538:33 *3233:B 13.8789
*END
*D_NET *539 0.000707504
*CONN
*I *3225:B1 I *D sky130_fd_sc_hd__o211a_1
*I *3224:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *3225:B1 0.000198246
2 *3224:X 0.000198246
3 *3225:B1 *691:46 0
4 *3225:A2 *3225:B1 2.652e-05
5 *3233:B *3225:B1 0.000169041
6 *332:49 *3225:B1 0.000115451
*RES
1 *3224:X *3225:B1 31.5781
*END
*D_NET *540 0.000990896
*CONN
*I *3228:B1 I *D sky130_fd_sc_hd__o211a_1
*I *3226:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *3228:B1 0.00025062
2 *3226:X 0.00025062
3 *3228:B1 *541:28 0.000109812
4 *3228:B1 *688:39 0.00010801
5 *309:66 *3228:B1 0.00025175
6 *322:8 *3228:B1 2.00832e-05
*RES
1 *3226:X *3228:B1 33.1026
*END
*D_NET *541 0.00941027
*CONN
*I *3234:C1 I *D sky130_fd_sc_hd__o211a_1
*I *3230:C1 I *D sky130_fd_sc_hd__o211a_1
*I *3228:C1 I *D sky130_fd_sc_hd__o211a_1
*I *3236:C1 I *D sky130_fd_sc_hd__o211a_1
*I *3238:C1 I *D sky130_fd_sc_hd__o211a_1
*I *3227:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3234:C1 2.19601e-05
2 *3230:C1 1.68072e-05
3 *3228:C1 0
4 *3236:C1 0.000126567
5 *3238:C1 7.83122e-05
6 *3227:X 0.00069515
7 *541:28 0.000584773
8 *541:19 0.000577166
9 *541:13 0.000549319
10 *541:11 0.00105235
11 *3236:C1 *3132:A1 0.000177244
12 *3238:C1 *3132:A1 0
13 *3238:C1 *3438:CLK 0.000158451
14 *3238:C1 *733:10 1.19856e-05
15 *541:11 *820:DIODE 0.000159804
16 *541:11 *3326:D_N 0.000113968
17 *541:11 *607:14 0.000166815
18 *541:13 *3232:A1 0.000180515
19 *541:19 *3230:A1 9.91802e-05
20 *541:28 *3132:A1 8.52802e-05
21 *541:28 *688:39 2.80595e-05
22 *3225:C1 *541:11 0.00157649
23 *3228:A2 *541:19 0.000123301
24 *3228:A2 *541:28 0.000162663
25 *3228:B1 *541:28 0.000109812
26 *3230:A2 *3230:C1 2.53145e-06
27 *3230:A2 *541:19 1.00846e-05
28 *3232:C1 *3230:C1 2.53145e-06
29 *3232:C1 *541:11 3.21413e-05
30 *3232:C1 *541:13 5.03419e-05
31 *3234:A2 *3234:C1 2.53145e-06
32 *3234:A2 *541:11 5.56461e-05
33 *3236:A2 *3236:C1 6.50586e-05
34 *3326:C *541:11 0.000217937
35 *3347:A *541:11 0.000366603
36 *3434:D *541:19 0.000168313
37 *3438:D *3238:C1 0.000209326
38 *195:9 *541:11 0.000417145
39 *290:23 *3236:C1 2.65667e-05
40 *296:34 *541:28 2.39535e-05
41 *296:57 *3236:C1 4.20607e-05
42 *296:57 *541:28 0.000138059
43 *322:8 *541:28 0.000143017
44 *322:42 *3236:C1 1.35264e-05
45 *322:42 *541:28 0.000281097
46 *430:10 *541:11 0.000241675
47 *536:55 *541:13 2.20688e-05
48 *536:55 *541:19 2.20821e-05
*RES
1 *3227:X *541:11 49.5256
2 *541:11 *541:13 8.48785
3 *541:13 *541:19 6.46234
4 *541:19 *541:28 26.0559
5 *541:28 *3238:C1 16.8692
6 *541:28 *3236:C1 18.2831
7 *541:19 *3228:C1 9.24915
8 *541:13 *3230:C1 9.82786
9 *541:11 *3234:C1 9.82786
*END
*D_NET *542 0.000995419
*CONN
*I *3230:B1 I *D sky130_fd_sc_hd__o211a_1
*I *3229:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *3230:B1 0.000123151
2 *3229:X 0.000123151
3 *3230:B1 *849:DIODE 0.000184617
4 *290:28 *3230:B1 0.000179642
5 *299:59 *3230:B1 0.000158357
6 *309:66 *3230:B1 0.000207266
7 *538:11 *3230:B1 1.92336e-05
*RES
1 *3229:X *3230:B1 33.3785
*END
*D_NET *543 0.000605485
*CONN
*I *3232:B1 I *D sky130_fd_sc_hd__a211o_1
*I *3231:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *3232:B1 0.000161811
2 *3231:X 0.000161811
3 *3232:B1 *734:30 0.000136244
4 *3232:A2 *3232:B1 2.74389e-05
5 *309:66 *3232:B1 0.00011818
*RES
1 *3231:X *3232:B1 31.4388
*END
*D_NET *544 0.00080141
*CONN
*I *3234:B1 I *D sky130_fd_sc_hd__o211a_1
*I *3233:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *3234:B1 0.000301553
2 *3233:X 0.000301553
3 *3234:B1 *820:DIODE 0
4 *3234:B1 *3232:A1 4.4196e-06
5 *3234:B1 *3234:A1 3.5534e-06
6 *3225:A2 *3234:B1 8.62625e-06
7 *3232:C1 *3234:B1 5.88052e-06
8 *3233:A *3234:B1 1.37189e-05
9 *3234:A2 *3234:B1 0.000112159
10 *332:43 *3234:B1 4.99469e-05
*RES
1 *3233:X *3234:B1 33.1026
*END
*D_NET *545 0.00144329
*CONN
*I *3236:B1 I *D sky130_fd_sc_hd__o211a_1
*I *3235:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *3236:B1 0.000363815
2 *3235:Y 0.000363815
3 *3236:B1 *3236:A1 0.000172768
4 *3236:B1 *684:67 1.17054e-05
5 *3236:A2 *3236:B1 3.15947e-05
6 *290:23 *3236:B1 7.63448e-05
7 *309:66 *3236:B1 0.000111921
8 *355:39 *3236:B1 0.000311329
*RES
1 *3235:Y *3236:B1 36.2079
*END
*D_NET *546 0.000756207
*CONN
*I *3238:B1 I *D sky130_fd_sc_hd__o211a_1
*I *3237:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *3238:B1 0.000193956
2 *3237:Y 0.000193956
3 *3238:B1 *3238:A1 0.000310034
4 *309:66 *3238:B1 5.8261e-05
*RES
1 *3237:Y *3238:B1 31.9934
*END
*D_NET *547 0.000923494
*CONN
*I *3241:B1 I *D sky130_fd_sc_hd__o211a_1
*I *3239:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *3241:B1 0.000219223
2 *3239:X 0.000219223
3 *3241:B1 *819:DIODE 8.62625e-06
4 *3241:B1 *702:24 0.000121906
5 *3241:B1 *734:10 0.000130532
6 *3127:A1 *3241:B1 1.61631e-05
7 *290:23 *3241:B1 0.000207821
*RES
1 *3239:X *3241:B1 32.548
*END
*D_NET *548 0.0129019
*CONN
*I *3282:C1 I *D sky130_fd_sc_hd__o211a_1
*I *3286:C1 I *D sky130_fd_sc_hd__o211a_1
*I *3284:C1 I *D sky130_fd_sc_hd__o211a_1
*I *3274:C1 I *D sky130_fd_sc_hd__o211a_1
*I *3241:C1 I *D sky130_fd_sc_hd__o211a_1
*I *3240:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3282:C1 0
2 *3286:C1 0.000382574
3 *3284:C1 5.36603e-05
4 *3274:C1 1.63873e-05
5 *3241:C1 0
6 *3240:X 0.000520538
7 *548:41 0.00119078
8 *548:27 0.00184082
9 *548:15 0.000716893
10 *548:12 0.000523792
11 *548:9 0.000632177
12 *3274:C1 *3274:A2 3.01683e-06
13 *3284:C1 *3284:A2 0.000118485
14 *3284:C1 *683:101 1.12605e-05
15 *3286:C1 *3112:A 0.0002817
16 *3286:C1 *3286:A2 7.97304e-06
17 *3286:C1 *3286:B1 5.69578e-06
18 *548:9 *3100:A 8.96809e-05
19 *548:12 *3281:A 8.95758e-05
20 *548:15 *3283:B 0.000107496
21 *548:15 *575:11 7.92757e-06
22 *548:27 *858:DIODE 0.000450071
23 *548:27 *3274:A2 2.29454e-05
24 *548:27 *3283:B 0.000162417
25 *548:27 *3440:CLK 6.08467e-05
26 *548:27 *3515:A 0.000277488
27 *548:27 *652:8 0.000109859
28 *548:27 *652:10 2.95757e-05
29 *548:27 *683:88 0.000239945
30 *548:27 *692:32 2.65667e-05
31 *548:41 *799:DIODE 4.61168e-06
32 *548:41 *858:DIODE 0.000171288
33 *548:41 *3091:A1 0.000340754
34 *548:41 *3120:A1 0.000228593
35 *548:41 *692:32 7.99295e-05
36 *548:41 *692:40 0
37 *2910:A1 *548:27 0.000148666
38 *3087:A *548:41 8.6297e-06
39 *3087:C *548:41 0.000301025
40 *3090:A *548:41 0.000107496
41 *3100:B *548:9 0
42 *3104:A *548:41 0.000110405
43 *3107:B *548:15 4.88955e-05
44 *3107:B *548:27 6.50727e-05
45 *3112:B *3284:C1 0.000148129
46 *3112:B *3286:C1 0.000442093
47 *3112:B *548:12 2.95757e-05
48 *3113:B1 *3286:C1 0
49 *3127:B2 *548:41 0.000130918
50 *3138:A *548:9 0.000101133
51 *3139:A2 *548:9 8.28675e-06
52 *3139:B1 *548:9 2.04806e-05
53 *3241:A2 *548:41 3.01683e-06
54 *3274:A1 *548:27 6.78596e-05
55 *3440:D *548:27 0
56 *3503:A *548:41 0
57 *355:21 *548:41 3.99086e-06
58 *399:9 *548:9 0.000675079
59 *399:24 *548:41 0.000117018
60 *399:123 *3286:C1 4.01437e-05
61 *399:123 *548:9 0.000164017
62 *399:123 *548:12 5.66868e-06
63 *407:12 *548:9 0
64 *407:15 *548:9 7.92757e-06
65 *407:20 *548:9 3.20011e-05
66 *424:11 *548:9 4.39573e-05
67 *435:8 *548:41 0.000168428
68 *444:19 *548:12 0.000213341
69 *447:9 *548:41 0.000106006
70 *448:6 *548:41 5.39463e-05
71 *451:11 *548:27 0.0004849
72 *454:7 *548:27 0.000130001
73 *459:15 *548:9 8.26891e-05
74 *464:28 *548:9 1.54795e-05
75 *535:28 *548:41 1.03403e-05
*RES
1 *3240:X *548:9 36.1717
2 *548:9 *548:12 8.82351
3 *548:12 *548:15 5.778
4 *548:15 *548:27 33.8225
5 *548:27 *548:41 41.8508
6 *548:41 *3241:C1 9.24915
7 *548:27 *3274:C1 9.82786
8 *548:15 *3284:C1 20.9116
9 *548:12 *3286:C1 26.5818
10 *548:9 *3282:C1 9.24915
*END
*D_NET *549 0.00124502
*CONN
*I *3243:B I *D sky130_fd_sc_hd__nand2_1
*I *3326:D_N I *D sky130_fd_sc_hd__or4b_2
*I *3242:X O *D sky130_fd_sc_hd__and4b_1
*CAP
1 *3243:B 0
2 *3326:D_N 0.000125668
3 *3242:X 9.67085e-05
4 *549:8 0.000222377
5 *3326:D_N *683:69 8.43426e-05
6 *3326:D_N *690:25 0.000206063
7 *549:8 *683:69 7.46648e-06
8 *549:8 *690:25 0.000116971
9 *549:8 *691:25 9.24241e-05
10 *549:8 *702:63 6.08467e-05
11 *430:10 *3326:D_N 0.00011818
12 *541:11 *3326:D_N 0.000113968
*RES
1 *3242:X *549:8 16.7198
2 *549:8 *3326:D_N 18.9354
3 *549:8 *3243:B 13.7491
*END
*D_NET *550 0.00162635
*CONN
*I *3244:C I *D sky130_fd_sc_hd__or3_2
*I *3275:D I *D sky130_fd_sc_hd__nor4_1
*I *3243:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *3244:C 0.000302123
2 *3275:D 0.000204054
3 *3243:Y 0
4 *550:4 0.000506177
5 *3244:C *812:DIODE 0.000217937
6 *3244:C *3325:A 5.75508e-05
7 *3244:C *702:63 1.44611e-05
8 *3275:D *691:25 0.000158371
9 *274:18 *3244:C 0.000115406
10 *355:21 *3275:D 3.30253e-05
11 *422:8 *3244:C 1.72464e-05
*RES
1 *3243:Y *550:4 9.24915
2 *550:4 *3275:D 12.7697
3 *550:4 *3244:C 24.5474
*END
*D_NET *551 0.0134695
*CONN
*I *3249:A I *D sky130_fd_sc_hd__clkbuf_2
*I *3266:S I *D sky130_fd_sc_hd__mux2_1
*I *3269:S I *D sky130_fd_sc_hd__mux2_1
*I *3245:S I *D sky130_fd_sc_hd__mux2_1
*I *3244:X O *D sky130_fd_sc_hd__or3_2
*CAP
1 *3249:A 0.000173034
2 *3266:S 0
3 *3269:S 0.0003456
4 *3245:S 2.37518e-05
5 *3244:X 0.000759605
6 *551:45 0.00157331
7 *551:27 0.00171747
8 *551:26 0.00147857
9 *551:10 0.00194519
10 *3245:S *3245:A1 6.36477e-05
11 *3245:S *3282:A1 0.000110297
12 *3249:A *3261:A 0
13 *3249:A *3517:A 8.62625e-06
14 *3249:A *687:100 1.87271e-05
15 *3269:S *2841:A1 0.000594829
16 *3269:S *3266:A0 5.28741e-05
17 *3269:S *3271:A 0
18 *3269:S *638:8 4.20662e-05
19 *3269:S *638:26 1.44467e-05
20 *3269:S *678:5 9.79408e-05
21 *551:10 *795:DIODE 0
22 *551:10 *2902:C_N 0
23 *551:10 *3245:A1 2.69795e-05
24 *551:10 *3450:CLK 0
25 *551:26 *796:DIODE 0.000113374
26 *551:26 *3107:A 0.000393892
27 *551:26 *3250:A0 7.77309e-06
28 *551:26 *3250:S 0.00017416
29 *551:26 *3251:B 0
30 *551:26 *3252:A 9.33953e-05
31 *551:26 *3253:A0 1.9101e-05
32 *551:26 *3255:A 0
33 *551:26 *3263:A 0
34 *551:26 *3282:A1 0.000213739
35 *551:26 *3282:A2 2.53624e-06
36 *551:26 *3283:B 3.92275e-05
37 *551:26 *3452:CLK 1.65078e-05
38 *551:26 *3515:A 0.000265549
39 *551:26 *640:129 0
40 *551:26 *683:88 2.97152e-05
41 *551:26 *683:101 2.33193e-05
42 *551:26 *684:100 5.56367e-05
43 *551:26 *687:86 0.000113374
44 *551:26 *687:100 0.000222699
45 *551:27 *677:12 0.000156005
46 *551:45 *2841:A1 0.000398352
47 *551:45 *2841:B1 1.03403e-05
48 *551:45 *2841:B2 3.83429e-05
49 *551:45 *3266:A0 5.36612e-05
50 *551:45 *3444:CLK 4.82263e-05
51 *551:45 *654:42 7.10004e-05
52 *551:45 *677:12 4.2389e-05
53 *2842:C1 *551:45 0.000116005
54 *3092:A_N *551:10 0
55 *3094:A *551:10 0.000202687
56 *3407:D *551:45 2.94869e-05
57 *3444:D *551:45 7.44658e-05
58 *3451:D *551:26 2.53624e-06
59 *273:28 *551:10 0.000287422
60 *274:32 *551:10 0.00042223
61 *275:11 *551:10 0.000107063
62 *276:25 *551:10 0.00022284
63 *276:36 *551:10 1.61631e-05
64 *281:8 *551:10 6.08927e-05
65 *281:29 *551:10 0.000150095
66 *281:29 *551:26 2.26334e-05
67 *459:15 *3245:S 1.92172e-05
68 *459:15 *551:26 8.64351e-05
*RES
1 *3244:X *551:10 39.9089
2 *551:10 *3245:S 10.5271
3 *551:10 *551:26 43.4838
4 *551:26 *551:27 7.93324
5 *551:27 *551:45 29.0805
6 *551:45 *3269:S 30.779
7 *551:45 *3266:S 9.24915
8 *551:27 *3249:A 22.1896
*END
*D_NET *552 0.000497508
*CONN
*I *3246:B I *D sky130_fd_sc_hd__or2_1
*I *3245:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *3246:B 0.000184708
2 *3245:X 0.000184708
3 *459:15 *3246:B 0.000128091
*RES
1 *3245:X *3246:B 22.0188
*END
*D_NET *553 0.00119766
*CONN
*I *3247:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3246:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *3247:A 0.000217439
2 *3246:X 0.000217439
3 *3247:A *858:DIODE 0.000196623
4 *3247:A *2843:B1 0.000310094
5 *3247:A *682:41 0.000169041
6 *3247:A *683:78 7.14746e-05
7 *2910:A1 *3247:A 1.55462e-05
*RES
1 *3246:X *3247:A 34.9002
*END
*D_NET *554 0.00410269
*CONN
*I *3251:A I *D sky130_fd_sc_hd__and2_1
*I *3263:A I *D sky130_fd_sc_hd__and2_1
*I *3260:A I *D sky130_fd_sc_hd__and2_1
*I *3257:A I *D sky130_fd_sc_hd__and2_1
*I *3254:A I *D sky130_fd_sc_hd__and2_1
*I *3248:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3251:A 0
2 *3263:A 0.000297725
3 *3260:A 0.000209742
4 *3257:A 0
5 *3254:A 0
6 *3248:X 0.000209823
7 *554:39 0.000385889
8 *554:21 0.000233552
9 *554:20 0.000308349
10 *554:10 0.000406198
11 *3260:A *3257:B 0.00027329
12 *3260:A *3258:A 0.000386003
13 *3260:A *3260:B 6.28168e-05
14 *3260:A *686:110 0
15 *3260:A *695:10 8.92568e-06
16 *3263:A *3251:B 3.20069e-06
17 *3263:A *3263:B 8.88219e-05
18 *3263:A *3517:A 0
19 *3263:A *555:8 0.00033617
20 *3263:A *555:10 5.92342e-05
21 *3263:A *555:14 0.000144531
22 *3263:A *687:86 0
23 *3263:A *687:100 1.43983e-05
24 *554:10 *2843:A1 0
25 *554:10 *2844:B2 0.000168313
26 *554:10 *675:8 0
27 *554:10 *685:100 7.50722e-05
28 *554:20 *3254:B 6.64392e-05
29 *554:20 *3256:S 4.17605e-05
30 *554:20 *3257:B 0
31 *554:20 *555:14 4.67743e-05
32 *554:20 *686:110 1.94784e-05
33 *281:52 *3260:A 0.000151311
34 *281:52 *554:21 2.57847e-05
35 *281:52 *554:39 7.90842e-05
36 *551:26 *3263:A 0
*RES
1 *3248:X *554:10 22.7442
2 *554:10 *3254:A 9.24915
3 *554:10 *554:20 14.3256
4 *554:20 *554:21 0.723396
5 *554:21 *3257:A 9.24915
6 *554:21 *3260:A 27.2052
7 *554:20 *554:39 2.38721
8 *554:39 *3263:A 28.6943
9 *554:39 *3251:A 9.24915
*END
*D_NET *555 0.00538529
*CONN
*I *3259:S I *D sky130_fd_sc_hd__mux2_1
*I *3262:S I *D sky130_fd_sc_hd__mux2_1
*I *3253:S I *D sky130_fd_sc_hd__mux2_1
*I *3250:S I *D sky130_fd_sc_hd__mux2_1
*I *3256:S I *D sky130_fd_sc_hd__mux2_1
*I *3249:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3259:S 0
2 *3262:S 0.000150779
3 *3253:S 3.5247e-05
4 *3250:S 7.75686e-05
5 *3256:S 0.000330657
6 *3249:X 0.000225641
7 *555:23 0.000267259
8 *555:14 0.000705123
9 *555:10 0.00038617
10 *555:8 0.000241009
11 *3250:S *2844:B2 9.75356e-05
12 *3250:S *3251:B 0
13 *3250:S *3253:A0 8.62321e-06
14 *3253:S *3254:B 0
15 *3256:S *3254:B 0.000216458
16 *3256:S *685:100 0.000436811
17 *3256:S *685:102 0.000162583
18 *3262:S *854:DIODE 0.000576786
19 *3262:S *3262:A0 6.08467e-05
20 *3262:S *3262:A1 0.000103306
21 *555:8 *676:8 4.44057e-05
22 *555:8 *687:100 1.87146e-05
23 *555:10 *676:8 2.24576e-05
24 *555:14 *3251:B 1.14755e-05
25 *555:14 *676:8 2.81678e-06
26 *555:23 *3253:A0 6.50727e-05
27 *555:23 *3254:B 6.08467e-05
28 *555:23 *3255:A 2.20821e-05
29 *555:23 *674:5 6.08467e-05
30 *3263:A *555:8 0.00033617
31 *3263:A *555:10 5.92342e-05
32 *3263:A *555:14 0.000144531
33 *281:52 *555:14 0.000191541
34 *551:26 *3250:S 0.00017416
35 *554:20 *3256:S 4.17605e-05
36 *554:20 *555:14 4.67743e-05
*RES
1 *3249:X *555:8 21.0117
2 *555:8 *555:10 1.00149
3 *555:10 *555:14 11.315
4 *555:14 *3256:S 20.3894
5 *555:14 *555:23 4.05102
6 *555:23 *3250:S 21.3269
7 *555:23 *3253:S 10.2378
8 *555:10 *3262:S 21.0072
9 *555:8 *3259:S 13.7491
*END
*D_NET *556 0.00106292
*CONN
*I *3251:B I *D sky130_fd_sc_hd__and2_1
*I *3250:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *3251:B 0.000267343
2 *3250:X 0.000267343
3 *3251:B *2844:B2 0.000169041
4 *3251:B *3253:A0 7.50872e-05
5 *3251:B *3255:A 0.000153225
6 *3250:S *3251:B 0
7 *3263:A *3251:B 3.20069e-06
8 *281:52 *3251:B 0.000116201
9 *551:26 *3251:B 0
10 *555:14 *3251:B 1.14755e-05
*RES
1 *3250:X *3251:B 35.3154
*END
*D_NET *557 0.00105149
*CONN
*I *3252:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3251:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *3252:A 0.000368538
2 *3251:X 0.000368538
3 *3252:A *3255:A 7.88424e-05
4 *3252:A *640:129 0.000142178
5 *551:26 *3252:A 9.33953e-05
*RES
1 *3251:X *3252:A 36.564
*END
*D_NET *558 0.000573262
*CONN
*I *3254:B I *D sky130_fd_sc_hd__and2_1
*I *3253:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *3254:B 9.6877e-05
2 *3253:X 9.6877e-05
3 *3254:B *3255:A 3.57646e-05
4 *3253:S *3254:B 0
5 *3256:S *3254:B 0.000216458
6 *554:20 *3254:B 6.64392e-05
7 *555:23 *3254:B 6.08467e-05
*RES
1 *3253:X *3254:B 22.4287
*END
*D_NET *559 0.00124948
*CONN
*I *3255:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3254:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *3255:A 0.000431583
2 *3254:X 0.000431583
3 *3255:A *687:86 9.63981e-05
4 *3251:B *3255:A 0.000153225
5 *3252:A *3255:A 7.88424e-05
6 *3254:B *3255:A 3.57646e-05
7 *551:26 *3255:A 0
8 *555:23 *3255:A 2.20821e-05
*RES
1 *3254:X *3255:A 37.7082
*END
*D_NET *560 0.000766572
*CONN
*I *3257:B I *D sky130_fd_sc_hd__and2_1
*I *3256:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *3257:B 0.000187518
2 *3256:X 0.000187518
3 *3257:B *675:8 0
4 *3257:B *686:110 0
5 *3257:B *695:10 0
6 *3260:A *3257:B 0.00027329
7 *281:52 *3257:B 0.000118245
8 *554:20 *3257:B 0
*RES
1 *3256:X *3257:B 33.1026
*END
*D_NET *561 0.00197469
*CONN
*I *3258:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3257:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *3258:A 0.000506801
2 *3257:X 0.000506801
3 *3258:A *2842:B2 0
4 *3258:A *3261:A 0
5 *3258:A *677:12 0
6 *3258:A *695:10 0.000496622
7 *3260:A *3258:A 0.000386003
8 *3444:D *3258:A 3.01634e-05
9 *281:52 *3258:A 4.82966e-05
*RES
1 *3257:X *3258:A 40.9952
*END
*D_NET *562 0.000812822
*CONN
*I *3260:B I *D sky130_fd_sc_hd__and2_1
*I *3259:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *3260:B 0.000210718
2 *3259:X 0.000210718
3 *3260:B *2842:A1 1.00981e-05
4 *3260:B *2842:B2 0.000318471
5 *3260:A *3260:B 6.28168e-05
*RES
1 *3259:X *3260:B 31.9962
*END
*D_NET *563 0.000507769
*CONN
*I *3261:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3260:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *3261:A 0.000215787
2 *3260:X 0.000215787
3 *3261:A *3517:A 0
4 *3261:A *677:12 3.34802e-05
5 *3249:A *3261:A 0
6 *3258:A *3261:A 0
7 *3444:D *3261:A 4.27148e-05
*RES
1 *3260:X *3261:A 33.0676
*END
*D_NET *564 0.000500776
*CONN
*I *3263:B I *D sky130_fd_sc_hd__and2_1
*I *3262:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *3263:B 0.000157637
2 *3262:X 0.000157637
3 *3263:B *687:100 9.66794e-05
4 *3263:A *3263:B 8.88219e-05
*RES
1 *3262:X *3263:B 33.0676
*END
*D_NET *565 0.00153276
*CONN
*I *3264:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3263:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *3264:A 0.000668148
2 *3263:X 0.000668148
3 *3264:A *640:129 4.35564e-05
4 *3264:A *687:100 3.28416e-06
5 *3445:D *3264:A 0.000149628
6 *150:8 *3264:A 0
*RES
1 *3263:X *3264:A 39.7522
*END
*D_NET *566 0.00570559
*CONN
*I *3267:A I *D sky130_fd_sc_hd__and2_1
*I *3299:A I *D sky130_fd_sc_hd__and2_1
*I *3302:A I *D sky130_fd_sc_hd__and2_1
*I *3306:A I *D sky130_fd_sc_hd__and2_1
*I *3270:A I *D sky130_fd_sc_hd__and2_1
*I *3265:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *3267:A 0
2 *3299:A 0
3 *3302:A 0
4 *3306:A 0.000133415
5 *3270:A 0
6 *3265:X 0.00028155
7 *566:29 0.000218011
8 *566:25 0.000732829
9 *566:20 0.000966748
10 *566:8 0.000600066
11 *3306:A *3306:B 0.000117376
12 *3306:A *3307:A 8.80715e-05
13 *3306:A *3514:A 0.000126979
14 *566:8 *3096:A 0.000748403
15 *566:8 *651:31 0.000195139
16 *566:8 *692:17 1.84293e-05
17 *566:20 *3096:A 5.92192e-05
18 *566:20 *3267:B 2.01407e-05
19 *566:20 *3271:A 0
20 *566:20 *3409:CLK 0
21 *566:20 *651:31 0.000184414
22 *566:20 *651:47 0
23 *566:20 *712:12 8.23212e-05
24 *566:25 *3303:A 7.01777e-05
25 *566:25 *702:8 0.000605326
26 *566:29 *3303:A 3.44886e-05
27 *566:29 *702:8 0.000172706
28 *3298:S *566:8 8.04463e-05
29 *3447:D *566:20 0
30 *3458:D *566:25 0
31 *1:11 *566:20 0
32 *272:8 *566:8 0.000113939
33 *272:47 *566:8 5.53934e-05
*RES
1 *3265:X *566:8 27.5163
2 *566:8 *3270:A 13.7491
3 *566:8 *566:20 14.0022
4 *566:20 *566:25 24.0947
5 *566:25 *566:29 7.57775
6 *566:29 *3306:A 14.4335
7 *566:29 *3302:A 9.24915
8 *566:25 *3299:A 13.7491
9 *566:20 *3267:A 9.24915
*END
*D_NET *567 0.00220967
*CONN
*I *3267:B I *D sky130_fd_sc_hd__and2_1
*I *3266:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *3267:B 0.000896201
2 *3266:X 0.000896201
3 *3267:B *2841:A1 0.000377273
4 *3446:D *3267:B 1.98583e-05
5 *566:20 *3267:B 2.01407e-05
*RES
1 *3266:X *3267:B 32.8215
*END
*D_NET *568 0.000313155
*CONN
*I *3268:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3267:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *3268:A 0.000138662
2 *3267:X 0.000138662
3 *3268:A *3409:CLK 3.58321e-05
4 *1:11 *3268:A 0
*RES
1 *3267:X *3268:A 31.0235
*END
*D_NET *569 0.000581983
*CONN
*I *3270:B I *D sky130_fd_sc_hd__and2_1
*I *3269:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *3270:B 0.000140043
2 *3269:X 0.000140043
3 *3270:B *3271:A 0.000152239
4 *3270:B *689:31 8.9075e-05
5 *3270:B *689:37 2.85139e-05
6 *3270:B *689:129 3.20683e-05
*RES
1 *3269:X *3270:B 24.6472
*END
*D_NET *570 0.00133036
*CONN
*I *3271:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3270:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *3271:A 0.000505266
2 *3270:X 0.000505266
3 *3271:A *2841:A1 0
4 *3271:A *3269:A1 0
5 *3271:A *3409:CLK 0
6 *3271:A *712:12 0
7 *3269:S *3271:A 0
8 *3270:B *3271:A 0.000152239
9 *3447:D *3271:A 0.000167593
10 *566:20 *3271:A 0
*RES
1 *3270:X *3271:A 39.886
*END
*D_NET *571 0.00223035
*CONN
*I *3274:A2 I *D sky130_fd_sc_hd__o211a_1
*I *3272:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *3274:A2 0.000659062
2 *3272:X 0.000659062
3 *3274:A2 *682:27 0.000104127
4 *3274:A2 *682:41 8.69932e-05
5 *3274:A2 *683:78 6.15906e-05
6 *3274:A2 *692:32 0
7 *3274:A2 *692:40 0
8 *2905:A *3274:A2 0.000113968
9 *2910:A1 *3274:A2 0.000265129
10 *3274:A1 *3274:A2 6.36477e-05
11 *3274:C1 *3274:A2 3.01683e-06
12 *272:28 *3274:A2 2.95757e-05
13 *454:7 *3274:A2 0.000161234
14 *548:27 *3274:A2 2.29454e-05
*RES
1 *3272:X *3274:A2 45.8924
*END
*D_NET *572 0.000785532
*CONN
*I *3274:B1 I *D sky130_fd_sc_hd__o211a_1
*I *3273:X O *D sky130_fd_sc_hd__or2b_1
*CAP
1 *3274:B1 0.000300105
2 *3273:X 0.000300105
3 *3274:B1 *3120:A1 0
4 *3274:B1 *3126:A1 0
5 *3274:B1 *684:91 6.3657e-05
6 *3274:B1 *692:32 3.31733e-05
7 *272:28 *3274:B1 8.84923e-05
*RES
1 *3273:X *3274:B1 34.2062
*END
*D_NET *573 0.00324068
*CONN
*I *3277:A I *D sky130_fd_sc_hd__nand2_1
*I *3279:A I *D sky130_fd_sc_hd__and2_1
*I *3276:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *3277:A 0.000172306
2 *3279:A 0.000263037
3 *3276:X 0.00049312
4 *573:11 0.000928462
5 *3277:A *3351:A 8.92437e-05
6 *3279:A *688:25 0.000143314
7 *573:11 *3350:A 0.000107496
8 *573:11 *691:83 6.04266e-05
9 *3098:A *3277:A 6.50727e-05
10 *3098:A *573:11 0.000251014
11 *3277:B *3277:A 0.000162583
12 *398:14 *573:11 6.17551e-05
13 *398:16 *573:11 1.44611e-05
14 *424:11 *573:11 6.80097e-05
15 *424:34 *3279:A 1.43055e-05
16 *443:6 *3279:A 9.03272e-05
17 *443:20 *3279:A 2.39535e-05
18 *464:28 *573:11 0.000231794
*RES
1 *3276:X *573:11 31.7839
2 *573:11 *3279:A 25.4794
3 *573:11 *3277:A 14.4335
*END
*D_NET *574 0.00299051
*CONN
*I *3295:A2 I *D sky130_fd_sc_hd__o211a_1
*I *3293:A2 I *D sky130_fd_sc_hd__o211a_1
*I *3278:A I *D sky130_fd_sc_hd__clkbuf_2
*I *3297:A2 I *D sky130_fd_sc_hd__o211a_1
*I *3277:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *3295:A2 6.68232e-05
2 *3293:A2 6.83868e-05
3 *3278:A 4.76224e-05
4 *3297:A2 0.000103305
5 *3277:Y 0.000260125
6 *574:23 0.000184365
7 *574:10 0.000225088
8 *574:8 0.000380375
9 *3278:A *3293:C1 6.08467e-05
10 *3293:A2 *3293:C1 6.08467e-05
11 *3293:A2 *3297:B1 5.0715e-05
12 *3295:A2 *3295:B1 2.16893e-05
13 *3295:A2 *3295:C1 2.00291e-05
14 *3295:A2 *688:71 9.32101e-05
15 *3297:A2 *3297:B1 8.66189e-06
16 *574:8 *576:15 5.1493e-06
17 *574:8 *582:8 0.00020206
18 *574:8 *582:27 1.07248e-05
19 *574:8 *689:92 0.000127767
20 *574:8 *691:83 6.92705e-05
21 *574:10 *582:27 0.000114955
22 *574:10 *689:92 5.04734e-05
23 *574:23 *3297:B1 0.000143017
24 *574:23 *582:27 5.2092e-05
25 *574:23 *582:34 0.000143017
26 *574:23 *689:92 2.19276e-05
27 *3138:A *3297:A2 1.1822e-05
28 *153:10 *3278:A 0.000160617
29 *153:10 *3293:A2 0.000199527
30 *154:10 *574:8 2.6001e-05
*RES
1 *3277:Y *574:8 20.8723
2 *574:8 *574:10 2.24725
3 *574:10 *3297:A2 16.0339
4 *574:10 *574:23 7.993
5 *574:23 *3278:A 11.0817
6 *574:23 *3293:A2 12.2151
7 *574:8 *3295:A2 16.194
*END
*D_NET *575 0.00645606
*CONN
*I *3286:A2 I *D sky130_fd_sc_hd__o211a_1
*I *3291:A2 I *D sky130_fd_sc_hd__o211a_1
*I *3289:A2 I *D sky130_fd_sc_hd__o211a_1
*I *3284:A2 I *D sky130_fd_sc_hd__o211a_1
*I *3282:A2 I *D sky130_fd_sc_hd__o211a_1
*I *3278:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3286:A2 0.00021944
2 *3291:A2 2.67914e-05
3 *3289:A2 0.000156074
4 *3284:A2 0.000144839
5 *3282:A2 8.82503e-05
6 *3278:X 0.000215151
7 *575:39 0.000523297
8 *575:34 0.000642854
9 *575:11 0.000781336
10 *575:6 0.000846378
11 *3282:A2 *796:DIODE 3.42931e-05
12 *3282:A2 *3282:A1 3.37929e-05
13 *3282:A2 *3282:B1 0.000156795
14 *3282:A2 *683:88 8.62625e-06
15 *3284:A2 *3284:A1 9.8407e-05
16 *3284:A2 *3284:B1 3.25906e-05
17 *3284:A2 *683:88 0.000151758
18 *3284:A2 *683:101 2.95757e-05
19 *3286:A2 *3286:B1 0.000103731
20 *3286:A2 *685:92 0.000243648
21 *3289:A2 *3289:A1 6.8802e-05
22 *3289:A2 *3289:C1 1.03434e-05
23 *3289:A2 *577:47 6.46135e-05
24 *3289:A2 *668:10 9.14834e-05
25 *3291:A2 *3291:A1 8.62625e-06
26 *3291:A2 *3291:B1 2.07893e-05
27 *3291:A2 *577:47 2.39535e-05
28 *575:6 *685:25 0.000365799
29 *575:6 *685:76 0.0001425
30 *575:11 *3282:B1 0.000107496
31 *575:11 *3283:B 2.26595e-05
32 *575:11 *577:17 8.67565e-05
33 *575:34 *685:76 8.52802e-05
34 *575:34 *685:92 9.03933e-05
35 *575:39 *3122:A 3.25046e-05
36 *575:39 *3291:B1 1.94133e-05
37 *575:39 *3291:C1 7.48797e-05
38 *3081:A *575:6 0.00014076
39 *3107:B *575:11 4.58003e-05
40 *3112:B *3284:A2 4.57241e-06
41 *3124:A1 *575:11 2.65667e-05
42 *3124:A2 *575:6 0
43 *3284:C1 *3284:A2 0.000118485
44 *3286:C1 *3286:A2 7.97304e-06
45 *3397:D *3286:A2 0.000102374
46 *3397:D *575:34 2.99929e-05
47 *3453:D *3289:A2 5.04829e-06
48 *153:14 *3289:A2 3.69003e-05
49 *153:14 *3291:A2 1.32509e-05
50 *407:20 *3282:A2 1.57187e-05
51 *426:6 *575:6 0
52 *426:6 *575:34 0
53 *426:18 *575:34 0
54 *444:19 *3282:A2 9.22013e-06
55 *444:19 *3284:A2 2.36813e-05
56 *454:7 *3284:A2 7.92757e-06
57 *459:15 *3282:A2 3.40114e-06
58 *548:15 *575:11 7.92757e-06
59 *551:26 *3282:A2 2.53624e-06
*RES
1 *3278:X *575:6 22.6404
2 *575:6 *575:11 21.3701
3 *575:11 *3282:A2 17.1719
4 *575:11 *3284:A2 19.0989
5 *575:6 *575:34 3.07775
6 *575:34 *575:39 14.1602
7 *575:39 *3289:A2 19.3776
8 *575:39 *3291:A2 14.9978
9 *575:34 *3286:A2 21.5932
*END
*D_NET *576 0.00446789
*CONN
*I *3294:B I *D sky130_fd_sc_hd__or2_1
*I *3292:B I *D sky130_fd_sc_hd__or2_1
*I *3280:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *3296:B I *D sky130_fd_sc_hd__or2_1
*I *3279:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *3294:B 0
2 *3292:B 0.000128812
3 *3280:A 7.55034e-05
4 *3296:B 0.000135709
5 *3279:X 0.000296157
6 *576:27 0.000280199
7 *576:18 0.000499979
8 *576:15 0.000584545
9 *3280:A *670:7 3.64415e-05
10 *3292:B *3453:CLK 0
11 *3292:B *653:21 5.79399e-05
12 *3292:B *685:21 2.65667e-05
13 *3296:B *687:13 0.000369345
14 *576:15 *815:DIODE 0.000193421
15 *576:15 *3133:A 0.000214558
16 *576:15 *3295:B1 0.000111708
17 *576:15 *582:8 0.000169514
18 *576:15 *671:11 9.97706e-05
19 *576:15 *688:25 3.24705e-06
20 *576:18 *3295:B1 4.85122e-05
21 *576:18 *3456:CLK 5.36397e-05
22 *576:18 *653:23 6.07931e-05
23 *576:18 *671:11 1.04726e-05
24 *576:18 *687:18 9.06238e-05
25 *576:18 *706:19 0.000181159
26 *576:27 *653:23 3.74738e-05
27 *3455:D *3292:B 3.31882e-05
28 *3455:D *576:27 0.000127179
29 *153:10 *3292:B 0.000167542
30 *153:10 *576:18 3.31882e-05
31 *153:10 *576:27 0.00021046
32 *154:10 *576:15 0.000125087
33 *574:8 *576:15 5.1493e-06
*RES
1 *3279:X *576:15 28.0974
2 *576:15 *576:18 13.8065
3 *576:18 *3296:B 17.8002
4 *576:18 *576:27 3.90826
5 *576:27 *3280:A 15.5817
6 *576:27 *3292:B 18.2831
7 *576:15 *3294:B 9.24915
*END
*D_NET *577 0.00602067
*CONN
*I *3290:B I *D sky130_fd_sc_hd__or2_1
*I *3285:B I *D sky130_fd_sc_hd__or2_1
*I *3287:B I *D sky130_fd_sc_hd__or2_1
*I *3283:B I *D sky130_fd_sc_hd__or2_1
*I *3281:B I *D sky130_fd_sc_hd__or2_1
*I *3280:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *3290:B 3.8234e-05
2 *3285:B 3.37551e-05
3 *3287:B 2.06324e-05
4 *3283:B 0.000606741
5 *3281:B 0
6 *3280:X 6.9582e-05
7 *577:47 0.000524461
8 *577:17 0.00128257
9 *577:9 0.000800995
10 *577:6 0.000626583
11 *3283:B *3515:A 0.000203595
12 *3285:B *653:56 2.16355e-05
13 *3290:B *653:56 4.56831e-05
14 *577:6 *3128:A 2.71397e-05
15 *577:6 *3292:A 2.652e-05
16 *577:6 *3293:B1 4.72583e-05
17 *577:9 *3117:A 1.65872e-05
18 *577:9 *3292:A 0.000113968
19 *577:17 *3117:A 0.000206324
20 *577:47 *3289:B1 2.352e-05
21 *577:47 *3290:A 0
22 *577:47 *3291:A1 5.29898e-05
23 *577:47 *3291:C1 0
24 *577:47 *3292:A 4.3116e-06
25 *577:47 *3293:B1 1.07248e-05
26 *577:47 *3297:B1 0
27 *577:47 *582:38 0
28 *577:47 *653:56 5.56367e-05
29 *577:47 *668:10 5.47736e-05
30 *577:47 *688:10 0
31 *3081:A *577:17 0
32 *3117:B *577:17 6.50727e-05
33 *3122:B *577:17 9.22013e-06
34 *3124:A2 *577:17 9.67782e-05
35 *3124:B1 *577:17 3.31733e-05
36 *3124:C1 *577:17 0.000264374
37 *3289:A2 *577:47 6.46135e-05
38 *3291:A2 *577:47 2.39535e-05
39 *3451:D *3283:B 3.31733e-05
40 *153:10 *577:47 0
41 *153:14 *577:47 0
42 *281:29 *3283:B 2.82537e-05
43 *451:11 *3283:B 6.92705e-05
44 *548:15 *3283:B 0.000107496
45 *548:27 *3283:B 0.000162417
46 *551:26 *3283:B 3.92275e-05
47 *575:11 *3283:B 2.26595e-05
48 *575:11 *577:17 8.67565e-05
*RES
1 *3280:X *577:6 15.9964
2 *577:6 *577:9 6.3326
3 *577:9 *577:17 24.4745
4 *577:17 *3281:B 9.24915
5 *577:17 *3283:B 29.8711
6 *577:9 *3287:B 9.82786
7 *577:6 *577:47 16.6156
8 *577:47 *3285:B 9.97254
9 *577:47 *3290:B 10.5271
*END
*D_NET *578 0.000655019
*CONN
*I *3282:B1 I *D sky130_fd_sc_hd__o211a_1
*I *3281:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *3282:B1 0.000147122
2 *3281:X 0.000147122
3 *3282:B1 *3282:A1 4.62432e-07
4 *3282:A2 *3282:B1 0.000156795
5 *444:19 *3282:B1 9.60216e-05
6 *575:11 *3282:B1 0.000107496
*RES
1 *3281:X *3282:B1 31.615
*END
*D_NET *579 0.00138233
*CONN
*I *3284:B1 I *D sky130_fd_sc_hd__o211a_1
*I *3283:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *3284:B1 0.000144738
2 *3283:X 0.000144738
3 *3284:B1 *3284:A1 7.92757e-06
4 *3284:B1 *3515:A 0.000456195
5 *3284:A2 *3284:B1 3.25906e-05
6 *454:7 *3284:B1 0.000596142
*RES
1 *3283:X *3284:B1 25.901
*END
*D_NET *580 0.000747609
*CONN
*I *3286:B1 I *D sky130_fd_sc_hd__o211a_1
*I *3285:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *3286:B1 0.000284456
2 *3285:X 0.000284456
3 *3286:A2 *3286:B1 0.000103731
4 *3286:C1 *3286:B1 5.69578e-06
5 *3452:D *3286:B1 6.92705e-05
*RES
1 *3285:X *3286:B1 25.4007
*END
*D_NET *581 0.000703329
*CONN
*I *3289:B1 I *D sky130_fd_sc_hd__o211a_1
*I *3287:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *3289:B1 0.000180159
2 *3287:X 0.000180159
3 *3289:B1 *3289:A1 1.67329e-05
4 *3289:B1 *3291:C1 8.52802e-05
5 *3289:B1 *582:38 7.50722e-05
6 *3289:B1 *668:10 7.50872e-05
7 *3289:B1 *685:21 6.73186e-05
8 *577:47 *3289:B1 2.352e-05
*RES
1 *3287:X *3289:B1 32.1923
*END
*D_NET *582 0.00452302
*CONN
*I *3293:C1 I *D sky130_fd_sc_hd__o211a_1
*I *3291:C1 I *D sky130_fd_sc_hd__o211a_1
*I *3289:C1 I *D sky130_fd_sc_hd__o211a_1
*I *3297:C1 I *D sky130_fd_sc_hd__o211a_1
*I *3295:C1 I *D sky130_fd_sc_hd__o211a_1
*I *3288:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *3293:C1 4.60577e-05
2 *3291:C1 0.000132948
3 *3289:C1 0.000101299
4 *3297:C1 0
5 *3295:C1 6.83112e-05
6 *3288:X 0.000193458
7 *582:38 0.000468617
8 *582:34 0.00036008
9 *582:27 0.000128508
10 *582:8 0.000310624
11 *3289:C1 *3289:A1 5.20546e-06
12 *3291:C1 *3122:A 8.10881e-05
13 *3291:C1 *688:10 0.00023862
14 *3295:C1 *3295:B1 8.16838e-06
15 *3295:C1 *688:71 2.16355e-05
16 *582:8 *815:DIODE 3.38808e-05
17 *582:8 *3133:A 0.000247443
18 *582:27 *688:10 1.90395e-05
19 *582:27 *688:14 0.000114156
20 *582:34 *3297:B1 7.77309e-06
21 *582:34 *688:10 5.90394e-05
22 *582:38 *3128:A 8.52802e-05
23 *582:38 *3292:A 8.89094e-05
24 *582:38 *3293:B1 0
25 *582:38 *3297:B1 8.92568e-06
26 *582:38 *668:10 2.97007e-05
27 *582:38 *688:10 0.000529234
28 *3278:A *3293:C1 6.08467e-05
29 *3289:A2 *3289:C1 1.03434e-05
30 *3289:B1 *3291:C1 8.52802e-05
31 *3289:B1 *582:38 7.50722e-05
32 *3293:A2 *3293:C1 6.08467e-05
33 *3295:A2 *3295:C1 2.00291e-05
34 *154:10 *582:8 4.93395e-05
35 *154:10 *582:27 6.01944e-06
36 *424:23 *3295:C1 0
37 *574:8 *582:8 0.00020206
38 *574:8 *582:27 1.07248e-05
39 *574:10 *582:27 0.000114955
40 *574:23 *582:27 5.2092e-05
41 *574:23 *582:34 0.000143017
42 *575:39 *3291:C1 7.48797e-05
43 *576:15 *582:8 0.000169514
44 *577:47 *3291:C1 0
45 *577:47 *582:38 0
*RES
1 *3288:X *582:8 20.5992
2 *582:8 *3295:C1 16.7271
3 *582:8 *582:27 3.07775
4 *582:27 *3297:C1 13.7491
5 *582:27 *582:34 3.07775
6 *582:34 *582:38 10.0393
7 *582:38 *3289:C1 15.8711
8 *582:38 *3291:C1 19.9053
9 *582:34 *3293:C1 15.0271
*END
*D_NET *583 0.000820466
*CONN
*I *3291:B1 I *D sky130_fd_sc_hd__o211a_1
*I *3290:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *3291:B1 0.000147011
2 *3290:X 0.000147011
3 *3291:B1 *3122:A 0.000174553
4 *3291:B1 *3291:A1 2.43387e-05
5 *3291:B1 *653:8 0.000122098
6 *3291:B1 *669:5 3.58044e-05
7 *3291:A2 *3291:B1 2.07893e-05
8 *3454:D *3291:B1 7.34948e-06
9 *153:14 *3291:B1 0.000122098
10 *575:39 *3291:B1 1.94133e-05
*RES
1 *3290:X *3291:B1 33.5615
*END
*D_NET *584 0.000820593
*CONN
*I *3293:B1 I *D sky130_fd_sc_hd__o211a_1
*I *3292:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *3293:B1 0.000262599
2 *3292:X 0.000262599
3 *3293:B1 *3128:A 0
4 *3293:B1 *3292:A 0.00011818
5 *3293:B1 *3297:B1 1.44611e-05
6 *3293:B1 *687:18 1.07248e-05
7 *153:10 *3293:B1 9.40452e-05
8 *577:6 *3293:B1 4.72583e-05
9 *577:47 *3293:B1 1.07248e-05
10 *582:38 *3293:B1 0
*RES
1 *3292:X *3293:B1 34.7608
*END
*D_NET *585 0.00115478
*CONN
*I *3295:B1 I *D sky130_fd_sc_hd__o211a_1
*I *3294:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *3295:B1 0.000298086
2 *3294:X 0.000298086
3 *3295:B1 *815:DIODE 0.000115934
4 *3295:B1 *866:DIODE 4.66492e-05
5 *3295:B1 *3295:A1 5.35135e-05
6 *3295:B1 *687:18 0.000147737
7 *3295:B1 *688:71 4.69871e-06
8 *3295:A2 *3295:B1 2.16893e-05
9 *3295:C1 *3295:B1 8.16838e-06
10 *576:15 *3295:B1 0.000111708
11 *576:18 *3295:B1 4.85122e-05
*RES
1 *3294:X *3295:B1 36.1402
*END
*D_NET *586 0.00179831
*CONN
*I *3297:B1 I *D sky130_fd_sc_hd__o211a_1
*I *3296:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *3297:B1 0.000418685
2 *3296:X 0.000418685
3 *3297:B1 *687:13 0.00067209
4 *3297:B1 *687:18 3.30335e-05
5 *3297:B1 *689:92 8.62625e-06
6 *3138:A *3297:B1 5.94977e-06
7 *3293:A2 *3297:B1 5.0715e-05
8 *3293:B1 *3297:B1 1.44611e-05
9 *3297:A2 *3297:B1 8.66189e-06
10 *153:10 *3297:B1 7.68538e-06
11 *574:23 *3297:B1 0.000143017
12 *577:47 *3297:B1 0
13 *582:34 *3297:B1 7.77309e-06
14 *582:38 *3297:B1 8.92568e-06
*RES
1 *3296:X *3297:B1 40.9655
*END
*D_NET *587 0.000750928
*CONN
*I *3299:B I *D sky130_fd_sc_hd__and2_1
*I *3298:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *3299:B 0.000192833
2 *3298:X 0.000192833
3 *3299:B *3300:A 0.000164843
4 *3299:B *3301:A1 4.56667e-05
5 *3458:D *3299:B 7.86847e-05
6 *3459:D *3299:B 7.60688e-05
7 *1:11 *3299:B 0
*RES
1 *3298:X *3299:B 33.8207
*END
*D_NET *588 0.00035828
*CONN
*I *3300:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3299:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *3300:A 3.37587e-05
2 *3299:X 3.37587e-05
3 *3300:A *3301:A1 6.08467e-05
4 *3299:B *3300:A 0.000164843
5 *3459:D *3300:A 6.50727e-05
*RES
1 *3299:X *3300:A 20.3309
*END
*D_NET *589 0.000824104
*CONN
*I *3302:B I *D sky130_fd_sc_hd__and2_1
*I *3301:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *3302:B 0.000108343
2 *3301:X 0.000108343
3 *3302:B *3307:A 0.000113289
4 *3302:B *3514:A 0.00049413
*RES
1 *3301:X *3302:B 24.6472
*END
*D_NET *590 0.000781634
*CONN
*I *3303:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3302:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *3303:A 0.000210079
2 *3302:X 0.000210079
3 *3303:A *3298:A1 5.0715e-05
4 *3303:A *3301:A1 0.000101133
5 *3303:A *689:31 4.06958e-05
6 *3458:D *3303:A 0
7 *3459:D *3303:A 6.4266e-05
8 *566:25 *3303:A 7.01777e-05
9 *566:29 *3303:A 3.44886e-05
*RES
1 *3302:X *3303:A 34.7608
*END
*D_NET *591 0.00502928
*CONN
*I *3305:S I *D sky130_fd_sc_hd__mux2_1
*I *3309:S I *D sky130_fd_sc_hd__mux2_1
*I *3312:S I *D sky130_fd_sc_hd__mux2_1
*I *3315:S I *D sky130_fd_sc_hd__mux2_1
*I *3318:S I *D sky130_fd_sc_hd__mux2_1
*I *3304:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3305:S 0.000102106
2 *3309:S 0
3 *3312:S 0.000532168
4 *3315:S 2.86212e-05
5 *3318:S 0.000172106
6 *3304:X 4.22257e-05
7 *591:44 0.000173987
8 *591:31 0.000816298
9 *591:18 0.000297107
10 *591:5 0.000270569
11 *3305:S *3317:A 4.33979e-05
12 *3305:S *702:8 4.37999e-05
13 *3312:S *3310:A 2.24428e-05
14 *3312:S *3312:A0 0.000110794
15 *3312:S *3312:A1 1.07248e-05
16 *3312:S *3526:A 0.000130961
17 *3312:S *663:55 0
18 *3315:S *3315:A0 6.08467e-05
19 *3318:S *3316:B 0.000162739
20 *3318:S *3317:A 6.92705e-05
21 *3318:S *3527:A 0.000535677
22 *3318:S *594:22 2.18145e-05
23 *3318:S *594:24 0.000117917
24 *591:5 *3527:A 1.41291e-05
25 *591:18 *640:17 0.000127179
26 *591:31 *3311:A 0.000148129
27 *591:31 *3317:A 0.000164482
28 *591:31 *640:17 3.5577e-05
29 *591:44 *3317:A 0.000134421
30 *3265:A *3305:S 3.84841e-05
31 *3265:A *591:18 5.19205e-05
32 *3265:A *591:31 0.000119804
33 *3265:A *591:44 4.01437e-05
34 *3461:D *591:31 0.000136793
35 *379:23 *3312:S 0.000252642
*RES
1 *3304:X *591:5 9.97254
2 *591:5 *3318:S 17.7852
3 *591:5 *591:18 6.74725
4 *591:18 *3315:S 14.4725
5 *591:18 *591:31 8.96456
6 *591:31 *3312:S 32.0991
7 *591:31 *591:44 2.6625
8 *591:44 *3309:S 13.7491
9 *591:44 *3305:S 16.8269
*END
*D_NET *592 0.0012453
*CONN
*I *3306:B I *D sky130_fd_sc_hd__and2_1
*I *3305:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *3306:B 0.000431422
2 *3305:X 0.000431422
3 *3306:B *3305:A1 9.95493e-05
4 *3306:B *3513:A 6.3657e-05
5 *3306:B *3514:A 0.000101873
6 *3306:B *640:37 0
7 *3306:B *737:16 0
8 *3010:B *3306:B 0
9 *3306:A *3306:B 0.000117376
10 *356:63 *3306:B 0
11 *356:74 *3306:B 0
*RES
1 *3305:X *3306:B 37.7026
*END
*D_NET *593 0.00161146
*CONN
*I *3307:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3306:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *3307:A 0.000680188
2 *3306:X 0.000680188
3 *3307:A *3514:A 7.02172e-06
4 *3307:A *702:8 0
5 *3302:B *3307:A 0.000113289
6 *3306:A *3307:A 8.80715e-05
7 *3460:D *3307:A 4.27003e-05
8 *1:11 *3307:A 0
*RES
1 *3306:X *3307:A 40.4462
*END
*D_NET *594 0.00552093
*CONN
*I *3316:A I *D sky130_fd_sc_hd__and2_1
*I *3310:A I *D sky130_fd_sc_hd__and2_1
*I *3319:A I *D sky130_fd_sc_hd__and2_1
*I *3313:A I *D sky130_fd_sc_hd__and2_1
*I *3322:A I *D sky130_fd_sc_hd__and2_1
*I *3308:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3316:A 0
2 *3310:A 0.000339529
3 *3319:A 0.000311946
4 *3313:A 0.000184207
5 *3322:A 9.50907e-05
6 *3308:X 5.0318e-05
7 *594:36 0.000651475
8 *594:24 0.00024608
9 *594:22 0.000240168
10 *594:7 0.000323704
11 *3310:A *3312:A0 0.000315603
12 *3310:A *3313:B 0
13 *3310:A *3316:B 0
14 *3310:A *3382:CLK 0
15 *3310:A *3526:A 0.000309548
16 *3310:A *3527:A 0.000191095
17 *3310:A *663:55 0
18 *3310:A *702:15 0
19 *3313:A *3318:A0 5.0715e-05
20 *3313:A *3318:A1 0.000224395
21 *3313:A *3382:CLK 4.90829e-05
22 *3319:A *3319:B 0.000224381
23 *3319:A *3321:A1 6.48783e-05
24 *3319:A *664:29 0.00027329
25 *3319:A *702:15 0
26 *3322:A *3130:A 9.14247e-05
27 *3322:A *3318:A0 5.22654e-06
28 *3322:A *640:17 0.000123582
29 *594:7 *3321:A1 2.16355e-05
30 *594:22 *3316:B 2.93119e-05
31 *594:22 *3318:A0 0.000188703
32 *594:22 *3527:A 6.50586e-05
33 *594:22 *640:17 0.000217602
34 *594:22 *702:15 3.39313e-06
35 *594:24 *3316:B 0.000117376
36 *594:24 *3318:A0 0.000177993
37 *3312:S *3310:A 2.24428e-05
38 *3318:S *594:22 2.18145e-05
39 *3318:S *594:24 0.000117917
40 *3464:D *3319:A 1.87611e-05
41 *379:23 *3310:A 0.000153179
*RES
1 *3308:X *594:7 14.4725
2 *594:7 *3322:A 17.2744
3 *594:7 *594:22 11.3742
4 *594:22 *594:24 4.60562
5 *594:24 *3313:A 24.684
6 *594:24 *594:36 4.5
7 *594:36 *3319:A 23.2598
8 *594:36 *3310:A 27.9372
9 *594:22 *3316:A 9.24915
*END
*D_NET *595 0.000752485
*CONN
*I *3310:B I *D sky130_fd_sc_hd__and2_1
*I *3309:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *3310:B 0.000203679
2 *3309:X 0.000203679
3 *3310:B *3309:A1 6.53173e-05
4 *3310:B *640:37 0.000105589
5 *3310:B *702:8 0.000113374
6 *379:23 *3310:B 6.08467e-05
*RES
1 *3309:X *3310:B 32.3015
*END
*D_NET *596 0.000598567
*CONN
*I *3311:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3310:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *3311:A 0.000146556
2 *3310:X 0.000146556
3 *3311:A *3317:A 0.000148129
4 *379:23 *3311:A 9.19632e-06
5 *591:31 *3311:A 0.000148129
*RES
1 *3310:X *3311:A 31.4388
*END
*D_NET *597 0.000670512
*CONN
*I *3313:B I *D sky130_fd_sc_hd__and2_1
*I *3312:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *3313:B 0.000177346
2 *3312:X 0.000177346
3 *3313:B *3382:CLK 0.00016258
4 *3313:B *663:55 0.00015324
5 *3310:A *3313:B 0
*RES
1 *3312:X *3313:B 33.0676
*END
*D_NET *598 0.000412782
*CONN
*I *3314:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3313:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *3314:A 6.55411e-05
2 *3313:X 6.55411e-05
3 *3314:A *3315:A1 0.0002817
*RES
1 *3313:X *3314:A 21.4401
*END
*D_NET *599 0.000629689
*CONN
*I *3316:B I *D sky130_fd_sc_hd__and2_1
*I *3315:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *3316:B 0.000151331
2 *3315:X 0.000151331
3 *3316:B *3527:A 0
4 *3316:B *702:15 1.75999e-05
5 *3310:A *3316:B 0
6 *3318:S *3316:B 0.000162739
7 *594:22 *3316:B 2.93119e-05
8 *594:24 *3316:B 0.000117376
*RES
1 *3315:X *3316:B 32.3257
*END
*D_NET *600 0.00280393
*CONN
*I *3317:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3316:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *3317:A 0.000517502
2 *3316:X 0.000517502
3 *3317:A *3527:A 3.20069e-06
4 *3317:A *640:17 0.00016424
5 *3317:A *702:8 0.000689204
6 *3317:A *702:15 7.08566e-06
7 *3305:S *3317:A 4.33979e-05
8 *3311:A *3317:A 0.000148129
9 *3318:S *3317:A 6.92705e-05
10 *149:11 *3317:A 0.00023377
11 *149:27 *3317:A 0.000111722
12 *591:31 *3317:A 0.000164482
13 *591:44 *3317:A 0.000134421
*RES
1 *3316:X *3317:A 46.7445
*END
*D_NET *601 0.000594173
*CONN
*I *3319:B I *D sky130_fd_sc_hd__and2_1
*I *3318:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *3319:B 0.000105856
2 *3318:X 0.000105856
3 *3319:B *3321:A1 0.000103943
4 *3319:B *664:29 5.41377e-05
5 *3319:A *3319:B 0.000224381
6 *3382:D *3319:B 0
*RES
1 *3318:X *3319:B 32.1327
*END
*D_NET *602 0.000498769
*CONN
*I *3320:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3319:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *3320:A 0.000226999
2 *3319:X 0.000226999
3 *3320:A *3364:CLK 4.47713e-05
4 *3030:A *3320:A 0
*RES
1 *3319:X *3320:A 33.1026
*END
*D_NET *603 0.000768644
*CONN
*I *3322:B I *D sky130_fd_sc_hd__and2_1
*I *3321:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *3322:B 0.000152852
2 *3321:X 0.000152852
3 *3322:B *748:8 0.00015409
4 *3322:B *748:13 0.000158371
5 *356:34 *3322:B 0.000150478
*RES
1 *3321:X *3322:B 31.9934
*END
*D_NET *604 0.00108466
*CONN
*I *3323:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3322:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *3323:A 0.000230174
2 *3322:X 0.000230174
3 *3323:A *3130:A 0.000538827
4 *3323:A *664:13 4.95605e-05
5 *3465:D *3323:A 3.59283e-05
6 *355:47 *3323:A 0
7 *399:46 *3323:A 0
*RES
1 *3322:X *3323:A 35.8756
*END
*D_NET *605 0.00655442
*CONN
*I *3329:A I *D sky130_fd_sc_hd__and2_1
*I *3341:A I *D sky130_fd_sc_hd__and2_1
*I *3344:A I *D sky130_fd_sc_hd__and2_1
*I *3350:A I *D sky130_fd_sc_hd__and2_1
*I *3335:A I *D sky130_fd_sc_hd__and2_1
*I *3324:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3329:A 0.000128454
2 *3341:A 0.000143958
3 *3344:A 2.06324e-05
4 *3350:A 0.000182966
5 *3335:A 0.000153274
6 *3324:X 0
7 *605:38 0.000521674
8 *605:28 0.000751941
9 *605:7 0.000381407
10 *605:4 0.000527214
11 *3329:A *3056:A 0
12 *3329:A *3329:B 3.58321e-05
13 *3329:A *662:87 2.95757e-05
14 *3329:A *682:19 9.21153e-06
15 *3329:A *686:46 0.000204917
16 *3329:A *693:35 2.65831e-05
17 *3335:A *640:150 0
18 *3341:A *870:DIODE 7.14678e-05
19 *3341:A *2878:A0 4.26659e-05
20 *3341:A *3056:A 0.000156946
21 *3341:A *3341:B 0.000118485
22 *3341:A *662:87 0.000337654
23 *3341:A *686:46 0.000123597
24 *605:28 *3350:B 9.9429e-06
25 *605:28 *608:20 4.33819e-05
26 *605:28 *608:26 2.41483e-05
27 *605:28 *608:40 2.41483e-05
28 *605:28 *689:79 6.50586e-05
29 *605:28 *689:92 0.000409725
30 *605:38 *608:40 0.000120742
31 *605:38 *662:91 0.000408772
32 *3045:A *3350:A 0.000229926
33 *3098:A *3350:A 0.000107496
34 *3324:A *3350:A 0.000139177
35 *3324:A *605:7 2.65831e-05
36 *3324:A *605:28 7.34948e-06
37 *155:10 *3335:A 0.000331909
38 *155:10 *3350:A 0.000407538
39 *407:12 *3335:A 0.000106952
40 *407:12 *3350:A 1.56202e-05
41 *573:11 *3350:A 0.000107496
*RES
1 *3324:X *605:4 9.24915
2 *605:4 *605:7 5.2234
3 *605:7 *3335:A 19.7337
4 *605:7 *3350:A 22.6727
5 *605:4 *605:28 11.4538
6 *605:28 *3344:A 9.82786
7 *605:28 *605:38 11.8786
8 *605:38 *3341:A 21.5663
9 *605:38 *3329:A 18.3808
*END
*D_NET *606 0.000792886
*CONN
*I *3326:A I *D sky130_fd_sc_hd__or4b_2
*I *3325:Y O *D sky130_fd_sc_hd__clkinv_2
*CAP
1 *3326:A 0.000218565
2 *3325:Y 0.000218565
3 *3326:A *690:25 0.000136417
4 *282:10 *3326:A 0.000132804
5 *355:21 *3326:A 8.65358e-05
*RES
1 *3325:Y *3326:A 32.548
*END
*D_NET *607 0.00732723
*CONN
*I *3327:A I *D sky130_fd_sc_hd__clkbuf_2
*I *3346:S I *D sky130_fd_sc_hd__mux2_1
*I *3337:S I *D sky130_fd_sc_hd__mux2_1
*I *3331:S I *D sky130_fd_sc_hd__mux2_1
*I *3326:X O *D sky130_fd_sc_hd__or4b_2
*CAP
1 *3327:A 0.000140282
2 *3346:S 2.86212e-05
3 *3337:S 0
4 *3331:S 0.000276028
5 *3326:X 0.00106111
6 *607:21 0.000519486
7 *607:16 0.000354034
8 *607:14 0.00128334
9 *3327:A *686:37 0.000417478
10 *3331:S *3337:A1 7.92757e-06
11 *3346:S *688:27 6.08467e-05
12 *607:14 *3348:A 0
13 *607:14 *686:36 4.3116e-06
14 *607:16 *766:6 3.58457e-05
15 *607:21 *871:DIODE 2.53624e-06
16 *607:21 *874:DIODE 7.42334e-05
17 *607:21 *3337:A1 3.79805e-05
18 *607:21 *3348:A 0.000258128
19 *607:21 *3524:A 5.04829e-06
20 *607:21 *766:6 3.81416e-06
21 *3347:A *607:14 0.000153024
22 *3472:D *607:16 0.000193108
23 *3472:D *607:21 0.000135391
24 *155:10 *607:14 0
25 *155:10 *607:16 0
26 *230:62 *3331:S 0.000746904
27 *273:14 *3331:S 0.000743306
28 *430:10 *607:14 0.000617631
29 *541:11 *607:14 0.000166815
*RES
1 *3326:X *607:14 34.1295
2 *607:14 *607:16 3.493
3 *607:16 *607:21 11.9047
4 *607:21 *3331:S 32.5951
5 *607:21 *3337:S 9.24915
6 *607:16 *3346:S 14.4725
7 *607:14 *3327:A 18.3548
*END
*D_NET *608 0.00534189
*CONN
*I *3340:S I *D sky130_fd_sc_hd__mux2_1
*I *3328:S I *D sky130_fd_sc_hd__mux2_1
*I *3343:S I *D sky130_fd_sc_hd__mux2_1
*I *3349:S I *D sky130_fd_sc_hd__mux2_1
*I *3334:S I *D sky130_fd_sc_hd__mux2_1
*I *3327:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3340:S 0.000180587
2 *3328:S 0
3 *3343:S 0.000287269
4 *3349:S 0
5 *3334:S 0.000174746
6 *3327:X 6.96114e-05
7 *608:40 0.000409795
8 *608:26 0.000638625
9 *608:20 0.000370234
10 *608:7 0.000492444
11 *3334:S *870:DIODE 4.31703e-05
12 *3334:S *2889:A 0
13 *3334:S *688:25 0.000144546
14 *3340:S *3056:A 0.000210977
15 *3340:S *3329:B 5.04829e-06
16 *3340:S *3340:A1 1.07248e-05
17 *3340:S *3466:CLK 0.000107496
18 *3340:S *662:87 5.62007e-05
19 *3340:S *662:91 9.32983e-05
20 *3340:S *682:19 6.88675e-05
21 *3343:S *3343:A0 1.65872e-05
22 *3343:S *3343:A1 2.99287e-05
23 *3343:S *3344:B 3.08886e-05
24 *3343:S *3345:A 0
25 *3343:S *3473:CLK 0.00031994
26 *3343:S *689:92 0.000146676
27 *3343:S *693:35 7.54269e-06
28 *608:7 *686:37 1.82679e-05
29 *608:20 *2889:A 0
30 *608:20 *688:25 0.000223112
31 *608:20 *689:79 6.79833e-05
32 *608:20 *689:92 0.000113968
33 *608:20 *767:10 1.16546e-05
34 *608:26 *689:92 0.000305742
35 *608:40 *662:91 0.000357911
36 *407:12 *3334:S 0.000115632
37 *605:28 *608:20 4.33819e-05
38 *605:28 *608:26 2.41483e-05
39 *605:28 *608:40 2.41483e-05
40 *605:38 *608:40 0.000120742
*RES
1 *3327:X *608:7 15.0271
2 *608:7 *3334:S 19.3535
3 *608:7 *608:20 11.7653
4 *608:20 *3349:S 9.24915
5 *608:20 *608:26 4.05102
6 *608:26 *3343:S 26.6506
7 *608:26 *608:40 7.37864
8 *608:40 *3328:S 9.24915
9 *608:40 *3340:S 24.5446
*END
*D_NET *609 0.000590932
*CONN
*I *3329:B I *D sky130_fd_sc_hd__and2_1
*I *3328:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *3329:B 0.000194415
2 *3328:X 0.000194415
3 *3329:B *3056:A 0.000127179
4 *3329:B *3466:CLK 3.40423e-05
5 *3329:B *686:46 0
6 *3329:A *3329:B 3.58321e-05
7 *3340:S *3329:B 5.04829e-06
*RES
1 *3328:X *3329:B 31.9934
*END
*D_NET *610 0.000869139
*CONN
*I *3330:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3329:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *3330:A 0.000314746
2 *3329:X 0.000314746
3 *3330:A *3056:A 0
4 *3330:A *682:11 0.000122083
5 *3330:A *693:5 7.48633e-05
6 *3330:A *760:27 0
7 *3466:D *3330:A 4.27003e-05
*RES
1 *3329:X *3330:A 34.9002
*END
*D_NET *611 0.000930723
*CONN
*I *3332:B I *D sky130_fd_sc_hd__or2_1
*I *3331:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *3332:B 0.000266848
2 *3331:X 0.000266848
3 *3332:B *3331:A0 5.56461e-05
4 *3332:B *3467:CLK 0
5 *3332:B *707:12 0.000336155
6 *313:8 *3332:B 5.22654e-06
*RES
1 *3331:X *3332:B 34.7608
*END
*D_NET *612 0.000776839
*CONN
*I *3333:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3332:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *3333:A 0.000228448
2 *3332:X 0.000228448
3 *3333:A *3467:CLK 0.000123582
4 *3333:A *708:22 0.000168313
5 *313:21 *3333:A 1.88152e-05
6 *402:11 *3333:A 9.2346e-06
*RES
1 *3332:X *3333:A 32.548
*END
*D_NET *613 0.000533349
*CONN
*I *3335:B I *D sky130_fd_sc_hd__and2_1
*I *3334:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *3335:B 0.000158172
2 *3334:X 0.000158172
3 *3335:B *688:25 0.000217006
*RES
1 *3334:X *3335:B 22.5975
*END
*D_NET *614 0.0014748
*CONN
*I *3336:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3335:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *3336:A 0.000522617
2 *3335:X 0.000522617
3 *3336:A *3524:A 5.14179e-05
4 *3336:A *3525:A 0.00024395
5 *3336:A *640:150 4.27148e-05
6 *2883:A *3336:A 9.14834e-05
7 *155:10 *3336:A 0
*RES
1 *3335:X *3336:A 39.1685
*END
*D_NET *615 0.00111166
*CONN
*I *3338:B I *D sky130_fd_sc_hd__or2_1
*I *3337:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *3338:B 0.000243539
2 *3337:X 0.000243539
3 *3338:B *3339:A 0.000297709
4 *3338:B *685:41 1.4091e-06
5 *3338:B *707:12 2.04806e-05
6 *230:62 *3338:B 0.000304983
*RES
1 *3337:X *3338:B 34.9002
*END
*D_NET *616 0.00256724
*CONN
*I *3339:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3338:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *3339:A 0.000578376
2 *3338:X 0.000578376
3 *3339:A *3050:A 0.000267333
4 *3339:A *3524:A 0.000255881
5 *3339:A *685:41 0.000201135
6 *3339:A *707:12 0.000310094
7 *3338:B *3339:A 0.000297709
8 *230:62 *3339:A 3.25307e-05
9 *402:11 *3339:A 4.58003e-05
*RES
1 *3338:X *3339:A 45.6305
*END
*D_NET *617 0.000586458
*CONN
*I *3341:B I *D sky130_fd_sc_hd__and2_1
*I *3340:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *3341:B 0.00015037
2 *3340:X 0.00015037
3 *3341:B *2878:A0 0.000143032
4 *3341:B *662:53 5.22654e-06
5 *3341:B *662:87 6.01944e-06
6 *3341:B *686:37 1.2954e-05
7 *3341:A *3341:B 0.000118485
*RES
1 *3340:X *3341:B 31.4388
*END
*D_NET *618 0.00154953
*CONN
*I *3342:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3341:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *3342:A 0.000622059
2 *3341:X 0.000622059
3 *3342:A *3056:A 0.000160617
4 *3342:A *662:56 5.03285e-05
5 *3342:A *662:69 2.64044e-05
6 *3342:A *760:27 6.31665e-05
7 *3342:A *764:10 3.28898e-06
8 *3470:D *3342:A 1.60502e-06
*RES
1 *3341:X *3342:A 38.094
*END
*D_NET *619 0.000275382
*CONN
*I *3344:B I *D sky130_fd_sc_hd__and2_1
*I *3343:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *3344:B 9.7155e-05
2 *3343:X 9.7155e-05
3 *3344:B *3345:A 3.34802e-05
4 *3344:B *662:91 1.67033e-05
5 *3344:B *689:92 0
6 *3343:S *3344:B 3.08886e-05
*RES
1 *3343:X *3344:B 30.1608
*END
*D_NET *620 0.000679379
*CONN
*I *3345:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3344:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *3345:A 0.000169242
2 *3344:X 0.000169242
3 *3345:A *3343:A0 3.93117e-06
4 *3345:A *662:91 0.000122083
5 *3345:A *662:103 0.000181401
6 *3345:A *689:92 0
7 *3343:S *3345:A 0
8 *3344:B *3345:A 3.34802e-05
*RES
1 *3344:X *3345:A 33.0676
*END
*D_NET *621 0.000830795
*CONN
*I *3347:B I *D sky130_fd_sc_hd__or2_1
*I *3346:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *3347:B 0.00015116
2 *3346:X 0.00015116
3 *3347:B *685:30 0.000200236
4 *230:71 *3347:B 0.00029508
5 *273:19 *3347:B 3.31585e-05
*RES
1 *3346:X *3347:B 33.3757
*END
*D_NET *622 0.00168152
*CONN
*I *3348:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3347:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *3348:A 0.000324586
2 *3347:X 0.000324586
3 *3348:A *3337:A1 0.000154145
4 *3348:A *3524:A 1.19856e-05
5 *3348:A *686:36 0.000221832
6 *3348:A *688:39 4.23622e-05
7 *273:14 *3348:A 0.000239106
8 *273:19 *3348:A 0.000104793
9 *607:14 *3348:A 0
10 *607:21 *3348:A 0.000258128
*RES
1 *3347:X *3348:A 39.886
*END
*D_NET *623 0.000935662
*CONN
*I *3350:B I *D sky130_fd_sc_hd__and2_1
*I *3349:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *3350:B 0.00024663
2 *3349:X 0.00024663
3 *3350:B *640:150 0.000305013
4 *407:12 *3350:B 0.000127447
5 *605:28 *3350:B 9.9429e-06
*RES
1 *3349:X *3350:B 34.3456
*END
*D_NET *624 0.00153776
*CONN
*I *3351:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3350:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *3351:A 0.000177708
2 *3350:X 0.000177708
3 *3351:A *691:83 0.000769883
4 *3098:A *3351:A 0.000164843
5 *3277:A *3351:A 8.92437e-05
6 *3277:B *3351:A 0.000158371
*RES
1 *3350:X *3351:A 27.5649
*END
*D_NET *625 0.00584853
*CONN
*I *3353:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3352:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *3353:A 0.00195721
2 *3352:X 3.62554e-05
3 *625:8 0.00199346
4 *3353:A *693:5 8.26312e-05
5 *3353:A *693:35 0.00124826
6 *3353:A *693:40 2.41483e-05
7 *2908:A *625:8 3.00073e-05
8 *2990:A *3353:A 0.000118166
9 *3123:A *3353:A 2.65667e-05
10 *3473:D *3353:A 0.000253135
11 *230:71 *625:8 7.86847e-05
*RES
1 *3352:X *625:8 19.6659
2 *625:8 *3353:A 42.019
*END
*D_NET *626 0.00451624
*CONN
*I *3355:A I *D sky130_fd_sc_hd__and3_1
*I *3358:A2 I *D sky130_fd_sc_hd__a311oi_1
*I *3357:A1 I *D sky130_fd_sc_hd__a211oi_1
*I *3354:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *3355:A 0
2 *3358:A2 0.000453246
3 *3357:A1 0
4 *3354:Y 0.000719783
5 *626:11 0.000602328
6 *626:10 0.000868865
7 *3358:A2 *3523:A 9.82896e-06
8 *3358:A2 *711:10 2.82621e-05
9 *626:10 *2900:A 2.83938e-05
10 *626:10 *3358:B1 0
11 *626:10 *710:9 0.00087611
12 *626:11 *3523:A 0.000384695
13 *3476:D *626:10 7.0268e-05
14 *239:10 *626:10 3.92275e-05
15 *262:35 *3358:A2 0.000360145
16 *503:6 *3358:A2 7.50872e-05
*RES
1 *3354:Y *626:10 31.342
2 *626:10 *626:11 4.60562
3 *626:11 *3357:A1 9.24915
4 *626:11 *3358:A2 26.514
5 *626:10 *3355:A 9.24915
*END
*D_NET *627 0.000634862
*CONN
*I *3356:B1 I *D sky130_fd_sc_hd__a211oi_1
*I *3355:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *3356:B1 0.000227035
2 *3355:X 0.000227035
3 *3356:B1 *660:6 0
4 *3356:B1 *660:11 0
5 *3399:D *3356:B1 4.08154e-05
6 *3475:D *3356:B1 5.0459e-05
7 *154:14 *3356:B1 2.71337e-05
8 *237:16 *3356:B1 3.58185e-05
9 *239:20 *3356:B1 2.65667e-05
*RES
1 *3355:X *3356:B1 33.791
*END
*D_NET *628 0.00169277
*CONN
*I *3358:B1 I *D sky130_fd_sc_hd__a311oi_1
*I *3357:Y O *D sky130_fd_sc_hd__a211oi_1
*CAP
1 *3358:B1 0.000600228
2 *3357:Y 0.000600228
3 *3358:B1 *2900:A 6.61971e-05
4 *3358:B1 *640:156 0.000108428
5 *3355:B *3358:B1 0
6 *239:10 *3358:B1 0
7 *263:20 *3358:B1 0.000317693
8 *626:10 *3358:B1 0
*RES
1 *3357:Y *3358:B1 39.0611
*END
*D_NET *629 0.0025809
*CONN
*I *3362:A2 I *D sky130_fd_sc_hd__o21a_1
*I *3360:B I *D sky130_fd_sc_hd__xor2_1
*I *3359:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *3362:A2 4.16846e-05
2 *3360:B 0.000315981
3 *3359:X 0.000248007
4 *629:8 0.000605673
5 *3360:B *2845:B 0.000113382
6 *3360:B *3360:A 3.57324e-05
7 *3360:B *3361:C 0.000375415
8 *3362:A2 *778:23 0.000122083
9 *629:8 *3359:A 0.000113374
10 *629:8 *778:23 6.79599e-05
11 *629:8 *779:8 0.000211492
12 *2857:B *629:8 2.15184e-05
13 *262:35 *3362:A2 0.000114955
14 *262:35 *629:8 0.00019364
*RES
1 *3359:X *629:8 20.184
2 *629:8 *3360:B 21.803
3 *629:8 *3362:A2 15.9964
*END
*D_NET *630 0.00138229
*CONN
*I *3361:C I *D sky130_fd_sc_hd__nor3_1
*I *3360:X O *D sky130_fd_sc_hd__xor2_1
*CAP
1 *3361:C 0.00024039
2 *3360:X 0.00024039
3 *3361:C *2845:A 2.16355e-05
4 *3361:C *2845:B 6.404e-05
5 *3361:C *2845:C 4.89898e-06
6 *3361:C *2845:D 0.000118166
7 *2857:B *3361:C 4.62974e-05
8 *3360:B *3361:C 0.000375415
9 *228:7 *3361:C 0.000271058
*RES
1 *3360:X *3361:C 27.9989
*END
*D_NET *631 0.0013151
*CONN
*I *3363:A2 I *D sky130_fd_sc_hd__o21a_1
*I *3362:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *3363:A2 0.000221193
2 *3362:X 0.000221193
3 *3363:A2 *708:12 0.000365784
4 *3363:A2 *711:10 3.28032e-05
5 *3176:A *3363:A2 0.000211478
6 *3363:A1 *3363:A2 1.07248e-05
7 *237:16 *3363:A2 2.41483e-05
8 *282:74 *3363:A2 0.000168546
9 *282:76 *3363:A2 5.92342e-05
10 *503:6 *3363:A2 0
*RES
1 *3362:X *3363:A2 36.8399
*END
*D_NET *632 0.00676404
*CONN
*I *2843:B1 I *D sky130_fd_sc_hd__a221o_1
*I *2906:A1 I *D sky130_fd_sc_hd__mux2_1
*I *3091:A1 I *D sky130_fd_sc_hd__a21o_1
*I *3365:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2843:B1 0.000554719
2 *2906:A1 0.000324308
3 *3091:A1 0.000384162
4 *3365:Q 0
5 *632:19 0.0014688
6 *632:4 0.000973939
7 *2843:B1 *2844:A1 0
8 *2843:B1 *683:78 3.04538e-05
9 *2906:A1 *683:78 2.652e-05
10 *800:DIODE *2906:A1 0.000413821
11 *3104:A *3091:A1 0.000340754
12 *3124:A2 *3091:A1 0.000111722
13 *3127:B1 *3091:A1 6.404e-05
14 *3247:A *2843:B1 0.000310094
15 *3365:D *2843:B1 4.86172e-06
16 *3365:D *2906:A1 1.47102e-05
17 *3365:D *632:19 0.000293354
18 *3440:D *2843:B1 0.000120584
19 *3448:D *2843:B1 0
20 *272:28 *2843:B1 0
21 *407:20 *2843:B1 0
22 *434:17 *3091:A1 4.88955e-05
23 *434:25 *3091:A1 6.50727e-05
24 *435:13 *3091:A1 0.000563898
25 *459:15 *3091:A1 2.41483e-05
26 *459:15 *632:19 0.000274082
27 *467:11 *3091:A1 1.03403e-05
28 *548:41 *3091:A1 0.000340754
*RES
1 *3365:Q *632:4 9.24915
2 *632:4 *3091:A1 32.7509
3 *632:4 *632:19 14.6517
4 *632:19 *2906:A1 19.7715
5 *632:19 *2843:B1 27.6235
*END
*D_NET *633 0.00446615
*CONN
*I *2844:B1 I *D sky130_fd_sc_hd__a221o_1
*I *3155:A1 I *D sky130_fd_sc_hd__mux2_1
*I *3110:A1 I *D sky130_fd_sc_hd__a21o_1
*I *3405:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2844:B1 0.00105811
2 *3155:A1 0.00020827
3 *3110:A1 5.68096e-05
4 *3405:Q 0
5 *633:15 0.00132034
6 *633:5 0.000110769
7 *2844:B1 *2843:A2 3.33639e-05
8 *2844:B1 *2844:A2 5.98014e-05
9 *2844:B1 *3406:CLK 0.000260374
10 *2844:B1 *634:7 0.000102296
11 *2844:B1 *695:7 0.000111722
12 *3110:A1 *637:22 0.000198737
13 *633:15 *637:22 0.000189511
14 *3154:A *2844:B1 2.65831e-05
15 *3155:S *2844:B1 2.94729e-05
16 *3155:S *3155:A1 2.99733e-05
17 *3171:S *633:15 4.84944e-05
18 *486:8 *3110:A1 0.000198737
19 *486:8 *633:15 0.000151741
20 *487:16 *2844:B1 0.000271044
*RES
1 *3405:Q *633:5 13.7491
2 *633:5 *3110:A1 17.2421
3 *633:5 *633:15 7.993
4 *633:15 *3155:A1 12.191
5 *633:15 *2844:B1 30.6858
*END
*D_NET *634 0.00337525
*CONN
*I *2843:A2 I *D sky130_fd_sc_hd__a221o_1
*I *3159:A1 I *D sky130_fd_sc_hd__mux2_1
*I *3115:A1 I *D sky130_fd_sc_hd__a21o_1
*I *3406:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2843:A2 0.000240739
2 *3159:A1 0.00025316
3 *3115:A1 9.84759e-05
4 *3406:Q 0
5 *634:7 0.00111523
6 *634:4 0.00100434
7 *2843:A2 *2843:A1 0.000148129
8 *2843:A2 *2843:B2 1.67329e-05
9 *2843:A2 *2844:A1 0
10 *2843:A2 *684:91 8.66189e-06
11 *3115:A1 *3120:A1 0
12 *3115:A1 *3273:A 8.37812e-05
13 *3159:A1 *3120:A1 0
14 *634:7 *3406:CLK 2.2419e-05
15 *2844:B1 *2843:A2 3.33639e-05
16 *2844:B1 *634:7 0.000102296
17 *3161:A *3159:A1 0.000164843
18 *3171:S *3159:A1 0
19 *3406:D *634:7 2.94869e-05
20 *486:8 *3115:A1 0
21 *486:8 *3159:A1 0
22 *487:16 *3159:A1 3.42931e-05
23 *487:16 *634:7 1.92926e-05
*RES
1 *3406:Q *634:4 9.24915
2 *634:4 *634:7 16.3155
3 *634:7 *3115:A1 16.4116
4 *634:7 *3159:A1 19.0748
5 *634:4 *2843:A2 23.4978
*END
*D_NET *635 0.00428196
*CONN
*I *2842:B1 I *D sky130_fd_sc_hd__a221o_1
*I *3162:A1 I *D sky130_fd_sc_hd__mux2_1
*I *3120:A1 I *D sky130_fd_sc_hd__a21o_1
*I *3407:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2842:B1 0.000440254
2 *3162:A1 0
3 *3120:A1 0.000772727
4 *3407:Q 5.28561e-05
5 *635:8 0.00086231
6 *635:6 0.000582693
7 *2842:B1 *2842:A2 2.52402e-05
8 *2842:B1 *2842:B2 0.000101868
9 *2842:B1 *3410:CLK 4.62705e-05
10 *3120:A1 *3126:A1 0
11 *3120:A1 *3162:A0 0.000190042
12 *3120:A1 *3273:A 0
13 *3120:A1 *692:32 2.41483e-05
14 *635:6 *636:10 0
15 *635:8 *2841:A2 0
16 *635:8 *3126:A1 0
17 *635:8 *636:10 0
18 *2842:C1 *2842:B1 1.54733e-05
19 *3115:A1 *3120:A1 0
20 *3115:A2 *3120:A1 0.000160384
21 *3120:A2 *3120:A1 0.000122378
22 *3159:A1 *3120:A1 0
23 *3162:S *2842:B1 6.50727e-05
24 *3274:B1 *3120:A1 0
25 *3406:D *3120:A1 0.000210977
26 *3410:D *635:8 9.22013e-06
27 *3443:D *2842:B1 0
28 *426:43 *3120:A1 7.14746e-05
29 *447:9 *3120:A1 5.49825e-05
30 *447:18 *3120:A1 0.000202283
31 *448:6 *3120:A1 0
32 *487:16 *3120:A1 0
33 *487:28 *3120:A1 0
34 *487:33 *635:6 2.82537e-05
35 *487:33 *635:8 1.44611e-05
36 *548:41 *3120:A1 0.000228593
*RES
1 *3407:Q *635:6 15.1659
2 *635:6 *635:8 2.24725
3 *635:8 *3120:A1 35.8242
4 *635:8 *3162:A1 13.7491
5 *635:6 *2842:B1 30.3268
*END
*D_NET *636 0.005025
*CONN
*I *3165:A1 I *D sky130_fd_sc_hd__mux2_1
*I *2842:A2 I *D sky130_fd_sc_hd__a221o_1
*I *3126:A1 I *D sky130_fd_sc_hd__a21o_1
*I *3408:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3165:A1 0.000130697
2 *2842:A2 0.000319067
3 *3126:A1 0.000573796
4 *3408:Q 0.000536486
5 *636:10 0.00110621
6 *636:8 0.000880529
7 *2842:A2 *2842:A1 3.34029e-05
8 *2842:A2 *2842:B2 2.25914e-05
9 *2842:A2 *3410:CLK 2.16355e-05
10 *3126:A1 *3406:CLK 0.000346882
11 *3126:A1 *654:12 0.000111358
12 *636:8 *3408:CLK 0.000188106
13 *636:8 *654:8 8.62625e-06
14 *636:8 *688:90 3.32822e-05
15 *636:10 *654:8 8.52968e-05
16 *636:10 *654:10 0.00023862
17 *636:10 *654:12 5.56367e-05
18 *2842:B1 *2842:A2 2.52402e-05
19 *2842:C1 *2842:A2 3.33352e-05
20 *2843:C1 *3126:A1 0
21 *3120:A1 *3126:A1 0
22 *3164:A *3165:A1 0.000224381
23 *3166:B *636:8 0
24 *3274:B1 *3126:A1 0
25 *3406:D *3126:A1 4.98215e-05
26 *272:28 *3126:A1 0
27 *487:33 *636:8 0
28 *487:33 *636:10 0
29 *635:6 *636:10 0
30 *635:8 *3126:A1 0
31 *635:8 *636:10 0
*RES
1 *3408:Q *636:8 23.5116
2 *636:8 *636:10 6.81502
3 *636:10 *3126:A1 28.454
4 *636:10 *2842:A2 19.3736
5 *636:8 *3165:A1 16.1364
*END
*D_NET *637 0.0096787
*CONN
*I *3168:A1 I *D sky130_fd_sc_hd__mux2_1
*I *2841:B1 I *D sky130_fd_sc_hd__a22o_1
*I *3131:A1 I *D sky130_fd_sc_hd__a21o_1
*I *3409:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3168:A1 2.98334e-05
2 *2841:B1 0.000263108
3 *3131:A1 0
4 *3409:Q 0.000194864
5 *637:22 0.00181465
6 *637:10 0.00217722
7 *637:8 0.000324157
8 *2841:B1 *2841:B2 1.64789e-05
9 *3168:A1 *688:94 0.000118166
10 *637:8 *688:97 5.66868e-06
11 *637:10 *3266:A0 3.20274e-05
12 *637:10 *688:97 8.92568e-06
13 *637:22 *3125:A 1.9101e-05
14 *637:22 *3266:A0 2.22923e-05
15 *637:22 *638:26 0.000417314
16 *637:22 *689:46 1.91391e-05
17 *637:22 *691:12 3.2666e-05
18 *3021:A *637:22 0.000409212
19 *3088:A *637:22 0
20 *3110:A1 *637:22 0.000198737
21 *3111:A2 *637:22 2.96004e-05
22 *3111:B2 *637:22 0.000143017
23 *3156:B *637:22 0
24 *3163:A *2841:B1 1.03403e-05
25 *3163:B *2841:B1 7.14746e-05
26 *3166:B *637:10 0
27 *3167:A *637:8 0
28 *3168:S *2841:B1 7.98171e-06
29 *3168:S *637:10 0.000148144
30 *3169:A *3168:A1 2.23124e-05
31 *3171:S *637:22 0.000110133
32 *148:20 *637:22 6.03122e-05
33 *151:18 *637:22 0.000114642
34 *281:52 *637:22 0.000242134
35 *296:57 *637:22 7.20777e-05
36 *299:59 *637:22 0.000561382
37 *399:46 *637:22 5.62164e-05
38 *432:22 *637:22 0
39 *433:18 *637:22 0.000193395
40 *433:28 *637:22 0.000163465
41 *434:17 *637:22 1.5714e-05
42 *435:25 *637:22 0.000600384
43 *439:14 *637:22 7.58568e-05
44 *486:8 *637:22 0.000180447
45 *490:10 *637:22 0
46 *490:21 *637:10 8.16827e-05
47 *490:21 *637:22 0.000304717
48 *490:32 *637:10 0.000109859
49 *551:45 *2841:B1 1.03403e-05
50 *633:15 *637:22 0.000189511
*RES
1 *3409:Q *637:8 17.2744
2 *637:8 *637:10 4.73876
3 *637:10 *637:22 49.7268
4 *637:22 *3131:A1 13.7491
5 *637:10 *2841:B1 27.3006
6 *637:8 *3168:A1 15.0271
*END
*D_NET *638 0.00804292
*CONN
*I *2841:A2 I *D sky130_fd_sc_hd__a22o_1
*I *3171:A1 I *D sky130_fd_sc_hd__mux2_1
*I *3136:A1 I *D sky130_fd_sc_hd__a21o_1
*I *3410:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2841:A2 0.000371063
2 *3171:A1 0
3 *3136:A1 0
4 *3410:Q 0.000228148
5 *638:32 0.000516124
6 *638:26 0.00153993
7 *638:8 0.00191314
8 *2841:A2 *2841:A1 5.41377e-05
9 *2841:A2 *3171:A0 6.64392e-05
10 *638:8 *2841:A1 8.62625e-06
11 *638:8 *3269:A1 7.14746e-05
12 *638:26 *640:9 0
13 *638:26 *640:17 0
14 *638:26 *651:20 0
15 *638:26 *684:68 1.1534e-05
16 *638:26 *684:132 7.05251e-05
17 *638:26 *689:40 0
18 *638:26 *689:46 0
19 *638:32 *3171:A0 0.000581775
20 *3093:A *638:26 2.27135e-05
21 *3101:A1 *638:26 0.000171274
22 *3101:A2 *638:26 2.33103e-06
23 *3106:B1 *638:26 0.000127164
24 *3106:B2 *638:26 8.3314e-05
25 *3108:A2 *638:26 0.000163982
26 *3114:C *638:26 0
27 *3137:B2 *638:26 6.74182e-05
28 *3157:A *638:26 2.12377e-05
29 *3163:B *2841:A2 3.60268e-05
30 *3171:S *2841:A2 5.29936e-05
31 *3171:S *638:32 2.99287e-05
32 *3172:B *2841:A2 9.63981e-05
33 *3269:S *638:8 4.20662e-05
34 *3269:S *638:26 1.44467e-05
35 *3405:D *638:26 0.000143047
36 *148:20 *638:26 3.85252e-05
37 *151:18 *638:26 0.000142616
38 *281:52 *2841:A2 0.000313481
39 *399:46 *638:26 2.62943e-05
40 *426:55 *638:26 5.82402e-05
41 *435:25 *638:26 1.49935e-05
42 *439:14 *638:26 0.000316432
43 *487:28 *2841:A2 0
44 *487:33 *2841:A2 0
45 *490:21 *2841:A2 0.000207758
46 *635:8 *2841:A2 0
47 *637:22 *638:26 0.000417314
*RES
1 *3410:Q *638:8 17.829
2 *638:8 *638:26 45.914
3 *638:26 *3136:A1 9.24915
4 *638:8 *638:32 10.7694
5 *638:32 *3171:A1 9.24915
6 *638:32 *2841:A2 31.1282
*END
*D_NET *639 0.00492154
*CONN
*I *2844:A1 I *D sky130_fd_sc_hd__a221o_1
*I *3105:A1 I *D sky130_fd_sc_hd__a21o_1
*I *3273:A I *D sky130_fd_sc_hd__or2b_1
*I *3448:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2844:A1 0.000279574
2 *3105:A1 0
3 *3273:A 0.00047017
4 *3448:Q 3.87342e-05
5 *639:15 0.0013287
6 *639:5 0.00117684
7 *2844:A1 *2843:A1 0
8 *639:5 *3448:CLK 5.31074e-05
9 *639:15 *3448:CLK 0.000754152
10 *2843:A2 *2844:A1 0
11 *2843:B1 *2844:A1 0
12 *2844:C1 *2844:A1 0
13 *3115:A1 *3273:A 8.37812e-05
14 *3115:A2 *3273:A 0
15 *3115:B1 *3273:A 3.77659e-05
16 *3120:A1 *3273:A 0
17 *3126:A2 *639:15 6.5475e-05
18 *3126:B1 *639:15 0.000385968
19 *272:17 *3273:A 0.000207294
20 *272:28 *3273:A 1.19856e-05
21 *426:43 *639:15 2.7995e-05
22 *486:8 *3273:A 0
*RES
1 *3448:Q *639:5 9.97254
2 *639:5 *639:15 17.1927
3 *639:15 *3273:A 27.457
4 *639:15 *3105:A1 9.24915
5 *639:5 *2844:A1 24.2337
*END
*D_NET *640 0.0396285
*CONN
*I *3507:A I *D sky130_fd_sc_hd__clkbuf_2
*I *883:DIODE I *D sky130_fd_sc_hd__diode_2
*I *882:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3506:A I *D sky130_fd_sc_hd__clkbuf_2
*I *3511:A I *D sky130_fd_sc_hd__clkbuf_2
*I *887:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3510:A I *D sky130_fd_sc_hd__clkbuf_2
*I *886:DIODE I *D sky130_fd_sc_hd__diode_2
*I *881:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3505:A I *D sky130_fd_sc_hd__clkbuf_2
*I *3504:A I *D sky130_fd_sc_hd__clkbuf_2
*I *880:DIODE I *D sky130_fd_sc_hd__diode_2
*I *885:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3509:A I *D sky130_fd_sc_hd__clkbuf_2
*I *884:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3508:A I *D sky130_fd_sc_hd__clkbuf_2
*I *3503:X O *D sky130_fd_sc_hd__clkbuf_16
*CAP
1 *3507:A 0
2 *883:DIODE 0.000913274
3 *882:DIODE 2.35038e-05
4 *3506:A 7.22077e-05
5 *3511:A 0.000118114
6 *887:DIODE 0
7 *3510:A 0.000218813
8 *886:DIODE 0
9 *881:DIODE 0
10 *3505:A 0
11 *3504:A 0
12 *880:DIODE 0.00011238
13 *885:DIODE 9.63676e-05
14 *3509:A 5.69625e-05
15 *884:DIODE 0
16 *3508:A 0.000185694
17 *3503:X 2.86735e-05
18 *640:156 0.00105498
19 *640:152 0.00199852
20 *640:150 0.0011879
21 *640:129 0.00148791
22 *640:109 0.000218813
23 *640:107 0.00306404
24 *640:98 0.00379635
25 *640:78 0.00189692
26 *640:59 0.00224185
27 *640:54 0.00112988
28 *640:37 0.00117035
29 *640:26 0.00115629
30 *640:17 0.00149666
31 *640:9 0.00303377
32 *640:8 0.00225838
33 *880:DIODE *641:12 3.14978e-05
34 *883:DIODE *2879:A0 5.44727e-05
35 *883:DIODE *2881:A1 3.93679e-06
36 *885:DIODE *702:8 3.8697e-05
37 *3506:A *3362:A1 0.000120116
38 *3508:A *3315:A1 0.000205409
39 *3510:A *3452:CLK 0.000147325
40 *3511:A *3518:A 0.000273777
41 *640:8 *692:44 1.86242e-05
42 *640:9 *845:DIODE 0
43 *640:9 *3137:A1 0.000847715
44 *640:9 *3236:A1 1.40927e-05
45 *640:9 *687:47 0.000117273
46 *640:9 *689:51 0
47 *640:9 *692:51 0.000103643
48 *640:9 *692:75 3.93858e-05
49 *640:17 *3130:A 1.33885e-05
50 *640:17 *3304:A 8.18934e-05
51 *640:17 *3315:A0 0.000158357
52 *640:17 *3315:A1 1.6383e-05
53 *640:17 *3527:A 2.02974e-05
54 *640:17 *748:8 7.58855e-05
55 *640:26 *3312:A0 1.12606e-05
56 *640:26 *3526:A 0
57 *640:26 *3527:A 0
58 *640:26 *702:8 9.25226e-05
59 *640:37 *3312:A0 2.6233e-05
60 *640:37 *702:8 0.000522609
61 *640:37 *737:16 0
62 *640:54 *2920:A2 0
63 *640:54 *3433:CLK 0
64 *640:54 *3438:CLK 0
65 *640:54 *689:46 5.72927e-05
66 *640:54 *689:51 1.75625e-05
67 *640:54 *724:34 0
68 *640:59 *3433:CLK 0
69 *640:59 *3520:A 5.56461e-05
70 *640:59 *3521:A 6.49003e-05
71 *640:59 *683:29 0
72 *640:78 *2921:A 5.76799e-05
73 *640:78 *3520:A 0.000115632
74 *640:78 *681:20 0.000111191
75 *640:98 *687:47 5.93795e-05
76 *640:98 *692:75 6.50084e-05
77 *640:98 *706:19 0.000154322
78 *640:98 *706:40 0.000244379
79 *640:107 *3452:CLK 0
80 *640:129 *3112:A 0
81 *640:129 *3445:CLK 8.12262e-05
82 *640:129 *3452:CLK 0
83 *640:129 *3518:A 8.07497e-05
84 *640:129 *687:100 0
85 *640:129 *688:90 1.44742e-05
86 *640:150 *2889:A 0
87 *640:150 *3133:A 5.4776e-05
88 *640:150 *688:25 0.000990464
89 *640:150 *706:19 0.000216563
90 *640:156 *2882:A0 7.50872e-05
91 *640:156 *2889:A 0
92 *640:156 *2890:A1 0.000269694
93 *640:156 *3523:A 0
94 *859:DIODE *640:54 4.20662e-05
95 *2879:A1 *883:DIODE 4.31539e-05
96 *2879:S *883:DIODE 9.8876e-05
97 *2880:A *883:DIODE 0.000107496
98 *2882:A1 *640:156 5.53789e-05
99 *2882:S *640:156 0
100 *2883:A *640:150 3.67528e-06
101 *2883:A *640:152 3.55432e-05
102 *2883:A *640:156 0.000110985
103 *2890:A2 *640:156 0.000128231
104 *2890:A3 *640:156 0
105 *2920:B2 *640:54 0.000190042
106 *2931:B2 *640:59 3.31882e-05
107 *2933:A1 *640:54 0.000435274
108 *2933:A1 *640:59 0.000324713
109 *2933:A2 *640:54 7.64007e-05
110 *2933:A4 *640:59 6.66012e-05
111 *2933:B1 *640:59 3.61993e-05
112 *3011:A *640:37 0
113 *3039:A1 *640:78 1.1573e-05
114 *3134:A2 *640:9 0.00017164
115 *3134:A2 *640:98 0.00034073
116 *3134:A2 *640:150 8.09681e-05
117 *3238:A2 *640:54 0
118 *3252:A *640:129 0.000142178
119 *3264:A *640:129 4.35564e-05
120 *3265:A *640:17 2.28264e-05
121 *3306:B *640:37 0
122 *3310:B *640:37 0.000105589
123 *3317:A *640:17 0.00016424
124 *3322:A *640:17 0.000123582
125 *3335:A *640:150 0
126 *3336:A *640:150 4.27148e-05
127 *3350:B *640:150 0.000305013
128 *3357:B1 *640:156 0
129 *3358:B1 *640:156 0.000108428
130 *3399:D *640:156 0
131 *3429:D *883:DIODE 3.9504e-05
132 *3430:D *640:156 0.000153225
133 *3442:D *640:129 6.80719e-05
134 *3445:D *640:129 7.15593e-05
135 *155:10 *640:150 0
136 *155:10 *640:152 0
137 *155:10 *640:156 0
138 *237:16 *882:DIODE 1.41291e-05
139 *237:16 *3506:A 1.65252e-05
140 *239:10 *640:156 0
141 *247:8 *640:156 0
142 *268:34 *640:78 0
143 *282:36 *640:59 5.90082e-05
144 *294:13 *640:59 7.02172e-06
145 *300:8 *640:59 0
146 *314:55 *640:78 4.15236e-05
147 *332:49 *640:8 0
148 *355:39 *640:54 9.75356e-05
149 *356:8 *640:78 5.39635e-06
150 *398:8 *640:150 6.7034e-05
151 *399:46 *640:54 0.000127949
152 *407:12 *640:150 0.00012371
153 *407:58 *640:54 6.57189e-05
154 *439:14 *640:9 0
155 *551:26 *640:129 0
156 *591:18 *640:17 0.000127179
157 *591:31 *640:17 3.5577e-05
158 *594:22 *640:17 0.000217602
159 *638:26 *640:9 0
160 *638:26 *640:17 0
*RES
1 *3503:X *640:8 17.9118
2 *640:8 *640:9 3.49194
3 *640:9 *640:17 23.1638
4 *640:17 *3508:A 14.4094
5 *640:17 *640:26 8.40826
6 *640:26 *884:DIODE 13.7491
7 *640:26 *640:37 26.4052
8 *640:37 *3509:A 15.0271
9 *640:37 *885:DIODE 16.4116
10 *640:9 *640:54 25.3405
11 *640:54 *640:59 18.1419
12 *640:59 *640:78 24.3022
13 *640:78 *880:DIODE 12.191
14 *640:78 *3504:A 9.24915
15 *640:59 *3505:A 9.24915
16 *640:54 *881:DIODE 13.7491
17 *640:8 *640:98 3.30072
18 *640:98 *640:107 8.18265
19 *640:107 *640:109 4.5
20 *640:109 *886:DIODE 9.24915
21 *640:109 *3510:A 13.3243
22 *640:107 *640:129 36.2075
23 *640:129 *887:DIODE 9.24915
24 *640:129 *3511:A 13.5895
25 *640:98 *640:150 27.9278
26 *640:150 *640:152 2.6625
27 *640:152 *640:156 32.0776
28 *640:156 *3506:A 11.8293
29 *640:156 *882:DIODE 9.97254
30 *640:152 *883:DIODE 27.783
31 *640:150 *3507:A 13.7491
*END
*D_NET *641 0.00469673
*CONN
*I *3512:A I *D sky130_fd_sc_hd__clkbuf_2
*I *3519:A I *D sky130_fd_sc_hd__clkbuf_2
*I *3504:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3512:A 0.000144065
2 *3519:A 0.000342452
3 *3504:X 0.000394799
4 *641:12 0.000881316
5 *3512:A *2911:A 0.000114584
6 *641:12 *2892:A 0.000217923
7 *641:12 *2892:D 8.6593e-05
8 *641:12 *2950:B1 4.91225e-06
9 *880:DIODE *641:12 3.14978e-05
10 *2936:A *3512:A 9.62794e-05
11 *2936:B *3512:A 6.92705e-05
12 *2940:C1 *3519:A 0.000210992
13 *2951:A *3512:A 0.000236265
14 *2955:A1 *641:12 9.75356e-05
15 *2988:A *3519:A 0.000112985
16 *2996:A1 *3519:A 1.00937e-05
17 *266:8 *641:12 0.00011818
18 *283:15 *3512:A 0.000260388
19 *310:17 *3512:A 7.6719e-06
20 *321:31 *3512:A 0.000937628
21 *321:38 *3519:A 0.000152228
22 *321:38 *641:12 4.57241e-06
23 *339:48 *3519:A 6.08076e-05
24 *339:48 *641:12 0.000103691
*RES
1 *3504:X *641:12 23.5679
2 *641:12 *3519:A 24.0606
3 *641:12 *3512:A 24.4554
*END
*D_NET *642 0.00333426
*CONN
*I *3521:A I *D sky130_fd_sc_hd__clkbuf_2
*I *3520:A I *D sky130_fd_sc_hd__clkbuf_2
*I *3505:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3521:A 0.000136548
2 *3520:A 0.000298154
3 *3505:X 0
4 *642:4 0.000434702
5 *3521:A *3384:CLK 0.000107063
6 *3521:A *658:19 8.01837e-05
7 *2933:B1 *3520:A 0.000647008
8 *2933:B1 *3521:A 0.000164815
9 *3039:A2 *3520:A 1.03403e-05
10 *3044:A3 *3520:A 6.50586e-05
11 *294:13 *3520:A 0.000818501
12 *300:8 *3521:A 6.9339e-05
13 *391:21 *3520:A 0.000215657
14 *391:31 *3520:A 5.0715e-05
15 *640:59 *3520:A 5.56461e-05
16 *640:59 *3521:A 6.49003e-05
17 *640:78 *3520:A 0.000115632
*RES
1 *3505:X *642:4 9.24915
2 *642:4 *3520:A 26.0802
3 *642:4 *3521:A 23.5748
*END
*D_NET *643 0.00509466
*CONN
*I *3523:A I *D sky130_fd_sc_hd__clkbuf_2
*I *3522:A I *D sky130_fd_sc_hd__clkbuf_2
*I *3506:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3523:A 0.000347761
2 *3522:A 0.000745108
3 *3506:X 0
4 *643:5 0.00109287
5 *3522:A *3362:A1 0
6 *3522:A *659:7 0.000114584
7 *3522:A *779:8 3.42931e-05
8 *3522:A *779:19 0
9 *3523:A *3357:C1 0.000280585
10 *3523:A *3362:A1 0
11 *3207:A *3522:A 0
12 *3355:C *3523:A 0.000307926
13 *3357:B1 *3523:A 5.41227e-05
14 *3358:A2 *3523:A 9.82896e-06
15 *3359:C *3522:A 0
16 *3400:D *3522:A 0.000184712
17 *3400:D *3523:A 9.46009e-05
18 *232:13 *3522:A 0.00107626
19 *239:20 *3523:A 0.000324151
20 *262:35 *3523:A 4.31703e-05
21 *626:11 *3523:A 0.000384695
22 *640:156 *3523:A 0
*RES
1 *3506:X *643:5 13.7491
2 *643:5 *3522:A 34.4559
3 *643:5 *3523:A 29.1112
*END
*D_NET *644 0.00415346
*CONN
*I *3525:A I *D sky130_fd_sc_hd__clkbuf_2
*I *3524:A I *D sky130_fd_sc_hd__clkbuf_2
*I *3507:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3525:A 0.000303813
2 *3524:A 0.000986174
3 *3507:X 0
4 *644:4 0.00128999
5 *3524:A *871:DIODE 7.07549e-05
6 *3524:A *874:DIODE 0.000121106
7 *3524:A *2871:A0 0.000206733
8 *3524:A *3337:A1 3.34802e-05
9 *3525:A *662:9 6.50727e-05
10 *2883:A *3525:A 0.000217951
11 *3336:A *3524:A 5.14179e-05
12 *3336:A *3525:A 0.00024395
13 *3338:A *3524:A 0.00014879
14 *3339:A *3524:A 0.000255881
15 *3348:A *3524:A 1.19856e-05
16 *313:21 *3524:A 0.000141312
17 *607:21 *3524:A 5.04829e-06
*RES
1 *3507:X *644:4 9.24915
2 *644:4 *3524:A 42.6455
3 *644:4 *3525:A 18.3398
*END
*D_NET *645 0.0041153
*CONN
*I *3526:A I *D sky130_fd_sc_hd__clkbuf_2
*I *3527:A I *D sky130_fd_sc_hd__clkbuf_2
*I *3508:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3526:A 0.000382163
2 *3527:A 0.000699821
3 *3508:X 0
4 *645:5 0.00108198
5 *3526:A *3312:A0 0.000217937
6 *3526:A *663:54 0.000224381
7 *3527:A *3304:A 6.08467e-05
8 *3527:A *702:8 0
9 *3527:A *702:15 6.93308e-05
10 *3310:A *3526:A 0.000309548
11 *3310:A *3527:A 0.000191095
12 *3312:S *3526:A 0.000130961
13 *3316:B *3527:A 0
14 *3317:A *3527:A 3.20069e-06
15 *3318:S *3527:A 0.000535677
16 *379:23 *3526:A 7.85867e-05
17 *379:27 *3526:A 3.02812e-05
18 *591:5 *3527:A 1.41291e-05
19 *594:22 *3527:A 6.50586e-05
20 *640:17 *3527:A 2.02974e-05
21 *640:26 *3526:A 0
22 *640:26 *3527:A 0
*RES
1 *3508:X *645:5 13.7491
2 *645:5 *3527:A 39.4377
3 *645:5 *3526:A 27.5276
*END
*D_NET *646 0.00436818
*CONN
*I *3514:A I *D sky130_fd_sc_hd__clkbuf_2
*I *3513:A I *D sky130_fd_sc_hd__clkbuf_2
*I *3509:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3514:A 0.00056035
2 *3513:A 0.000329563
3 *3509:X 0.000397395
4 *646:8 0.00128731
5 *3513:A *650:7 1.92793e-05
6 *3514:A *3301:A0 0.000360159
7 *3514:A *3301:A1 0.000593901
8 *646:8 *3009:A0 0
9 *3010:A *3513:A 2.65667e-05
10 *3010:B *646:8 0
11 *3011:A *646:8 0
12 *3302:B *3514:A 0.00049413
13 *3306:A *3514:A 0.000126979
14 *3306:B *3513:A 6.3657e-05
15 *3306:B *3514:A 0.000101873
16 *3307:A *3514:A 7.02172e-06
*RES
1 *3509:X *646:8 27.1404
2 *646:8 *3513:A 16.6278
3 *646:8 *3514:A 28.2745
*END
*D_NET *647 0.00690104
*CONN
*I *3516:A I *D sky130_fd_sc_hd__clkbuf_2
*I *3515:A I *D sky130_fd_sc_hd__clkbuf_2
*I *3510:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3516:A 0.000580069
2 *3515:A 0.000553601
3 *3510:X 0
4 *647:4 0.00113367
5 *3515:A *3250:A0 0.000102632
6 *3515:A *684:91 2.41274e-06
7 *3515:A *684:100 1.58551e-05
8 *3515:A *684:103 0.000689459
9 *3515:A *685:92 0.000776313
10 *3516:A *3112:A 0.000163084
11 *3516:A *3286:A1 6.50586e-05
12 *3516:A *3452:CLK 0.000132351
13 *3516:A *667:5 0.000183145
14 *3516:A *684:103 0.000318644
15 *3516:A *685:92 0.000537174
16 *3283:B *3515:A 0.000203595
17 *3284:B1 *3515:A 0.000456195
18 *3451:D *3515:A 8.30128e-05
19 *3452:D *3516:A 1.87611e-05
20 *153:14 *3516:A 0
21 *281:29 *3515:A 0.000181897
22 *454:7 *3515:A 0.000161075
23 *548:27 *3515:A 0.000277488
24 *551:26 *3515:A 0.000265549
*RES
1 *3510:X *647:4 9.24915
2 *647:4 *3515:A 44.8906
3 *647:4 *3516:A 39.2136
*END
*D_NET *648 0.00315705
*CONN
*I *3518:A I *D sky130_fd_sc_hd__clkbuf_2
*I *3517:A I *D sky130_fd_sc_hd__clkbuf_2
*I *3511:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3518:A 0.000179406
2 *3517:A 0.000630371
3 *3511:X 0
4 *648:4 0.000809777
5 *3517:A *687:100 0.000139237
6 *3517:A *688:90 6.00782e-06
7 *3517:A *695:10 0
8 *3518:A *688:90 0.00080051
9 *3164:A *3517:A 0.000228593
10 *3249:A *3517:A 8.62625e-06
11 *3261:A *3517:A 0
12 *3263:A *3517:A 0
13 *3511:A *3518:A 0.000273777
14 *640:129 *3518:A 8.07497e-05
*RES
1 *3511:X *648:4 9.24915
2 *648:4 *3517:A 33.7264
3 *648:4 *3518:A 19.7143
*END
*D_NET *649 0.0110902
*CONN
*I *3366:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3396:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3370:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3371:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3393:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3404:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3403:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3401:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3402:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3367:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3512:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3366:CLK 3.5247e-05
2 *3396:CLK 0
3 *3370:CLK 7.9345e-05
4 *3371:CLK 8.1605e-05
5 *3393:CLK 0.000307186
6 *3404:CLK 0
7 *3403:CLK 0
8 *3401:CLK 0
9 *3402:CLK 0.0006187
10 *3367:CLK 0
11 *3512:X 0.000133862
12 *649:75 0.000697894
13 *649:73 0.000521993
14 *649:70 0.000503953
15 *649:37 0.000878776
16 *649:35 0.000779405
17 *649:30 0.0011639
18 *649:16 0.000898205
19 *649:11 0.00076807
20 *649:9 0.000313135
21 *3402:CLK *743:8 0.000124783
22 *3402:CLK *743:11 0.000108848
23 *649:30 *3151:B1 0.000156485
24 *649:30 *683:21 3.41747e-05
25 *649:35 *690:12 0.000115411
26 *3151:A2 *649:30 3.75221e-05
27 *3151:A3 *649:30 1.59359e-05
28 *3393:D *3393:CLK 0.000171273
29 *3396:D *649:70 0.000276673
30 *3402:D *3402:CLK 1.87611e-05
31 *3404:D *649:30 0.000335791
32 *148:20 *3393:CLK 0.000117754
33 *151:18 *649:70 1.91246e-05
34 *265:10 *649:16 0.000530286
35 *265:10 *649:30 0.000129685
36 *268:34 *649:9 0.000101133
37 *358:27 *3366:CLK 0
38 *358:27 *649:9 2.82583e-05
39 *358:27 *649:11 5.4373e-05
40 *358:27 *649:16 7.29862e-05
41 *393:17 *649:70 9.75356e-05
42 *393:35 *649:9 0
43 *393:35 *649:16 0.000149628
44 *393:35 *649:70 0.000186445
45 *393:46 *649:16 0.000371965
46 *393:46 *649:30 5.41227e-05
*RES
1 *3512:X *649:9 21.3591
2 *649:9 *649:11 2.38721
3 *649:11 *649:16 15.0845
4 *649:16 *3367:CLK 13.7491
5 *649:16 *649:30 22.4985
6 *649:30 *649:35 16.4809
7 *649:35 *649:37 6.39977
8 *649:37 *3402:CLK 30.3544
9 *649:37 *3401:CLK 13.7491
10 *649:35 *3403:CLK 13.7491
11 *649:30 *3404:CLK 9.24915
12 *649:11 *649:70 19.5873
13 *649:70 *649:73 7.57775
14 *649:73 *649:75 7.23027
15 *649:75 *3393:CLK 22.3968
16 *649:75 *3371:CLK 15.5817
17 *649:73 *3370:CLK 15.6059
18 *649:70 *3396:CLK 9.24915
19 *649:9 *3366:CLK 10.2378
*END
*D_NET *650 0.0045985
*CONN
*I *3376:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3377:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3378:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3460:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3513:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3376:CLK 3.1005e-05
2 *3377:CLK 0
3 *3378:CLK 0.000515029
4 *3460:CLK 0.000494519
5 *3513:X 0.000121749
6 *650:24 0.000909
7 *650:19 0.000684314
8 *650:7 0.000875605
9 *3376:CLK *689:21 0.00011818
10 *3378:CLK *689:21 2.41274e-06
11 *3460:CLK *3012:A1 0
12 *3460:CLK *3015:A1 0.000125532
13 *3460:CLK *3379:CLK 1.07248e-05
14 *3460:CLK *736:6 0
15 *3460:CLK *737:5 0.000183683
16 *650:19 *3012:A1 0
17 *650:19 *735:8 0.000169108
18 *650:24 *689:21 0.000162208
19 *3011:A *650:19 0
20 *3014:A *3378:CLK 0
21 *3377:D *650:24 1.87611e-05
22 *3378:D *3378:CLK 1.2601e-05
23 *3379:D *3460:CLK 1.87611e-05
24 *3513:A *650:7 1.92793e-05
25 *356:63 *3460:CLK 4.15008e-05
26 *356:63 *650:19 1.82832e-05
27 *356:74 *650:19 6.62407e-05
*RES
1 *3513:X *650:7 15.5817
2 *650:7 *3460:CLK 27.6725
3 *650:7 *650:19 12.1455
4 *650:19 *650:24 10.6098
5 *650:24 *3378:CLK 30.6396
6 *650:24 *3377:CLK 9.24915
7 *650:19 *3376:CLK 10.5271
*END
*D_NET *651 0.00598808
*CONN
*I *3409:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3446:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3447:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3458:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3459:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3405:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3514:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3409:CLK 0.00072692
2 *3446:CLK 0
3 *3447:CLK 0
4 *3458:CLK 5.62867e-05
5 *3459:CLK 0.000134383
6 *3405:CLK 0.000134901
7 *3514:X 4.36938e-05
8 *651:47 0.000978828
9 *651:32 8.07605e-05
10 *651:31 0.000422676
11 *651:20 0.000644147
12 *651:7 0.000542064
13 *3405:CLK *689:46 0.000148129
14 *3458:CLK *712:12 7.22498e-05
15 *651:20 *831:DIODE 2.16355e-05
16 *651:20 *689:40 5.56367e-05
17 *651:20 *689:46 0.000167047
18 *651:20 *713:8 0.000123176
19 *651:32 *712:12 1.92172e-05
20 *651:47 *712:12 2.65035e-05
21 *3021:A *3405:CLK 4.82966e-05
22 *3157:A *3405:CLK 0.000144546
23 *3157:A *651:20 5.92342e-05
24 *3268:A *3409:CLK 3.58321e-05
25 *3271:A *3409:CLK 0
26 *3298:S *651:31 0.000196638
27 *3405:D *3405:CLK 0.000111722
28 *3446:D *3409:CLK 0.000104731
29 *3447:D *3409:CLK 0
30 *3447:D *651:47 0.000180681
31 *3459:D *3459:CLK 0.000155555
32 *1:11 *3409:CLK 0
33 *1:11 *651:31 4.83758e-05
34 *272:17 *3405:CLK 0.000124658
35 *566:8 *651:31 0.000195139
36 *566:20 *3409:CLK 0
37 *566:20 *651:31 0.000184414
38 *566:20 *651:47 0
39 *638:26 *651:20 0
*RES
1 *3514:X *651:7 14.4725
2 *651:7 *3405:CLK 19.9081
3 *651:7 *651:20 15.2323
4 *651:20 *3459:CLK 12.7456
5 *651:20 *651:31 15.815
6 *651:31 *651:32 0.723396
7 *651:32 *3458:CLK 11.0817
8 *651:32 *3447:CLK 9.24915
9 *651:31 *651:47 11.3501
10 *651:47 *3446:CLK 13.7491
11 *651:47 *3409:CLK 32.5134
*END
*D_NET *652 0.0111329
*CONN
*I *3452:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3451:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3448:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3440:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3365:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3450:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3515:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3452:CLK 0.000248242
2 *3451:CLK 0
3 *3448:CLK 0.000400722
4 *3440:CLK 0.000122993
5 *3365:CLK 8.80715e-05
6 *3450:CLK 0.000237686
7 *3515:X 0.000219497
8 *652:51 0.00077218
9 *652:10 0.000509252
10 *652:8 0.000455168
11 *652:7 0.000549404
12 *652:5 0.000743435
13 *3365:CLK *682:41 0.000754956
14 *3440:CLK *683:88 8.59989e-05
15 *3448:CLK *3107:A 2.27053e-05
16 *3448:CLK *3283:A 1.15766e-05
17 *3450:CLK *3245:A1 0
18 *3452:CLK *3112:A 0.000373061
19 *3452:CLK *3286:A1 4.88955e-05
20 *3452:CLK *683:101 0.000116439
21 *3452:CLK *684:103 0.000802132
22 *652:8 *3283:A 2.2731e-05
23 *652:51 *683:101 0.000148129
24 *3112:B *3452:CLK 5.22654e-06
25 *3112:B *652:51 3.66465e-05
26 *3126:A2 *3448:CLK 0
27 *3126:B1 *3448:CLK 0
28 *3440:D *3440:CLK 0.000106635
29 *3448:D *3448:CLK 1.87611e-05
30 *3510:A *3452:CLK 0.000147325
31 *3516:A *3452:CLK 0.000132351
32 *399:24 *3450:CLK 0.000168313
33 *407:20 *3450:CLK 0
34 *407:30 *3450:CLK 0
35 *426:43 *3448:CLK 0.000222032
36 *426:43 *652:51 7.14746e-05
37 *451:11 *3440:CLK 0.000632126
38 *454:7 *652:5 0.000383703
39 *454:7 *652:51 0.000680974
40 *459:15 *3365:CLK 0.000769987
41 *548:27 *3440:CLK 6.08467e-05
42 *548:27 *652:8 0.000109859
43 *548:27 *652:10 2.95757e-05
44 *551:10 *3450:CLK 0
45 *551:26 *3452:CLK 1.65078e-05
46 *639:5 *3448:CLK 5.31074e-05
47 *639:15 *3448:CLK 0.000754152
48 *640:107 *3452:CLK 0
49 *640:129 *3452:CLK 0
*RES
1 *3515:X *652:5 13.3002
2 *652:5 *652:7 4.5
3 *652:7 *652:8 3.90826
4 *652:8 *652:10 3.90826
5 *652:10 *3450:CLK 19.0748
6 *652:10 *3365:CLK 22.237
7 *652:8 *3440:CLK 21.1519
8 *652:7 *3448:CLK 28.6665
9 *652:5 *652:51 15.0957
10 *652:51 *3451:CLK 13.7491
11 *652:51 *3452:CLK 27.5332
*END
*D_NET *653 0.00600831
*CONN
*I *3397:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3442:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3441:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3454:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3455:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3457:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3456:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3453:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3516:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3397:CLK 0
2 *3442:CLK 6.14399e-05
3 *3441:CLK 0
4 *3454:CLK 4.80425e-05
5 *3455:CLK 0
6 *3457:CLK 0
7 *3456:CLK 0.00013199
8 *3453:CLK 5.45189e-05
9 *3516:X 0.000137664
10 *653:66 0.000334127
11 *653:56 0.000743917
12 *653:23 0.00037587
13 *653:21 0.000498049
14 *653:10 0.000415991
15 *653:8 0.000245225
16 *653:5 0.000698774
17 *3453:CLK *668:10 1.43983e-05
18 *3454:CLK *669:5 6.94165e-05
19 *3456:CLK *866:DIODE 0.000273772
20 *3456:CLK *686:46 0
21 *3456:CLK *706:9 9.21998e-05
22 *3456:CLK *706:19 8.58023e-05
23 *653:5 *3291:A1 9.07893e-05
24 *653:8 *686:46 0
25 *653:10 *686:46 0
26 *653:21 *686:46 0
27 *653:23 *686:46 0
28 *653:56 *3285:A 5.53789e-05
29 *653:56 *3291:A1 0.000211478
30 *653:56 *688:10 0
31 *653:66 *688:10 0
32 *3285:B *653:56 2.16355e-05
33 *3290:B *653:56 4.56831e-05
34 *3291:B1 *653:8 0.000122098
35 *3292:B *3453:CLK 0
36 *3292:B *653:21 5.79399e-05
37 *3296:A *653:23 0
38 *3397:D *3442:CLK 0.00011818
39 *3397:D *653:66 0.000134983
40 *3453:D *3453:CLK 6.50727e-05
41 *3454:D *3454:CLK 0.000269574
42 *3455:D *653:21 2.24484e-05
43 *3455:D *653:23 0.000130777
44 *153:10 *653:21 1.36313e-05
45 *153:14 *653:8 6.01944e-06
46 *153:14 *653:10 7.26733e-05
47 *153:14 *653:21 8.12075e-05
48 *153:14 *653:56 0
49 *153:14 *653:66 0
50 *576:18 *3456:CLK 5.36397e-05
51 *576:18 *653:23 6.07931e-05
52 *576:27 *653:23 3.74738e-05
53 *577:47 *653:56 5.56367e-05
*RES
1 *3516:X *653:5 11.6364
2 *653:5 *653:8 7.1625
3 *653:8 *653:10 3.07775
4 *653:10 *3453:CLK 15.2053
5 *653:10 *653:21 7.23027
6 *653:21 *653:23 6.81502
7 *653:23 *3456:CLK 19.7687
8 *653:23 *3457:CLK 13.7491
9 *653:21 *3455:CLK 13.7491
10 *653:8 *3454:CLK 16.691
11 *653:5 *653:56 16.9146
12 *653:56 *3441:CLK 13.7491
13 *653:56 *653:66 11.4894
14 *653:66 *3442:CLK 11.0817
15 *653:66 *3397:CLK 9.24915
*END
*D_NET *654 0.00655652
*CONN
*I *3408:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3444:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3407:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3410:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3443:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3406:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3517:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3408:CLK 0.000224295
2 *3444:CLK 7.0263e-05
3 *3407:CLK 0
4 *3410:CLK 0.00023547
5 *3443:CLK 5.80041e-05
6 *3406:CLK 0.000291092
7 *3517:X 7.14857e-05
8 *654:42 0.000299185
9 *654:12 0.000450412
10 *654:10 0.00042301
11 *654:8 0.000377238
12 *654:7 0.000357872
13 *3406:CLK *686:89 1.9101e-05
14 *3408:CLK *829:DIODE 0.00016345
15 *3408:CLK *3165:A0 8.52802e-05
16 *3408:CLK *688:90 0.000271044
17 *3408:CLK *688:94 3.01683e-06
18 *3443:CLK *3162:A0 1.03403e-05
19 *2842:A2 *3410:CLK 2.16355e-05
20 *2842:B1 *3410:CLK 4.62705e-05
21 *2842:C1 *654:8 2.95757e-05
22 *2842:C1 *654:10 0.000238537
23 *2842:C1 *654:12 8.62625e-06
24 *2842:C1 *654:42 6.08467e-05
25 *2843:C1 *3406:CLK 0
26 *2843:C1 *654:12 0
27 *2844:B1 *3406:CLK 0.000260374
28 *3126:A1 *3406:CLK 0.000346882
29 *3126:A1 *654:12 0.000111358
30 *3162:S *3410:CLK 6.49003e-05
31 *3163:B *3410:CLK 6.47133e-05
32 *3164:A *654:7 0.00011818
33 *3406:D *3406:CLK 0.000106635
34 *3407:D *654:42 0.000106621
35 *3408:D *3408:CLK 2.97349e-05
36 *3410:D *3410:CLK 0.000470571
37 *281:52 *3443:CLK 0.000224395
38 *487:28 *3410:CLK 0.00011818
39 *551:45 *3444:CLK 4.82263e-05
40 *551:45 *654:42 7.10004e-05
41 *634:7 *3406:CLK 2.2419e-05
42 *636:8 *3408:CLK 0.000188106
43 *636:8 *654:8 8.62625e-06
44 *636:10 *654:8 8.52968e-05
45 *636:10 *654:10 0.00023862
46 *636:10 *654:12 5.56367e-05
*RES
1 *3517:X *654:7 15.0271
2 *654:7 *654:8 1.832
3 *654:8 *654:10 4.32351
4 *654:10 *654:12 3.07775
5 *654:12 *3406:CLK 23.6694
6 *654:12 *3443:CLK 16.1364
7 *654:10 *3410:CLK 22.7916
8 *654:8 *654:42 10.7935
9 *654:42 *3407:CLK 9.24915
10 *654:42 *3444:CLK 11.0817
11 *654:7 *3408:CLK 22.8336
*END
*D_NET *655 0.00425798
*CONN
*I *3398:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3395:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3445:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3518:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3398:CLK 0.000516831
2 *3395:CLK 0
3 *3445:CLK 0.000430665
4 *3518:X 0
5 *655:20 0.00159642
6 *655:5 0.00151025
7 *3445:CLK *687:100 0
8 *3395:D *3398:CLK 1.87611e-05
9 *3445:D *3445:CLK 0.000103827
10 *640:129 *3445:CLK 8.12262e-05
*RES
1 *3518:X *655:5 13.7491
2 *655:5 *3445:CLK 24.6393
3 *655:5 *655:20 31.1512
4 *655:20 *3395:CLK 9.24915
5 *655:20 *3398:CLK 20.9682
*END
*D_NET *656 0.0124209
*CONN
*I *3412:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3413:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3411:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3373:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3369:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3372:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3375:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3374:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3519:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3412:CLK 0.000288306
2 *3413:CLK 0.000423385
3 *3411:CLK 0
4 *3373:CLK 0
5 *3369:CLK 0.000605836
6 *3372:CLK 0.000380608
7 *3375:CLK 2.1308e-05
8 *3374:CLK 7.35415e-05
9 *3519:X 3.21398e-05
10 *656:70 0.000891007
11 *656:64 0.000692296
12 *656:50 0.00058676
13 *656:21 0.00105125
14 *656:11 0.00130726
15 *656:7 0.00119022
16 *656:5 0.00010592
17 *3369:CLK *2948:A 7.55859e-05
18 *3369:CLK *683:21 0.000111722
19 *3372:CLK *684:8 0.000106962
20 *656:11 *2998:A 2.652e-05
21 *656:21 *757:8 2.85139e-05
22 *656:21 *758:8 0.000115934
23 *656:64 *756:7 0.000481241
24 *2938:A *3369:CLK 0
25 *2940:A1 *3369:CLK 0.00023344
26 *2944:A2 *3369:CLK 0
27 *2949:A2 *3369:CLK 0.000137667
28 *2955:A2 *3369:CLK 2.23259e-05
29 *2978:A *656:64 3.67528e-06
30 *2988:A *3369:CLK 2.09495e-05
31 *2988:A *656:5 6.08467e-05
32 *2988:A *656:11 0.000260057
33 *2989:A2 *3369:CLK 0.000116835
34 *2989:A2 *656:11 1.08021e-05
35 *2996:A1 *656:5 0.000107496
36 *2996:A1 *656:11 0.000134294
37 *2996:A1 *656:50 1.65872e-05
38 *2996:A2 *3369:CLK 6.14128e-05
39 *2996:B1 *3369:CLK 9.98029e-06
40 *2997:A1 *656:11 0.000622721
41 *2997:A2 *656:11 7.20173e-06
42 *3001:A2 *656:11 3.90318e-05
43 *3003:A1 *656:11 0
44 *3177:B1 *3413:CLK 0.000201734
45 *3178:A2 *656:64 0
46 *3181:B1 *3413:CLK 4.87439e-05
47 *3373:D *656:64 0.000103827
48 *3374:D *3374:CLK 0.000145936
49 *3375:D *656:21 0.00044202
50 *3411:D *656:64 7.58217e-06
51 *3411:D *656:70 9.60834e-05
52 *3413:D *3413:CLK 0
53 *268:20 *3369:CLK 0
54 *305:8 *3369:CLK 4.42033e-05
55 *305:24 *3369:CLK 0.000132121
56 *306:30 *656:64 0
57 *307:48 *3369:CLK 0
58 *324:31 *3369:CLK 0.000169779
59 *335:24 *656:64 0.000135307
60 *339:74 *656:11 0
61 *343:8 *656:11 0.000104733
62 *343:39 *656:11 4.6248e-05
63 *345:8 *656:64 0.000119171
64 *349:8 *3413:CLK 0.000161775
*RES
1 *3519:X *656:5 10.5271
2 *656:5 *656:7 4.5
3 *656:7 *656:11 23.5208
4 *656:11 *3374:CLK 11.6605
5 *656:11 *656:21 16.8069
6 *656:21 *3375:CLK 9.82786
7 *656:21 *3372:CLK 28.2847
8 *656:7 *3369:CLK 32.2235
9 *656:5 *656:50 1.85672
10 *656:50 *3373:CLK 9.24915
11 *656:50 *656:64 24.6354
12 *656:64 *3411:CLK 9.24915
13 *656:64 *656:70 4.05102
14 *656:70 *3413:CLK 29.6642
15 *656:70 *3412:CLK 15.5186
*END
*D_NET *657 0.0121367
*CONN
*I *3386:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3368:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3389:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3390:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3391:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3388:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3392:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3421:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3520:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3386:CLK 3.47827e-05
2 *3368:CLK 0.000361058
3 *3389:CLK 0
4 *3390:CLK 5.86929e-05
5 *3391:CLK 0.000257197
6 *3388:CLK 0.00012148
7 *3392:CLK 0
8 *3421:CLK 0.000445273
9 *3520:X 0
10 *657:66 0.000505694
11 *657:45 0.00100494
12 *657:40 0.00121871
13 *657:28 0.00109953
14 *657:11 0.00106102
15 *657:5 0.00120715
16 *657:4 0.000252868
17 *657:11 *2922:B 3.6455e-05
18 *657:11 *2922:C 5.95286e-05
19 *657:40 *724:10 8.38894e-05
20 *2898:A *3368:CLK 3.04443e-05
21 *3035:A1 *3368:CLK 5.73556e-05
22 *3035:A3 *3368:CLK 6.31809e-05
23 *3044:A1 *3368:CLK 0
24 *3044:A1 *657:66 0
25 *3048:A1 *657:28 0.000190042
26 *3048:A2 *657:28 3.31736e-05
27 *3048:B1 *657:5 0.000271058
28 *3053:A *3368:CLK 3.67528e-06
29 *3053:A *657:66 4.10825e-05
30 *3055:C *657:28 5.65074e-05
31 *3055:D *657:28 0.000190057
32 *3057:A1 *657:40 5.39608e-05
33 *3057:A2 *657:28 1.68281e-05
34 *3057:A2 *657:40 5.68225e-06
35 *3057:B1 *657:28 0.000122098
36 *3059:A *3388:CLK 6.73022e-05
37 *3059:B *3388:CLK 0.000197119
38 *3059:B *657:28 2.14422e-05
39 *3070:B *657:11 6.79023e-05
40 *3151:A1 *3368:CLK 0
41 *3215:A *3421:CLK 0.000210479
42 *3364:D *657:28 5.77352e-05
43 *3364:D *657:40 0.000179303
44 *3386:D *3386:CLK 0.00011818
45 *3389:D *657:40 7.02539e-05
46 *3421:D *3421:CLK 1.87611e-05
47 *119:14 *3368:CLK 6.86315e-05
48 *119:51 *657:28 9.60366e-05
49 *265:8 *3368:CLK 0
50 *268:49 *3368:CLK 3.3171e-06
51 *294:13 *657:11 1.5006e-05
52 *304:14 *657:28 0
53 *339:35 *3368:CLK 0.000270816
54 *389:8 *3368:CLK 0
55 *389:8 *657:28 0.000238552
56 *389:17 *657:28 0.000224814
57 *389:32 *657:28 0.000130808
58 *390:17 *657:28 0.000160384
59 *391:21 *657:5 3.58044e-05
60 *391:21 *657:11 0.000139764
61 *396:36 *657:28 3.25539e-05
62 *402:87 *3368:CLK 7.14746e-05
63 *402:87 *3386:CLK 1.03403e-05
64 *407:71 *3388:CLK 0.000672345
65 *407:81 *3388:CLK 1.41976e-05
*RES
1 *3520:X *657:4 9.24915
2 *657:4 *657:5 4.05102
3 *657:5 *657:11 15.336
4 *657:11 *3421:CLK 29.6698
5 *657:11 *3392:CLK 9.24915
6 *657:5 *657:28 21.6963
7 *657:28 *3388:CLK 21.1278
8 *657:28 *657:40 18.6993
9 *657:40 *657:45 20.9177
10 *657:45 *3391:CLK 20.1489
11 *657:45 *3390:CLK 15.0271
12 *657:40 *3389:CLK 9.24915
13 *657:4 *657:66 7.1625
14 *657:66 *3368:CLK 24.4758
15 *657:66 *3386:CLK 15.0271
*END
*D_NET *658 0.00722256
*CONN
*I *3437:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3385:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3434:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3438:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3433:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3384:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3521:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3437:CLK 0.000633823
2 *3385:CLK 0
3 *3434:CLK 2.34271e-05
4 *3438:CLK 0.000617599
5 *3433:CLK 0.000198426
6 *3384:CLK 0.000294633
7 *3521:X 7.65268e-05
8 *658:26 0.000816025
9 *658:24 0.000481637
10 *658:21 0.00045821
11 *658:19 0.000822449
12 *658:7 0.000559785
13 *3433:CLK *683:29 6.92004e-05
14 *3437:CLK *2914:B 0
15 *3437:CLK *2915:B1 2.03531e-05
16 *3437:CLK *2917:A 2.59752e-05
17 *3437:CLK *729:8 0
18 *3438:CLK *2920:A2 0
19 *3438:CLK *3238:A1 9.75356e-05
20 *3438:CLK *683:29 8.62625e-06
21 *3438:CLK *724:34 0
22 *3438:CLK *733:10 3.82228e-05
23 *3438:CLK *733:21 0
24 *2915:B2 *3437:CLK 0
25 *2915:C1 *3437:CLK 0.000203833
26 *2920:A1 *3437:CLK 0
27 *2920:B1 *3437:CLK 0
28 *2920:C1 *3437:CLK 5.64906e-05
29 *2929:A *3433:CLK 6.50586e-05
30 *2933:A2 *3437:CLK 0
31 *2933:A2 *658:19 0
32 *3237:A *3438:CLK 0.000113374
33 *3238:A2 *3438:CLK 9.8407e-05
34 *3238:C1 *3438:CLK 0.000158451
35 *3384:D *3384:CLK 0.00015759
36 *3434:D *3434:CLK 5.08751e-05
37 *3434:D *658:24 0.000100271
38 *3437:D *3437:CLK 0.000229576
39 *3438:D *3438:CLK 4.17322e-05
40 *3521:A *3384:CLK 0.000107063
41 *3521:A *658:19 8.01837e-05
42 *282:37 *658:7 0.000111722
43 *297:11 *3384:CLK 5.64335e-05
44 *299:15 *3433:CLK 0.000215771
45 *300:8 *3384:CLK 1.6628e-05
46 *300:23 *658:19 1.45944e-05
47 *305:6 *3384:CLK 9.96342e-05
48 *309:66 *3438:CLK 0
49 *355:39 *3437:CLK 2.41274e-06
50 *356:8 *3384:CLK 0
51 *356:8 *3437:CLK 0
52 *356:8 *658:19 0
53 *640:54 *3433:CLK 0
54 *640:54 *3438:CLK 0
55 *640:59 *3433:CLK 0
*RES
1 *3521:X *658:7 15.0271
2 *658:7 *3384:CLK 22.4237
3 *658:7 *658:19 4.73876
4 *658:19 *658:21 4.5
5 *658:21 *658:24 10.7304
6 *658:24 *658:26 4.5
7 *658:26 *3433:CLK 19.7687
8 *658:26 *3438:CLK 28.9969
9 *658:24 *3434:CLK 9.97254
10 *658:21 *3385:CLK 9.24915
11 *658:19 *3437:CLK 30.844
*END
*D_NET *659 0.0130357
*CONN
*I *3478:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3414:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3415:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3417:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3416:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3477:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3420:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3400:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3418:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3522:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3478:CLK 0
2 *3414:CLK 0.000138205
3 *3415:CLK 3.67662e-05
4 *3417:CLK 0.000525014
5 *3416:CLK 2.94226e-05
6 *3477:CLK 2.64456e-05
7 *3420:CLK 7.36971e-05
8 *3400:CLK 0.000179441
9 *3418:CLK 0.000345542
10 *3522:X 0.000105277
11 *659:61 0.00111383
12 *659:56 0.000786959
13 *659:55 0.000760143
14 *659:51 0.00105375
15 *659:37 0.000756213
16 *659:10 0.000610142
17 *659:8 0.000459547
18 *659:7 0.00051312
19 *3400:CLK *789:9 6.23875e-05
20 *3400:CLK *789:13 1.65872e-05
21 *3414:CLK *2850:A 1.37926e-05
22 *3414:CLK *3189:C1 6.08467e-05
23 *3414:CLK *785:8 2.0517e-05
24 *3417:CLK *686:12 0
25 *3418:CLK *789:19 1.3262e-05
26 *659:8 *707:12 7.02227e-05
27 *659:10 *789:19 7.52407e-05
28 *659:37 *707:12 3.69003e-05
29 *659:51 *707:12 3.9739e-05
30 *659:55 *2900:C 0.000373061
31 *659:55 *3188:A 4.56831e-05
32 *659:56 *2850:A 4.51753e-05
33 *659:61 *2852:A 0
34 *659:61 *686:18 0
35 *2853:A *659:8 0
36 *2853:A *659:37 0
37 *3188:B *659:55 0.000164815
38 *3193:A1 *659:56 1.5254e-05
39 *3193:A2 *659:61 0.000169093
40 *3196:A2 *659:61 8.92568e-06
41 *3200:A *3416:CLK 1.04138e-05
42 *3200:A *659:61 6.63925e-05
43 *3200:C *3416:CLK 0.000102619
44 *3200:C *659:61 0.000474625
45 *3201:C_N *3417:CLK 0
46 *3202:A2 *3417:CLK 0.000130777
47 *3202:B1 *3417:CLK 9.23856e-05
48 *3204:A3 *659:8 0
49 *3206:B2 *3418:CLK 7.14746e-05
50 *3206:B2 *659:8 0.000144531
51 *3206:B2 *659:10 0.000196638
52 *3208:B *3400:CLK 6.08467e-05
53 *3208:C *3400:CLK 6.08467e-05
54 *3361:B *659:51 0.000122068
55 *3414:D *3414:CLK 3.20069e-06
56 *3415:D *3415:CLK 0.00011818
57 *3416:D *3417:CLK 1.44467e-05
58 *3416:D *659:61 1.87611e-05
59 *3417:D *3417:CLK 0.000248782
60 *3418:D *3418:CLK 0.000416117
61 *3478:D *659:51 7.50722e-05
62 *3522:A *659:7 0.000114584
63 *229:20 *659:51 6.22347e-05
64 *229:23 *659:55 2.40846e-05
65 *230:5 *659:55 2.57847e-05
66 *230:26 *659:51 0.000101996
67 *230:44 *659:51 1.57468e-05
68 *230:44 *659:55 6.6641e-05
69 *232:13 *659:7 2.29454e-05
70 *232:17 *659:8 0
71 *233:11 *659:61 5.68225e-06
72 *233:20 *659:61 8.18934e-05
73 *233:25 *659:61 7.0954e-05
74 *235:43 *3477:CLK 2.65831e-05
75 *261:8 *659:8 0
76 *261:10 *659:8 0
77 *282:65 *659:51 8.18344e-06
78 *282:74 *659:51 0.000143017
79 *335:10 *3414:CLK 0
80 *335:10 *659:56 0
81 *335:10 *659:61 0
82 *501:8 *659:51 0.000240119
83 *501:26 *659:61 0.000123582
84 *503:26 *3414:CLK 1.03403e-05
85 *508:17 *3414:CLK 0.000176737
86 *508:17 *659:56 0.00030352
87 *510:8 *659:55 1.92336e-05
88 *516:8 *659:61 9.28816e-05
89 *516:10 *659:61 3.42931e-05
90 *527:8 *3400:CLK 9.14669e-05
*RES
1 *3522:X *659:7 15.5817
2 *659:7 *659:8 7.64553
3 *659:8 *659:10 3.493
4 *659:10 *3418:CLK 23.1204
5 *659:10 *3400:CLK 18.9094
6 *659:8 *3420:CLK 15.0271
7 *659:7 *659:37 2.6625
8 *659:37 *3477:CLK 14.4725
9 *659:37 *659:51 22.302
10 *659:51 *659:55 17.4247
11 *659:55 *659:56 6.39977
12 *659:56 *659:61 22.704
13 *659:61 *3416:CLK 10.5513
14 *659:61 *3417:CLK 32.7131
15 *659:56 *3415:CLK 15.0271
16 *659:55 *3414:CLK 17.9655
17 *659:51 *3478:CLK 9.24915
*END
*D_NET *660 0.00740574
*CONN
*I *3423:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3424:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3476:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3422:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3475:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3431:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3419:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3399:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3523:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3423:CLK 0.000554652
2 *3424:CLK 0
3 *3476:CLK 6.87135e-05
4 *3422:CLK 0.000427578
5 *3475:CLK 0.000198518
6 *3431:CLK 0
7 *3419:CLK 8.2986e-05
8 *3399:CLK 0.000166377
9 *3523:X 0
10 *660:70 0.00066418
11 *660:59 0.00036907
12 *660:41 0.000646729
13 *660:18 0.000818419
14 *660:11 0.000977776
15 *660:6 0.000551741
16 *660:5 0.000313216
17 *3399:CLK *3549:A 0
18 *3422:CLK *776:8 2.65831e-05
19 *3422:CLK *777:7 1.43983e-05
20 *3423:CLK *769:7 0.000207266
21 *3476:CLK *710:9 0.0002817
22 *660:18 *3549:A 0
23 *2866:A *660:59 3.20069e-06
24 *2866:A *660:70 9.34869e-05
25 *2872:A *3422:CLK 6.50727e-05
26 *3212:A *660:11 0.00011818
27 *3212:A *660:18 0.000107348
28 *3212:B *660:11 0
29 *3217:A *660:11 2.22198e-05
30 *3356:B1 *660:6 0
31 *3356:B1 *660:11 0
32 *3356:C1 *660:11 0
33 *3399:D *3399:CLK 6.78596e-05
34 *3399:D *660:11 0
35 *3419:D *660:18 0
36 *3424:D *3423:CLK 1.87611e-05
37 *3431:D *660:11 0
38 *3475:D *3475:CLK 1.87611e-05
39 *154:14 *660:6 0
40 *154:14 *660:59 0
41 *154:14 *660:70 0
42 *237:16 *3475:CLK 0.000166283
43 *237:16 *660:11 5.0459e-05
44 *407:123 *660:6 9.20398e-05
45 *407:123 *660:11 0.000129166
46 *407:123 *660:59 8.29941e-05
*RES
1 *3523:X *660:5 13.7491
2 *660:5 *660:6 3.493
3 *660:6 *660:11 15.4998
4 *660:11 *660:18 16.0788
5 *660:18 *3399:CLK 17.9655
6 *660:18 *3419:CLK 15.5817
7 *660:11 *3431:CLK 9.24915
8 *660:6 *660:41 5.07872
9 *660:41 *3475:CLK 14.964
10 *660:41 *3422:CLK 19.4008
11 *660:5 *660:59 4.81204
12 *660:59 *3476:CLK 16.691
13 *660:59 *660:70 7.993
14 *660:70 *3424:CLK 9.24915
15 *660:70 *3423:CLK 21.5228
*END
*D_NET *661 0.00735793
*CONN
*I *3429:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3472:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3469:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3436:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3435:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3449:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3467:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3432:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3524:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3429:CLK 0.000382644
2 *3472:CLK 0.000251162
3 *3469:CLK 0
4 *3436:CLK 0
5 *3435:CLK 8.07462e-05
6 *3449:CLK 0.00026216
7 *3467:CLK 0.000229409
8 *3432:CLK 0.000125817
9 *3524:X 0
10 *661:55 0.000712856
11 *661:49 0.000128651
12 *661:30 0.000481082
13 *661:26 0.000219172
14 *661:25 0.000174905
15 *661:13 0.000273717
16 *661:4 0.000125817
17 *3429:CLK *686:36 7.60929e-05
18 *3449:CLK *683:44 1.2693e-05
19 *3467:CLK *708:22 9.80542e-05
20 *3472:CLK *3050:A 0.000164017
21 *3472:CLK *693:40 0.000194881
22 *3472:CLK *707:12 0.00059035
23 *661:25 *708:22 0.000122083
24 *661:26 *686:21 5.85117e-05
25 *661:30 *686:21 8.85095e-05
26 *661:49 *686:21 1.03403e-05
27 *661:49 *686:36 3.91558e-05
28 *661:55 *686:36 6.36261e-05
29 *2967:B *3449:CLK 0
30 *3232:C1 *3449:CLK 0
31 *3332:B *3467:CLK 0
32 *3333:A *3467:CLK 0.000123582
33 *3436:D *3435:CLK 5.29627e-05
34 *3436:D *3449:CLK 9.35753e-06
35 *3436:D *661:30 0.000263323
36 *3467:D *3467:CLK 7.58194e-05
37 *3469:D *661:26 0.000160617
38 *3469:D *661:49 0.000197982
39 *3469:D *661:55 0.0001343
40 *195:9 *3435:CLK 0.000195139
41 *195:9 *3449:CLK 0.000529249
42 *313:8 *3472:CLK 9.74396e-05
43 *313:9 *3432:CLK 0
44 *313:21 *3432:CLK 6.23555e-05
45 *335:10 *3449:CLK 0.000127164
46 *402:11 *3467:CLK 0.000250829
47 *402:11 *661:25 0.000111358
*RES
1 *3524:X *661:4 9.24915
2 *661:4 *3432:CLK 12.625
3 *661:4 *661:13 4.5
4 *661:13 *3467:CLK 21.5691
5 *661:13 *661:25 6.74725
6 *661:25 *661:26 2.94181
7 *661:26 *661:30 9.10562
8 *661:30 *3449:CLK 23.4709
9 *661:30 *3435:CLK 17.2421
10 *661:26 *3436:CLK 9.24915
11 *661:25 *661:49 2.38721
12 *661:49 *3469:CLK 9.24915
13 *661:49 *661:55 2.94181
14 *661:55 *3472:CLK 29.3802
15 *661:55 *3429:CLK 17.1824
*END
*D_NET *662 0.0148455
*CONN
*I *3466:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3474:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3471:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3473:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3427:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3426:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3470:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3468:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3428:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3425:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3430:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3525:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3466:CLK 0.000105413
2 *3474:CLK 4.00956e-05
3 *3471:CLK 0
4 *3473:CLK 7.33258e-05
5 *3427:CLK 0
6 *3426:CLK 0.000422812
7 *3470:CLK 0
8 *3468:CLK 0.000706896
9 *3428:CLK 0
10 *3425:CLK 0.000105901
11 *3430:CLK 0.00022314
12 *3525:X 0
13 *662:103 0.00031727
14 *662:91 0.000521986
15 *662:87 0.000426916
16 *662:69 0.000769477
17 *662:56 0.000458563
18 *662:53 0.000622248
19 *662:12 0.000479349
20 *662:10 0.000401356
21 *662:9 0.00104282
22 *662:4 0.000445213
23 *3425:CLK *761:30 0.000246537
24 *3426:CLK *2869:A0 8.52652e-05
25 *3426:CLK *2871:A1 0.000127179
26 *3426:CLK *760:27 0.000398425
27 *3430:CLK *2868:A0 1.77537e-06
28 *3466:CLK *3328:A1 0.000396808
29 *3468:CLK *2868:A0 2.61857e-05
30 *3468:CLK *3334:A1 5.41377e-05
31 *3468:CLK *686:37 7.2147e-05
32 *3468:CLK *762:6 3.18543e-05
33 *3473:CLK *3343:A0 0.000217923
34 *3473:CLK *693:35 0.00018584
35 *3474:CLK *873:DIODE 4.26431e-05
36 *662:10 *2868:A0 7.05116e-05
37 *662:12 *2868:A0 6.23202e-05
38 *662:53 *2878:A0 3.08133e-05
39 *662:53 *2878:A1 0.000122378
40 *662:53 *3340:A1 0.000122083
41 *662:53 *682:11 0
42 *662:56 *870:DIODE 0.000164829
43 *662:56 *3056:A 6.73186e-05
44 *662:69 *682:11 0
45 *662:69 *760:27 0.000331014
46 *662:87 *3340:A1 0.000195139
47 *662:87 *682:19 5.41227e-05
48 *662:91 *868:DIODE 0.0001839
49 *662:91 *3328:A1 1.41976e-05
50 *662:103 *868:DIODE 0.00018643
51 *662:103 *873:DIODE 0.000258482
52 *662:103 *691:83 2.16355e-05
53 *2879:A1 *662:9 2.44829e-05
54 *2879:A1 *662:10 0.000122098
55 *2879:A1 *662:53 1.97336e-05
56 *2880:A *662:53 0
57 *2882:S *3430:CLK 5.0715e-05
58 *3329:A *662:87 2.95757e-05
59 *3329:B *3466:CLK 3.40423e-05
60 *3340:S *3466:CLK 0.000107496
61 *3340:S *662:87 5.62007e-05
62 *3340:S *662:91 9.32983e-05
63 *3341:A *662:87 0.000337654
64 *3341:B *662:53 5.22654e-06
65 *3341:B *662:87 6.01944e-06
66 *3342:A *662:56 5.03285e-05
67 *3342:A *662:69 2.64044e-05
68 *3343:S *3473:CLK 0.00031994
69 *3344:B *662:91 1.67033e-05
70 *3345:A *662:91 0.000122083
71 *3345:A *662:103 0.000181401
72 *3425:D *3425:CLK 6.54102e-05
73 *3425:D *662:12 0
74 *3426:D *3426:CLK 0.00015759
75 *3468:D *3468:CLK 4.73286e-05
76 *3470:D *662:69 2.13584e-05
77 *3471:D *662:103 0.000851757
78 *3474:D *3474:CLK 0.000113968
79 *3525:A *662:9 6.50727e-05
80 *154:14 *3430:CLK 0
81 *154:14 *3468:CLK 0
82 *154:14 *662:10 0
83 *154:14 *662:12 0
84 *247:15 *3425:CLK 0.000110997
85 *247:33 *3426:CLK 5.75768e-05
86 *247:33 *662:69 4.97057e-05
87 *407:123 *3430:CLK 0
88 *605:38 *662:91 0.000408772
89 *608:40 *662:91 0.000357911
*RES
1 *3525:X *662:4 9.24915
2 *662:4 *662:9 6.91132
3 *662:9 *662:10 6.81502
4 *662:10 *662:12 3.90826
5 *662:12 *3430:CLK 19.0087
6 *662:12 *3425:CLK 18.9094
7 *662:10 *3428:CLK 13.7491
8 *662:9 *3468:CLK 27.1311
9 *662:4 *662:53 13.4319
10 *662:53 *662:56 8.55102
11 *662:56 *3470:CLK 9.24915
12 *662:56 *662:69 14.0292
13 *662:69 *3426:CLK 27.9428
14 *662:69 *3427:CLK 13.7491
15 *662:53 *662:87 11.315
16 *662:87 *662:91 13.1532
17 *662:91 *3473:CLK 18.3548
18 *662:91 *662:103 19.2539
19 *662:103 *3471:CLK 9.24915
20 *662:103 *3474:CLK 11.0817
21 *662:87 *3466:CLK 13.8548
*END
*D_NET *663 0.00917649
*CONN
*I *3379:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3462:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3382:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3380:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3394:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3381:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3387:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3383:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3526:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3379:CLK 0.000379426
2 *3462:CLK 2.19858e-05
3 *3382:CLK 0.000307436
4 *3380:CLK 0.000152508
5 *3394:CLK 0
6 *3381:CLK 0
7 *3387:CLK 0.000482874
8 *3383:CLK 0.000210779
9 *3526:X 0
10 *663:55 0.000497933
11 *663:54 0.000712469
12 *663:18 0.001323
13 *663:14 0.000788583
14 *663:11 0.000318281
15 *663:7 0.000553693
16 *663:4 0.000406668
17 *3379:CLK *3312:A1 0
18 *3379:CLK *737:16 0
19 *3382:CLK *3318:A1 0.00012316
20 *663:7 *3022:A1 0.000324166
21 *3016:A *3379:CLK 0
22 *3019:B *3379:CLK 5.8261e-05
23 *3023:A *3380:CLK 3.31882e-05
24 *3023:B *663:7 1.41689e-05
25 *3024:A *3380:CLK 0
26 *3032:B *663:18 0.000138523
27 *3033:A *3383:CLK 0
28 *3033:A *663:18 0
29 *3310:A *3382:CLK 0
30 *3310:A *663:55 0
31 *3312:S *663:55 0
32 *3313:A *3382:CLK 4.90829e-05
33 *3313:B *3382:CLK 0.00016258
34 *3313:B *663:55 0.00015324
35 *3379:D *3379:CLK 2.55493e-05
36 *3380:D *3380:CLK 3.67528e-06
37 *3381:D *663:18 9.37259e-05
38 *3460:CLK *3379:CLK 1.07248e-05
39 *3462:D *3382:CLK 0
40 *3462:D *3462:CLK 6.50586e-05
41 *3526:A *663:54 0.000224381
42 *149:27 *3380:CLK 0
43 *149:27 *663:7 0.000317521
44 *149:27 *663:11 0.000166542
45 *356:63 *3379:CLK 0
46 *370:6 *663:55 0
47 *370:13 *3379:CLK 0
48 *370:13 *663:55 0
49 *370:22 *3379:CLK 0
50 *379:23 *663:7 0
51 *379:27 *663:7 0.00105478
52 *379:27 *663:54 2.52287e-06
53 *379:32 *663:18 0
*RES
1 *3526:X *663:4 9.24915
2 *663:4 *663:7 13.624
3 *663:7 *663:11 4.62973
4 *663:11 *663:14 7.993
5 *663:14 *663:18 20.8658
6 *663:18 *3383:CLK 23.7141
7 *663:18 *3387:CLK 20.51
8 *663:14 *3381:CLK 13.7491
9 *663:11 *3394:CLK 9.24915
10 *663:7 *3380:CLK 22.1574
11 *663:4 *663:54 7.44181
12 *663:54 *663:55 4.73876
13 *663:55 *3382:CLK 23.0907
14 *663:55 *3462:CLK 14.4725
15 *663:54 *3379:CLK 22.6404
*END
*D_NET *664 0.00742939
*CONN
*I *3463:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3461:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3464:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3364:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3439:CLK I *D sky130_fd_sc_hd__dfxtp_2
*I *3465:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3527:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3463:CLK 0
2 *3461:CLK 0.000160385
3 *3464:CLK 0
4 *3364:CLK 0.000296417
5 *3439:CLK 0.00021089
6 *3465:CLK 0
7 *3527:X 0
8 *664:50 0.000435475
9 *664:29 0.00134979
10 *664:13 0.000896992
11 *664:6 0.00179367
12 *664:5 0.000329284
13 *3364:CLK *722:8 1.43848e-05
14 *3439:CLK *3130:A 4.04995e-05
15 *664:13 *3130:A 1.18203e-05
16 *664:29 *3321:A1 0.00015316
17 *664:50 *3315:A0 7.02904e-06
18 *3095:A *3461:CLK 0
19 *3095:A *664:6 0.000101133
20 *3095:A *664:13 8.62625e-06
21 *3095:A *664:50 0.000179256
22 *3130:C *3439:CLK 2.76977e-05
23 *3132:B1 *3439:CLK 0.000101539
24 *3135:A *664:29 1.41976e-05
25 *3308:A *664:29 2.16355e-05
26 *3319:A *664:29 0.00027329
27 *3319:B *664:29 5.41377e-05
28 *3320:A *3364:CLK 4.47713e-05
29 *3323:A *664:13 4.95605e-05
30 *3364:D *3364:CLK 0.00011818
31 *3463:D *3461:CLK 0.000342102
32 *3463:D *664:50 1.98583e-05
33 *3464:D *3364:CLK 2.98609e-05
34 *3464:D *664:29 1.2601e-05
35 *3465:D *664:13 2.42841e-05
36 *109:9 *664:13 5.82465e-05
37 *109:9 *664:29 4.0752e-05
38 *309:66 *3439:CLK 2.41274e-06
39 *355:47 *664:29 3.82228e-05
40 *356:34 *3461:CLK 0.000167228
41 *356:34 *664:6 0
42 *356:34 *664:13 0
43 *356:34 *664:50 0
44 *399:46 *664:13 0
*RES
1 *3527:X *664:5 13.7491
2 *664:5 *664:6 1.832
3 *664:6 *664:13 16.3603
4 *664:13 *3465:CLK 9.24915
5 *664:13 *3439:CLK 15.5186
6 *664:6 *664:29 26.1144
7 *664:29 *3364:CLK 21.4269
8 *664:29 *3464:CLK 13.7491
9 *664:5 *664:50 10.9536
10 *664:50 *3461:CLK 25.0642
11 *664:50 *3463:CLK 9.24915
*END
*D_NET *665 0.00170555
*CONN
*I *3281:A I *D sky130_fd_sc_hd__or2_1
*I *3100:A I *D sky130_fd_sc_hd__or2_1
*I *3450:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3281:A 0.000161264
2 *3100:A 0.000265532
3 *3450:Q 4.5782e-05
4 *665:7 0.000472579
5 *3100:B *3100:A 0
6 *3139:B1 *3100:A 2.57847e-05
7 *399:24 *665:7 2.57847e-05
8 *399:123 *3100:A 5.56367e-05
9 *399:123 *3281:A 0.000323403
10 *444:19 *3100:A 0
11 *444:19 *3281:A 1.1965e-05
12 *467:11 *3100:A 0.000138563
13 *548:9 *3100:A 8.96809e-05
14 *548:12 *3281:A 8.95758e-05
*RES
1 *3450:Q *665:7 14.4725
2 *665:7 *3100:A 21.9843
3 *665:7 *3281:A 19.7337
*END
*D_NET *666 0.0029641
*CONN
*I *3107:A I *D sky130_fd_sc_hd__or2_1
*I *3283:A I *D sky130_fd_sc_hd__or2_1
*I *3451:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3107:A 0.000606424
2 *3283:A 0.00013913
3 *3451:Q 0.000138337
4 *666:7 0.000883891
5 *3107:A *2843:B2 2.73611e-05
6 *3107:A *683:88 9.60216e-05
7 *3107:A *683:101 0.000297856
8 *3283:A *2843:B2 2.83608e-05
9 *3448:CLK *3107:A 2.27053e-05
10 *3448:CLK *3283:A 1.15766e-05
11 *3451:D *3107:A 6.40102e-05
12 *426:43 *3107:A 1.82017e-05
13 *426:43 *666:7 0.0002136
14 *551:26 *3107:A 0.000393892
15 *652:8 *3283:A 2.2731e-05
*RES
1 *3451:Q *666:7 16.1364
2 *666:7 *3283:A 16.8269
3 *666:7 *3107:A 37.5504
*END
*D_NET *667 0.0029793
*CONN
*I *3285:A I *D sky130_fd_sc_hd__or2_1
*I *3112:A I *D sky130_fd_sc_hd__or2_1
*I *3452:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3285:A 6.37093e-05
2 *3112:A 0.000598861
3 *3452:Q 0.000264276
4 *667:5 0.000926847
5 *3112:A *3286:A1 0
6 *3285:A *688:10 5.04734e-05
7 *3286:C1 *3112:A 0.0002817
8 *3452:CLK *3112:A 0.000373061
9 *3452:D *3112:A 1.87611e-05
10 *3516:A *3112:A 0.000163084
11 *3516:A *667:5 0.000183145
12 *640:129 *3112:A 0
13 *653:56 *3285:A 5.53789e-05
*RES
1 *3452:Q *667:5 13.8548
2 *667:5 *3112:A 32.5342
3 *667:5 *3285:A 20.4964
*END
*D_NET *668 0.00320019
*CONN
*I *3287:A I *D sky130_fd_sc_hd__or2_1
*I *3117:A I *D sky130_fd_sc_hd__or2_1
*I *3453:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3287:A 0
2 *3117:A 0.000231257
3 *3453:Q 0.000914738
4 *668:10 0.00114599
5 *3117:A *3292:A 6.50727e-05
6 *3117:A *685:21 0.000207266
7 *3117:B *3117:A 0.000111722
8 *3289:A2 *668:10 9.14834e-05
9 *3289:B1 *668:10 7.50872e-05
10 *3453:CLK *668:10 1.43983e-05
11 *3453:D *668:10 3.57831e-05
12 *577:9 *3117:A 1.65872e-05
13 *577:17 *3117:A 0.000206324
14 *577:47 *668:10 5.47736e-05
15 *582:38 *668:10 2.97007e-05
*RES
1 *3453:Q *668:10 33.2817
2 *668:10 *3117:A 17.1824
3 *668:10 *3287:A 9.24915
*END
*D_NET *669 0.00347536
*CONN
*I *3290:A I *D sky130_fd_sc_hd__or2_1
*I *3122:A I *D sky130_fd_sc_hd__or2_1
*I *3454:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3290:A 6.46415e-05
2 *3122:A 0.000320669
3 *3454:Q 0.000866004
4 *669:5 0.00125131
5 *3290:A *3291:A1 0.000122083
6 *824:DIODE *3122:A 0.000258128
7 *3124:B1 *3122:A 2.63704e-05
8 *3291:B1 *3122:A 0.000174553
9 *3291:B1 *669:5 3.58044e-05
10 *3291:C1 *3122:A 8.10881e-05
11 *3454:CLK *669:5 6.94165e-05
12 *3454:D *669:5 2.9737e-05
13 *153:14 *3290:A 0.000143047
14 *575:39 *3122:A 3.25046e-05
15 *577:47 *3290:A 0
*RES
1 *3454:Q *669:5 22.1738
2 *669:5 *3122:A 19.0873
3 *669:5 *3290:A 20.9116
*END
*D_NET *670 0.00382119
*CONN
*I *3292:A I *D sky130_fd_sc_hd__or2_1
*I *3128:A I *D sky130_fd_sc_hd__or2_1
*I *3455:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3292:A 0.000171589
2 *3128:A 0.000343746
3 *3455:Q 0.000967844
4 *670:7 0.00148318
5 *3292:A *685:21 0.000149001
6 *3099:A *3128:A 5.55213e-05
7 *3117:A *3292:A 6.50727e-05
8 *3280:A *670:7 3.64415e-05
9 *3293:B1 *3128:A 0
10 *3293:B1 *3292:A 0.00011818
11 *3455:D *670:7 8.44913e-05
12 *577:6 *3128:A 2.71397e-05
13 *577:6 *3292:A 2.652e-05
14 *577:9 *3292:A 0.000113968
15 *577:47 *3292:A 4.3116e-06
16 *582:38 *3128:A 8.52802e-05
17 *582:38 *3292:A 8.89094e-05
*RES
1 *3455:Q *670:7 27.783
2 *670:7 *3128:A 22.014
3 *670:7 *3292:A 20.1868
*END
*D_NET *671 0.0041427
*CONN
*I *3294:A I *D sky130_fd_sc_hd__or2_1
*I *3133:A I *D sky130_fd_sc_hd__or2_1
*I *3456:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3294:A 0
2 *3133:A 0.000508339
3 *3456:Q 0.000838176
4 *671:11 0.00134651
5 *3133:A *815:DIODE 1.89968e-05
6 *671:11 *815:DIODE 2.82583e-05
7 *671:11 *866:DIODE 0.000123947
8 *671:11 *686:46 0
9 *671:11 *706:9 2.46198e-05
10 *671:11 *706:19 6.11074e-05
11 *3288:A *3133:A 5.97576e-05
12 *3456:D *671:11 0.000403807
13 *398:8 *3133:A 2.77564e-05
14 *398:13 *3133:A 1.41291e-05
15 *407:12 *3133:A 6.02661e-05
16 *576:15 *3133:A 0.000214558
17 *576:15 *671:11 9.97706e-05
18 *576:18 *671:11 1.04726e-05
19 *582:8 *3133:A 0.000247443
20 *640:150 *3133:A 5.4776e-05
*RES
1 *3456:Q *671:11 33.7292
2 *671:11 *3133:A 30.6481
3 *671:11 *3294:A 9.24915
*END
*D_NET *672 0.00355639
*CONN
*I *2843:B2 I *D sky130_fd_sc_hd__a221o_1
*I *3245:A1 I *D sky130_fd_sc_hd__mux2_1
*I *3440:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2843:B2 0.000576613
2 *3245:A1 0.000226284
3 *3440:Q 0.000104559
4 *672:7 0.000907457
5 *2843:B2 *684:91 0.000282196
6 *2843:B2 *685:92 0.000133528
7 *2843:B2 *685:100 3.07133e-05
8 *3245:A1 *3282:A1 2.29454e-05
9 *2843:A2 *2843:B2 1.67329e-05
10 *2844:C1 *2843:B2 0.000144695
11 *3107:A *2843:B2 2.73611e-05
12 *3245:S *3245:A1 6.36477e-05
13 *3283:A *2843:B2 2.83608e-05
14 *3450:CLK *3245:A1 0
15 *281:29 *2843:B2 0.000500139
16 *281:29 *3245:A1 0.000179862
17 *451:11 *672:7 0.000165521
18 *459:15 *3245:A1 0.000118796
19 *551:10 *3245:A1 2.69795e-05
*RES
1 *3440:Q *672:7 15.5817
2 *672:7 *3245:A1 19.7659
3 *672:7 *2843:B2 34.7265
*END
*D_NET *673 0.0022052
*CONN
*I *3250:A1 I *D sky130_fd_sc_hd__mux2_1
*I *2844:A2 I *D sky130_fd_sc_hd__a221o_1
*I *3441:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3250:A1 0
2 *2844:A2 0.000361409
3 *3441:Q 0.000400377
4 *673:7 0.000761786
5 *2844:A2 *2844:B2 0.000146496
6 *2844:A2 *3250:A0 6.08467e-05
7 *673:7 *2844:B2 1.41291e-05
8 *2844:B1 *2844:A2 5.98014e-05
9 *3248:A *2844:A2 0.000320502
10 *3441:D *673:7 7.98549e-05
*RES
1 *3441:Q *673:7 18.8703
2 *673:7 *2844:A2 20.1785
3 *673:7 *3250:A1 9.24915
*END
*D_NET *674 0.00216918
*CONN
*I *3253:A1 I *D sky130_fd_sc_hd__mux2_1
*I *2844:B2 I *D sky130_fd_sc_hd__a221o_1
*I *3442:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3253:A1 0
2 *2844:B2 0.000295539
3 *3442:Q 0.000250581
4 *674:5 0.000546121
5 *2844:B2 *3250:A0 6.08467e-05
6 *2844:B2 *3253:A0 3.92275e-05
7 *2844:A2 *2844:B2 0.000146496
8 *3248:A *2844:B2 0.000320502
9 *3250:S *2844:B2 9.75356e-05
10 *3251:B *2844:B2 0.000169041
11 *554:10 *2844:B2 0.000168313
12 *555:23 *674:5 6.08467e-05
13 *673:7 *2844:B2 1.41291e-05
*RES
1 *3442:Q *674:5 12.7456
2 *674:5 *2844:B2 29.3225
3 *674:5 *3253:A1 9.24915
*END
*D_NET *675 0.00157902
*CONN
*I *3256:A1 I *D sky130_fd_sc_hd__mux2_1
*I *2843:A1 I *D sky130_fd_sc_hd__a221o_1
*I *3443:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3256:A1 0
2 *2843:A1 0.000274892
3 *3443:Q 0.000253497
4 *675:8 0.000528389
5 *2843:A1 *685:100 0
6 *2843:A1 *695:10 0.000143032
7 *675:8 *695:10 0.000113542
8 *2843:A2 *2843:A1 0.000148129
9 *2843:C1 *2843:A1 0
10 *2844:A1 *2843:A1 0
11 *3257:B *675:8 0
12 *281:52 *675:8 0.000117544
13 *554:10 *2843:A1 0
14 *554:10 *675:8 0
*RES
1 *3443:Q *675:8 18.9382
2 *675:8 *2843:A1 21.8832
3 *675:8 *3256:A1 13.7491
*END
*D_NET *676 0.00266323
*CONN
*I *3259:A1 I *D sky130_fd_sc_hd__mux2_1
*I *2842:B2 I *D sky130_fd_sc_hd__a221o_1
*I *3444:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3259:A1 0
2 *2842:B2 0.000431758
3 *3444:Q 0.000119229
4 *676:8 0.000550987
5 *2842:B2 *854:DIODE 6.64392e-05
6 *2842:B2 *2842:A1 0.00071916
7 *2842:B2 *3262:A1 9.75195e-05
8 *2842:B2 *686:110 0.00016553
9 *676:8 *2842:A1 0
10 *676:8 *677:12 0
11 *2842:A2 *2842:B2 2.25914e-05
12 *2842:B1 *2842:B2 0.000101868
13 *3162:S *2842:B2 0
14 *3258:A *2842:B2 0
15 *3260:B *2842:B2 0.000318471
16 *555:8 *676:8 4.44057e-05
17 *555:10 *676:8 2.24576e-05
18 *555:14 *676:8 2.81678e-06
*RES
1 *3444:Q *676:8 21.3269
2 *676:8 *2842:B2 34.7487
3 *676:8 *3259:A1 9.24915
*END
*D_NET *677 0.00355011
*CONN
*I *3262:A1 I *D sky130_fd_sc_hd__mux2_1
*I *2842:A1 I *D sky130_fd_sc_hd__a221o_1
*I *3445:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3262:A1 0.000433241
2 *2842:A1 0.000322468
3 *3445:Q 0.00040358
4 *677:12 0.00115929
5 *3262:A1 *854:DIODE 1.45322e-05
6 *3262:A1 *3262:A0 2.16355e-05
7 *2842:A2 *2842:A1 3.34029e-05
8 *2842:B2 *2842:A1 0.00071916
9 *2842:B2 *3262:A1 9.75195e-05
10 *3258:A *677:12 0
11 *3260:B *2842:A1 1.00981e-05
12 *3261:A *677:12 3.34802e-05
13 *3262:S *3262:A1 0.000103306
14 *551:27 *677:12 0.000156005
15 *551:45 *677:12 4.2389e-05
16 *676:8 *2842:A1 0
17 *676:8 *677:12 0
*RES
1 *3445:Q *677:12 22.5981
2 *677:12 *2842:A1 25.1838
3 *677:12 *3262:A1 24.4795
*END
*D_NET *678 0.00139272
*CONN
*I *2841:B2 I *D sky130_fd_sc_hd__a22o_1
*I *3266:A1 I *D sky130_fd_sc_hd__mux2_1
*I *3446:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2841:B2 0.000238533
2 *3266:A1 0
3 *3446:Q 0.000273216
4 *678:5 0.000511749
5 *2841:B2 *3266:A0 0.000150019
6 *678:5 *3266:A0 6.64392e-05
7 *2841:B1 *2841:B2 1.64789e-05
8 *3269:S *678:5 9.79408e-05
9 *551:45 *2841:B2 3.83429e-05
*RES
1 *3446:Q *678:5 13.8548
2 *678:5 *3266:A1 9.24915
3 *678:5 *2841:B2 13.7342
*END
*D_NET *679 0.00294731
*CONN
*I *2841:A1 I *D sky130_fd_sc_hd__a22o_1
*I *3269:A1 I *D sky130_fd_sc_hd__mux2_1
*I *3447:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2841:A1 0.000475079
2 *3269:A1 9.48132e-05
3 *3447:Q 9.18166e-05
4 *679:7 0.000661709
5 *3269:A1 *3171:A0 6.50586e-05
6 *2841:A2 *2841:A1 5.41377e-05
7 *3163:B *2841:A1 5.41377e-05
8 *3267:B *2841:A1 0.000377273
9 *3269:S *2841:A1 0.000594829
10 *3271:A *2841:A1 0
11 *3271:A *3269:A1 0
12 *551:45 *2841:A1 0.000398352
13 *638:8 *2841:A1 8.62625e-06
14 *638:8 *3269:A1 7.14746e-05
*RES
1 *3447:Q *679:7 15.0271
2 *679:7 *3269:A1 15.8893
3 *679:7 *2841:A1 39.4744
*END
*D_NET *680 0.0112236
*CONN
*I *3031:A1 I *D sky130_fd_sc_hd__mux2_1
*I *2922:C I *D sky130_fd_sc_hd__or4_1
*I *3034:B I *D sky130_fd_sc_hd__nor2_1
*I *3528:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *3031:A1 0
2 *2922:C 2.66323e-05
3 *3034:B 0.000199847
4 *3528:X 0.00160673
5 *680:18 0.00104177
6 *680:12 0.00172557
7 *680:7 0.00251701
8 *3034:B *2922:A 3.31733e-05
9 *3034:B *3034:A 9.36598e-05
10 *680:12 *3022:A0 7.86847e-05
11 *680:12 *3022:A1 0
12 *680:12 *3025:A1 3.40567e-05
13 *680:12 *3028:A0 0.000205317
14 *680:12 *3031:A0 5.41227e-05
15 *680:12 *739:8 0
16 *680:12 *741:18 0.000118485
17 *680:18 *2922:A 0.000219718
18 *680:18 *3067:A 2.01503e-05
19 *680:18 *3069:B 2.75646e-05
20 *680:18 *725:12 8.44399e-05
21 *680:18 *741:7 7.92757e-06
22 *2922:D *3034:B 0
23 *3008:A *680:12 4.18479e-05
24 *3016:A *680:7 0.000118166
25 *3016:B *680:7 4.82966e-05
26 *3017:A *680:7 0.0002817
27 *3024:A *680:12 0
28 *3030:A *680:12 0
29 *3065:A *680:18 0.000143047
30 *3067:B *680:18 3.00073e-05
31 *3068:C1 *680:18 0
32 *3069:C *680:18 0
33 *3070:B *2922:C 5.23032e-05
34 *3380:D *680:12 0
35 *3389:D *680:18 2.69064e-05
36 *149:27 *680:12 0
37 *369:6 *3034:B 0.000170607
38 *369:6 *680:18 0.00144018
39 *369:9 *680:18 0.000123176
40 *369:12 *680:12 0.000169093
41 *369:21 *680:12 0.000387686
42 *370:6 *680:12 0
43 *370:13 *680:12 0
44 *370:22 *680:12 0
45 *403:21 *3034:B 1.28704e-05
46 *403:21 *680:18 0
47 *416:8 *680:18 2.33193e-05
48 *657:11 *2922:C 5.95286e-05
*RES
1 *3528:X *680:7 46.6005
2 *680:7 *680:12 35.3319
3 *680:12 *680:18 34.4918
4 *680:18 *3034:B 19.7928
5 *680:18 *2922:C 15.0271
6 *680:12 *3031:A1 9.24915
*END
*D_NET *681 0.0245382
*CONN
*I *2900:C I *D sky130_fd_sc_hd__or4_2
*I *793:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3529:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *2900:C 0.000209242
2 *793:DIODE 0
3 *3529:X 5.38744e-05
4 *681:20 0.00492876
5 *681:12 0.0067032
6 *681:10 0.00290362
7 *681:7 0.000973818
8 *681:10 *691:6 0.00268043
9 *681:10 *692:6 0.00198543
10 *681:12 *690:12 2.27135e-05
11 *681:20 *683:5 0.000350896
12 *681:20 *690:12 0.00122057
13 io_wbs_data_o[12] *681:12 0
14 io_wbs_data_o[15] *681:10 4.75721e-06
15 io_wbs_data_o[17] *681:12 0
16 io_wbs_data_o[1] *681:12 4.20184e-06
17 io_wbs_data_o[26] *681:10 3.21112e-05
18 *888:DIODE *681:7 1.03403e-05
19 *3180:C *2900:C 5.47736e-05
20 *3367:D *681:20 0.00162833
21 *3403:D *681:20 0.000114038
22 *3531:A *681:12 0
23 *103:10 *681:12 1.66771e-05
24 *230:15 *2900:C 0.000136995
25 *282:14 *681:20 1.91246e-05
26 *640:78 *681:20 0.000111191
27 *659:55 *2900:C 0.000373061
*RES
1 *3529:X *681:7 14.4725
2 *681:7 *681:10 49.8913
3 *681:10 *681:12 50.2211
4 *681:12 *681:20 25.7403
5 *681:20 *793:DIODE 9.24915
6 *681:20 *2900:C 24.9627
*END
*D_NET *682 0.0185039
*CONN
*I *3328:A0 I *D sky130_fd_sc_hd__mux2_1
*I *3282:A1 I *D sky130_fd_sc_hd__o211a_1
*I *3245:A0 I *D sky130_fd_sc_hd__mux2_1
*I *2906:A0 I *D sky130_fd_sc_hd__mux2_1
*I *3225:A1 I *D sky130_fd_sc_hd__o211a_1
*I *3530:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3328:A0 0
2 *3282:A1 0.000122931
3 *3245:A0 4.179e-05
4 *2906:A0 0
5 *3225:A1 2.07826e-05
6 *3530:X 0.00107364
7 *682:41 0.000679228
8 *682:27 0.00121161
9 *682:19 0.00302385
10 *682:11 0.00337961
11 *3225:A1 *820:DIODE 9.4116e-06
12 *682:11 *3056:A 7.66121e-05
13 *682:11 *3328:A1 0.000260374
14 *682:11 *3340:A1 0.000370815
15 *682:11 *760:27 0
16 *682:19 *3056:A 3.27606e-06
17 *682:19 *684:26 0
18 *682:19 *689:79 0.00306698
19 *682:27 *820:DIODE 4.00272e-05
20 *682:27 *683:69 0
21 *682:27 *692:40 0
22 *682:27 *692:75 8.337e-05
23 *682:27 *706:40 2.28129e-05
24 *682:41 *692:40 0
25 *889:DIODE *682:11 0.000171273
26 *2875:S *682:11 0.000375013
27 *2878:S *682:11 4.56667e-05
28 *3245:A1 *3282:A1 2.29454e-05
29 *3245:S *3282:A1 0.000110297
30 *3247:A *682:41 0.000169041
31 *3274:A2 *682:27 0.000104127
32 *3274:A2 *682:41 8.69932e-05
33 *3282:A2 *3282:A1 3.37929e-05
34 *3282:B1 *3282:A1 4.62432e-07
35 *3329:A *682:19 9.21153e-06
36 *3330:A *682:11 0.000122083
37 *3340:S *682:19 6.88675e-05
38 *3365:CLK *682:41 0.000754956
39 *3470:D *682:11 0
40 *332:49 *3225:A1 4.6012e-05
41 *332:49 *682:27 0.00115136
42 *407:20 *3282:A1 0.000527577
43 *407:20 *682:41 0.000533009
44 *407:30 *682:27 8.65147e-05
45 *428:16 *682:27 0.000110101
46 *428:27 *682:27 2.99725e-05
47 *459:15 *3245:A0 6.97364e-05
48 *459:15 *3282:A1 5.44989e-05
49 *459:15 *682:41 6.54019e-05
50 *551:26 *3282:A1 0.000213739
51 *662:53 *682:11 0
52 *662:69 *682:11 0
53 *662:87 *682:19 5.41227e-05
*RES
1 *3530:X *682:11 46.4739
2 *682:11 *682:19 18.9545
3 *682:19 *3225:A1 14.543
4 *682:19 *682:27 23.2175
5 *682:27 *2906:A0 13.7491
6 *682:27 *682:41 21.3865
7 *682:41 *3245:A0 10.9612
8 *682:41 *3282:A1 16.881
9 *682:11 *3328:A0 9.24915
*END
*D_NET *683 0.0352996
*CONN
*I *858:DIODE I *D sky130_fd_sc_hd__diode_2
*I *861:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3250:A0 I *D sky130_fd_sc_hd__mux2_1
*I *851:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3284:A1 I *D sky130_fd_sc_hd__o211a_1
*I *3272:A0 I *D sky130_fd_sc_hd__mux2_1
*I *3331:A0 I *D sky130_fd_sc_hd__mux2_1
*I *869:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3228:A1 I *D sky130_fd_sc_hd__o211a_1
*I *838:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3531:X O *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 *858:DIODE 0.000445102
2 *861:DIODE 0
3 *3250:A0 0.00012633
4 *851:DIODE 0.000146077
5 *3284:A1 0.000113367
6 *3272:A0 4.13057e-05
7 *3331:A0 0.000696517
8 *869:DIODE 0.00023878
9 *3228:A1 0.00012294
10 *838:DIODE 0
11 *3531:X 0.00151488
12 *683:102 0.000417982
13 *683:101 0.000308875
14 *683:88 0.0011955
15 *683:78 0.00174184
16 *683:69 0.00134925
17 *683:44 0.001305
18 *683:43 0.00229469
19 *683:29 0.00126984
20 *683:22 0.000482323
21 *683:21 0.00161653
22 *683:5 0.00280103
23 *851:DIODE *684:91 0.000156946
24 *851:DIODE *684:100 4.66492e-05
25 *869:DIODE *785:5 0.000161298
26 *3250:A0 *3253:A0 0.000179303
27 *3250:A0 *684:100 5.92342e-05
28 *3331:A0 *781:10 4.15559e-05
29 *683:21 *752:8 0.000266832
30 *683:22 *2923:A 0.000216118
31 *683:22 *728:8 0.000164381
32 *683:22 *728:10 0.000172144
33 *683:29 *728:8 1.07248e-05
34 *683:29 *733:21 0
35 *683:43 *2921:A 0
36 *683:43 *684:26 0.000734192
37 *683:43 *686:83 5.60804e-05
38 *683:43 *688:39 0.00219824
39 *683:69 *820:DIODE 0
40 *683:69 *3242:C 2.99929e-05
41 *683:69 *690:25 4.59025e-06
42 *683:69 *691:14 9.39195e-05
43 *683:69 *691:16 0.000380257
44 *683:69 *691:18 0.000148846
45 *683:69 *691:25 0.000163982
46 *683:69 *692:84 2.652e-05
47 *683:69 *706:40 0
48 *683:78 *691:12 2.72798e-05
49 *683:78 *691:14 2.48286e-05
50 *683:88 *796:DIODE 0.000266832
51 *683:102 *684:100 6.50727e-05
52 *683:102 *684:103 3.21548e-05
53 *2843:B1 *683:78 3.04538e-05
54 *2844:A2 *3250:A0 6.08467e-05
55 *2844:B2 *3250:A0 6.08467e-05
56 *2897:B *683:21 6.5781e-05
57 *2905:A *3272:A0 6.50586e-05
58 *2905:A *683:69 0.000113968
59 *2906:A1 *683:78 2.652e-05
60 *2910:A1 *858:DIODE 0.000189481
61 *2910:A1 *683:78 0.000275994
62 *2939:A *683:21 0.000138973
63 *2955:A1 *683:21 2.79121e-05
64 *2955:A2 *683:21 0.000135725
65 *2955:B1 *683:21 7.92757e-06
66 *2955:C1 *683:21 9.16191e-05
67 *2956:A2 *683:21 0.000271606
68 *2956:B1 *683:21 2.65667e-05
69 *2961:A2 *683:22 1.77661e-05
70 *3044:A1 *683:5 4.66492e-05
71 *3089:A *683:69 0
72 *3107:A *683:88 9.60216e-05
73 *3107:A *683:101 0.000297856
74 *3112:B *683:101 1.25165e-05
75 *3141:A1 *683:5 0.000260374
76 *3141:A2 *683:5 0.000111722
77 *3141:B1 *683:5 5.60885e-05
78 *3152:B1 *683:5 0.000219753
79 *3186:A2 *683:21 0.000118166
80 *3186:A2 *683:22 3.45299e-05
81 *3247:A *858:DIODE 0.000196623
82 *3247:A *683:78 7.14746e-05
83 *3274:A2 *683:78 6.15906e-05
84 *3282:A2 *683:88 8.62625e-06
85 *3284:A2 *3284:A1 9.8407e-05
86 *3284:A2 *683:88 0.000151758
87 *3284:A2 *683:101 2.95757e-05
88 *3284:B1 *3284:A1 7.92757e-06
89 *3284:C1 *683:101 1.12605e-05
90 *3326:D_N *683:69 8.43426e-05
91 *3332:B *3331:A0 5.56461e-05
92 *3368:D *683:21 2.01874e-05
93 *3369:CLK *683:21 0.000111722
94 *3369:D *683:21 2.13584e-05
95 *3403:D *683:5 0.000853846
96 *3432:D *683:44 0.000492442
97 *3432:D *683:69 0.000346891
98 *3433:CLK *683:29 6.92004e-05
99 *3433:D *683:29 0
100 *3434:D *3228:A1 0.000362257
101 *3438:CLK *683:29 8.62625e-06
102 *3440:CLK *683:88 8.59989e-05
103 *3440:D *683:88 2.94869e-05
104 *3449:CLK *683:44 1.2693e-05
105 *3452:CLK *683:101 0.000116439
106 *3515:A *3250:A0 0.000102632
107 *195:9 *3331:A0 3.86072e-06
108 *195:9 *683:44 0.000875076
109 *195:9 *683:69 0.000509143
110 *268:34 *683:21 0
111 *281:29 *3250:A0 6.5713e-05
112 *281:52 *3250:A0 3.20069e-06
113 *282:10 *683:44 0
114 *299:28 *683:22 0.000740655
115 *299:28 *683:29 4.84944e-05
116 *311:7 *683:21 0.000111722
117 *313:26 *683:22 8.58213e-06
118 *314:37 *683:21 0.00015068
119 *323:6 *683:22 3.00073e-05
120 *324:31 *683:21 0.000254881
121 *329:8 *683:22 0.000146472
122 *335:10 *869:DIODE 4.34007e-05
123 *335:10 *683:44 2.31017e-05
124 *393:46 *683:21 2.95757e-05
125 *402:20 *869:DIODE 0.000144531
126 *402:20 *683:44 0.000252342
127 *407:30 *683:78 0
128 *428:16 *683:69 0
129 *451:11 *683:88 2.8182e-06
130 *503:26 *869:DIODE 0.000164704
131 *548:27 *858:DIODE 0.000450071
132 *548:27 *683:88 0.000239945
133 *548:41 *858:DIODE 0.000171288
134 *549:8 *683:69 7.46648e-06
135 *551:26 *3250:A0 7.77309e-06
136 *551:26 *683:88 2.97152e-05
137 *551:26 *683:101 2.33193e-05
138 *640:59 *683:29 0
139 *649:30 *683:21 3.41747e-05
140 *652:51 *683:101 0.000148129
141 *681:20 *683:5 0.000350896
142 *682:27 *683:69 0
*RES
1 *3531:X *683:5 48.7948
2 *683:5 *683:21 49.0235
3 *683:21 *683:22 16.3658
4 *683:22 *838:DIODE 13.7491
5 *683:22 *683:29 4.32351
6 *683:29 *3228:A1 17.8002
7 *683:29 *683:43 10.459
8 *683:43 *683:44 17.404
9 *683:44 *869:DIODE 23.2357
10 *683:44 *3331:A0 27.6866
11 *683:43 *683:69 31.9022
12 *683:69 *3272:A0 9.97254
13 *683:69 *683:78 14.637
14 *683:78 *683:88 27.9683
15 *683:88 *3284:A1 16.0158
16 *683:88 *683:101 11.315
17 *683:101 *683:102 2.38721
18 *683:102 *851:DIODE 11.6364
19 *683:102 *3250:A0 23.7113
20 *683:101 *861:DIODE 9.24915
21 *683:78 *858:DIODE 24.0662
*END
*D_NET *684 0.0396978
*CONN
*I *839:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3155:A0 I *D sky130_fd_sc_hd__mux2_1
*I *826:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3253:A0 I *D sky130_fd_sc_hd__mux2_1
*I *3286:A1 I *D sky130_fd_sc_hd__o211a_1
*I *862:DIODE I *D sky130_fd_sc_hd__diode_2
*I *852:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3230:A1 I *D sky130_fd_sc_hd__o211a_1
*I *870:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3334:A0 I *D sky130_fd_sc_hd__mux2_1
*I *3532:X O *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 *839:DIODE 0
2 *3155:A0 0.00017947
3 *826:DIODE 0
4 *3253:A0 0.000216336
5 *3286:A1 0.000230251
6 *862:DIODE 0
7 *852:DIODE 0
8 *3230:A1 2.69254e-05
9 *870:DIODE 0.000474208
10 *3334:A0 0
11 *3532:X 0
12 *684:132 0.000334966
13 *684:103 0.00034021
14 *684:100 0.000378353
15 *684:91 0.00211757
16 *684:68 0.00317047
17 *684:67 0.0017028
18 *684:51 0.00117195
19 *684:37 0.000576493
20 *684:26 0.00262018
21 *684:14 0.00250896
22 *684:8 0.00215505
23 *684:7 0.00192303
24 *684:5 0.0019321
25 *684:4 0.0019321
26 *870:DIODE *3056:A 0.000194223
27 *870:DIODE *3334:A1 0.000633435
28 *684:5 *697:13 5.28741e-05
29 *684:5 *709:7 0.000122917
30 *684:5 *709:9 0.00226002
31 *684:8 *2999:A 0
32 *684:8 *734:30 0
33 *684:8 *734:37 0
34 *684:8 *782:8 0.000109048
35 *684:8 *782:27 8.07794e-05
36 *684:14 *849:DIODE 5.04829e-06
37 *684:14 *2921:A 7.86825e-06
38 *684:14 *2958:A 0.000180676
39 *684:14 *731:11 0.000263189
40 *684:26 *2889:A 1.66771e-05
41 *684:26 *686:83 6.23101e-05
42 *684:26 *688:39 0.00108968
43 *684:26 *689:79 0
44 *684:51 *2919:A 6.46921e-05
45 *684:51 *688:39 0
46 *684:67 *2919:A 4.36956e-05
47 *684:67 *3236:A1 5.04829e-06
48 *684:67 *3238:A1 0.000163418
49 *684:67 *688:39 0.000107496
50 *684:67 *702:24 0.000211573
51 *684:67 *733:10 7.14746e-05
52 *684:68 *3137:A1 4.25451e-05
53 *684:91 *685:92 6.7671e-06
54 io_wbs_data_o[28] *684:5 6.50727e-05
55 io_wbs_data_o[30] *684:5 6.50727e-05
56 *823:DIODE *684:68 2.17228e-05
57 *851:DIODE *684:91 0.000156946
58 *851:DIODE *684:100 4.66492e-05
59 *2843:A2 *684:91 8.66189e-06
60 *2843:B2 *684:91 0.000282196
61 *2844:B2 *3253:A0 3.92275e-05
62 *2974:A *684:8 1.87469e-05
63 *2983:B *684:14 6.23875e-05
64 *3021:A *684:91 0.000576683
65 *3101:A1 *684:68 1.41761e-05
66 *3106:B2 *684:68 6.07931e-05
67 *3112:A *3286:A1 0
68 *3115:A2 *684:91 9.40969e-05
69 *3115:B1 *684:91 7.60137e-05
70 *3130:C *684:68 0
71 *3137:B2 *684:68 0
72 *3156:B *684:68 3.25751e-05
73 *3156:B *684:132 4.87805e-05
74 *3183:A1 *684:8 0.00010193
75 *3183:A2 *684:8 0.000182812
76 *3187:A1 *684:8 0.000127164
77 *3200:B *684:8 7.84621e-05
78 *3201:C_N *684:8 0
79 *3228:A2 *3230:A1 0.00011818
80 *3230:A2 *3230:A1 3.41459e-05
81 *3235:A *684:67 0
82 *3236:B1 *684:67 1.17054e-05
83 *3238:A2 *684:67 4.64537e-05
84 *3250:A0 *3253:A0 0.000179303
85 *3250:A0 *684:100 5.92342e-05
86 *3250:S *3253:A0 8.62321e-06
87 *3251:B *3253:A0 7.50872e-05
88 *3274:B1 *684:91 6.3657e-05
89 *3334:S *870:DIODE 4.31703e-05
90 *3341:A *870:DIODE 7.14678e-05
91 *3372:CLK *684:8 0.000106962
92 *3437:D *684:67 2.44829e-05
93 *3452:CLK *3286:A1 4.88955e-05
94 *3452:CLK *684:103 0.000802132
95 *3515:A *684:91 2.41274e-06
96 *3515:A *684:100 1.58551e-05
97 *3515:A *684:103 0.000689459
98 *3516:A *3286:A1 6.50586e-05
99 *3516:A *684:103 0.000318644
100 *150:8 *3286:A1 4.55235e-05
101 *151:18 *684:68 9.58625e-05
102 *272:17 *684:91 0.000183736
103 *272:28 *684:91 0.000258231
104 *281:52 *3253:A0 6.84784e-06
105 *290:23 *684:67 1.69394e-06
106 *290:28 *684:14 1.14605e-05
107 *290:28 *684:37 2.45599e-05
108 *290:28 *684:51 6.72596e-06
109 *290:30 *684:8 0.000155052
110 *296:57 *684:67 0.000249293
111 *296:57 *684:68 0.00087518
112 *299:59 *684:51 0.000219428
113 *309:66 *684:67 0.000162123
114 *309:66 *684:68 4.70005e-05
115 *322:8 *684:14 0
116 *322:8 *684:37 0
117 *327:18 *684:8 3.88655e-05
118 *327:23 *684:8 7.86982e-05
119 *348:8 *684:8 0.000232026
120 *399:72 *684:68 4.36187e-05
121 *407:12 *870:DIODE 4.52978e-05
122 *426:51 *684:68 8.01987e-05
123 *426:55 *684:68 0.000384665
124 *435:25 *684:68 5.36085e-05
125 *441:22 *684:68 8.60155e-05
126 *535:30 *684:67 0.000211573
127 *536:24 *684:51 5.12464e-05
128 *541:19 *3230:A1 9.91802e-05
129 *551:26 *3253:A0 1.9101e-05
130 *551:26 *684:100 5.56367e-05
131 *555:23 *3253:A0 6.50727e-05
132 *638:26 *684:68 1.1534e-05
133 *638:26 *684:132 7.05251e-05
134 *662:56 *870:DIODE 0.000164829
135 *682:19 *684:26 0
136 *683:43 *684:26 0.000734192
137 *683:102 *684:100 6.50727e-05
138 *683:102 *684:103 3.21548e-05
*RES
1 *3532:X *684:4 9.24915
2 *684:4 *684:5 60.066
3 *684:5 *684:7 4.5
4 *684:7 *684:8 54.1538
5 *684:8 *684:14 16.4729
6 *684:14 *684:26 18.1112
7 *684:26 *3334:A0 9.24915
8 *684:26 *870:DIODE 24.3922
9 *684:14 *684:37 2.45487
10 *684:37 *3230:A1 15.6059
11 *684:37 *684:51 13.9781
12 *684:51 *684:67 40.803
13 *684:67 *684:68 28.8234
14 *684:68 *684:91 43.3464
15 *684:91 *852:DIODE 9.24915
16 *684:91 *684:100 6.77949
17 *684:100 *684:103 15.2063
18 *684:103 *862:DIODE 9.24915
19 *684:103 *3286:A1 23.8823
20 *684:100 *3253:A0 20.0418
21 *684:68 *684:132 9.23876
22 *684:132 *826:DIODE 9.24915
23 *684:132 *3155:A0 13.3002
24 *684:51 *839:DIODE 9.24915
*END
*D_NET *685 0.0282924
*CONN
*I *853:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3256:A0 I *D sky130_fd_sc_hd__mux2_1
*I *827:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3159:A0 I *D sky130_fd_sc_hd__mux2_1
*I *3289:A1 I *D sky130_fd_sc_hd__o211a_1
*I *871:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3337:A0 I *D sky130_fd_sc_hd__mux2_1
*I *3232:A1 I *D sky130_fd_sc_hd__a211o_1
*I *840:DIODE I *D sky130_fd_sc_hd__diode_2
*I *863:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3533:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *853:DIODE 0
2 *3256:A0 0
3 *827:DIODE 0
4 *3159:A0 0.000524226
5 *3289:A1 0.000173443
6 *871:DIODE 0.000385122
7 *3337:A0 0
8 *3232:A1 0.000251809
9 *840:DIODE 9.3404e-05
10 *863:DIODE 0
11 *3533:X 0.00160538
12 *685:102 0.000782048
13 *685:100 0.000708909
14 *685:92 0.00186874
15 *685:76 0.00164675
16 *685:41 0.00127169
17 *685:30 0.00147399
18 *685:25 0.0016764
19 *685:21 0.00199366
20 *685:11 0.00202938
21 *840:DIODE *686:18 0
22 *840:DIODE *686:75 0
23 *871:DIODE *2871:A0 0.000203833
24 *871:DIODE *3337:A1 0.000363359
25 *871:DIODE *686:36 0.00018643
26 *3232:A1 *3234:A1 0
27 *3232:A1 *686:75 0
28 *685:25 *2889:A 7.50872e-05
29 *685:25 *686:37 0.00102252
30 *685:25 *688:10 0.000615298
31 *685:25 *688:14 1.23804e-05
32 *685:25 *688:25 0
33 *685:25 *767:10 6.67958e-05
34 *685:41 *820:DIODE 0.000108038
35 *685:41 *3050:A 0.000111208
36 *685:41 *690:25 0.00017892
37 *685:76 *688:10 0.00022778
38 *685:92 *688:10 0.000593626
39 *892:DIODE *685:11 9.90116e-05
40 *2843:A1 *685:100 0
41 *2843:B2 *685:92 0.000133528
42 *2843:B2 *685:100 3.07133e-05
43 *2844:C1 *685:100 0.000148144
44 *3081:A *685:25 1.46079e-05
45 *3117:A *685:21 0.000207266
46 *3160:A *3159:A0 7.13618e-06
47 *3160:B *3159:A0 4.56831e-05
48 *3161:A *3159:A0 0.000364342
49 *3232:C1 *3232:A1 0.000426157
50 *3234:A2 *3232:A1 2.1203e-06
51 *3234:B1 *3232:A1 4.4196e-06
52 *3256:S *685:100 0.000436811
53 *3256:S *685:102 0.000162583
54 *3286:A2 *685:92 0.000243648
55 *3289:A2 *3289:A1 6.8802e-05
56 *3289:B1 *3289:A1 1.67329e-05
57 *3289:B1 *685:21 6.73186e-05
58 *3289:C1 *3289:A1 5.20546e-06
59 *3292:A *685:21 0.000149001
60 *3292:B *685:21 2.65667e-05
61 *3338:B *685:41 1.4091e-06
62 *3339:A *685:41 0.000201135
63 *3347:B *685:30 0.000200236
64 *3397:D *685:92 3.90318e-05
65 *3435:D *685:41 0.000424274
66 *3452:D *685:92 9.24241e-05
67 *3472:D *871:DIODE 3.20069e-06
68 *3472:D *685:25 9.80912e-05
69 *3515:A *685:92 0.000776313
70 *3516:A *685:92 0.000537174
71 *3524:A *871:DIODE 7.07549e-05
72 *154:10 *685:25 0.000960515
73 *230:71 *685:30 7.20045e-05
74 *273:14 *871:DIODE 6.48565e-05
75 *273:14 *685:30 7.17006e-05
76 *273:19 *685:30 0.000193557
77 *281:29 *685:100 0
78 *281:52 *685:100 0
79 *332:43 *840:DIODE 6.66393e-05
80 *332:43 *3232:A1 6.31504e-05
81 *424:34 *685:25 0.000351481
82 *425:29 *685:25 4.3116e-06
83 *443:20 *685:25 0.000141225
84 *541:13 *3232:A1 0.000180515
85 *554:10 *685:100 7.50722e-05
86 *575:6 *685:25 0.000365799
87 *575:6 *685:76 0.0001425
88 *575:34 *685:76 8.52802e-05
89 *575:34 *685:92 9.03933e-05
90 *607:21 *871:DIODE 2.53624e-06
91 *684:91 *685:92 6.7671e-06
*RES
1 *3533:X *685:11 42.1876
2 *685:11 *863:DIODE 9.24915
3 *685:11 *685:21 16.6048
4 *685:21 *685:25 47.7725
5 *685:25 *685:30 15.815
6 *685:30 *685:41 26.6841
7 *685:41 *840:DIODE 16.4116
8 *685:41 *3232:A1 22.4265
9 *685:30 *3337:A0 9.24915
10 *685:30 *871:DIODE 29.5599
11 *685:21 *685:76 3.90826
12 *685:76 *3289:A1 17.7158
13 *685:76 *685:92 35.7414
14 *685:92 *685:100 19.0678
15 *685:100 *685:102 4.05102
16 *685:102 *3159:A0 17.737
17 *685:102 *827:DIODE 9.24915
18 *685:100 *3256:A0 9.24915
19 *685:92 *853:DIODE 9.24915
*END
*D_NET *686 0.0337598
*CONN
*I *3234:A1 I *D sky130_fd_sc_hd__o211a_1
*I *854:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3259:A0 I *D sky130_fd_sc_hd__mux2_1
*I *828:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3162:A0 I *D sky130_fd_sc_hd__mux2_1
*I *872:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3340:A0 I *D sky130_fd_sc_hd__mux2_1
*I *864:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3291:A1 I *D sky130_fd_sc_hd__o211a_1
*I *841:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3534:X O *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 *3234:A1 4.34459e-05
2 *854:DIODE 0.000792198
3 *3259:A0 0
4 *828:DIODE 0
5 *3162:A0 0.000357477
6 *872:DIODE 0.000472109
7 *3340:A0 0
8 *864:DIODE 0
9 *3291:A1 0.000340154
10 *841:DIODE 0
11 *3534:X 0.000681066
12 *686:110 0.00180031
13 *686:89 0.000550972
14 *686:83 0.00444957
15 *686:75 0.00345659
16 *686:63 0.00054455
17 *686:46 0.00193321
18 *686:37 0.00234456
19 *686:36 0.00158407
20 *686:21 0.00168645
21 *686:18 0.00203184
22 *686:12 0.00176629
23 *686:18 *2850:B 2.56676e-05
24 *686:18 *3179:B1 0.000148144
25 *686:18 *783:19 0.000450517
26 *686:36 *688:39 3.88358e-05
27 *686:46 *814:DIODE 0
28 *686:46 *860:DIODE 4.75721e-06
29 *686:46 *866:DIODE 0
30 *686:46 *868:DIODE 0
31 *686:46 *2878:A0 0.000350962
32 *686:46 *687:8 0
33 *686:46 *687:13 0
34 *686:46 *706:19 0
35 *686:46 *765:8 0.000113374
36 *840:DIODE *686:18 0
37 *840:DIODE *686:75 0
38 *871:DIODE *686:36 0.00018643
39 *2842:B2 *854:DIODE 6.64392e-05
40 *2842:B2 *686:110 0.00016553
41 *3120:A1 *3162:A0 0.000190042
42 *3173:A *3162:A0 3.6455e-05
43 *3190:A1 *686:18 8.04608e-05
44 *3190:A2 *686:18 0.000153225
45 *3201:A *686:12 0.000179271
46 *3202:B1 *686:12 0.000646251
47 *3202:B1 *686:18 1.72799e-05
48 *3208:C *686:12 8.43674e-05
49 *3232:A1 *3234:A1 0
50 *3232:A1 *686:75 0
51 *3232:C1 *3234:A1 5.84166e-05
52 *3232:C1 *686:18 0.000479408
53 *3232:C1 *686:75 0.000232731
54 *3234:B1 *3234:A1 3.5534e-06
55 *3257:B *686:110 0
56 *3260:A *686:110 0
57 *3262:A1 *854:DIODE 1.45322e-05
58 *3262:S *854:DIODE 0.000576786
59 *3290:A *3291:A1 0.000122083
60 *3291:A2 *3291:A1 8.62625e-06
61 *3291:B1 *3291:A1 2.43387e-05
62 *3296:A *686:46 0.000101133
63 *3327:A *686:37 0.000417478
64 *3329:A *686:46 0.000204917
65 *3329:B *686:46 0
66 *3341:A *686:46 0.000123597
67 *3341:B *686:37 1.2954e-05
68 *3348:A *686:36 0.000221832
69 *3406:CLK *686:89 1.9101e-05
70 *3410:D *3162:A0 7.50872e-05
71 *3417:CLK *686:12 0
72 *3417:D *686:12 8.07939e-05
73 *3429:CLK *686:36 7.60929e-05
74 *3436:D *686:21 2.41916e-05
75 *3443:CLK *3162:A0 1.03403e-05
76 *3445:D *854:DIODE 4.73037e-06
77 *3456:CLK *686:46 0
78 *3468:CLK *686:37 7.2147e-05
79 *3468:D *686:37 0.000218302
80 *3470:D *872:DIODE 1.53125e-05
81 *3472:D *686:36 0.000129013
82 *3472:D *686:37 0.000113968
83 *153:14 *3291:A1 2.47808e-05
84 *153:14 *686:46 0
85 *233:11 *686:18 0.000313692
86 *273:14 *686:36 5.30345e-05
87 *281:52 *3162:A0 1.89836e-05
88 *309:57 *686:18 0
89 *332:43 *686:18 0
90 *333:9 *686:18 0
91 *341:8 *686:18 0
92 *487:28 *3162:A0 3.54138e-05
93 *501:26 *686:18 3.58457e-05
94 *508:8 *686:18 0
95 *508:17 *686:18 7.00269e-05
96 *510:8 *686:18 5.54078e-05
97 *516:8 *686:12 4.79303e-05
98 *516:8 *686:18 1.44611e-05
99 *516:10 *686:12 6.4554e-05
100 *516:12 *686:12 1.29348e-05
101 *554:20 *686:110 1.94784e-05
102 *577:47 *3291:A1 5.29898e-05
103 *607:14 *686:36 4.3116e-06
104 *608:7 *686:37 1.82679e-05
105 *653:5 *3291:A1 9.07893e-05
106 *653:8 *686:46 0
107 *653:10 *686:46 0
108 *653:21 *686:46 0
109 *653:23 *686:46 0
110 *653:56 *3291:A1 0.000211478
111 *659:61 *686:18 0
112 *661:26 *686:21 5.85117e-05
113 *661:30 *686:21 8.85095e-05
114 *661:49 *686:21 1.03403e-05
115 *661:49 *686:36 3.91558e-05
116 *661:55 *686:36 6.36261e-05
117 *671:11 *686:46 0
118 *683:43 *686:83 5.60804e-05
119 *684:26 *686:83 6.23101e-05
120 *685:25 *686:37 0.00102252
*RES
1 *3534:X *686:12 36.7346
2 *686:12 *686:18 34.1728
3 *686:18 *686:21 15.7609
4 *686:21 *841:DIODE 9.24915
5 *686:21 *686:36 28.668
6 *686:36 *686:37 22.3529
7 *686:37 *686:46 49.1085
8 *686:46 *3291:A1 28.8555
9 *686:46 *864:DIODE 9.24915
10 *686:37 *686:63 1.278
11 *686:63 *3340:A0 9.24915
12 *686:63 *872:DIODE 18.8462
13 *686:18 *686:75 4.53113
14 *686:75 *686:83 12.0333
15 *686:83 *686:89 8.565
16 *686:89 *3162:A0 25.7932
17 *686:89 *828:DIODE 9.24915
18 *686:83 *686:110 14.9435
19 *686:110 *3259:A0 9.24915
20 *686:110 *854:DIODE 22.1738
21 *686:75 *3234:A1 14.9583
*END
*D_NET *687 0.032423
*CONN
*I *855:DIODE I *D sky130_fd_sc_hd__diode_2
*I *829:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3165:A0 I *D sky130_fd_sc_hd__mux2_1
*I *3262:A0 I *D sky130_fd_sc_hd__mux2_1
*I *865:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3293:A1 I *D sky130_fd_sc_hd__o211a_1
*I *842:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3236:A1 I *D sky130_fd_sc_hd__o211a_1
*I *873:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3343:A0 I *D sky130_fd_sc_hd__mux2_1
*I *3535:X O *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 *855:DIODE 0
2 *829:DIODE 0.000166695
3 *3165:A0 0.000134978
4 *3262:A0 1.98947e-05
5 *865:DIODE 0
6 *3293:A1 0
7 *842:DIODE 2.71393e-05
8 *3236:A1 0.000231378
9 *873:DIODE 0.00108453
10 *3343:A0 0.000259478
11 *3535:X 0.00137036
12 *687:100 0.00186198
13 *687:86 0.00200444
14 *687:81 0.00197728
15 *687:47 0.00288959
16 *687:20 0.00143038
17 *687:18 0.00314163
18 *687:13 0.000692641
19 *687:8 0.00149567
20 *687:6 0.00415062
21 *842:DIODE *3132:A1 1.5714e-05
22 *873:DIODE *875:DIODE 0
23 *873:DIODE *691:83 0.000326398
24 *3236:A1 *689:51 2.20008e-05
25 *3343:A0 *868:DIODE 0
26 *3343:A0 *689:92 5.96821e-05
27 *3343:A0 *693:35 0.000260374
28 *687:6 *3557:A 9.96342e-05
29 *687:18 *689:92 0.000155028
30 *687:20 *814:DIODE 0
31 *687:20 *689:92 3.81964e-05
32 *687:47 *845:DIODE 0.000141137
33 *687:47 *860:DIODE 0.00172568
34 *687:47 *689:51 6.63144e-05
35 *687:47 *689:79 1.16089e-05
36 *687:47 *692:51 0.000502875
37 *687:47 *692:75 0.000455812
38 *687:47 *706:19 6.16462e-05
39 *687:47 *706:40 0.00121564
40 *3164:A *3165:A0 0.000379546
41 *3164:A *687:100 8.19676e-05
42 *3165:S *3165:A0 5.49045e-05
43 *3236:B1 *3236:A1 0.000172768
44 *3249:A *687:100 1.87271e-05
45 *3255:A *687:86 9.63981e-05
46 *3262:A1 *3262:A0 2.16355e-05
47 *3262:S *3262:A0 6.08467e-05
48 *3263:A *687:86 0
49 *3263:A *687:100 1.43983e-05
50 *3263:B *687:100 9.66794e-05
51 *3264:A *687:100 3.28416e-06
52 *3293:B1 *687:18 1.07248e-05
53 *3295:B1 *687:18 0.000147737
54 *3296:B *687:13 0.000369345
55 *3297:B1 *687:13 0.00067209
56 *3297:B1 *687:18 3.30335e-05
57 *3343:S *3343:A0 1.65872e-05
58 *3345:A *3343:A0 3.93117e-06
59 *3408:CLK *829:DIODE 0.00016345
60 *3408:CLK *3165:A0 8.52802e-05
61 *3408:D *829:DIODE 1.72464e-05
62 *3442:D *687:86 5.4694e-06
63 *3445:CLK *687:100 0
64 *3473:CLK *3343:A0 0.000217923
65 *3473:D *3343:A0 9.60366e-05
66 *3474:CLK *873:DIODE 4.26431e-05
67 *3474:D *873:DIODE 3.92275e-05
68 *3517:A *687:100 0.000139237
69 *153:10 *687:13 2.65667e-05
70 *153:10 *687:18 2.22342e-05
71 *296:57 *842:DIODE 1.5714e-05
72 *296:57 *3236:A1 0.000156116
73 *309:66 *3236:A1 1.92403e-05
74 *355:39 *842:DIODE 6.50727e-05
75 *535:30 *842:DIODE 6.08467e-05
76 *551:26 *687:86 0.000113374
77 *551:26 *687:100 0.000222699
78 *555:8 *687:100 1.87146e-05
79 *576:18 *687:18 9.06238e-05
80 *640:9 *3236:A1 1.40927e-05
81 *640:9 *687:47 0.000117273
82 *640:98 *687:47 5.93795e-05
83 *640:129 *687:100 0
84 *662:103 *873:DIODE 0.000258482
85 *684:67 *3236:A1 5.04829e-06
86 *686:46 *687:8 0
87 *686:46 *687:13 0
*RES
1 *3535:X *687:6 48.8013
2 *687:6 *687:8 30.0691
3 *687:8 *687:13 14.9592
4 *687:13 *687:18 15.5775
5 *687:18 *687:20 2.03962
6 *687:20 *3343:A0 21.9182
7 *687:20 *873:DIODE 41.9398
8 *687:18 *687:47 10.9147
9 *687:47 *3236:A1 21.3296
10 *687:47 *842:DIODE 18.2199
11 *687:13 *3293:A1 9.24915
12 *687:8 *865:DIODE 13.7491
13 *687:6 *687:81 26.8529
14 *687:81 *687:86 11.9075
15 *687:86 *3262:A0 14.4725
16 *687:86 *687:100 37.1953
17 *687:100 *3165:A0 19.7715
18 *687:100 *829:DIODE 18.4879
19 *687:81 *855:DIODE 9.24915
*END
*D_NET *688 0.0334334
*CONN
*I *830:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3168:A0 I *D sky130_fd_sc_hd__mux2_1
*I *856:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3266:A0 I *D sky130_fd_sc_hd__mux2_1
*I *866:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3295:A1 I *D sky130_fd_sc_hd__o211a_1
*I *874:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3346:A0 I *D sky130_fd_sc_hd__mux2_1
*I *843:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3238:A1 I *D sky130_fd_sc_hd__o211a_1
*I *3536:X O *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 *830:DIODE 0.00018532
2 *3168:A0 0
3 *856:DIODE 0
4 *3266:A0 0.000162804
5 *866:DIODE 0.000300401
6 *3295:A1 1.05504e-05
7 *874:DIODE 0.000224161
8 *3346:A0 0
9 *843:DIODE 0
10 *3238:A1 0.000210756
11 *3536:X 0.000856002
12 *688:97 0.000271324
13 *688:94 0.000421131
14 *688:90 0.00302761
15 *688:71 0.00058438
16 *688:39 0.00215144
17 *688:27 0.00206855
18 *688:25 0.00147414
19 *688:14 0.00143642
20 *688:10 0.00158559
21 *688:6 0.0049304
22 *866:DIODE *706:9 0.000102659
23 *866:DIODE *706:19 1.00981e-05
24 *874:DIODE *2881:A0 0.000482223
25 *874:DIODE *3337:A1 0
26 *874:DIODE *766:6 4.04358e-05
27 *3238:A1 *2919:A 0.000411716
28 *3238:A1 *2920:A2 0
29 *688:6 *699:11 0
30 *688:25 *3346:A1 1.65872e-05
31 *688:25 *767:10 0
32 *688:27 *3346:A1 0.000103139
33 *688:39 *2919:A 9.32983e-05
34 *688:39 *689:79 0
35 *2841:B2 *3266:A0 0.000150019
36 *3134:B1 *688:25 3.20069e-06
37 *3166:A *688:94 2.93863e-05
38 *3167:A *830:DIODE 9.67077e-05
39 *3168:A1 *688:94 0.000118166
40 *3168:S *3266:A0 1.79196e-05
41 *3168:S *688:97 5.39463e-05
42 *3169:A *688:94 1.01177e-05
43 *3228:B1 *688:39 0.00010801
44 *3238:A2 *3238:A1 2.71542e-05
45 *3238:B1 *3238:A1 0.000310034
46 *3269:S *3266:A0 5.28741e-05
47 *3279:A *688:25 0.000143314
48 *3285:A *688:10 5.04734e-05
49 *3291:C1 *688:10 0.00023862
50 *3295:A2 *688:71 9.32101e-05
51 *3295:B1 *866:DIODE 4.66492e-05
52 *3295:B1 *3295:A1 5.35135e-05
53 *3295:B1 *688:71 4.69871e-06
54 *3295:C1 *688:71 2.16355e-05
55 *3334:S *688:25 0.000144546
56 *3335:B *688:25 0.000217006
57 *3346:S *688:27 6.08467e-05
58 *3348:A *688:39 4.23622e-05
59 *3397:D *688:10 0
60 *3408:CLK *688:90 0.000271044
61 *3408:CLK *688:94 3.01683e-06
62 *3438:CLK *3238:A1 9.75356e-05
63 *3456:CLK *866:DIODE 0.000273772
64 *3456:D *866:DIODE 0.000554425
65 *3517:A *688:90 6.00782e-06
66 *3518:A *688:90 0.00080051
67 *3524:A *874:DIODE 0.000121106
68 *150:8 *688:6 0
69 *150:8 *688:10 0
70 *153:14 *688:10 0
71 *154:10 *688:14 7.14746e-05
72 *309:66 *3238:A1 5.68225e-06
73 *322:42 *688:39 0.00023344
74 *407:12 *688:25 9.14505e-05
75 *424:23 *688:25 1.92172e-05
76 *424:34 *688:25 7.92757e-06
77 *425:8 *688:25 0.000173163
78 *443:20 *688:25 0
79 *541:28 *688:39 2.80595e-05
80 *551:45 *3266:A0 5.36612e-05
81 *576:15 *688:25 3.24705e-06
82 *577:47 *688:10 0
83 *582:27 *688:10 1.90395e-05
84 *582:27 *688:14 0.000114156
85 *582:34 *688:10 5.90394e-05
86 *582:38 *688:10 0.000529234
87 *607:21 *874:DIODE 7.42334e-05
88 *608:20 *688:25 0.000223112
89 *636:8 *688:90 3.32822e-05
90 *637:8 *688:97 5.66868e-06
91 *637:10 *3266:A0 3.20274e-05
92 *637:10 *688:97 8.92568e-06
93 *637:22 *3266:A0 2.22923e-05
94 *640:129 *688:90 1.44742e-05
95 *640:150 *688:25 0.000990464
96 *653:56 *688:10 0
97 *653:66 *688:10 0
98 *671:11 *866:DIODE 0.000123947
99 *678:5 *3266:A0 6.64392e-05
100 *683:43 *688:39 0.00219824
101 *684:26 *688:39 0.00108968
102 *684:51 *688:39 0
103 *684:67 *3238:A1 0.000163418
104 *684:67 *688:39 0.000107496
105 *685:25 *688:10 0.000615298
106 *685:25 *688:14 1.23804e-05
107 *685:25 *688:25 0
108 *685:76 *688:10 0.00022778
109 *685:92 *688:10 0.000593626
110 *686:36 *688:39 3.88358e-05
111 *686:46 *866:DIODE 0
*RES
1 *3536:X *688:6 35.098
2 *688:6 *688:10 48.8654
3 *688:10 *688:14 6.53962
4 *688:14 *688:25 40.447
5 *688:25 *688:27 3.49641
6 *688:27 *688:39 29.9303
7 *688:39 *3238:A1 26.6653
8 *688:39 *843:DIODE 9.24915
9 *688:27 *3346:A0 9.24915
10 *688:25 *874:DIODE 27.5557
11 *688:14 *688:71 4.89498
12 *688:71 *3295:A1 9.85198
13 *688:71 *866:DIODE 30.6481
14 *688:6 *688:90 43.491
15 *688:90 *688:94 7.40275
16 *688:94 *688:97 7.57775
17 *688:97 *3266:A0 20.0446
18 *688:97 *856:DIODE 13.7491
19 *688:94 *3168:A0 9.24915
20 *688:90 *830:DIODE 21.4985
*END
*D_NET *689 0.0363211
*CONN
*I *3171:A0 I *D sky130_fd_sc_hd__mux2_1
*I *3269:A0 I *D sky130_fd_sc_hd__mux2_1
*I *831:DIODE I *D sky130_fd_sc_hd__diode_2
*I *844:DIODE I *D sky130_fd_sc_hd__diode_2
*I *875:DIODE I *D sky130_fd_sc_hd__diode_2
*I *867:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3297:A1 I *D sky130_fd_sc_hd__o211a_1
*I *3349:A0 I *D sky130_fd_sc_hd__mux2_1
*I *3241:A1 I *D sky130_fd_sc_hd__o211a_1
*I *857:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3537:X O *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 *3171:A0 0.000244874
2 *3269:A0 0
3 *831:DIODE 0.00028703
4 *844:DIODE 0
5 *875:DIODE 0.000735423
6 *867:DIODE 0
7 *3297:A1 0
8 *3349:A0 0
9 *3241:A1 0.000169447
10 *857:DIODE 0
11 *3537:X 0
12 *689:129 0.000460517
13 *689:97 0.00113083
14 *689:92 0.00117317
15 *689:79 0.00375182
16 *689:51 0.00378414
17 *689:46 0.00213572
18 *689:40 0.00191636
19 *689:37 0.000422952
20 *689:31 0.0015028
21 *689:21 0.00324832
22 *689:8 0.00335139
23 *689:5 0.0015328
24 *3241:A1 *702:24 5.89344e-05
25 *689:31 *3009:A0 3.07856e-05
26 *689:31 *3298:A0 5.41713e-05
27 *689:31 *3298:A1 7.48246e-05
28 *689:40 *3096:A 0
29 *689:46 *3096:A 0
30 *689:46 *3102:A 0
31 *689:46 *3125:A 9.25869e-05
32 *689:46 *3315:A0 3.85025e-05
33 *689:46 *713:8 0
34 *689:51 *845:DIODE 0.00119923
35 *689:79 *845:DIODE 7.46213e-05
36 *689:79 *767:10 1.5714e-05
37 *803:DIODE *689:21 0.000175485
38 *873:DIODE *875:DIODE 0
39 *2841:A2 *3171:A0 6.64392e-05
40 *2920:B2 *689:51 1.48603e-05
41 *3014:A *689:21 7.86847e-05
42 *3093:A *689:46 0
43 *3101:A2 *689:46 5.62122e-05
44 *3108:A2 *689:46 0
45 *3114:B *689:46 7.50872e-05
46 *3114:C *689:46 0.000278358
47 *3130:B *689:46 1.79672e-05
48 *3130:C *689:46 2.19276e-05
49 *3136:B1 *689:46 4.49912e-05
50 *3137:B2 *689:46 0
51 *3138:A *689:97 0.000161472
52 *3157:A *689:46 6.14273e-05
53 *3235:A *689:46 2.58518e-05
54 *3235:A *689:51 2.10723e-05
55 *3236:A1 *689:51 2.20008e-05
56 *3241:A2 *3241:A1 1.17376e-05
57 *3269:A1 *3171:A0 6.50586e-05
58 *3270:B *689:31 8.9075e-05
59 *3270:B *689:37 2.85139e-05
60 *3270:B *689:129 3.20683e-05
61 *3297:B1 *689:92 8.62625e-06
62 *3298:S *689:31 0.000113968
63 *3303:A *689:31 4.06958e-05
64 *3343:A0 *689:92 5.96821e-05
65 *3343:S *689:92 0.000146676
66 *3344:B *689:92 0
67 *3345:A *689:92 0
68 *3376:CLK *689:21 0.00011818
69 *3376:D *689:31 1.98583e-05
70 *3377:D *689:21 5.68237e-06
71 *3378:CLK *689:21 2.41274e-06
72 *3405:CLK *689:46 0.000148129
73 *3457:D *689:97 0.000301169
74 *3459:D *689:31 0.000113968
75 *3473:D *689:92 9.60366e-05
76 *108:5 *689:97 0.000190573
77 *148:20 *689:46 0
78 *154:10 *689:92 0
79 *299:59 *3241:A1 0.000148088
80 *309:66 *689:46 0
81 *322:42 *3241:A1 0
82 *356:81 *689:21 0.0002817
83 *379:8 *689:46 0
84 *379:23 *689:46 0
85 *399:46 *689:46 0
86 *438:10 *689:46 0
87 *439:14 *689:46 4.3648e-05
88 *441:22 *689:46 0
89 *535:30 *3241:A1 6.37152e-05
90 *574:8 *689:92 0.000127767
91 *574:10 *689:92 5.04734e-05
92 *574:23 *689:92 2.19276e-05
93 *605:28 *689:79 6.50586e-05
94 *605:28 *689:92 0.000409725
95 *608:20 *689:79 6.79833e-05
96 *608:20 *689:92 0.000113968
97 *608:26 *689:92 0.000305742
98 *637:22 *689:46 1.91391e-05
99 *638:26 *689:40 0
100 *638:26 *689:46 0
101 *638:32 *3171:A0 0.000581775
102 *640:9 *689:51 0
103 *640:54 *689:46 5.72927e-05
104 *640:54 *689:51 1.75625e-05
105 *650:24 *689:21 0.000162208
106 *651:20 *831:DIODE 2.16355e-05
107 *651:20 *689:40 5.56367e-05
108 *651:20 *689:46 0.000167047
109 *682:19 *689:79 0.00306698
110 *684:26 *689:79 0
111 *687:18 *689:92 0.000155028
112 *687:20 *689:92 3.81964e-05
113 *687:47 *689:51 6.63144e-05
114 *687:47 *689:79 1.16089e-05
115 *688:39 *689:79 0
*RES
1 *3537:X *689:5 13.7491
2 *689:5 *689:8 43.2894
3 *689:8 *689:21 49.6542
4 *689:21 *689:31 25.5118
5 *689:31 *857:DIODE 9.24915
6 *689:31 *689:37 1.278
7 *689:37 *689:40 7.993
8 *689:40 *689:46 38.1788
9 *689:46 *689:51 7.06459
10 *689:51 *3241:A1 21.4884
11 *689:51 *689:79 16.9457
12 *689:79 *3349:A0 9.24915
13 *689:79 *689:92 31.5347
14 *689:92 *3297:A1 9.24915
15 *689:92 *689:97 13.4793
16 *689:97 *867:DIODE 9.24915
17 *689:97 *875:DIODE 36.0379
18 *689:46 *844:DIODE 13.7491
19 *689:40 *831:DIODE 17.8002
20 *689:37 *689:129 4.50917
21 *689:129 *3269:A0 9.24915
22 *689:129 *3171:A0 17.2065
*END
*D_NET *690 0.0175174
*CONN
*I *798:DIODE I *D sky130_fd_sc_hd__diode_2
*I *2903:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3242:D I *D sky130_fd_sc_hd__and4b_1
*I *848:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3538:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *798:DIODE 7.8573e-05
2 *2903:A 1.09091e-05
3 *3242:D 3.92367e-05
4 *848:DIODE 0
5 *3538:X 0.00453685
6 *690:27 5.01459e-05
7 *690:25 0.00160818
8 *690:12 0.00606646
9 *798:DIODE *691:18 4.55535e-05
10 *798:DIODE *691:25 7.77309e-06
11 *2903:A *702:63 5.08751e-05
12 *3242:D *691:40 9.72764e-05
13 *3242:D *702:63 0.000197047
14 *690:12 *734:30 0.000734053
15 *690:25 *691:25 1.55462e-05
16 *690:25 *730:7 0.000326398
17 *690:25 *734:30 0.000167062
18 *2965:A *690:12 2.22198e-05
19 *3326:A *690:25 0.000136417
20 *3326:D_N *690:25 0.000206063
21 *3367:D *690:12 0.000304604
22 *3432:D *690:25 0.000235526
23 *3435:D *690:25 2.69811e-05
24 *119:14 *690:12 0.000120257
25 *282:10 *798:DIODE 2.04806e-05
26 *282:10 *690:25 0.000338001
27 *309:57 *690:25 0
28 *332:8 *690:12 0.000124246
29 *332:8 *690:25 3.84001e-05
30 *332:10 *690:12 8.96809e-05
31 *341:8 *690:12 0
32 *407:30 *798:DIODE 9.60366e-05
33 *407:30 *2903:A 2.65831e-05
34 *407:30 *3242:D 4.0752e-05
35 *549:8 *690:25 0.000116971
36 *649:35 *690:12 0.000115411
37 *681:12 *690:12 2.27135e-05
38 *681:20 *690:12 0.00122057
39 *683:69 *690:25 4.59025e-06
40 *685:41 *690:25 0.00017892
*RES
1 *3538:X *690:12 46.0226
2 *690:12 *848:DIODE 13.7491
3 *690:12 *690:25 44.2778
4 *690:25 *690:27 4.5
5 *690:27 *3242:D 11.4856
6 *690:27 *2903:A 9.97254
7 *690:25 *798:DIODE 16.4116
*END
*D_NET *691 0.0360622
*CONN
*I *2902:C_N I *D sky130_fd_sc_hd__or3b_1
*I *797:DIODE I *D sky130_fd_sc_hd__diode_2
*I *814:DIODE I *D sky130_fd_sc_hd__diode_2
*I *868:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3078:A I *D sky130_fd_sc_hd__and3_1
*I *3086:C I *D sky130_fd_sc_hd__nand3b_2
*I *821:DIODE I *D sky130_fd_sc_hd__diode_2
*I *849:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3325:A I *D sky130_fd_sc_hd__clkinv_2
*I *3243:A I *D sky130_fd_sc_hd__nand2_1
*I *3539:X O *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 *2902:C_N 0.000383414
2 *797:DIODE 0
3 *814:DIODE 8.97295e-05
4 *868:DIODE 0.000397562
5 *3078:A 0
6 *3086:C 0
7 *821:DIODE 0
8 *849:DIODE 0.000397827
9 *3325:A 3.25637e-05
10 *3243:A 0
11 *3539:X 0
12 *691:83 0.00137006
13 *691:69 0.00121921
14 *691:46 0.00110698
15 *691:40 0.000935983
16 *691:25 0.000171125
17 *691:18 0.000462496
18 *691:16 0.000606479
19 *691:14 0.000327785
20 *691:12 0.00594759
21 *691:6 0.00713146
22 *691:5 0.00172212
23 *849:DIODE *731:11 1.19856e-05
24 *849:DIODE *734:30 0
25 *868:DIODE *2878:A0 0
26 *868:DIODE *765:8 0
27 *2902:C_N *795:DIODE 2.99929e-05
28 *2902:C_N *2902:B 1.77537e-06
29 *3325:A *812:DIODE 6.50586e-05
30 *691:6 *692:6 0.000360537
31 *691:40 *3086:A_N 4.31603e-06
32 *691:40 *702:54 4.07684e-05
33 *691:40 *702:63 5.48586e-05
34 *691:40 *706:52 0.000111708
35 *691:40 *706:54 0.000370815
36 *691:46 *845:DIODE 1.91391e-05
37 *691:69 *702:70 0.000283434
38 *691:69 *706:29 3.88266e-05
39 *691:69 *706:40 5.31897e-05
40 *691:83 *3075:A_N 2.24484e-05
41 *691:83 *692:120 0.000161452
42 *691:83 *706:29 0.00011818
43 *798:DIODE *691:18 4.55535e-05
44 *798:DIODE *691:25 7.77309e-06
45 *873:DIODE *691:83 0.000326398
46 *901:DIODE *691:6 7.50872e-05
47 *2905:A *691:14 0.000129105
48 *2905:A *691:16 0.000206729
49 *2908:A *691:83 2.20702e-05
50 *3075:B *691:83 5.64867e-05
51 *3079:A_N *691:69 0.000167076
52 *3079:B *691:69 1.65872e-05
53 *3079:C *691:69 5.48756e-05
54 *3090:C *691:46 0.00035061
55 *3098:A *691:83 0.000371683
56 *3124:A2 *691:12 0.000263436
57 *3222:D *691:40 0
58 *3225:A2 *691:46 1.79807e-05
59 *3225:B1 *691:46 0
60 *3230:B1 *849:DIODE 0.000184617
61 *3231:B *691:46 2.7006e-05
62 *3233:B *691:46 0.000122378
63 *3242:D *691:40 9.72764e-05
64 *3244:C *3325:A 5.75508e-05
65 *3275:D *691:25 0.000158371
66 *3343:A0 *868:DIODE 0
67 *3351:A *691:83 0.000769883
68 *104:10 *691:6 0
69 *276:9 *691:69 0.000260374
70 *276:36 *691:83 0.000136538
71 *281:5 *691:83 7.34948e-06
72 *290:28 *849:DIODE 0.000138503
73 *290:30 *849:DIODE 3.20069e-06
74 *299:52 *849:DIODE 0.000124748
75 *332:49 *691:46 0
76 *355:21 *3325:A 0.000217937
77 *355:21 *691:25 5.73392e-05
78 *399:46 *691:12 0.00112739
79 *407:30 *691:12 3.03437e-05
80 *407:30 *691:14 2.18741e-05
81 *407:30 *691:16 4.54835e-05
82 *407:30 *691:18 6.98648e-06
83 *407:30 *691:40 0.000307037
84 *407:43 *691:46 0.0002371
85 *424:11 *691:69 0.000352118
86 *424:11 *691:83 4.41269e-05
87 *439:14 *691:12 0
88 *467:11 *2902:C_N 0.000519481
89 *538:8 *849:DIODE 6.08697e-06
90 *538:11 *691:46 0.000167076
91 *538:23 *691:46 0.000266832
92 *538:33 *691:46 0.000217951
93 *549:8 *691:25 9.24241e-05
94 *551:10 *2902:C_N 0
95 *573:11 *691:83 6.04266e-05
96 *574:8 *691:83 6.92705e-05
97 *637:22 *691:12 3.2666e-05
98 *662:91 *868:DIODE 0.0001839
99 *662:103 *868:DIODE 0.00018643
100 *662:103 *691:83 2.16355e-05
101 *681:10 *691:6 0.00268043
102 *683:69 *691:14 9.39195e-05
103 *683:69 *691:16 0.000380257
104 *683:69 *691:18 0.000148846
105 *683:69 *691:25 0.000163982
106 *683:78 *691:12 2.72798e-05
107 *683:78 *691:14 2.48286e-05
108 *684:14 *849:DIODE 5.04829e-06
109 *686:46 *814:DIODE 0
110 *686:46 *868:DIODE 0
111 *687:20 *814:DIODE 0
112 *690:25 *691:25 1.55462e-05
*RES
1 *3539:X *691:5 13.7491
2 *691:5 *691:6 61.8359
3 *691:6 *691:12 23.4258
4 *691:12 *691:14 4.32351
5 *691:14 *691:16 7.23027
6 *691:16 *691:18 3.07775
7 *691:18 *691:25 9.98907
8 *691:25 *3243:A 9.24915
9 *691:25 *3325:A 11.6364
10 *691:18 *691:40 13.5666
11 *691:40 *691:46 25.8245
12 *691:46 *849:DIODE 29.1096
13 *691:46 *821:DIODE 9.24915
14 *691:40 *3086:C 9.24915
15 *691:16 *691:69 17.3041
16 *691:69 *3078:A 9.24915
17 *691:69 *691:83 40.0693
18 *691:83 *868:DIODE 23.4709
19 *691:83 *814:DIODE 15.5811
20 *691:14 *797:DIODE 13.7491
21 *691:12 *2902:C_N 29.8807
*END
*D_NET *692 0.0385305
*CONN
*I *847:DIODE I *D sky130_fd_sc_hd__diode_2
*I *817:DIODE I *D sky130_fd_sc_hd__diode_2
*I *860:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3075:A_N I *D sky130_fd_sc_hd__and2b_1
*I *3276:B I *D sky130_fd_sc_hd__and2_1
*I *812:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3242:C I *D sky130_fd_sc_hd__and4b_1
*I *3083:A I *D sky130_fd_sc_hd__or2b_1
*I *2904:B I *D sky130_fd_sc_hd__nand2_1
*I *799:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3540:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *847:DIODE 7.05411e-05
2 *817:DIODE 7.98254e-05
3 *860:DIODE 0.000748315
4 *3075:A_N 0.000118175
5 *3276:B 0
6 *812:DIODE 0.000151594
7 *3242:C 4.99042e-05
8 *3083:A 0
9 *2904:B 0
10 *799:DIODE 0.000566046
11 *3540:X 0
12 *692:120 0.00171348
13 *692:97 0.00136358
14 *692:84 0.000497041
15 *692:75 0.000380357
16 *692:51 0.000744181
17 *692:44 0.000798846
18 *692:40 0.00103137
19 *692:32 0.00124616
20 *692:17 0.00303387
21 *692:11 0.00471899
22 *692:6 0.00343647
23 *692:5 0.00120478
24 *860:DIODE *706:19 0.00193864
25 *3242:C *820:DIODE 3.20069e-06
26 *3242:C *706:40 3.00073e-05
27 *692:17 *3305:A0 3.99086e-06
28 *692:75 *706:40 2.89297e-05
29 *692:84 *706:40 2.47663e-05
30 *692:97 *702:63 1.10258e-05
31 *692:120 *702:63 0.000111722
32 io_wbs_data_o[11] *692:6 2.36701e-05
33 io_wbs_data_o[14] *692:6 4.76318e-05
34 io_wbs_data_o[19] *692:6 7.30178e-05
35 *804:DIODE *692:17 0.000324166
36 *823:DIODE *692:32 3.77659e-05
37 *898:DIODE *692:6 4.76198e-05
38 *2904:A *799:DIODE 2.61237e-05
39 *2904:A *692:75 0.000164843
40 *2904:A *692:84 6.50586e-05
41 *2905:B *692:40 0.000186828
42 *2905:B *692:44 6.3609e-05
43 *2905:C *692:40 0
44 *2905:D *692:44 0.000116601
45 *2908:A *692:120 0.000124942
46 *3075:B *3075:A_N 4.26486e-05
47 *3087:C *692:51 1.9101e-05
48 *3090:A *799:DIODE 7.92757e-06
49 *3090:A *692:51 6.08467e-05
50 *3103:A *692:40 1.21361e-05
51 *3106:B2 *692:17 0.000366617
52 *3108:C1 *692:32 1.55025e-05
53 *3111:B1 *692:32 3.46386e-05
54 *3120:A1 *692:32 2.41483e-05
55 *3120:A2 *692:32 0.000118166
56 *3120:B1 *692:32 6.50727e-05
57 *3121:B2 *692:32 3.24105e-05
58 *3156:A *692:17 5.07314e-05
59 *3156:B *692:17 8.65278e-05
60 *3244:C *812:DIODE 0.000217937
61 *3265:A *692:17 0.00020979
62 *3272:S *692:40 2.12377e-05
63 *3274:A2 *692:32 0
64 *3274:A2 *692:40 0
65 *3274:B1 *692:32 3.31733e-05
66 *3325:A *812:DIODE 6.50586e-05
67 *3380:D *692:11 1.81331e-06
68 *3380:D *692:17 4.13873e-06
69 *3528:A *692:6 7.86825e-06
70 *68:10 *692:6 4.05596e-05
71 *104:10 *692:6 2.36701e-05
72 *230:71 *692:120 0
73 *276:9 *692:97 2.95757e-05
74 *276:25 *692:97 6.08467e-05
75 *276:25 *692:120 8.70343e-05
76 *276:36 *692:120 1.10258e-05
77 *277:8 *692:44 1.17185e-05
78 *278:21 *692:40 3.29941e-05
79 *281:5 *692:120 0.00018321
80 *282:10 *812:DIODE 4.12533e-05
81 *282:10 *692:97 4.06401e-05
82 *322:42 *692:32 5.28741e-05
83 *332:49 *692:44 0
84 *355:21 *799:DIODE 0.000591156
85 *355:21 *812:DIODE 0.000100263
86 *356:34 *692:17 0.000799677
87 *356:36 *692:17 0.000259093
88 *356:38 *692:17 0.000411971
89 *356:40 *692:17 0.00021243
90 *399:72 *692:32 5.22654e-06
91 *407:30 *692:97 0.000307037
92 *420:15 *3075:A_N 2.65831e-05
93 *422:8 *812:DIODE 9.24241e-05
94 *422:8 *692:97 0.000123582
95 *426:51 *692:32 0.000427242
96 *434:5 *817:DIODE 0.000107496
97 *435:8 *692:51 4.69495e-06
98 *447:9 *692:32 0.000213725
99 *447:9 *692:40 3.67708e-05
100 *451:11 *847:DIODE 0.000268954
101 *451:11 *692:40 0.000167076
102 *454:7 *692:32 0.000984545
103 *464:28 *3075:A_N 5.26124e-05
104 *464:28 *692:120 0.00010233
105 *548:27 *692:32 2.65667e-05
106 *548:41 *799:DIODE 4.61168e-06
107 *548:41 *692:32 7.99295e-05
108 *548:41 *692:40 0
109 *566:8 *692:17 1.84293e-05
110 *640:8 *692:44 1.86242e-05
111 *640:9 *692:51 0.000103643
112 *640:9 *692:75 3.93858e-05
113 *640:98 *692:75 6.50084e-05
114 *681:10 *692:6 0.00198543
115 *682:27 *692:40 0
116 *682:27 *692:75 8.337e-05
117 *682:41 *692:40 0
118 *683:69 *3242:C 2.99929e-05
119 *683:69 *692:84 2.652e-05
120 *686:46 *860:DIODE 4.75721e-06
121 *687:47 *860:DIODE 0.00172568
122 *687:47 *692:51 0.000502875
123 *687:47 *692:75 0.000455812
124 *691:6 *692:6 0.000360537
125 *691:83 *3075:A_N 2.24484e-05
126 *691:83 *692:120 0.000161452
*RES
1 *3540:X *692:5 13.7491
2 *692:5 *692:6 46.6792
3 *692:6 *692:11 37.1372
4 *692:11 *692:17 48.3349
5 *692:17 *692:32 39.3549
6 *692:32 *692:40 20.2802
7 *692:40 *692:44 10.8067
8 *692:44 *692:51 9.6326
9 *692:51 *799:DIODE 19.4731
10 *692:51 *2904:B 9.24915
11 *692:44 *692:75 11.9876
12 *692:75 *3083:A 9.24915
13 *692:75 *692:84 6.64014
14 *692:84 *3242:C 15.1659
15 *692:84 *692:97 16.4075
16 *692:97 *812:DIODE 19.6322
17 *692:97 *692:120 26.4946
18 *692:120 *3276:B 13.7491
19 *692:120 *3075:A_N 17.135
20 *692:120 *860:DIODE 24.3573
21 *692:40 *817:DIODE 15.0271
22 *692:32 *847:DIODE 12.191
*END
*D_NET *693 0.0113822
*CONN
*I *2907:A I *D sky130_fd_sc_hd__inv_2
*I *2941:A I *D sky130_fd_sc_hd__clkbuf_2
*I *3050:A I *D sky130_fd_sc_hd__buf_2
*I *3056:A I *D sky130_fd_sc_hd__buf_2
*I *3541:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *2907:A 0
2 *2941:A 9.15419e-05
3 *3050:A 0.000112475
4 *3056:A 0.000626587
5 *3541:X 0.000673445
6 *693:40 0.000601737
7 *693:35 0.0010997
8 *693:5 0.00200202
9 *3056:A *3334:A1 0.000113968
10 *3056:A *3340:A1 5.79399e-05
11 *693:35 *3343:A1 0.000216458
12 *693:35 *767:10 0.000116314
13 *693:40 *707:12 9.22013e-06
14 *870:DIODE *3056:A 0.000194223
15 *900:DIODE *693:5 0.000171273
16 *2990:A *693:40 0.000271058
17 *3123:A *693:35 6.50586e-05
18 *3329:A *3056:A 0
19 *3329:A *693:35 2.65831e-05
20 *3329:B *3056:A 0.000127179
21 *3330:A *3056:A 0
22 *3330:A *693:5 7.48633e-05
23 *3339:A *3050:A 0.000267333
24 *3340:S *3056:A 0.000210977
25 *3341:A *3056:A 0.000156946
26 *3342:A *3056:A 0.000160617
27 *3343:A0 *693:35 0.000260374
28 *3343:S *693:35 7.54269e-06
29 *3347:A *3050:A 2.77419e-05
30 *3347:A *693:40 0.000365624
31 *3353:A *693:5 8.26312e-05
32 *3353:A *693:35 0.00124826
33 *3353:A *693:40 2.41483e-05
34 *3472:CLK *3050:A 0.000164017
35 *3472:CLK *693:40 0.000194881
36 *3473:CLK *693:35 0.00018584
37 *3473:D *693:35 0.000257361
38 *3474:D *693:5 1.84293e-05
39 *230:71 *693:40 4.62403e-05
40 *273:28 *693:40 0.000144531
41 *274:18 *693:40 0
42 *313:8 *3050:A 0.0001454
43 *355:21 *693:40 2.41274e-06
44 *355:71 *693:35 0.000261987
45 *355:71 *693:40 8.24833e-05
46 *407:12 *3056:A 5.0715e-05
47 *407:123 *3056:A 0.000105652
48 *662:56 *3056:A 6.73186e-05
49 *682:11 *3056:A 7.66121e-05
50 *682:19 *3056:A 3.27606e-06
51 *685:41 *3050:A 0.000111208
*RES
1 *3541:X *693:5 27.7199
2 *693:5 *3056:A 39.5882
3 *693:5 *693:35 29.8521
4 *693:35 *693:40 19.1033
5 *693:40 *3050:A 19.7687
6 *693:40 *2941:A 15.5817
7 *693:35 *2907:A 9.24915
*END
*D_NET *694 0.00207079
*CONN
*I *3216:A1 I *D sky130_fd_sc_hd__mux2_1
*I *3549:A I *D sky130_fd_sc_hd__buf_2
*I *3431:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3216:A1 0.000293008
2 *3549:A 0.000651093
3 *3431:Q 5.38534e-05
4 *694:7 0.000997954
5 *3216:A1 *3216:A0 7.48797e-05
6 *3212:A *3216:A1 0
7 *3399:CLK *3549:A 0
8 *660:18 *3549:A 0
*RES
1 *3431:Q *694:7 14.4725
2 *694:7 *3549:A 29.6997
3 *694:7 *3216:A1 19.6294
*END
*D_NET *695 0.00520811
*CONN
*I *3550:A I *D sky130_fd_sc_hd__buf_2
*I *2844:X O *D sky130_fd_sc_hd__a221o_1
*CAP
1 *3550:A 0.000356571
2 *2844:X 7.65268e-05
3 *695:10 0.00185202
4 *695:7 0.00157197
5 *2843:A1 *695:10 0.000143032
6 *2843:C1 *695:10 0
7 *2844:B1 *695:7 0.000111722
8 *3257:B *695:10 0
9 *3258:A *695:10 0.000496622
10 *3260:A *695:10 8.92568e-06
11 *3443:D *695:10 0.000477172
12 *3517:A *695:10 0
13 *675:8 *695:10 0.000113542
*RES
1 *2844:X *695:7 15.0271
2 *695:7 *695:10 46.6115
3 *695:10 *3550:A 17.737
*END
*D_NET *696 0.00240291
*CONN
*I *3551:A I *D sky130_fd_sc_hd__buf_2
*I *3474:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3551:A 0
2 *3474:Q 0.00115362
3 *696:10 0.00115362
4 io_wbs_data_o[8] *696:10 3.46262e-05
5 *71:10 *696:10 2.07932e-05
6 *105:10 *696:10 4.02608e-05
*RES
1 *3474:Q *696:10 44.4795
2 *696:10 *3551:A 9.24915
*END
*D_NET *697 0.00400969
*CONN
*I *3552:A I *D sky130_fd_sc_hd__buf_2
*I *3393:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3552:A 0
2 *3393:Q 0.000761551
3 *697:13 0.000761551
4 *697:13 *709:7 0.00202135
5 io_wbs_data_o[31] *697:13 0.00033061
6 *891:DIODE *697:13 2.61012e-05
7 *3532:A *697:13 5.56461e-05
8 *684:5 *697:13 5.28741e-05
*RES
1 *3393:Q *697:13 45.8153
2 *697:13 *3552:A 9.24915
*END
*D_NET *698 0.00227526
*CONN
*I *3553:A I *D sky130_fd_sc_hd__buf_2
*I *3394:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3553:A 0
2 *3394:Q 0.00113763
3 *698:9 0.00113763
*RES
1 *3394:Q *698:9 45.4718
2 *698:9 *3553:A 9.24915
*END
*D_NET *699 0.00417597
*CONN
*I *3554:A I *D sky130_fd_sc_hd__buf_2
*I *3395:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3554:A 0
2 *3395:Q 0.00195313
3 *699:11 0.00195313
4 *699:11 *3557:A 5.07314e-05
5 io_wbs_data_o[29] *699:11 2.32594e-05
6 *3535:A *699:11 0.000195727
7 *688:6 *699:11 0
*RES
1 *3395:Q *699:11 48.5535
2 *699:11 *3554:A 9.24915
*END
*D_NET *700 0.00393077
*CONN
*I *3555:A I *D sky130_fd_sc_hd__buf_2
*I *3396:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3555:A 0
2 *3396:Q 0.00196539
3 *700:9 0.00196539
*RES
1 *3396:Q *700:9 41.0786
2 *700:9 *3555:A 9.24915
*END
*D_NET *701 0.00702749
*CONN
*I *3556:A I *D sky130_fd_sc_hd__buf_2
*I *3397:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3556:A 0.0010856
2 *3397:Q 0.0016909
3 *701:7 0.0027765
4 *3556:A *706:6 0.00146242
5 io_wbs_data_o[24] *3556:A 7.18816e-06
6 *50:10 *3556:A 4.88112e-06
*RES
1 *3397:Q *701:7 38.3205
2 *701:7 *3556:A 49.6318
*END
*D_NET *702 0.0250862
*CONN
*I *795:DIODE I *D sky130_fd_sc_hd__diode_2
*I *2902:A I *D sky130_fd_sc_hd__or3b_1
*I *815:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3078:B I *D sky130_fd_sc_hd__and3_1
*I *3242:B I *D sky130_fd_sc_hd__and4b_1
*I *3086:A_N I *D sky130_fd_sc_hd__nand3b_2
*I *819:DIODE I *D sky130_fd_sc_hd__diode_2
*I *846:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3542:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *795:DIODE 0.000138517
2 *2902:A 4.77088e-05
3 *815:DIODE 0.00148583
4 *3078:B 0
5 *3242:B 0
6 *3086:A_N 2.04944e-05
7 *819:DIODE 0.000207648
8 *846:DIODE 0
9 *3542:X 2.59387e-05
10 *702:86 0.000596881
11 *702:70 0.00175464
12 *702:63 0.00101042
13 *702:54 0.000610681
14 *702:46 0.00069444
15 *702:24 0.00137561
16 *702:15 0.00184223
17 *702:8 0.00316059
18 *702:7 0.00211804
19 *795:DIODE *2902:B 0
20 *819:DIODE *2919:A 2.65831e-05
21 *819:DIODE *734:10 9.97045e-06
22 *702:15 *3130:A 0.000192956
23 *702:15 *3318:A0 0.000170769
24 *702:15 *748:8 0.000175485
25 *702:24 *734:10 9.22013e-06
26 *702:46 *734:26 2.35405e-05
27 *702:54 *706:52 9.55447e-05
28 *702:54 *706:54 0.000375013
29 *885:DIODE *702:8 3.8697e-05
30 *902:DIODE *702:7 6.50586e-05
31 *2902:C_N *795:DIODE 2.99929e-05
32 *2903:A *702:63 5.08751e-05
33 *2909:A *2902:A 0.000307781
34 *3079:B *702:70 0.000216535
35 *3087:C *702:46 9.34396e-06
36 *3092:A_N *702:86 0
37 *3092:D *702:63 3.04443e-05
38 *3092:D *702:86 0.000333594
39 *3094:D *702:86 4.49767e-05
40 *3127:A1 *819:DIODE 2.95757e-05
41 *3127:A1 *702:24 0.00015298
42 *3127:A1 *702:46 6.08467e-05
43 *3133:A *815:DIODE 1.89968e-05
44 *3219:D *702:46 7.02602e-05
45 *3222:A *702:46 6.50586e-05
46 *3222:B *702:46 0.000213725
47 *3222:D *3086:A_N 2.53145e-06
48 *3222:D *702:46 8.74134e-05
49 *3237:B *819:DIODE 0.000148129
50 *3238:A2 *702:24 2.65831e-05
51 *3241:A1 *702:24 5.89344e-05
52 *3241:B1 *819:DIODE 8.62625e-06
53 *3241:B1 *702:24 0.000121906
54 *3242:D *702:63 0.000197047
55 *3244:A *702:63 3.43044e-05
56 *3244:A *702:86 6.16595e-06
57 *3244:C *702:63 1.44611e-05
58 *3288:A *815:DIODE 5.73392e-05
59 *3295:B1 *815:DIODE 0.000115934
60 *3305:S *702:8 4.37999e-05
61 *3307:A *702:8 0
62 *3310:A *702:15 0
63 *3310:B *702:8 0.000113374
64 *3316:B *702:15 1.75999e-05
65 *3317:A *702:8 0.000689204
66 *3317:A *702:15 7.08566e-06
67 *3319:A *702:15 0
68 *3460:D *702:8 0
69 *3527:A *702:8 0
70 *3527:A *702:15 6.93308e-05
71 *230:71 *2902:A 0.000119986
72 *274:18 *702:63 0
73 *275:11 *702:86 0
74 *276:25 *702:63 3.024e-05
75 *282:10 *702:86 0
76 *282:108 *795:DIODE 0
77 *282:108 *702:86 0
78 *290:28 *819:DIODE 0.000323388
79 *290:28 *702:46 0
80 *355:39 *702:15 0.000118166
81 *355:39 *702:24 8.82538e-05
82 *355:47 *702:15 0.000224395
83 *356:7 *702:15 6.12686e-06
84 *398:13 *815:DIODE 0.000457669
85 *421:24 *702:86 4.32821e-05
86 *422:8 *702:63 0.000170592
87 *424:11 *815:DIODE 0.000550967
88 *424:11 *702:70 0.000258819
89 *430:24 *702:46 1.5212e-05
90 *431:5 *702:46 3.61993e-05
91 *431:5 *702:54 1.03403e-05
92 *439:14 *702:86 1.27831e-06
93 *535:28 *819:DIODE 0.000168295
94 *535:28 *702:24 3.82228e-05
95 *535:30 *702:24 0.000254907
96 *537:5 *702:46 1.19751e-05
97 *549:8 *702:63 6.08467e-05
98 *551:10 *795:DIODE 0
99 *566:25 *702:8 0.000605326
100 *566:29 *702:8 0.000172706
101 *576:15 *815:DIODE 0.000193421
102 *582:8 *815:DIODE 3.38808e-05
103 *594:22 *702:15 3.39313e-06
104 *640:26 *702:8 9.25226e-05
105 *640:37 *702:8 0.000522609
106 *671:11 *815:DIODE 2.82583e-05
107 *684:67 *702:24 0.000211573
108 *691:40 *3086:A_N 4.31603e-06
109 *691:40 *702:54 4.07684e-05
110 *691:40 *702:63 5.48586e-05
111 *691:69 *702:70 0.000283434
112 *692:97 *702:63 1.10258e-05
113 *692:120 *702:63 0.000111722
*RES
1 *3542:X *702:7 14.4725
2 *702:7 *702:8 63.9122
3 *702:8 *702:15 30.8643
4 *702:15 *846:DIODE 9.24915
5 *702:15 *702:24 24.3847
6 *702:24 *819:DIODE 21.2876
7 *702:24 *702:46 23.2117
8 *702:46 *3086:A_N 9.82786
9 *702:46 *702:54 7.37864
10 *702:54 *3242:B 9.24915
11 *702:54 *702:63 14.817
12 *702:63 *702:70 11.5169
13 *702:70 *3078:B 9.24915
14 *702:70 *815:DIODE 36.2318
15 *702:63 *702:86 10.137
16 *702:86 *2902:A 17.2697
17 *702:86 *795:DIODE 16.8269
*END
*D_NET *703 0.000388049
*CONN
*I *3557:A I *D sky130_fd_sc_hd__buf_2
*I *3398:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3557:A 0.000118841
2 *3398:Q 0.000118841
3 *687:6 *3557:A 9.96342e-05
4 *699:11 *3557:A 5.07314e-05
*RES
1 *3398:Q *3557:A 31.0235
*END
*D_NET *704 0.00212499
*CONN
*I *3558:A I *D sky130_fd_sc_hd__buf_2
*I *3399:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3558:A 0.0010625
2 *3399:Q 0.0010625
*RES
1 *3399:Q *3558:A 43.7794
*END
*D_NET *705 0.00068248
*CONN
*I *3559:A I *D sky130_fd_sc_hd__buf_2
*I *3400:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3559:A 0.00034124
2 *3400:Q 0.00034124
*RES
1 *3400:Q *3559:A 34.7608
*END
*D_NET *706 0.0280471
*CONN
*I *816:DIODE I *D sky130_fd_sc_hd__diode_2
*I *796:DIODE I *D sky130_fd_sc_hd__diode_2
*I *2902:B I *D sky130_fd_sc_hd__or3b_1
*I *3078:C I *D sky130_fd_sc_hd__and3_1
*I *3242:A_N I *D sky130_fd_sc_hd__and4b_1
*I *3086:B I *D sky130_fd_sc_hd__nand3b_2
*I *845:DIODE I *D sky130_fd_sc_hd__diode_2
*I *820:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3543:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *816:DIODE 0
2 *796:DIODE 0.000450167
3 *2902:B 0.000437987
4 *3078:C 0
5 *3242:A_N 0
6 *3086:B 0
7 *845:DIODE 0.00129896
8 *820:DIODE 0.000732832
9 *3543:X 0
10 *706:82 0.00123875
11 *706:54 0.00134043
12 *706:52 6.16827e-05
13 *706:40 0.00131987
14 *706:29 0.0007334
15 *706:19 0.00138676
16 *706:9 0.00253322
17 *706:6 0.00359159
18 *706:5 0.00192795
19 io_wbs_data_o[13] *706:6 5.25197e-05
20 io_wbs_data_o[23] *706:6 4.86536e-05
21 *795:DIODE *2902:B 0
22 *860:DIODE *706:19 0.00193864
23 *866:DIODE *706:9 0.000102659
24 *866:DIODE *706:19 1.00981e-05
25 *2902:C_N *2902:B 1.77537e-06
26 *2920:B2 *845:DIODE 0.000113107
27 *3075:B *706:82 0.000160115
28 *3079:C *706:40 6.08467e-05
29 *3092:C *706:82 0.000169114
30 *3100:B *796:DIODE 7.20173e-06
31 *3100:B *706:82 4.78771e-05
32 *3101:B1 *2902:B 6.08467e-05
33 *3138:A *706:82 0
34 *3139:B1 *706:82 0
35 *3225:A1 *820:DIODE 9.4116e-06
36 *3225:A2 *820:DIODE 0
37 *3232:C1 *820:DIODE 1.62928e-05
38 *3234:B1 *820:DIODE 0
39 *3238:A2 *845:DIODE 0
40 *3242:C *820:DIODE 3.20069e-06
41 *3242:C *706:40 3.00073e-05
42 *3275:C *820:DIODE 6.64609e-05
43 *3282:A2 *796:DIODE 3.42931e-05
44 *3436:D *820:DIODE 7.21868e-05
45 *3450:D *796:DIODE 4.27148e-05
46 *3456:CLK *706:9 9.21998e-05
47 *3456:CLK *706:19 8.58023e-05
48 *3556:A *706:6 0.00146242
49 *96:10 *706:6 2.07932e-05
50 *195:9 *820:DIODE 1.83828e-05
51 *230:71 *706:82 0.000140416
52 *274:32 *706:40 1.66626e-05
53 *281:29 *796:DIODE 3.63837e-05
54 *281:29 *2902:B 7.08723e-06
55 *281:29 *706:82 1.76268e-05
56 *332:49 *820:DIODE 0
57 *399:24 *2902:B 0.000102682
58 *407:30 *820:DIODE 0.000165495
59 *407:30 *706:40 4.18989e-05
60 *407:58 *845:DIODE 2.44031e-06
61 *430:10 *820:DIODE 9.19421e-05
62 *444:19 *796:DIODE 5.2164e-05
63 *445:10 *2902:B 6.96846e-05
64 *464:28 *706:82 0
65 *541:11 *820:DIODE 0.000159804
66 *551:26 *796:DIODE 0.000113374
67 *576:18 *706:19 0.000181159
68 *640:9 *845:DIODE 0
69 *640:98 *706:19 0.000154322
70 *640:98 *706:40 0.000244379
71 *640:150 *706:19 0.000216563
72 *671:11 *706:9 2.46198e-05
73 *671:11 *706:19 6.11074e-05
74 *682:27 *820:DIODE 4.00272e-05
75 *682:27 *706:40 2.28129e-05
76 *683:69 *820:DIODE 0
77 *683:69 *706:40 0
78 *683:88 *796:DIODE 0.000266832
79 *685:41 *820:DIODE 0.000108038
80 *686:46 *706:19 0
81 *687:47 *845:DIODE 0.000141137
82 *687:47 *706:19 6.16462e-05
83 *687:47 *706:40 0.00121564
84 *689:51 *845:DIODE 0.00119923
85 *689:79 *845:DIODE 7.46213e-05
86 *691:40 *706:52 0.000111708
87 *691:40 *706:54 0.000370815
88 *691:46 *845:DIODE 1.91391e-05
89 *691:69 *706:29 3.88266e-05
90 *691:69 *706:40 5.31897e-05
91 *691:83 *706:29 0.00011818
92 *692:75 *706:40 2.89297e-05
93 *692:84 *706:40 2.47663e-05
94 *702:54 *706:52 9.55447e-05
95 *702:54 *706:54 0.000375013
*RES
1 *3543:X *706:5 13.7491
2 *706:5 *706:6 57.891
3 *706:6 *706:9 29.626
4 *706:9 *706:19 18.681
5 *706:19 *706:29 7.82762
6 *706:29 *706:40 19.0279
7 *706:40 *820:DIODE 32.2263
8 *706:40 *706:52 5.778
9 *706:52 *706:54 4.05102
10 *706:54 *845:DIODE 30.6674
11 *706:54 *3086:B 9.24915
12 *706:52 *3242:A_N 9.24915
13 *706:29 *3078:C 9.24915
14 *706:19 *706:82 11.1752
15 *706:82 *2902:B 30.4375
16 *706:82 *796:DIODE 23.7136
17 *706:9 *816:DIODE 9.24915
*END
*D_NET *707 0.00985096
*CONN
*I *2901:B_N I *D sky130_fd_sc_hd__or2b_1
*I *3077:B I *D sky130_fd_sc_hd__nor2_1
*I *3084:B I *D sky130_fd_sc_hd__or2_1
*I *3544:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *2901:B_N 0
2 *3077:B 8.07439e-05
3 *3084:B 0
4 *3544:X 0.000350769
5 *707:25 0.000246055
6 *707:15 0.000289846
7 *707:12 0.00160974
8 *707:10 0.00183597
9 *3077:B *3077:A 0.0002646
10 *707:10 *708:12 8.20172e-05
11 *707:12 *708:12 0.000582762
12 *707:12 *789:9 4.27003e-05
13 *707:25 *3084:A 3.19235e-05
14 *3206:B2 *707:12 7.77309e-06
15 *3206:C1 *707:12 0.000140154
16 *3332:B *707:12 0.000336155
17 *3338:B *707:12 2.04806e-05
18 *3339:A *707:12 0.000310094
19 *3418:D *707:12 0.000504717
20 *3472:CLK *707:12 0.00059035
21 *3477:D *707:12 0.000156823
22 *229:20 *707:12 0.000849553
23 *230:44 *707:12 3.07997e-05
24 *230:62 *707:12 2.05989e-05
25 *230:71 *707:12 0.00036985
26 *274:18 *3077:B 6.98314e-05
27 *274:18 *707:25 2.79907e-05
28 *313:8 *707:12 3.47066e-05
29 *422:8 *3077:B 0.00013715
30 *429:10 *707:25 0.000114518
31 *525:8 *707:12 0.00046132
32 *525:14 *707:12 9.4884e-05
33 *659:8 *707:12 7.02227e-05
34 *659:37 *707:12 3.69003e-05
35 *659:51 *707:12 3.9739e-05
36 *693:40 *707:12 9.22013e-06
*RES
1 *3544:X *707:10 22.0726
2 *707:10 *707:12 61.5917
3 *707:12 *707:15 6.88721
4 *707:15 *3084:B 9.24915
5 *707:15 *707:25 5.20845
6 *707:25 *3077:B 13.8548
7 *707:25 *2901:B_N 9.24915
*END
*D_NET *708 0.0154612
*CONN
*I *3084:A I *D sky130_fd_sc_hd__or2_1
*I *2901:A I *D sky130_fd_sc_hd__or2b_1
*I *3077:A I *D sky130_fd_sc_hd__nor2_1
*I *794:DIODE I *D sky130_fd_sc_hd__diode_2
*I *813:DIODE I *D sky130_fd_sc_hd__diode_2
*I *818:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3545:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3084:A 0.000153655
2 *2901:A 0
3 *3077:A 0.000186173
4 *794:DIODE 0
5 *813:DIODE 0
6 *818:DIODE 0.000181011
7 *3545:X 0
8 *708:44 0.000349157
9 *708:43 0.000470914
10 *708:25 0.000499692
11 *708:24 0.000318681
12 *708:22 0.00075254
13 *708:12 0.00172366
14 *708:7 0.00304093
15 *708:4 0.00191553
16 *708:12 *711:10 0.000181251
17 *708:12 *789:9 0.000101133
18 io_txd *708:7 2.93863e-05
19 io_wbs_data_o[21] *708:7 0.000147325
20 io_wbs_data_o[7] *708:7 1.92926e-05
21 *905:DIODE *708:7 1.92793e-05
22 *908:DIODE *708:7 7.97944e-05
23 *3077:B *3077:A 0.0002646
24 *3206:C1 *708:12 0.000322335
25 *3213:B1 *708:12 7.50722e-05
26 *3333:A *708:22 0.000168313
27 *3338:A *708:22 0.000248423
28 *3347:A *708:43 0
29 *3363:A1 *708:12 2.04806e-05
30 *3363:A2 *708:12 0.000365784
31 *3420:D *708:12 4.27148e-05
32 *3467:CLK *708:22 9.80542e-05
33 *3545:A *708:7 9.19886e-06
34 *67:10 *708:7 5.56461e-05
35 *230:62 *708:12 0.000582855
36 *263:8 *708:12 0.000119338
37 *273:14 *708:12 2.53624e-06
38 *274:18 *3077:A 0.000113968
39 *274:18 *3084:A 2.4175e-05
40 *274:18 *708:44 0.00027329
41 *282:10 *3077:A 2.22198e-05
42 *282:10 *708:22 0
43 *282:10 *708:43 0
44 *282:76 *708:12 0.000668243
45 *313:8 *708:22 0
46 *313:8 *708:43 0
47 *313:21 *708:22 0.000268824
48 *402:11 *708:22 5.64929e-05
49 *422:8 *3077:A 1.00981e-05
50 *525:8 *708:12 0.00040437
51 *525:14 *708:12 0.000255939
52 *661:25 *708:22 0.000122083
53 *707:10 *708:12 8.20172e-05
54 *707:12 *708:12 0.000582762
55 *707:25 *3084:A 3.19235e-05
*RES
1 *3545:X *708:4 9.24915
2 *708:4 *708:7 49.0371
3 *708:7 *708:12 49.6593
4 *708:12 *708:22 25.3404
5 *708:22 *708:24 4.5
6 *708:24 *708:25 4.60562
7 *708:25 *818:DIODE 11.6364
8 *708:25 *813:DIODE 9.24915
9 *708:24 *794:DIODE 9.24915
10 *708:22 *708:43 7.993
11 *708:43 *708:44 2.94181
12 *708:44 *3077:A 23.8804
13 *708:44 *2901:A 9.24915
14 *708:43 *3084:A 12.4803
*END
*D_NET *709 0.0159985
*CONN
*I *2900:B I *D sky130_fd_sc_hd__or4_2
*I *792:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3546:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *2900:B 0.000349379
2 *792:DIODE 7.58906e-05
3 *3546:X 0.00101818
4 *709:12 0.00154458
5 *709:9 0.00321295
6 *709:7 0.00311182
7 *709:12 *2852:A 5.04734e-05
8 *709:12 *2884:A 2.71397e-05
9 *709:12 *2885:A 0
10 *709:12 *786:12 7.50722e-05
11 *709:12 *786:26 0
12 io_wbs_data_o[28] *709:9 6.50727e-05
13 io_wbs_data_o[30] *709:7 2.65831e-05
14 *893:DIODE *709:9 0.00012316
15 *2852:D *709:12 0
16 *2885:D *709:12 0
17 *2887:A *709:12 0.000315191
18 *3192:A2 *709:12 4.55535e-05
19 *3196:B1 *709:12 0
20 *3204:A1 *709:12 0
21 *3205:A *792:DIODE 5.0715e-05
22 *3205:A *2900:B 2.652e-05
23 *3534:A *709:9 5.07314e-05
24 *230:15 *2900:B 0
25 *230:15 *709:12 0
26 *259:6 *709:12 0.000402069
27 *259:10 *709:12 0.00017419
28 *259:32 *709:12 0.000378056
29 *282:14 *2900:B 2.95757e-05
30 *282:17 *2900:B 6.08467e-05
31 *282:65 *2900:B 0.000377273
32 *402:20 *2900:B 3.20069e-06
33 *402:41 *2900:B 0
34 *402:41 *709:12 0
35 *684:5 *709:7 0.000122917
36 *684:5 *709:9 0.00226002
37 *697:13 *709:7 0.00202135
*RES
1 *3546:X *709:7 40.2226
2 *709:7 *709:9 70.3261
3 *709:9 *709:12 36.6454
4 *709:12 *792:DIODE 15.0271
5 *709:12 *2900:B 20.602
*END
*D_NET *710 0.00787852
*CONN
*I *2900:A I *D sky130_fd_sc_hd__or4_2
*I *3547:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *2900:A 0.000438692
2 *3547:X 0.00111206
3 *710:9 0.00155075
4 *2900:A *2900:D 9.95017e-05
5 *710:9 *2860:A1 0.000220183
6 *710:9 *3354:A 0.000263116
7 *710:9 *760:27 6.50586e-05
8 *710:9 *768:13 0.000203739
9 *907:DIODE *710:9 0.000175485
10 *2862:A1 *710:9 1.71698e-05
11 *2866:A *710:9 6.50727e-05
12 *3358:A3 *2900:A 0.000118166
13 *3358:B1 *2900:A 6.61971e-05
14 *3358:C1 *2900:A 0.00016457
15 *3363:B1 *2900:A 0.000158371
16 *3476:CLK *710:9 0.0002817
17 *3476:D *2900:A 0.000802533
18 *263:20 *2900:A 0.000561147
19 *273:14 *2900:A 0.00033746
20 *282:65 *2900:A 0.000273036
21 *626:10 *2900:A 2.83938e-05
22 *626:10 *710:9 0.00087611
*RES
1 *3547:X *710:9 42.1878
2 *710:9 *2900:A 31.2645
*END
*D_NET *711 0.00480968
*CONN
*I *2900:D I *D sky130_fd_sc_hd__or4_2
*I *3548:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *2900:D 0.000155857
2 *3548:X 0.00110014
3 *711:10 0.001256
4 *711:10 *2845:D 0
5 *711:10 *780:6 0
6 *2857:B *711:10 4.47578e-05
7 *2900:A *2900:D 9.95017e-05
8 *3176:A *711:10 0.000226281
9 *3213:B1 *711:10 2.652e-05
10 *3358:A2 *711:10 2.82621e-05
11 *3358:C1 *2900:D 0.000207266
12 *3363:A2 *711:10 3.28032e-05
13 *3363:B1 *2900:D 0.000144173
14 *3400:D *711:10 0
15 *3420:D *711:10 0.000101148
16 *262:35 *711:10 0
17 *282:65 *2900:D 0.000247818
18 *282:74 *711:10 8.07794e-05
19 *282:76 *711:10 0.000319473
20 *503:6 *711:10 8.92568e-06
21 *525:5 *2900:D 0.000548719
22 *708:12 *711:10 0.000181251
*RES
1 *3548:X *711:10 46.3831
2 *711:10 *2900:D 22.237
*END
*D_NET *712 0.00576506
*CONN
*I *3298:A0 I *D sky130_fd_sc_hd__mux2_1
*I *3096:A I *D sky130_fd_sc_hd__and3_1
*I *3458:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3298:A0 0.000189662
2 *3096:A 0.00062077
3 *3458:Q 0.000941549
4 *712:12 0.00175198
5 *3096:A *3102:A 0.00023501
6 *3096:A *713:8 4.72872e-05
7 *3298:A0 *3298:A1 6.92705e-05
8 *3093:A *3096:A 1.44467e-05
9 *3271:A *712:12 0
10 *3298:S *3298:A0 4.58003e-05
11 *3447:D *712:12 6.54102e-05
12 *3458:CLK *712:12 7.22498e-05
13 *3458:D *712:12 0.000123375
14 *272:8 *3096:A 7.6078e-05
15 *438:10 *3096:A 0.000374442
16 *440:11 *3096:A 0.000147899
17 *441:22 *3096:A 0
18 *566:8 *3096:A 0.000748403
19 *566:20 *3096:A 5.92192e-05
20 *566:20 *712:12 8.23212e-05
21 *651:32 *712:12 1.92172e-05
22 *651:47 *712:12 2.65035e-05
23 *689:31 *3298:A0 5.41713e-05
24 *689:40 *3096:A 0
25 *689:46 *3096:A 0
*RES
1 *3458:Q *712:12 28.855
2 *712:12 *3096:A 38.42
3 *712:12 *3298:A0 18.3548
*END
*D_NET *713 0.00252271
*CONN
*I *3301:A0 I *D sky130_fd_sc_hd__mux2_1
*I *3102:A I *D sky130_fd_sc_hd__and3_1
*I *3459:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3301:A0 0.000128931
2 *3102:A 0.000320668
3 *3459:Q 0.000253561
4 *713:8 0.00070316
5 *3096:A *3102:A 0.00023501
6 *3096:A *713:8 4.72872e-05
7 *3102:C *3102:A 6.50727e-05
8 *3111:B1 *3102:A 6.92705e-05
9 *3514:A *3301:A0 0.000360159
10 *379:8 *3102:A 6.21908e-05
11 *379:23 *3102:A 5.30921e-05
12 *438:10 *3102:A 0.000101133
13 *651:20 *713:8 0.000123176
14 *689:46 *3102:A 0
15 *689:46 *713:8 0
*RES
1 *3459:Q *713:8 18.9382
2 *713:8 *3102:A 25.4401
3 *713:8 *3301:A0 17.8002
*END
*D_NET *714 0.00208643
*CONN
*I *3305:A0 I *D sky130_fd_sc_hd__mux2_1
*I *3109:A I *D sky130_fd_sc_hd__and3_1
*I *3460:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3305:A0 0.000333137
2 *3109:A 7.54348e-05
3 *3460:Q 9.27024e-05
4 *714:8 0.000501274
5 *3305:A0 *3305:A1 6.50727e-05
6 *3265:A *3305:A0 0.000238987
7 *1:11 *3109:A 0.000268195
8 *1:11 *714:8 9.25219e-05
9 *272:8 *3109:A 0.000273277
10 *272:8 *714:8 9.75356e-05
11 *272:17 *714:8 4.28856e-07
12 *356:34 *3305:A0 4.38712e-05
13 *692:17 *3305:A0 3.99086e-06
*RES
1 *3460:Q *714:8 16.3045
2 *714:8 *3109:A 18.4879
3 *714:8 *3305:A0 22.7916
*END
*D_NET *715 0.00316478
*CONN
*I *3114:A I *D sky130_fd_sc_hd__and3_1
*I *3309:A0 I *D sky130_fd_sc_hd__mux2_1
*I *3461:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3114:A 0.000129942
2 *3309:A0 0.000816055
3 *3461:Q 0
4 *715:4 0.000945997
5 *3309:A0 *3309:A1 2.16355e-05
6 *3463:D *3309:A0 2.652e-05
7 *149:11 *3309:A0 0.000211464
8 *149:27 *3309:A0 8.90311e-06
9 *356:34 *3309:A0 7.50722e-05
10 *379:23 *3114:A 0.000102003
11 *379:23 *3309:A0 0.000827188
*RES
1 *3461:Q *715:4 9.24915
2 *715:4 *3309:A0 32.7594
3 *715:4 *3114:A 11.6605
*END
*D_NET *716 0.00340182
*CONN
*I *3312:A0 I *D sky130_fd_sc_hd__mux2_1
*I *3119:A I *D sky130_fd_sc_hd__and3_1
*I *3462:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3312:A0 0.000203575
2 *3119:A 0.000706554
3 *3462:Q 0
4 *716:4 0.000910129
5 *3093:A *3119:A 0.000360159
6 *3095:A *3119:A 0.000171273
7 *3119:C *3119:A 4.97109e-06
8 *3310:A *3312:A0 0.000315603
9 *3312:S *3312:A0 0.000110794
10 *3526:A *3312:A0 0.000217937
11 *379:23 *3312:A0 5.32988e-05
12 *438:5 *3119:A 8.39223e-05
13 *438:23 *3119:A 2.41483e-05
14 *438:29 *3119:A 0.000113197
15 *440:5 *3119:A 1.96574e-05
16 *440:29 *3119:A 1.37871e-06
17 *440:39 *3119:A 6.77276e-05
18 *640:26 *3312:A0 1.12606e-05
19 *640:37 *3312:A0 2.6233e-05
*RES
1 *3462:Q *716:4 9.24915
2 *716:4 *3119:A 28.7326
3 *716:4 *3312:A0 26.4871
*END
*D_NET *717 0.00404578
*CONN
*I *3315:A0 I *D sky130_fd_sc_hd__mux2_1
*I *3125:A I *D sky130_fd_sc_hd__and3_1
*I *3463:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3315:A0 0.00103743
2 *3125:A 0.000222355
3 *3463:Q 0.000134188
4 *717:7 0.00139397
5 *3315:A0 *3315:A1 6.50586e-05
6 *3315:A0 *748:27 3.84508e-05
7 *717:7 *748:27 1.41291e-05
8 *3093:A *3125:A 0
9 *3093:A *3315:A0 0
10 *3315:S *3315:A0 6.08467e-05
11 *3463:D *3315:A0 0.000100285
12 *272:8 *3315:A0 0.000477044
13 *441:22 *3315:A0 1.51748e-05
14 *441:22 *717:7 0.000171273
15 *637:22 *3125:A 1.9101e-05
16 *640:17 *3315:A0 0.000158357
17 *664:50 *3315:A0 7.02904e-06
18 *689:46 *3125:A 9.25869e-05
19 *689:46 *3315:A0 3.85025e-05
*RES
1 *3463:Q *717:7 16.1364
2 *717:7 *3125:A 18.9032
3 *717:7 *3315:A0 32.8515
*END
*D_NET *718 0.00484944
*CONN
*I *3318:A0 I *D sky130_fd_sc_hd__mux2_1
*I *3130:A I *D sky130_fd_sc_hd__and3_1
*I *3464:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3318:A0 0.000482927
2 *3130:A 0.00111367
3 *3464:Q 0
4 *718:5 0.0015966
5 *3130:A *748:8 0
6 *3130:C *3130:A 3.87956e-06
7 *3313:A *3318:A0 5.0715e-05
8 *3322:A *3130:A 9.14247e-05
9 *3322:A *3318:A0 5.22654e-06
10 *3323:A *3130:A 0.000538827
11 *3439:CLK *3130:A 4.04995e-05
12 *3465:D *3130:A 0.000170039
13 *594:22 *3318:A0 0.000188703
14 *594:24 *3318:A0 0.000177993
15 *640:17 *3130:A 1.33885e-05
16 *664:13 *3130:A 1.18203e-05
17 *702:15 *3130:A 0.000192956
18 *702:15 *3318:A0 0.000170769
*RES
1 *3464:Q *718:5 13.7491
2 *718:5 *3130:A 34.0732
3 *718:5 *3318:A0 24.0662
*END
*D_NET *719 0.000676098
*CONN
*I *2925:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *2923:B I *D sky130_fd_sc_hd__or2_1
*I *3384:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2925:A 7.56833e-05
2 *2923:B 0.0001458
3 *3384:Q 0
4 *719:4 0.000221483
5 *2931:B2 *2923:B 4.90829e-05
6 *297:11 *2923:B 1.92336e-05
7 *297:11 *2925:A 0.000164815
8 *300:8 *2923:B 0
9 *305:6 *2923:B 0
*RES
1 *3384:Q *719:4 9.24915
2 *719:4 *2923:B 22.0503
3 *719:4 *2925:A 11.6605
*END
*D_NET *720 0.00279352
*CONN
*I *2914:B I *D sky130_fd_sc_hd__xor2_1
*I *3047:A1 I *D sky130_fd_sc_hd__o211a_1
*I *3042:A I *D sky130_fd_sc_hd__and2_1
*I *3041:A I *D sky130_fd_sc_hd__nor2_1
*I *3385:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2914:B 0.000500008
2 *3047:A1 0.000183665
3 *3042:A 1.90278e-05
4 *3041:A 0.00017423
5 *3385:Q 0
6 *720:7 0.000329449
7 *720:4 0.000819865
8 *3041:A *2921:A 3.14978e-05
9 *3044:A3 *3041:A 9.14057e-05
10 *3047:B1 *3047:A1 2.1203e-06
11 *3059:A *3041:A 0.000212491
12 *3385:D *2914:B 4.22695e-05
13 *3385:D *3047:A1 2.13808e-05
14 *3437:CLK *2914:B 0
15 *300:30 *2914:B 7.23987e-05
16 *300:30 *3041:A 0.000133252
17 *300:30 *3042:A 1.05106e-05
18 *300:30 *720:7 3.73806e-05
19 *304:14 *3041:A 2.65667e-05
20 *304:14 *3047:A1 5.1584e-05
21 *356:8 *2914:B 3.1218e-05
22 *396:8 *3041:A 3.20069e-06
*RES
1 *3385:Q *720:4 9.24915
2 *720:4 *720:7 2.41132
3 *720:7 *3041:A 24.7134
4 *720:7 *3042:A 9.82786
5 *720:4 *3047:A1 22.5727
6 *720:4 *2914:B 26.7658
*END
*D_NET *721 0.00160698
*CONN
*I *2928:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *2930:B I *D sky130_fd_sc_hd__or2_1
*I *3386:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2928:A 0
2 *2930:B 0.00025559
3 *3386:Q 0.000353334
4 *721:8 0.000608924
5 *3038:B *721:8 4.19662e-05
6 *297:11 *721:8 5.62792e-06
7 *297:18 *721:8 1.8078e-05
8 *314:37 *2930:B 0.000192209
9 *356:8 *2930:B 8.07939e-05
10 *356:8 *721:8 5.0459e-05
*RES
1 *3386:Q *721:8 20.0474
2 *721:8 *2930:B 21.4325
3 *721:8 *2928:A 13.7491
*END
*D_NET *722 0.0049693
*CONN
*I *3054:A I *D sky130_fd_sc_hd__inv_2
*I *3058:B I *D sky130_fd_sc_hd__and3_1
*I *3055:A I *D sky130_fd_sc_hd__and4_1
*I *2921:B I *D sky130_fd_sc_hd__xor2_1
*I *3387:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3054:A 8.16248e-05
2 *3058:B 0.000127687
3 *3055:A 7.23055e-05
4 *2921:B 0.000302772
5 *3387:Q 0.000196316
6 *722:19 0.000801575
7 *722:12 0.000800951
8 *722:8 0.000524707
9 *2921:B *724:10 7.50872e-05
10 *3055:A *724:10 8.52968e-05
11 *3058:B *2919:B 0.00033061
12 *722:8 *724:10 0
13 *722:12 *724:10 0
14 *722:19 *724:19 4.82966e-05
15 *722:19 *724:34 0.000103943
16 *809:DIODE *722:12 0.000205644
17 *2922:D *2921:B 4.81015e-05
18 *3048:B1 *2921:B 0.000210992
19 *3053:A *2921:B 0.000148114
20 *3055:B *2921:B 0.000216105
21 *3055:B *3055:A 0.000178971
22 *3057:A2 *2921:B 3.88655e-05
23 *3059:A *722:12 0
24 *3059:A *722:19 0
25 *3059:C *3055:A 7.77309e-06
26 *3059:C *722:12 0
27 *3059:C *722:19 0
28 *3060:B1 *722:12 2.22788e-05
29 *3060:B1 *722:19 0
30 *3060:C1 *3055:A 0.000107496
31 *3061:A3 *722:19 9.12701e-05
32 *3364:CLK *722:8 1.43848e-05
33 *3364:D *722:8 1.00937e-05
34 *3388:D *722:12 2.29056e-05
35 *304:14 *2921:B 6.47133e-05
36 *389:17 *2921:B 0
37 *408:11 *722:19 3.04234e-05
*RES
1 *3387:Q *722:8 17.6896
2 *722:8 *722:12 6.71732
3 *722:12 *722:19 16.6834
4 *722:19 *2921:B 24.7517
5 *722:19 *3055:A 16.8591
6 *722:12 *3058:B 17.8243
7 *722:8 *3054:A 15.5817
*END
*D_NET *723 0.00407712
*CONN
*I *2917:A I *D sky130_fd_sc_hd__inv_2
*I *2915:B1 I *D sky130_fd_sc_hd__a221o_1
*I *3058:A I *D sky130_fd_sc_hd__and3_1
*I *3060:A1 I *D sky130_fd_sc_hd__o211a_1
*I *3388:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2917:A 4.22632e-05
2 *2915:B1 0.000273541
3 *3058:A 0
4 *3060:A1 0.000108525
5 *3388:Q 0
6 *723:25 0.000671453
7 *723:10 0.000504565
8 *723:4 0.000751689
9 *2915:B1 *2919:A 0.000572574
10 *3060:A1 *2919:B 0.000228796
11 *2915:A2 *2915:B1 0
12 *2915:B2 *2915:B1 3.24516e-05
13 *2915:C1 *2915:B1 0.000224395
14 *3058:C *3060:A1 0.000123754
15 *3059:A *723:10 0.000157467
16 *3060:A2 *3060:A1 1.09551e-05
17 *3437:CLK *2915:B1 2.03531e-05
18 *3437:CLK *2917:A 2.59752e-05
19 *356:8 *2915:B1 0
20 *356:8 *2917:A 0
21 *408:7 *3060:A1 0.000328363
*RES
1 *3388:Q *723:4 9.24915
2 *723:4 *723:10 16.1288
3 *723:10 *3060:A1 15.5427
4 *723:10 *3058:A 9.24915
5 *723:4 *723:25 9.66022
6 *723:25 *2915:B1 22.8445
7 *723:25 *2917:A 15.1659
*END
*D_NET *724 0.00714766
*CONN
*I *2920:A2 I *D sky130_fd_sc_hd__a221o_1
*I *2912:A I *D sky130_fd_sc_hd__inv_2
*I *3062:A I *D sky130_fd_sc_hd__and3_1
*I *3061:B1 I *D sky130_fd_sc_hd__a31o_1
*I *3389:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2920:A2 0.00032158
2 *2912:A 0
3 *3062:A 1.76235e-05
4 *3061:B1 3.12287e-05
5 *3389:Q 0.000553497
6 *724:34 0.00131237
7 *724:19 0.00107893
8 *724:10 0.000655249
9 *724:34 *2914:A 9.59884e-05
10 *724:34 *2916:A 2.65667e-05
11 *724:34 *2952:A 6.56723e-05
12 *859:DIODE *724:34 0.000125972
13 *2920:B2 *2920:A2 9.9777e-05
14 *2921:B *724:10 7.50872e-05
15 *3055:A *724:10 8.52968e-05
16 *3055:D *724:19 1.5613e-05
17 *3057:A1 *724:10 6.05594e-05
18 *3057:A2 *724:10 0.000388826
19 *3059:C *724:10 5.56419e-05
20 *3061:A1 *3061:B1 6.50727e-05
21 *3061:A3 *3061:B1 3.07561e-05
22 *3061:A3 *724:19 0.000139899
23 *3237:A *2920:A2 3.67528e-06
24 *3237:A *724:34 2.66039e-05
25 *3238:A1 *2920:A2 0
26 *3238:A2 *2920:A2 1.82696e-05
27 *3364:D *724:10 0
28 *3438:CLK *2920:A2 0
29 *3438:CLK *724:34 0
30 *395:13 *724:19 0.000125395
31 *395:13 *724:34 0.000314433
32 *396:8 *724:34 6.50727e-05
33 *399:47 *724:34 0.000790361
34 *407:58 *2920:A2 4.52383e-05
35 *407:58 *724:34 1.87469e-05
36 *408:11 *724:19 1.84721e-05
37 *411:7 *3061:B1 0.000164843
38 *411:7 *724:19 1.92172e-05
39 *640:54 *2920:A2 0
40 *640:54 *724:34 0
41 *657:40 *724:10 8.38894e-05
42 *722:8 *724:10 0
43 *722:12 *724:10 0
44 *722:19 *724:19 4.82966e-05
45 *722:19 *724:34 0.000103943
*RES
1 *3389:Q *724:10 33.8223
2 *724:10 *3061:B1 11.0817
3 *724:10 *724:19 3.52053
4 *724:19 *3062:A 9.82786
5 *724:19 *724:34 35.2462
6 *724:34 *2912:A 13.7491
7 *724:34 *2920:A2 21.9857
*END
*D_NET *725 0.0061639
*CONN
*I *2919:B I *D sky130_fd_sc_hd__xor2_1
*I *3066:A I *D sky130_fd_sc_hd__inv_2
*I *3067:A I *D sky130_fd_sc_hd__and3_1
*I *3069:B I *D sky130_fd_sc_hd__and3_1
*I *3390:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2919:B 0.00087217
2 *3066:A 1.93962e-05
3 *3067:A 6.17244e-05
4 *3069:B 0.000159689
5 *3390:Q 0.000333465
6 *725:38 0.0011425
7 *725:12 0.000377312
8 *725:9 0.000740297
9 *3058:B *2919:B 0.00033061
10 *3058:C *2919:B 9.71212e-05
11 *3059:B *2919:B 0.000127179
12 *3060:A1 *2919:B 0.000228796
13 *3060:A2 *2919:B 0.00020053
14 *3065:A *725:12 7.50722e-05
15 *3067:B *3069:B 7.50872e-05
16 *3068:A1 *725:9 6.99486e-05
17 *3068:A1 *725:12 2.61857e-05
18 *3068:A1 *725:38 0.000100493
19 *3068:B1 *3069:B 0.000101886
20 *3068:B1 *725:12 7.69794e-05
21 *3068:C1 *725:9 1.43983e-05
22 *3071:A2 *3069:B 7.50872e-05
23 *3364:D *2919:B 4.84944e-05
24 *3390:D *725:9 7.40813e-05
25 *119:51 *2919:B 0.000140146
26 *403:33 *3069:B 0.000111722
27 *406:5 *2919:B 3.58044e-05
28 *407:81 *2919:B 0.00023726
29 *407:81 *3066:A 3.01683e-06
30 *407:81 *725:9 4.89898e-06
31 *407:81 *725:38 7.02172e-06
32 *408:7 *2919:B 2.52287e-06
33 *411:19 *3067:A 6.08467e-05
34 *680:18 *3067:A 2.01503e-05
35 *680:18 *3069:B 2.75646e-05
36 *680:18 *725:12 8.44399e-05
*RES
1 *3390:Q *725:9 16.2661
2 *725:9 *725:12 9.86164
3 *725:12 *3069:B 18.6301
4 *725:12 *3067:A 15.584
5 *725:9 *725:38 4.48505
6 *725:38 *3066:A 9.82786
7 *725:38 *2919:B 41.9571
*END
*D_NET *726 0.00221269
*CONN
*I *3069:A I *D sky130_fd_sc_hd__and3_1
*I *2922:B I *D sky130_fd_sc_hd__or4_1
*I *3071:A1 I *D sky130_fd_sc_hd__o211a_1
*I *3391:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3069:A 0
2 *2922:B 0.000310584
3 *3071:A1 9.54723e-05
4 *3391:Q 0.000262643
5 *726:19 0.000402587
6 *726:10 0.000450118
7 *3069:C *726:10 0
8 *3071:A2 *3071:A1 5.28825e-05
9 *3071:A2 *726:19 1.92336e-05
10 *3071:B1 *726:10 3.0676e-05
11 *3071:C1 *726:19 0.000224381
12 *3391:D *3071:A1 9.75148e-06
13 *3391:D *726:10 3.74571e-05
14 *403:21 *2922:B 0.000280451
15 *403:21 *726:10 0
16 *403:33 *726:10 0
17 *657:11 *2922:B 3.6455e-05
*RES
1 *3391:Q *726:10 23.1595
2 *726:10 *3071:A1 11.6605
3 *726:10 *726:19 2.94181
4 *726:19 *2922:B 25.2358
5 *726:19 *3069:A 9.24915
*END
*D_NET *727 0.00356051
*CONN
*I *3072:A I *D sky130_fd_sc_hd__inv_2
*I *2922:A I *D sky130_fd_sc_hd__or4_1
*I *3073:A1 I *D sky130_fd_sc_hd__a311o_1
*I *3392:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3072:A 2.62817e-05
2 *2922:A 0.000202637
3 *3073:A1 1.81917e-05
4 *3392:Q 0.000282486
5 *727:13 0.000878046
6 *727:9 0.000965985
7 *2922:D *2922:A 2.21765e-05
8 *3034:B *2922:A 3.31733e-05
9 *3070:B *2922:A 0.000113374
10 *3073:A2 *2922:A 7.50156e-05
11 *3073:A2 *3073:A1 1.09551e-05
12 *3073:A2 *727:13 3.14978e-05
13 *3073:A3 *727:13 1.41291e-05
14 *3074:A1 *3072:A 6.92705e-05
15 *3074:A1 *727:13 0.00032688
16 *3074:A2 *727:13 2.57847e-05
17 *3074:B1 *727:13 9.54357e-06
18 *3392:D *727:9 0
19 *416:8 *2922:A 7.14746e-05
20 *417:13 *727:13 0.000163893
21 *680:18 *2922:A 0.000219718
*RES
1 *3392:Q *727:9 24.9627
2 *727:9 *727:13 11.6949
3 *727:13 *3073:A1 9.82786
4 *727:13 *2922:A 24.2659
5 *727:9 *3072:A 10.5271
*END
*D_NET *728 0.00479942
*CONN
*I *2924:A I *D sky130_fd_sc_hd__clkbuf_2
*I *2958:C I *D sky130_fd_sc_hd__or4_2
*I *2923:A I *D sky130_fd_sc_hd__or2_1
*I *3433:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2924:A 8.79273e-05
2 *2958:C 0.00017319
3 *2923:A 0.00034021
4 *3433:Q 0.0007223
5 *728:10 0.000586464
6 *728:8 0.00088329
7 *2958:C *2945:B 9.46346e-05
8 *2958:C *746:9 4.17467e-05
9 *728:8 *2958:A 2.44829e-05
10 *728:8 *733:21 0
11 *2926:A *2923:A 5.97411e-05
12 *2926:B *2923:A 0.000122378
13 *2945:A *2958:C 2.16355e-05
14 *296:6 *728:8 0
15 *296:6 *728:10 0
16 *299:15 *728:8 0.000175619
17 *309:10 *2923:A 9.98029e-06
18 *309:10 *2958:C 3.51032e-05
19 *309:10 *728:10 2.72089e-05
20 *313:21 *2958:C 0.000705979
21 *316:8 *2923:A 6.77678e-05
22 *329:8 *2923:A 8.62625e-06
23 *402:79 *2923:A 4.77678e-05
24 *683:22 *2923:A 0.000216118
25 *683:22 *728:8 0.000164381
26 *683:22 *728:10 0.000172144
27 *683:29 *728:8 1.07248e-05
*RES
1 *3433:Q *728:8 26.424
2 *728:8 *728:10 3.07775
3 *728:10 *2923:A 23.3722
4 *728:10 *2958:C 21.6824
5 *728:8 *2924:A 15.5817
*END
*D_NET *729 0.00223884
*CONN
*I *2952:A I *D sky130_fd_sc_hd__clkbuf_2
*I *2914:A I *D sky130_fd_sc_hd__xor2_1
*I *3434:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2952:A 0.000166305
2 *2914:A 0.000183917
3 *3434:Q 0.000132706
4 *729:8 0.000482928
5 *2952:A *2916:A 0.000271044
6 *859:DIODE *2952:A 0.000207266
7 *2915:C1 *729:8 1.82696e-05
8 *2920:A1 *2952:A 0.000357884
9 *2933:A2 *729:8 0
10 *3237:A *2952:A 0.000256861
11 *3437:CLK *729:8 0
12 *399:46 *729:8 0
13 *724:34 *2914:A 9.59884e-05
14 *724:34 *2952:A 6.56723e-05
*RES
1 *3434:Q *729:8 21.3269
2 *729:8 *2914:A 13.8789
3 *729:8 *2952:A 17.737
*END
*D_NET *730 0.00210174
*CONN
*I *2927:A I *D sky130_fd_sc_hd__clkbuf_2
*I *2958:A I *D sky130_fd_sc_hd__or4_2
*I *3435:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2927:A 0
2 *2958:A 0.000304155
3 *3435:Q 0.000169109
4 *730:7 0.000473264
5 *2958:A *2982:B1 0
6 *2983:D *2958:A 0.000135866
7 *3435:D *730:7 1.43848e-05
8 *290:28 *2958:A 5.64929e-05
9 *290:30 *2958:A 9.91932e-05
10 *299:15 *2958:A 0.000317721
11 *349:8 *2958:A 0
12 *684:14 *2958:A 0.000180676
13 *690:25 *730:7 0.000326398
14 *728:8 *2958:A 2.44829e-05
*RES
1 *3435:Q *730:7 14.8434
2 *730:7 *2958:A 29.1152
3 *730:7 *2927:A 9.24915
*END
*D_NET *731 0.00699031
*CONN
*I *2964:A I *D sky130_fd_sc_hd__clkbuf_2
*I *2983:C I *D sky130_fd_sc_hd__or4_2
*I *2921:A I *D sky130_fd_sc_hd__xor2_1
*I *3436:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2964:A 0
2 *2983:C 0
3 *2921:A 0.00229094
4 *3436:Q 0.000344083
5 *731:11 0.00270063
6 *731:7 0.000753769
7 *849:DIODE *731:11 1.19856e-05
8 *2983:B *731:11 1.37189e-05
9 *3039:C1 *2921:A 4.09969e-06
10 *3041:A *2921:A 3.14978e-05
11 *3041:B *2921:A 2.65831e-05
12 *3047:A2 *2921:A 0.000111802
13 *3047:B1 *2921:A 9.22109e-05
14 *3047:C1 *2921:A 6.08503e-05
15 *3059:A *2921:A 9.65752e-06
16 *304:14 *2921:A 6.39664e-05
17 *332:8 *731:11 5.07314e-05
18 *332:43 *731:7 1.8078e-05
19 *332:43 *731:11 4.33655e-05
20 *391:41 *2921:A 3.36001e-05
21 *640:78 *2921:A 5.76799e-05
22 *683:43 *2921:A 0
23 *684:14 *2921:A 7.86825e-06
24 *684:14 *731:11 0.000263189
*RES
1 *3436:Q *731:7 14.8434
2 *731:7 *731:11 10.7304
3 *731:11 *2921:A 39.0292
4 *731:11 *2983:C 9.24915
5 *731:7 *2964:A 9.24915
*END
*D_NET *732 0.000871069
*CONN
*I *2913:A I *D sky130_fd_sc_hd__inv_2
*I *2918:A I *D sky130_fd_sc_hd__buf_2
*I *3437:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2913:A 4.12711e-05
2 *2918:A 0
3 *3437:Q 0.000144325
4 *732:7 0.000185596
5 *3437:D *2913:A 0.000175485
6 *3437:D *732:7 0.000324393
*RES
1 *3437:Q *732:7 13.7342
2 *732:7 *2918:A 9.24915
3 *732:7 *2913:A 11.0817
*END
*D_NET *733 0.0111311
*CONN
*I *3132:A1 I *D sky130_fd_sc_hd__o22a_1
*I *2915:A1 I *D sky130_fd_sc_hd__a221o_1
*I *2916:A I *D sky130_fd_sc_hd__inv_2
*I *2983:A I *D sky130_fd_sc_hd__or4_2
*I *2982:B1 I *D sky130_fd_sc_hd__o31a_1
*I *3438:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3132:A1 0.000720536
2 *2915:A1 0.00016231
3 *2916:A 0.000171705
4 *2983:A 6.90129e-05
5 *2982:B1 0.000426799
6 *3438:Q 0.000500244
7 *733:21 0.000998149
8 *733:12 0.000739226
9 *733:10 0.000514058
10 *733:5 0.00150734
11 *2915:A1 *2919:A 5.5551e-05
12 *842:DIODE *3132:A1 1.5714e-05
13 *2915:A2 *2915:A1 0.000200562
14 *2933:A1 *2915:A1 0.000111722
15 *2952:A *2916:A 0.000271044
16 *2958:A *2982:B1 0
17 *2960:A *2982:B1 0.000137886
18 *2960:B *2982:B1 3.31882e-05
19 *2974:A *2982:B1 0.000202404
20 *2982:A1 *2982:B1 6.50586e-05
21 *2983:D *2982:B1 0
22 *3097:A1 *3132:A1 9.08094e-05
23 *3137:A2 *3132:A1 8.31378e-05
24 *3137:B1 *3132:A1 0
25 *3139:A2 *3132:A1 2.26985e-05
26 *3236:A2 *3132:A1 0.000107496
27 *3236:A2 *733:5 4.57659e-06
28 *3236:C1 *3132:A1 0.000177244
29 *3237:A *2915:A1 0.000262495
30 *3238:C1 *3132:A1 0
31 *3238:C1 *733:10 1.19856e-05
32 *3433:D *733:21 0.000101148
33 *3438:CLK *733:10 3.82228e-05
34 *3438:CLK *733:21 0
35 *3438:D *733:10 8.7597e-06
36 *290:30 *2982:B1 0
37 *296:6 *733:21 5.26029e-05
38 *296:34 *733:12 1.44611e-05
39 *296:34 *733:21 0.000438472
40 *296:57 *3132:A1 0.000508865
41 *296:57 *733:10 3.60268e-05
42 *296:57 *733:12 4.52324e-05
43 *309:66 *733:10 0.000150259
44 *309:66 *733:12 0.000135905
45 *309:66 *733:21 5.05252e-05
46 *322:5 *2916:A 0.000163404
47 *322:42 *3132:A1 0.000289484
48 *327:42 *2982:B1 7.92416e-05
49 *348:8 *2982:B1 0.000116755
50 *349:8 *2982:B1 0.000400503
51 *349:8 *2983:A 2.57986e-05
52 *349:8 *733:21 2.16355e-05
53 *402:79 *2982:B1 3.16019e-05
54 *407:43 *2915:A1 0.000561793
55 *535:9 *733:5 1.03403e-05
56 *536:5 *733:5 3.79844e-06
57 *541:28 *3132:A1 8.52802e-05
58 *683:29 *733:21 0
59 *684:67 *733:10 7.14746e-05
60 *724:34 *2916:A 2.65667e-05
61 *728:8 *733:21 0
*RES
1 *3438:Q *733:5 16.0732
2 *733:5 *733:10 10.5196
3 *733:10 *733:12 2.6625
4 *733:12 *733:21 18.3433
5 *733:21 *2982:B1 33.6056
6 *733:21 *2983:A 11.0817
7 *733:12 *2916:A 19.464
8 *733:10 *2915:A1 22.237
9 *733:5 *3132:A1 48.5917
*END
*D_NET *734 0.0163831
*CONN
*I *3137:A1 I *D sky130_fd_sc_hd__o22a_1
*I *2919:A I *D sky130_fd_sc_hd__xor2_1
*I *2999:A I *D sky130_fd_sc_hd__or2_2
*I *2994:A I *D sky130_fd_sc_hd__xor2_1
*I *3239:A I *D sky130_fd_sc_hd__or2_1
*I *3439:Q O *D sky130_fd_sc_hd__dfxtp_2
*CAP
1 *3137:A1 0.000577649
2 *2919:A 0.000912646
3 *2999:A 0.000230834
4 *2994:A 6.95425e-05
5 *3239:A 3.11478e-05
6 *3439:Q 5.38389e-05
7 *734:37 0.00102327
8 *734:30 0.00203296
9 *734:26 0.00254837
10 *734:10 0.000548797
11 *734:7 0.000823484
12 *734:30 *3180:B 0
13 *734:30 *782:39 0
14 *819:DIODE *2919:A 2.65831e-05
15 *819:DIODE *734:10 9.97045e-06
16 *849:DIODE *734:30 0
17 *2915:A1 *2919:A 5.5551e-05
18 *2915:A2 *2919:A 0.000215039
19 *2915:B1 *2919:A 0.000572574
20 *2920:C1 *2919:A 0.000360276
21 *2999:B *2999:A 6.50727e-05
22 *3058:C *2919:A 5.0715e-05
23 *3127:A1 *3137:A1 1.9784e-05
24 *3127:A1 *734:10 2.18741e-05
25 *3127:A1 *734:26 3.31745e-05
26 *3130:C *3137:A1 0
27 *3134:A2 *3137:A1 6.25838e-06
28 *3139:A2 *3137:A1 5.02786e-05
29 *3183:A1 *734:37 8.82459e-05
30 *3183:A2 *734:30 0.00017156
31 *3183:A2 *734:37 7.8756e-07
32 *3200:A *734:37 0.000122378
33 *3200:B *2999:A 0.00010623
34 *3200:B *734:37 7.98425e-06
35 *3200:C *734:37 0
36 *3200:D_N *2994:A 4.87301e-05
37 *3200:D_N *734:37 8.14875e-05
38 *3219:A *734:30 0
39 *3222:A *734:26 1.61631e-05
40 *3223:A *734:26 7.31633e-05
41 *3223:A *734:30 6.73739e-06
42 *3231:B *734:30 0
43 *3232:A2 *734:30 0
44 *3232:B1 *734:30 0.000136244
45 *3237:A *2919:A 0.000232434
46 *3237:B *2919:A 0.000697954
47 *3238:A1 *2919:A 0.000411716
48 *3239:B *734:26 5.481e-05
49 *3241:B1 *734:10 0.000130532
50 *3412:D *734:37 0.000149628
51 *3416:D *734:37 0
52 *3435:D *734:30 0
53 *290:23 *3239:A 2.16355e-05
54 *290:23 *734:26 2.16355e-05
55 *290:28 *734:10 2.77564e-05
56 *290:28 *734:30 0
57 *290:30 *734:30 0
58 *299:52 *734:30 0.000124748
59 *299:59 *3137:A1 7.86987e-05
60 *299:59 *734:10 0.000298037
61 *309:57 *734:30 0
62 *327:18 *734:30 4.20662e-05
63 *327:23 *734:30 8.01741e-05
64 *341:8 *734:30 0
65 *341:8 *734:37 0
66 *349:10 *2999:A 0
67 *355:21 *734:26 0.000114242
68 *407:43 *2919:A 0.000123353
69 *427:15 *734:26 0.000185785
70 *430:24 *734:26 0.000127984
71 *430:24 *734:30 8.62625e-06
72 *439:14 *3137:A1 2.40433e-05
73 *506:8 *734:30 6.77448e-05
74 *537:5 *734:26 3.82228e-05
75 *538:8 *734:30 9.60366e-05
76 *640:9 *3137:A1 0.000847715
77 *684:8 *2999:A 0
78 *684:8 *734:30 0
79 *684:8 *734:37 0
80 *684:51 *2919:A 6.46921e-05
81 *684:67 *2919:A 4.36956e-05
82 *684:68 *3137:A1 4.25451e-05
83 *688:39 *2919:A 9.32983e-05
84 *690:12 *734:30 0.000734053
85 *690:25 *734:30 0.000167062
86 *702:24 *734:10 9.22013e-06
87 *702:46 *734:26 2.35405e-05
*RES
1 *3439:Q *734:7 14.4725
2 *734:7 *734:10 10.4845
3 *734:10 *3239:A 9.97254
4 *734:10 *734:26 15.4634
5 *734:26 *734:30 37.2383
6 *734:30 *734:37 23.0751
7 *734:37 *2994:A 11.1059
8 *734:37 *2999:A 23.7113
9 *734:26 *2919:A 46.3261
10 *734:7 *3137:A1 26.5937
*END
*D_NET *735 0.00405645
*CONN
*I *3301:A1 I *D sky130_fd_sc_hd__mux2_1
*I *3009:A1 I *D sky130_fd_sc_hd__mux2_1
*I *3012:A0 I *D sky130_fd_sc_hd__mux2_1
*I *3377:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3301:A1 0.000764805
2 *3009:A1 0
3 *3012:A0 0.000189756
4 *3377:Q 0.000101994
5 *735:17 0.000943755
6 *735:8 0.000470699
7 *3012:A0 *3012:A1 6.50727e-05
8 *802:DIODE *3301:A1 6.33695e-05
9 *3009:S *3012:A0 0.000139959
10 *3009:S *3301:A1 3.14978e-05
11 *3009:S *735:17 0.000197119
12 *3010:B *735:17 6.08467e-05
13 *3299:B *3301:A1 4.56667e-05
14 *3300:A *3301:A1 6.08467e-05
15 *3303:A *3301:A1 0.000101133
16 *3459:D *3301:A1 8.62625e-06
17 *3514:A *3301:A1 0.000593901
18 *1:11 *3301:A1 0
19 *370:34 *3012:A0 4.82966e-05
20 *370:34 *735:8 0
21 *650:19 *735:8 0.000169108
*RES
1 *3377:Q *735:8 21.3269
2 *735:8 *3012:A0 14.9881
3 *735:8 *735:17 5.16022
4 *735:17 *3009:A1 9.24915
5 *735:17 *3301:A1 34.8384
*END
*D_NET *736 0.00349464
*CONN
*I *3012:A1 I *D sky130_fd_sc_hd__mux2_1
*I *3015:A0 I *D sky130_fd_sc_hd__mux2_1
*I *3305:A1 I *D sky130_fd_sc_hd__mux2_1
*I *3378:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3012:A1 0.000137479
2 *3015:A0 0
3 *3305:A1 0.000535672
4 *3378:Q 0
5 *736:6 0.000627166
6 *736:5 0.000228972
7 *804:DIODE *3305:A1 2.65667e-05
8 *3012:A0 *3012:A1 6.50727e-05
9 *3016:A *3305:A1 0.000101148
10 *3016:A *736:6 5.92192e-05
11 *3020:A *3305:A1 0.000271058
12 *3305:A0 *3305:A1 6.50727e-05
13 *3306:B *3305:A1 9.95493e-05
14 *3460:CLK *3012:A1 0
15 *3460:CLK *736:6 0
16 *356:34 *3305:A1 0.000289652
17 *356:36 *3305:A1 0.00018584
18 *356:38 *3305:A1 8.64186e-05
19 *356:40 *3305:A1 9.9028e-05
20 *370:22 *3305:A1 0.000104731
21 *370:22 *736:6 6.94439e-05
22 *370:34 *3012:A1 0.000315354
23 *370:34 *736:6 0.000127194
24 *650:19 *3012:A1 0
*RES
1 *3378:Q *736:5 13.7491
2 *736:5 *736:6 3.493
3 *736:6 *3305:A1 32.5809
4 *736:6 *3015:A0 13.7491
5 *736:5 *3012:A1 18.7961
*END
*D_NET *737 0.00375943
*CONN
*I *3309:A1 I *D sky130_fd_sc_hd__mux2_1
*I *3018:A0 I *D sky130_fd_sc_hd__mux2_1
*I *3015:A1 I *D sky130_fd_sc_hd__mux2_1
*I *3379:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3309:A1 0.000201075
2 *3018:A0 3.50372e-05
3 *3015:A1 0.000559314
4 *3379:Q 0.000262499
5 *737:16 0.000504039
6 *737:5 0.00108974
7 *3018:A0 *3312:A1 0.000118166
8 *3018:A0 *738:17 6.92705e-05
9 *3015:S *3015:A1 1.19856e-05
10 *3016:A *3015:A1 5.04829e-06
11 *3018:S *3018:A0 0.000122378
12 *3306:B *737:16 0
13 *3309:A0 *3309:A1 2.16355e-05
14 *3310:B *3309:A1 6.53173e-05
15 *3379:CLK *737:16 0
16 *3379:D *3015:A1 1.87611e-05
17 *3460:CLK *3015:A1 0.000125532
18 *3460:CLK *737:5 0.000183683
19 *149:27 *3018:A0 4.33655e-05
20 *149:27 *3309:A1 0.000290314
21 *356:63 *737:16 3.22726e-05
22 *640:37 *737:16 0
*RES
1 *3379:Q *737:5 13.8548
2 *737:5 *3015:A1 17.737
3 *737:5 *737:16 14.9845
4 *737:16 *3018:A0 11.6364
5 *737:16 *3309:A1 16.6278
*END
*D_NET *738 0.00172385
*CONN
*I *3312:A1 I *D sky130_fd_sc_hd__mux2_1
*I *3018:A1 I *D sky130_fd_sc_hd__mux2_1
*I *3022:A0 I *D sky130_fd_sc_hd__mux2_1
*I *3380:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3312:A1 0.00012507
2 *3018:A1 0
3 *3022:A0 0.000121882
4 *3380:Q 0
5 *738:17 0.000427804
6 *738:4 0.000424616
7 *3018:A0 *3312:A1 0.000118166
8 *3018:A0 *738:17 6.92705e-05
9 *3018:S *738:17 0.000301469
10 *3312:S *3312:A1 1.07248e-05
11 *3379:CLK *3312:A1 0
12 *149:27 *3022:A0 1.62206e-05
13 *149:27 *738:17 1.19721e-05
14 *370:13 *3022:A0 1.79672e-05
15 *680:12 *3022:A0 7.86847e-05
*RES
1 *3380:Q *738:4 9.24915
2 *738:4 *3022:A0 20.9439
3 *738:4 *738:17 9.06656
4 *738:17 *3018:A1 9.24915
5 *738:17 *3312:A1 21.3591
*END
*D_NET *739 0.00345978
*CONN
*I *3022:A1 I *D sky130_fd_sc_hd__mux2_1
*I *3315:A1 I *D sky130_fd_sc_hd__mux2_1
*I *3025:A0 I *D sky130_fd_sc_hd__mux2_1
*I *3381:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3022:A1 0.000262494
2 *3315:A1 0.000855602
3 *3025:A0 0
4 *3381:Q 0
5 *739:8 0.000939713
6 *739:5 0.000346605
7 *3008:A *3315:A1 4.58003e-05
8 *3023:A *3022:A1 0
9 *3023:B *3022:A1 6.50727e-05
10 *3025:S *3315:A1 4.31539e-05
11 *3314:A *3315:A1 0.0002817
12 *3315:A0 *3315:A1 6.50586e-05
13 *3508:A *3315:A1 0.000205409
14 *149:27 *3022:A1 8.62625e-06
15 *379:32 *3022:A1 0
16 *379:32 *739:8 0
17 *640:17 *3315:A1 1.6383e-05
18 *663:7 *3022:A1 0.000324166
19 *680:12 *3022:A1 0
20 *680:12 *739:8 0
*RES
1 *3381:Q *739:5 13.7491
2 *739:5 *739:8 6.332
3 *739:8 *3025:A0 9.24915
4 *739:8 *3315:A1 31.0957
5 *739:5 *3022:A1 21.5691
*END
*D_NET *740 0.00287026
*CONN
*I *3318:A1 I *D sky130_fd_sc_hd__mux2_1
*I *3028:A0 I *D sky130_fd_sc_hd__mux2_1
*I *3025:A1 I *D sky130_fd_sc_hd__mux2_1
*I *3382:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3318:A1 0.000749209
2 *3028:A0 9.14647e-05
3 *3025:A1 8.47578e-05
4 *3382:Q 0.000126035
5 *740:15 0.00093007
6 *740:5 0.000300189
7 *3313:A *3318:A1 0.000224395
8 *3382:CLK *3318:A1 0.00012316
9 *3382:D *3318:A1 1.60502e-06
10 *379:36 *3025:A1 0
11 *680:12 *3025:A1 3.40567e-05
12 *680:12 *3028:A0 0.000205317
*RES
1 *3382:Q *740:5 11.0817
2 *740:5 *3025:A1 20.4964
3 *740:5 *740:15 1.278
4 *740:15 *3028:A0 21.7421
5 *740:15 *3318:A1 22.7767
*END
*D_NET *741 0.00479598
*CONN
*I *3321:A1 I *D sky130_fd_sc_hd__mux2_1
*I *3028:A1 I *D sky130_fd_sc_hd__mux2_1
*I *3031:A0 I *D sky130_fd_sc_hd__mux2_1
*I *3383:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3321:A1 0.00104916
2 *3028:A1 2.06324e-05
3 *3031:A0 3.36663e-05
4 *3383:Q 0.000112286
5 *741:18 0.00117722
6 *741:7 0.000253382
7 *3321:A1 *748:13 0.000464141
8 *3030:A *3321:A1 0.000405513
9 *3030:A *741:18 6.08467e-05
10 *3032:B *741:7 0.000175485
11 *3135:A *3321:A1 2.15348e-05
12 *3308:A *3321:A1 6.50727e-05
13 *3319:A *3321:A1 6.48783e-05
14 *3319:B *3321:A1 0.000103943
15 *3464:D *3321:A1 3.18826e-06
16 *109:9 *3321:A1 0.000113968
17 *355:47 *3321:A1 9.55447e-05
18 *369:6 *3031:A0 0
19 *369:9 *741:7 0.000220183
20 *594:7 *3321:A1 2.16355e-05
21 *664:29 *3321:A1 0.00015316
22 *680:12 *3031:A0 5.41227e-05
23 *680:12 *741:18 0.000118485
24 *680:18 *741:7 7.92757e-06
*RES
1 *3383:Q *741:7 17.8002
2 *741:7 *3031:A0 14.7506
3 *741:7 *741:18 7.47064
4 *741:18 *3028:A1 9.82786
5 *741:18 *3321:A1 36.8346
*END
*D_NET *742 0.00161189
*CONN
*I *2895:A I *D sky130_fd_sc_hd__or3_1
*I *3140:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *3401:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2895:A 0.00016523
2 *3140:A 0
3 *3401:Q 0.000119806
4 *742:7 0.000285036
5 *2895:A *2895:C 0.00022117
6 *2895:A *743:22 8.52652e-05
7 *3148:A1 *2895:A 0.000113968
8 *3401:D *2895:A 0.000357898
9 *3401:D *742:7 5.62499e-05
10 *477:19 *2895:A 0.000207266
11 *477:22 *2895:A 0
*RES
1 *3401:Q *742:7 12.625
2 *742:7 *3140:A 9.24915
3 *742:7 *2895:A 26.2084
*END
*D_NET *743 0.00323783
*CONN
*I *3148:A2 I *D sky130_fd_sc_hd__o21ai_1
*I *3144:B I *D sky130_fd_sc_hd__nor2_1
*I *3147:B2 I *D sky130_fd_sc_hd__a32o_1
*I *2895:B I *D sky130_fd_sc_hd__or3_1
*I *3402:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3148:A2 0.000263883
2 *3144:B 1.27543e-05
3 *3147:B2 0
4 *2895:B 0
5 *3402:Q 0.000341874
6 *743:22 0.000246551
7 *743:11 0.000643245
8 *743:8 0.00101521
9 *2895:A *743:22 8.52652e-05
10 *3147:B1 *3144:B 0.000171288
11 *3150:A1 *743:22 4.86511e-05
12 *3402:CLK *743:8 0.000124783
13 *3402:CLK *743:11 0.000108848
14 *393:17 *3144:B 0.000175485
15 *477:22 *743:22 0
*RES
1 *3402:Q *743:8 20.0474
2 *743:8 *743:11 10.7694
3 *743:11 *2895:B 9.24915
4 *743:11 *743:22 14.6015
5 *743:22 *3147:B2 9.24915
6 *743:22 *3144:B 11.0817
7 *743:8 *3148:A2 19.3184
*END
*D_NET *744 0.00225113
*CONN
*I *3150:B2 I *D sky130_fd_sc_hd__a32o_1
*I *2895:C I *D sky130_fd_sc_hd__or3_1
*I *3148:B1 I *D sky130_fd_sc_hd__o21ai_1
*I *3403:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3150:B2 0
2 *2895:C 0.000219142
3 *3148:B1 0
4 *3403:Q 0.000161441
5 *744:20 0.000480731
6 *744:10 0.000423031
7 *2895:A *2895:C 0.00022117
8 *3142:A *2895:C 0
9 *3142:A *744:20 0
10 *3143:A2 *744:20 0
11 *3148:A1 *744:20 5.67722e-05
12 *3149:B *744:20 4.91225e-06
13 *3150:A1 *2895:C 0.000330292
14 *3150:A3 *744:20 0.00027103
15 *3150:B1 *2895:C 8.62625e-06
16 *3150:B1 *744:10 0
17 *3150:B1 *744:20 4.18989e-05
18 *3403:D *744:10 3.20853e-05
*RES
1 *3403:Q *744:10 21.2198
2 *744:10 *3148:B1 9.24915
3 *744:10 *744:20 11.795
4 *744:20 *2895:C 21.468
5 *744:20 *3150:B2 13.7491
*END
*D_NET *745 0.00120405
*CONN
*I *2896:A I *D sky130_fd_sc_hd__nor2_1
*I *3006:A1 I *D sky130_fd_sc_hd__o21a_1
*I *3151:B1 I *D sky130_fd_sc_hd__o31ai_1
*I *3404:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2896:A 2.32054e-05
2 *3006:A1 0
3 *3151:B1 9.67133e-05
4 *3404:Q 0
5 *745:19 0.000147749
6 *745:4 0.000221257
7 *2896:A *3006:B1 0.000175485
8 *745:19 *3006:B1 6.50727e-05
9 *2896:B *745:19 0
10 *3007:B *745:19 2.26084e-05
11 *3152:A1 *745:19 1.79672e-05
12 *269:24 *745:19 0
13 *339:35 *2896:A 0.00021243
14 *339:35 *745:19 6.50727e-05
15 *649:30 *3151:B1 0.000156485
*RES
1 *3404:Q *745:4 9.24915
2 *745:4 *3151:B1 12.6491
3 *745:4 *745:19 12.3859
4 *745:19 *3006:A1 9.24915
5 *745:19 *2896:A 11.6364
*END
*D_NET *746 0.00265321
*CONN
*I *2937:A I *D sky130_fd_sc_hd__clkbuf_2
*I *2958:D I *D sky130_fd_sc_hd__or4_2
*I *2945:B I *D sky130_fd_sc_hd__or2_1
*I *3432:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2937:A 0
2 *2958:D 0
3 *2945:B 3.24083e-05
4 *3432:Q 0.000204246
5 *746:9 0.000256601
6 *746:7 0.000428438
7 *2958:C *2945:B 9.46346e-05
8 *2958:C *746:9 4.17467e-05
9 *309:5 *746:9 0.000159322
10 *309:10 *2945:B 0.000216467
11 *309:10 *746:9 0.000923377
12 *313:21 *746:7 4.64885e-05
13 *313:21 *746:9 0.000249485
*RES
1 *3432:Q *746:7 12.7697
2 *746:7 *746:9 12.3701
3 *746:9 *2945:B 11.6364
4 *746:9 *2958:D 9.24915
5 *746:7 *2937:A 9.24915
*END
*D_NET *747 0.0025854
*CONN
*I *3298:A1 I *D sky130_fd_sc_hd__mux2_1
*I *3009:A0 I *D sky130_fd_sc_hd__mux2_1
*I *3376:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3298:A1 0.000507641
2 *3009:A0 0.000536373
3 *3376:Q 0
4 *747:4 0.00104401
5 *3011:A *3009:A0 0.000271778
6 *3298:A0 *3298:A1 6.92705e-05
7 *3303:A *3298:A1 5.0715e-05
8 *646:8 *3009:A0 0
9 *689:31 *3009:A0 3.07856e-05
10 *689:31 *3298:A1 7.48246e-05
*RES
1 *3376:Q *747:4 9.24915
2 *747:4 *3009:A0 28.7027
3 *747:4 *3298:A1 17.1824
*END
*D_NET *748 0.00551867
*CONN
*I *3304:A I *D sky130_fd_sc_hd__clkbuf_2
*I *3153:A I *D sky130_fd_sc_hd__or2_1
*I *2899:A I *D sky130_fd_sc_hd__clkbuf_2
*I *3321:S I *D sky130_fd_sc_hd__mux2_1
*I *3364:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3304:A 0.000116632
2 *3153:A 0.000372848
3 *2899:A 0
4 *3321:S 0
5 *3364:Q 0.000253019
6 *748:27 0.000849334
7 *748:13 0.000662899
8 *748:8 0.000556064
9 *3093:A *748:27 0
10 *3095:A *748:27 5.89338e-05
11 *3097:A2 *3153:A 8.94959e-05
12 *3101:A1 *3153:A 6.08467e-05
13 *3101:A2 *3153:A 0.000103022
14 *3101:B1 *3153:A 2.99978e-05
15 *3130:A *748:8 0
16 *3135:A *748:13 9.82896e-06
17 *3135:A *748:27 5.0715e-05
18 *3265:A *3304:A 0.000144546
19 *3315:A0 *748:27 3.84508e-05
20 *3321:A1 *748:13 0.000464141
21 *3322:B *748:8 0.00015409
22 *3322:B *748:13 0.000158371
23 *3527:A *3304:A 6.08467e-05
24 *1:11 *748:27 0.000150481
25 *272:8 *748:27 0.000481241
26 *356:34 *3304:A 1.55462e-05
27 *356:34 *748:8 4.92086e-05
28 *399:46 *748:27 0
29 *441:22 *3153:A 3.46411e-05
30 *441:22 *748:27 9.14834e-05
31 *486:8 *3153:A 0.000114594
32 *640:17 *3304:A 8.18934e-05
33 *640:17 *748:8 7.58855e-05
34 *702:15 *748:8 0.000175485
35 *717:7 *748:27 1.41291e-05
*RES
1 *3364:Q *748:8 20.7358
2 *748:8 *748:13 10.7935
3 *748:13 *3321:S 9.24915
4 *748:13 *748:27 24.911
5 *748:27 *2899:A 9.24915
6 *748:27 *3153:A 18.8462
7 *748:8 *3304:A 17.9655
*END
*D_NET *749 0.00219167
*CONN
*I *2954:C I *D sky130_fd_sc_hd__or3_1
*I *2892:D I *D sky130_fd_sc_hd__or4_2
*I *2911:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *3366:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2954:C 0.000179429
2 *2892:D 2.59231e-05
3 *2911:A 1.63602e-05
4 *3366:Q 0.000192921
5 *749:19 0.000390286
6 *749:8 0.000394215
7 *2892:D *2950:B1 2.7837e-05
8 *2954:C *2892:C 0.00012804
9 *2954:C *2950:B1 1.02986e-05
10 *2954:C *750:23 1.41853e-05
11 *2954:C *750:33 1.61631e-05
12 *2954:C *751:11 1.01794e-05
13 *2936:A *2911:A 0.000114584
14 *2950:A2 *2954:C 1.65872e-05
15 *2950:A3 *2954:C 6.08467e-05
16 *2955:A1 *2954:C 0
17 *3141:B1 *2954:C 4.31921e-05
18 *3512:A *2911:A 0.000114584
19 *266:8 *749:8 4.10445e-05
20 *266:8 *749:19 0.00010872
21 *268:34 *749:8 0.000117376
22 *311:37 *2954:C 8.23074e-05
23 *314:55 *2954:C 0
24 *641:12 *2892:D 8.6593e-05
*RES
1 *3366:Q *749:8 17.6896
2 *749:8 *2911:A 15.0271
3 *749:8 *749:19 3.81055
4 *749:19 *2892:D 15.0271
5 *749:19 *2954:C 18.9354
*END
*D_NET *750 0.00272085
*CONN
*I *2944:B1 I *D sky130_fd_sc_hd__o21ai_1
*I *2948:A I *D sky130_fd_sc_hd__or4_1
*I *2954:B I *D sky130_fd_sc_hd__or3_1
*I *2892:C I *D sky130_fd_sc_hd__or4_2
*I *2950:A1 I *D sky130_fd_sc_hd__o31ai_1
*I *3367:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2944:B1 0
2 *2948:A 0.000104234
3 *2954:B 2.18332e-05
4 *2892:C 8.59016e-05
5 *2950:A1 8.51351e-05
6 *3367:Q 0
7 *750:33 0.000393382
8 *750:23 0.00035474
9 *750:13 0.000195392
10 *750:4 0.000150867
11 *2892:C *2950:B1 8.44903e-05
12 *2944:A2 *2948:A 6.66538e-05
13 *2949:A1 *750:33 5.04829e-06
14 *2950:A2 *750:13 3.4418e-05
15 *2950:A2 *750:33 7.92757e-06
16 *2950:A3 *750:13 0.000110297
17 *2950:A3 *750:23 0.000107496
18 *2954:C *2892:C 0.00012804
19 *2954:C *750:23 1.41853e-05
20 *2954:C *750:33 1.61631e-05
21 *2956:A1 *750:13 4.87574e-06
22 *3141:B1 *750:13 0.000417401
23 *3141:B1 *750:23 9.32983e-05
24 *3369:CLK *2948:A 7.55859e-05
25 *283:37 *2948:A 9.6321e-06
26 *311:37 *750:33 0.000125118
27 *314:55 *2892:C 0
28 *324:16 *750:33 2.16355e-05
29 *324:31 *2948:A 7.09666e-06
*RES
1 *3367:Q *750:4 9.24915
2 *750:4 *2950:A1 12.0704
3 *750:4 *750:13 4.60562
4 *750:13 *2892:C 20.9116
5 *750:13 *750:23 1.8326
6 *750:23 *2954:B 9.82786
7 *750:23 *750:33 6.84815
8 *750:33 *2948:A 21.7421
9 *750:33 *2944:B1 9.24915
*END
*D_NET *751 0.0020948
*CONN
*I *2954:A I *D sky130_fd_sc_hd__or3_1
*I *2892:B I *D sky130_fd_sc_hd__or4_2
*I *2950:B1 I *D sky130_fd_sc_hd__o31ai_1
*I *3368:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2954:A 0
2 *2892:B 0
3 *2950:B1 0.000252697
4 *3368:Q 0.00024918
5 *751:11 0.000451892
6 *751:6 0.000448375
7 *2950:B1 *2892:A 4.30017e-06
8 *751:11 *2892:A 2.82583e-05
9 *2892:C *2950:B1 8.44903e-05
10 *2892:D *2950:B1 2.7837e-05
11 *2950:A2 *2950:B1 6.3657e-05
12 *2950:A3 *2950:B1 6.3657e-05
13 *2954:C *2950:B1 1.02986e-05
14 *2954:C *751:11 1.01794e-05
15 *2955:A1 *751:6 0.000205532
16 *2955:A1 *751:11 0.000137667
17 *3141:B1 *751:11 1.42855e-05
18 *311:37 *751:6 5.1493e-06
19 *311:37 *751:11 7.26959e-06
20 *314:55 *2950:B1 3.28433e-06
21 *314:55 *751:6 0
22 *339:48 *751:6 2.18741e-05
23 *641:12 *2950:B1 4.91225e-06
*RES
1 *3368:Q *751:6 19.3184
2 *751:6 *751:11 8.4405
3 *751:11 *2950:B1 23.4676
4 *751:11 *2892:B 9.24915
5 *751:6 *2954:A 13.7491
*END
*D_NET *752 0.00379576
*CONN
*I *2961:C1 I *D sky130_fd_sc_hd__a2111o_1
*I *2957:B1 I *D sky130_fd_sc_hd__o21ai_1
*I *2892:A I *D sky130_fd_sc_hd__or4_2
*I *3369:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2961:C1 0
2 *2957:B1 0
3 *2892:A 0.000664877
4 *3369:Q 0.000230713
5 *752:13 0.000849764
6 *752:8 0.000415599
7 *2948:C *2892:A 1.00846e-05
8 *2948:D *2892:A 1.98582e-05
9 *2948:D *752:13 0.000330596
10 *2950:B1 *2892:A 4.30017e-06
11 *2957:A1 *752:13 0
12 *2957:A2 *2892:A 0.000440415
13 *2961:A1 *752:8 5.22654e-06
14 *2961:A1 *752:13 2.99644e-05
15 *2961:B1 *752:13 0.000116986
16 *2962:A2 *752:8 1.79807e-05
17 *282:36 *752:8 8.06881e-05
18 *314:11 *752:8 9.22013e-06
19 *314:11 *752:13 5.64761e-05
20 *641:12 *2892:A 0.000217923
21 *683:21 *752:8 0.000266832
22 *751:11 *2892:A 2.82583e-05
*RES
1 *3369:Q *752:8 18.9382
2 *752:8 *752:13 11.4894
3 *752:13 *2892:A 23.066
4 *752:13 *2957:B1 9.24915
5 *752:8 *2961:C1 13.7491
*END
*D_NET *753 0.00221435
*CONN
*I *2963:B1 I *D sky130_fd_sc_hd__o21ai_1
*I *2894:B I *D sky130_fd_sc_hd__nor4_4
*I *2975:B I *D sky130_fd_sc_hd__or3_1
*I *2969:A I *D sky130_fd_sc_hd__or2_1
*I *3370:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2963:B1 0.000111031
2 *2894:B 0.000118405
3 *2975:B 0.00024142
4 *2969:A 0
5 *3370:Q 0
6 *753:17 0.000449434
7 *753:9 0.000359131
8 *753:5 0.000380552
9 *2975:B *2973:B1 8.00198e-06
10 *2975:B *754:7 2.1228e-06
11 *2969:B *2894:B 0.000111303
12 *2969:B *753:9 0.000122378
13 *2969:B *753:17 0.000108266
14 *2971:A1 *2963:B1 0
15 *2971:A2 *2963:B1 2.12377e-05
16 *2971:A2 *753:9 2.15266e-05
17 *2975:C *2975:B 6.98337e-06
18 *3371:D *2975:B 8.01886e-05
19 *3371:D *753:9 0
20 *336:22 *753:9 0
21 *337:8 *2894:B 5.04829e-06
22 *337:8 *753:17 6.73186e-05
*RES
1 *3370:Q *753:5 13.7491
2 *753:5 *753:9 11.626
3 *753:9 *2969:A 9.24915
4 *753:9 *753:17 3.52053
5 *753:17 *2975:B 23.7113
6 *753:17 *2894:B 12.7697
7 *753:5 *2963:B1 16.4116
*END
*D_NET *754 0.00165464
*CONN
*I *2973:B1 I *D sky130_fd_sc_hd__o21ai_1
*I *2894:A I *D sky130_fd_sc_hd__nor4_4
*I *2975:A I *D sky130_fd_sc_hd__or3_1
*I *3371:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2973:B1 0.000152618
2 *2894:A 0
3 *2975:A 0
4 *3371:Q 0.000293661
5 *754:18 0.000382867
6 *754:7 0.000523909
7 *2975:B *2973:B1 8.00198e-06
8 *2975:B *754:7 2.1228e-06
9 *2975:C *754:7 3.20973e-05
10 *2975:C *754:18 0.000187056
11 *3371:D *2973:B1 0
12 *3371:D *754:7 5.0715e-05
13 *337:8 *2973:B1 2.1588e-05
*RES
1 *3371:Q *754:7 14.2888
2 *754:7 *2975:A 9.24915
3 *754:7 *754:18 10.1504
4 *754:18 *2894:A 13.7491
5 *754:18 *2973:B1 17.2421
*END
*D_NET *755 0.000807274
*CONN
*I *2980:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *2893:D I *D sky130_fd_sc_hd__or4_2
*I *3372:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2980:A 8.72146e-05
2 *2893:D 0.000189243
3 *3372:Q 0
4 *755:4 0.000276458
5 *3372:D *2980:A 0.000127179
6 *345:15 *2980:A 0.000127179
*RES
1 *3372:Q *755:4 9.24915
2 *755:4 *2893:D 12.2151
3 *755:4 *2980:A 21.2198
*END
*D_NET *756 0.00484854
*CONN
*I *2989:B1 I *D sky130_fd_sc_hd__o31a_1
*I *2987:A I *D sky130_fd_sc_hd__or3_1
*I *3000:B I *D sky130_fd_sc_hd__or4_1
*I *2893:C I *D sky130_fd_sc_hd__or4_2
*I *3373:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2989:B1 0.000152035
2 *2987:A 0
3 *3000:B 7.48047e-05
4 *2893:C 0.000200887
5 *3373:Q 0.000286061
6 *756:15 0.000492573
7 *756:10 0.00046289
8 *756:7 0.000684104
9 *2893:C *2893:A 9.75243e-05
10 *2893:C *2893:B 2.65831e-05
11 *2893:C *2998:A 0.000140669
12 *2938:A *756:10 0
13 *2968:A *2989:B1 3.20069e-06
14 *2989:A1 *2989:B1 1.71173e-05
15 *2989:A1 *756:10 2.00832e-05
16 *3001:A2 *3000:B 9.75243e-05
17 *3001:A2 *756:15 0.000200794
18 *267:7 *2893:C 0.000111708
19 *268:16 *756:10 0.000234493
20 *268:16 *756:15 0.000116986
21 *268:20 *2989:B1 5.01668e-05
22 *268:20 *756:10 0.000213559
23 *268:34 *2989:B1 2.29852e-05
24 *306:30 *2989:B1 0
25 *336:9 *2893:C 5.302e-05
26 *336:9 *2989:B1 7.50872e-05
27 *336:9 *756:10 8.1237e-05
28 *339:74 *756:15 6.01329e-05
29 *343:8 *756:15 0
30 *346:13 *2893:C 5.05252e-05
31 *346:18 *756:10 7.12966e-05
32 *346:18 *756:15 1.48605e-05
33 *357:8 *2893:C 0.00025439
34 *364:8 *2893:C 0
35 *656:64 *756:7 0.000481241
*RES
1 *3373:Q *756:7 18.9094
2 *756:7 *756:10 8.79358
3 *756:10 *756:15 9.13445
4 *756:15 *2893:C 25.9297
5 *756:15 *3000:B 10.5271
6 *756:10 *2987:A 13.7491
7 *756:7 *2989:B1 18.1666
*END
*D_NET *757 0.00270225
*CONN
*I *3000:A I *D sky130_fd_sc_hd__or4_1
*I *2893:B I *D sky130_fd_sc_hd__or4_2
*I *2997:B1 I *D sky130_fd_sc_hd__o21ai_1
*I *3374:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3000:A 0.000133908
2 *2893:B 4.51676e-05
3 *2997:B1 0.000122114
4 *3374:Q 0.000251404
5 *757:19 0.00022379
6 *757:8 0.000418232
7 *3000:A *758:17 6.43474e-05
8 *757:8 *758:8 0.000130532
9 *757:8 *758:17 3.31733e-05
10 *757:19 *758:17 9.25219e-05
11 *2893:C *2893:B 2.65831e-05
12 *2981:A2 *2997:B1 6.9102e-05
13 *3005:A2 *3000:A 8.80418e-05
14 *3005:A2 *757:8 1.6917e-05
15 *3005:A2 *757:19 3.92275e-05
16 *3375:D *757:8 0
17 *339:92 *2997:B1 0.000324166
18 *345:27 *2997:B1 3.51034e-05
19 *357:8 *2997:B1 0.000421662
20 *357:8 *3000:A 0.000137746
21 *656:21 *757:8 2.85139e-05
*RES
1 *3374:Q *757:8 19.7659
2 *757:8 *2997:B1 20.0427
3 *757:8 *757:19 1.832
4 *757:19 *2893:B 14.4725
5 *757:19 *3000:A 18.9764
*END
*D_NET *758 0.00323081
*CONN
*I *2893:A I *D sky130_fd_sc_hd__or4_2
*I *2998:A I *D sky130_fd_sc_hd__inv_2
*I *3003:B1 I *D sky130_fd_sc_hd__o21ai_1
*I *3375:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2893:A 4.33845e-05
2 *2998:A 0.000485425
3 *3003:B1 0
4 *3375:Q 0.000190949
5 *758:17 0.000611014
6 *758:8 0.000273153
7 *2893:C *2893:A 9.75243e-05
8 *2893:C *2998:A 0.000140669
9 *3000:A *758:17 6.43474e-05
10 *3001:A1 *2998:A 0.000211478
11 *3001:A2 *2998:A 8.62625e-06
12 *3003:A1 *2998:A 2.25948e-05
13 *3003:A1 *758:8 0
14 *267:7 *2998:A 0.000438944
15 *336:16 *2998:A 8.39059e-05
16 *357:8 *2998:A 1.70077e-05
17 *357:8 *758:17 0.000139435
18 *364:8 *2998:A 3.67528e-06
19 *656:11 *2998:A 2.652e-05
20 *656:21 *758:8 0.000115934
21 *757:8 *758:8 0.000130532
22 *757:8 *758:17 3.31733e-05
23 *757:19 *758:17 9.25219e-05
*RES
1 *3375:Q *758:8 17.6896
2 *758:8 *3003:B1 13.7491
3 *758:8 *758:17 7.993
4 *758:17 *2998:A 38.9081
5 *758:17 *2893:A 10.5271
*END
*D_NET *759 0.00331353
*CONN
*I *2932:A I *D sky130_fd_sc_hd__inv_2
*I *3034:A I *D sky130_fd_sc_hd__nor2_1
*I *3006:B1 I *D sky130_fd_sc_hd__o21a_1
*I *2891:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *3421:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2932:A 0
2 *3034:A 0.000249518
3 *3006:B1 0.000259538
4 *2891:A 0
5 *3421:Q 0.000160426
6 *759:29 0.000400208
7 *759:15 0.000426236
8 *759:7 0.000176434
9 *2896:A *3006:B1 0.000175485
10 *3007:A *759:7 0.000156384
11 *3007:A *759:15 4.33655e-05
12 *3034:B *3034:A 9.36598e-05
13 *3051:A *3034:A 3.58044e-05
14 *3051:B *3034:A 6.50586e-05
15 *3151:A1 *3006:B1 0
16 *3151:A1 *759:29 0
17 *119:14 *3006:B1 4.46059e-05
18 *119:14 *759:29 2.44103e-05
19 *339:35 *3006:B1 4.56667e-05
20 *388:9 *759:7 0.000428134
21 *388:9 *759:15 0.000118166
22 *402:89 *3034:A 4.58003e-05
23 *402:102 *759:29 3.49417e-05
24 *403:7 *3034:A 0.000264614
25 *745:19 *3006:B1 6.50727e-05
*RES
1 *3421:Q *759:7 16.0973
2 *759:7 *2891:A 9.24915
3 *759:7 *759:15 5.778
4 *759:15 *3006:B1 20.902
5 *759:15 *759:29 7.993
6 *759:29 *3034:A 17.2306
7 *759:29 *2932:A 9.24915
*END
*D_NET *760 0.0060119
*CONN
*I *2861:A0 I *D sky130_fd_sc_hd__mux2_1
*I *3328:A1 I *D sky130_fd_sc_hd__mux2_1
*I *3466:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2861:A0 0
2 *3328:A1 0.000295192
3 *3466:Q 0.000424651
4 *760:27 0.00110072
5 *760:5 0.00182056
6 *760:27 *2860:A1 0
7 *760:27 *2862:A0 0
8 *760:27 *2864:A1 0
9 *760:27 *2869:A0 6.28743e-05
10 *760:27 *2873:A0 0.000243745
11 *760:27 *2875:A1 9.31453e-05
12 *760:27 *764:10 7.50872e-05
13 *760:27 *768:13 0
14 *2861:S *760:27 7.50872e-05
15 *3330:A *760:27 0
16 *3342:A *760:27 6.31665e-05
17 *3423:D *760:27 0.000101148
18 *3426:CLK *760:27 0.000398425
19 *3466:CLK *3328:A1 0.000396808
20 *3466:D *3328:A1 0.000100285
21 *3466:D *760:27 1.79672e-05
22 *3470:D *760:27 7.23866e-05
23 *251:23 *760:27 0
24 *251:34 *760:27 0
25 *662:69 *760:27 0.000331014
26 *662:91 *3328:A1 1.41976e-05
27 *682:11 *3328:A1 0.000260374
28 *682:11 *760:27 0
29 *710:9 *760:27 6.50586e-05
*RES
1 *3466:Q *760:5 15.5186
2 *760:5 *3328:A1 17.3994
3 *760:5 *760:27 44.0183
4 *760:27 *2861:A0 9.24915
*END
*D_NET *761 0.00523454
*CONN
*I *2864:A0 I *D sky130_fd_sc_hd__mux2_1
*I *3331:A1 I *D sky130_fd_sc_hd__mux2_1
*I *3467:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2864:A0 0
2 *3331:A1 0.000346523
3 *3467:Q 0
4 *761:30 0.00156998
5 *761:4 0.0019165
6 *761:30 *2865:A0 0
7 *761:30 *2868:A0 0.000392729
8 *761:30 *2868:A1 0.000264612
9 *761:30 *3354:A 0
10 *2865:A1 *761:30 0
11 *2870:A *761:30 3.77659e-05
12 *2882:S *761:30 0.000377259
13 *3425:CLK *761:30 0.000246537
14 *3425:D *761:30 6.96362e-05
15 *155:10 *3331:A1 0
16 *241:24 *761:30 0
17 *241:35 *761:30 0
18 *247:15 *761:30 1.30005e-05
19 *251:34 *761:30 0
20 *251:58 *761:30 0
21 *273:14 *3331:A1 0
22 *407:123 *761:30 0
*RES
1 *3467:Q *761:4 9.24915
2 *761:4 *3331:A1 25.2414
3 *761:4 *761:30 46.7436
4 *761:30 *2864:A0 9.24915
*END
*D_NET *762 0.00436106
*CONN
*I *3334:A1 I *D sky130_fd_sc_hd__mux2_1
*I *2868:A0 I *D sky130_fd_sc_hd__mux2_1
*I *3468:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3334:A1 0.00036354
2 *2868:A0 0.000481568
3 *3468:Q 9.79595e-05
4 *762:6 0.000943067
5 *2868:A0 *2868:A1 4.0752e-05
6 *762:6 *2878:A0 0
7 *870:DIODE *3334:A1 0.000633435
8 *2879:A1 *2868:A0 4.70005e-05
9 *3056:A *3334:A1 0.000113968
10 *3428:D *2868:A0 0.000466964
11 *3430:CLK *2868:A0 1.77537e-06
12 *3468:CLK *2868:A0 2.61857e-05
13 *3468:CLK *3334:A1 5.41377e-05
14 *3468:CLK *762:6 3.18543e-05
15 *247:15 *2868:A0 0.000229607
16 *247:24 *2868:A0 0.000152878
17 *407:123 *2868:A0 0.000119353
18 *407:123 *762:6 3.14544e-05
19 *662:10 *2868:A0 7.05116e-05
20 *662:12 *2868:A0 6.23202e-05
21 *761:30 *2868:A0 0.000392729
*RES
1 *3468:Q *762:6 16.4116
2 *762:6 *2868:A0 33.4776
3 *762:6 *3334:A1 30.7435
*END
*D_NET *763 0.00575091
*CONN
*I *3337:A1 I *D sky130_fd_sc_hd__mux2_1
*I *2871:A0 I *D sky130_fd_sc_hd__mux2_1
*I *3469:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3337:A1 0.000157939
2 *2871:A0 0.00203405
3 *3469:Q 0
4 *763:5 0.00219199
5 *2871:A0 *2881:A0 0.000223881
6 *2871:A0 *773:8 2.32834e-05
7 *871:DIODE *2871:A0 0.000203833
8 *871:DIODE *3337:A1 0.000363359
9 *874:DIODE *3337:A1 0
10 *2870:A *2871:A0 4.69009e-05
11 *3331:S *3337:A1 7.92757e-06
12 *3348:A *3337:A1 0.000154145
13 *3428:D *2871:A0 6.54102e-05
14 *3524:A *2871:A0 0.000206733
15 *3524:A *3337:A1 3.34802e-05
16 *607:21 *3337:A1 3.79805e-05
*RES
1 *3469:Q *763:5 13.7491
2 *763:5 *2871:A0 46.2503
3 *763:5 *3337:A1 19.7687
*END
*D_NET *764 0.00285619
*CONN
*I *2875:A0 I *D sky130_fd_sc_hd__mux2_1
*I *3340:A1 I *D sky130_fd_sc_hd__mux2_1
*I *3470:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2875:A0 0
2 *3340:A1 0.000554083
3 *3470:Q 0.000408135
4 *764:10 0.000962218
5 *3340:A1 *2878:A1 7.48633e-05
6 *2878:S *3340:A1 2.18145e-05
7 *3056:A *3340:A1 5.79399e-05
8 *3340:S *3340:A1 1.07248e-05
9 *3342:A *764:10 3.28898e-06
10 *662:53 *3340:A1 0.000122083
11 *662:87 *3340:A1 0.000195139
12 *682:11 *3340:A1 0.000370815
13 *760:27 *764:10 7.50872e-05
*RES
1 *3470:Q *764:10 24.8261
2 *764:10 *3340:A1 30.6424
3 *764:10 *2875:A0 9.24915
*END
*D_NET *765 0.00220321
*CONN
*I *3343:A1 I *D sky130_fd_sc_hd__mux2_1
*I *2878:A0 I *D sky130_fd_sc_hd__mux2_1
*I *3471:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3343:A1 5.44581e-05
2 *2878:A0 0.000428957
3 *3471:Q 0.000122751
4 *765:8 0.000606166
5 *868:DIODE *2878:A0 0
6 *868:DIODE *765:8 0
7 *2880:A *2878:A0 0
8 *3341:A *2878:A0 4.26659e-05
9 *3341:B *2878:A0 0.000143032
10 *3343:S *3343:A1 2.99287e-05
11 *3471:D *765:8 6.36477e-05
12 *407:123 *2878:A0 0
13 *662:53 *2878:A0 3.08133e-05
14 *686:46 *2878:A0 0.000350962
15 *686:46 *765:8 0.000113374
16 *693:35 *3343:A1 0.000216458
17 *762:6 *2878:A0 0
*RES
1 *3471:Q *765:8 16.7198
2 *765:8 *2878:A0 26.3777
3 *765:8 *3343:A1 16.1364
*END
*D_NET *766 0.00293117
*CONN
*I *3346:A1 I *D sky130_fd_sc_hd__mux2_1
*I *2881:A0 I *D sky130_fd_sc_hd__mux2_1
*I *3472:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3346:A1 0.000226838
2 *2881:A0 0.000322561
3 *3472:Q 0.000107385
4 *766:6 0.000656785
5 *874:DIODE *2881:A0 0.000482223
6 *874:DIODE *766:6 4.04358e-05
7 *2871:A0 *2881:A0 0.000223881
8 *2882:A1 *2881:A0 1.43983e-05
9 *155:10 *2881:A0 0.000509768
10 *155:10 *766:6 0.000187513
11 *607:16 *766:6 3.58457e-05
12 *607:21 *766:6 3.81416e-06
13 *688:25 *3346:A1 1.65872e-05
14 *688:27 *3346:A1 0.000103139
*RES
1 *3472:Q *766:6 17.2421
2 *766:6 *2881:A0 28.3553
3 *766:6 *3346:A1 17.2456
*END
*D_NET *767 0.00419736
*CONN
*I *3349:A1 I *D sky130_fd_sc_hd__mux2_1
*I *2889:A I *D sky130_fd_sc_hd__or2_1
*I *3473:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3349:A1 0
2 *2889:A 0.000934181
3 *3473:Q 0.000484521
4 *767:10 0.0014187
5 *2889:A *2881:A1 3.77659e-05
6 *2881:S *2889:A 0.000127179
7 *2883:A *2889:A 3.23749e-05
8 *3334:S *2889:A 0
9 *3429:D *2889:A 0.00017419
10 *3430:D *2889:A 0
11 *154:10 *2889:A 7.0896e-05
12 *154:14 *2889:A 0.000329637
13 *239:8 *2889:A 6.28598e-05
14 *239:10 *2889:A 5.22654e-06
15 *247:8 *2889:A 0.000217587
16 *608:20 *2889:A 0
17 *608:20 *767:10 1.16546e-05
18 *640:150 *2889:A 0
19 *640:156 *2889:A 0
20 *684:26 *2889:A 1.66771e-05
21 *685:25 *2889:A 7.50872e-05
22 *685:25 *767:10 6.67958e-05
23 *688:25 *767:10 0
24 *689:79 *767:10 1.5714e-05
25 *693:35 *767:10 0.000116314
*RES
1 *3473:Q *767:10 22.5806
2 *767:10 *2889:A 42.1573
3 *767:10 *3349:A1 13.7491
*END
*D_NET *768 0.00222732
*CONN
*I *2861:A1 I *D sky130_fd_sc_hd__mux2_1
*I *2860:A1 I *D sky130_fd_sc_hd__a22o_1
*I *3423:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2861:A1 0
2 *2860:A1 0.000375418
3 *3423:Q 0.000336479
4 *768:13 0.000711897
5 *2860:A1 *2864:A1 5.04879e-05
6 *2860:B1 *2860:A1 0.000294211
7 *2861:S *2860:A1 0
8 *2861:S *768:13 9.35753e-06
9 *3422:D *2860:A1 2.55493e-05
10 *251:6 *2860:A1 0
11 *710:9 *2860:A1 0.000220183
12 *710:9 *768:13 0.000203739
13 *760:27 *2860:A1 0
14 *760:27 *768:13 0
*RES
1 *3423:Q *768:13 26.8194
2 *768:13 *2860:A1 29.9429
3 *768:13 *2861:A1 9.24915
*END
*D_NET *769 0.00215758
*CONN
*I *2862:A0 I *D sky130_fd_sc_hd__mux2_1
*I *2864:A1 I *D sky130_fd_sc_hd__mux2_1
*I *3424:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2862:A0 4.74978e-05
2 *2864:A1 0.000455774
3 *3424:Q 0.000144056
4 *769:7 0.000647327
5 *2859:B *2864:A1 6.98314e-05
6 *2860:A1 *2864:A1 5.04879e-05
7 *2862:A1 *2862:A0 6.64609e-05
8 *2862:A1 *2864:A1 0.000196623
9 *3355:C *2864:A1 0.000217923
10 *3423:CLK *769:7 0.000207266
11 *251:23 *2864:A1 5.43333e-05
12 *760:27 *2862:A0 0
13 *760:27 *2864:A1 0
*RES
1 *3424:Q *769:7 16.1364
2 *769:7 *2864:A1 24.6152
3 *769:7 *2862:A0 15.1659
*END
*D_NET *770 0.000954332
*CONN
*I *2868:A1 I *D sky130_fd_sc_hd__mux2_1
*I *2865:A0 I *D sky130_fd_sc_hd__mux2_1
*I *3425:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2868:A1 0.000238063
2 *2865:A0 4.70787e-05
3 *3425:Q 0
4 *770:4 0.000285142
5 *2868:A0 *2868:A1 4.0752e-05
6 *407:123 *2865:A0 7.86847e-05
7 *761:30 *2865:A0 0
8 *761:30 *2868:A1 0.000264612
*RES
1 *3425:Q *770:4 9.24915
2 *770:4 *2865:A0 19.6659
3 *770:4 *2868:A1 13.3002
*END
*D_NET *771 0.00119807
*CONN
*I *2871:A1 I *D sky130_fd_sc_hd__mux2_1
*I *2869:A0 I *D sky130_fd_sc_hd__mux2_1
*I *3426:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2871:A1 4.48755e-05
2 *2869:A0 0.000256488
3 *3426:Q 5.38534e-05
4 *771:7 0.000355217
5 *2869:A1 *2869:A0 0
6 *2869:S *2869:A0 5.56461e-05
7 *2873:S *2869:A0 7.06457e-05
8 *3426:CLK *2869:A0 8.52652e-05
9 *3426:CLK *2871:A1 0.000127179
10 *247:24 *2869:A0 2.71542e-05
11 *247:24 *2871:A1 5.36397e-05
12 *247:33 *2871:A1 5.22654e-06
13 *251:58 *2869:A0 0
14 *760:27 *2869:A0 6.28743e-05
*RES
1 *3426:Q *771:7 14.4725
2 *771:7 *2869:A0 21.7084
3 *771:7 *2871:A1 15.9964
*END
*D_NET *772 0.00204991
*CONN
*I *2875:A1 I *D sky130_fd_sc_hd__mux2_1
*I *2873:A0 I *D sky130_fd_sc_hd__mux2_1
*I *3427:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2875:A1 0.000112429
2 *2873:A0 0.000225897
3 *3427:Q 0.000335772
4 *772:12 0.000674098
5 *2873:A1 *2873:A0 0.000268195
6 *2877:A *2873:A0 0
7 *2877:A *2875:A1 0
8 *2877:A *772:12 3.15702e-05
9 *3427:D *2873:A0 0
10 *3427:D *772:12 6.50586e-05
11 *760:27 *2873:A0 0.000243745
12 *760:27 *2875:A1 9.31453e-05
*RES
1 *3427:Q *772:12 22.133
2 *772:12 *2873:A0 22.8481
3 *772:12 *2875:A1 17.4498
*END
*D_NET *773 0.00149132
*CONN
*I *2878:A1 I *D sky130_fd_sc_hd__mux2_1
*I *2876:A0 I *D sky130_fd_sc_hd__mux2_1
*I *3428:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2878:A1 0.000195577
2 *2876:A0 0
3 *3428:Q 0.000215153
4 *773:8 0.000410729
5 *2871:A0 *773:8 2.32834e-05
6 *2876:A1 *2878:A1 0.000127164
7 *2878:S *2878:A1 0.000224381
8 *3340:A1 *2878:A1 7.48633e-05
9 *247:24 *773:8 0
10 *247:33 *2878:A1 0
11 *247:33 *773:8 0
12 *251:58 *773:8 9.77871e-05
13 *662:53 *2878:A1 0.000122378
*RES
1 *3428:Q *773:8 19.49
2 *773:8 *2876:A0 13.7491
3 *773:8 *2878:A1 21.1538
*END
*D_NET *774 0.00110268
*CONN
*I *2879:A0 I *D sky130_fd_sc_hd__mux2_1
*I *2881:A1 I *D sky130_fd_sc_hd__mux2_1
*I *3429:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2879:A0 0.000192334
2 *2881:A1 0.000169483
3 *3429:Q 0
4 *774:4 0.000361817
5 *883:DIODE *2879:A0 5.44727e-05
6 *883:DIODE *2881:A1 3.93679e-06
7 *2879:S *2879:A0 0.000118166
8 *2883:A *2881:A1 9.96342e-05
9 *2889:A *2881:A1 3.77659e-05
10 *3429:D *2881:A1 6.50727e-05
*RES
1 *3429:Q *774:4 9.24915
2 *774:4 *2881:A1 21.9137
3 *774:4 *2879:A0 14.4335
*END
*D_NET *775 0.00108853
*CONN
*I *2882:A0 I *D sky130_fd_sc_hd__mux2_1
*I *2890:A1 I *D sky130_fd_sc_hd__o31a_1
*I *3430:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2882:A0 4.42739e-05
2 *2890:A1 0.000172976
3 *3430:Q 7.14967e-05
4 *775:7 0.000288746
5 *2856:A *2890:A1 2.65667e-05
6 *2890:A3 *2890:A1 2.15266e-05
7 *155:10 *2882:A0 0
8 *155:10 *2890:A1 0
9 *247:8 *775:7 0.000118166
10 *640:156 *2882:A0 7.50872e-05
11 *640:156 *2890:A1 0.000269694
*RES
1 *3430:Q *775:7 15.0271
2 *775:7 *2890:A1 19.2113
3 *775:7 *2882:A0 15.1659
*END
*D_NET *776 0.002237
*CONN
*I *2860:B2 I *D sky130_fd_sc_hd__a22o_1
*I *3216:A0 I *D sky130_fd_sc_hd__mux2_1
*I *3422:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2860:B2 0
2 *3216:A0 0.000200525
3 *3422:Q 0.00067554
4 *776:8 0.000876065
5 *2860:B1 *776:8 4.26859e-05
6 *3212:A *3216:A0 4.90829e-05
7 *3216:A1 *3216:A0 7.48797e-05
8 *3216:S *3216:A0 0.000115934
9 *3422:CLK *776:8 2.65831e-05
10 *3422:D *776:8 0.000123361
11 *241:15 *3216:A0 1.69261e-05
12 *241:15 *776:8 3.54138e-05
*RES
1 *3422:Q *776:8 25.0388
2 *776:8 *3216:A0 20.0446
3 *776:8 *2860:B2 13.7491
*END
*D_NET *777 0.00445169
*CONN
*I *3354:A I *D sky130_fd_sc_hd__inv_2
*I *3356:A1 I *D sky130_fd_sc_hd__a211oi_1
*I *3359:B I *D sky130_fd_sc_hd__or4_1
*I *2845:B I *D sky130_fd_sc_hd__nor4_1
*I *3475:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3354:A 0.000269191
2 *3356:A1 0
3 *3359:B 6.91651e-05
4 *2845:B 0.000245454
5 *3475:Q 4.85009e-05
6 *777:15 0.000859728
7 *777:11 0.000933764
8 *777:7 0.000706348
9 *2845:B *2845:A 6.08467e-05
10 *2845:B *2845:C 0.000353686
11 *2845:B *3360:A 1.00846e-05
12 *3359:B *779:8 0
13 *3359:B *779:19 3.20069e-06
14 *777:15 *3360:A 2.41274e-06
15 *2860:A2 *777:11 7.04973e-05
16 *2860:A2 *777:15 3.75603e-05
17 *2865:A1 *3354:A 0.000116971
18 *2872:A *777:11 0
19 *3360:B *2845:B 0.000113382
20 *3361:C *2845:B 6.404e-05
21 *3399:D *3359:B 0
22 *3422:CLK *777:7 1.43983e-05
23 *241:15 *3354:A 0
24 *241:15 *777:11 0
25 *241:24 *3354:A 0
26 *407:123 *3354:A 0.000120773
27 *407:123 *777:11 8.8567e-05
28 *710:9 *3354:A 0.000263116
29 *761:30 *3354:A 0
*RES
1 *3475:Q *777:7 14.4725
2 *777:7 *777:11 12.044
3 *777:11 *777:15 9.04245
4 *777:15 *2845:B 16.2661
5 *777:15 *3359:B 19.6659
6 *777:11 *3356:A1 9.24915
7 *777:7 *3354:A 22.2602
*END
*D_NET *778 0.00430546
*CONN
*I *3357:C1 I *D sky130_fd_sc_hd__a211oi_1
*I *2845:A I *D sky130_fd_sc_hd__nor4_1
*I *3359:A I *D sky130_fd_sc_hd__or4_1
*I *3358:A1 I *D sky130_fd_sc_hd__a311oi_1
*I *3476:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3357:C1 0.000167671
2 *2845:A 1.98947e-05
3 *3359:A 0.000126012
4 *3358:A1 0.000188309
5 *3476:Q 0.000114501
6 *778:23 0.000271406
7 *778:21 0.000362529
8 *778:8 0.000372169
9 *3358:A1 *780:6 1.9101e-05
10 *778:21 *780:6 1.46723e-05
11 *2845:B *2845:A 6.08467e-05
12 *2857:B *3359:A 0.000317707
13 *3357:B1 *3357:C1 0.000220183
14 *3358:C1 *3358:A1 7.7434e-05
15 *3361:C *2845:A 2.16355e-05
16 *3362:A2 *778:23 0.000122083
17 *3400:D *3359:A 0.000176222
18 *3400:D *778:8 1.9101e-05
19 *3400:D *778:21 0.000155006
20 *3400:D *778:23 0.000353507
21 *3476:D *778:8 0.000164829
22 *3523:A *3357:C1 0.000280585
23 *235:43 *3359:A 0.000321919
24 *262:35 *3357:C1 2.99978e-05
25 *262:35 *3359:A 3.31736e-05
26 *262:35 *778:21 3.46062e-05
27 *262:35 *778:23 7.90257e-05
28 *629:8 *3359:A 0.000113374
29 *629:8 *778:23 6.79599e-05
*RES
1 *3476:Q *778:8 15.9604
2 *778:8 *3358:A1 16.7004
3 *778:8 *778:21 2.87013
4 *778:21 *778:23 6.39977
5 *778:23 *3359:A 20.7386
6 *778:23 *2845:A 14.4725
7 *778:21 *3357:C1 19.4881
*END
*D_NET *779 0.00309738
*CONN
*I *3362:A1 I *D sky130_fd_sc_hd__o21a_1
*I *3360:A I *D sky130_fd_sc_hd__xor2_1
*I *2845:C I *D sky130_fd_sc_hd__nor4_1
*I *3477:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3362:A1 0.000271988
2 *3360:A 5.08564e-05
3 *2845:C 0.000279624
4 *3477:Q 0.00012891
5 *779:19 0.000493822
6 *779:8 0.000579511
7 *2845:C *2845:D 4.30017e-06
8 *2845:B *2845:C 0.000353686
9 *2845:B *3360:A 1.00846e-05
10 *2857:B *779:8 0.0002646
11 *3359:B *779:8 0
12 *3359:B *779:19 3.20069e-06
13 *3359:C *779:19 0
14 *3360:B *3360:A 3.57324e-05
15 *3361:C *2845:C 4.89898e-06
16 *3399:D *3362:A1 0
17 *3506:A *3362:A1 0.000120116
18 *3522:A *3362:A1 0
19 *3522:A *779:8 3.42931e-05
20 *3522:A *779:19 0
21 *3523:A *3362:A1 0
22 *237:16 *3362:A1 0.000247846
23 *629:8 *779:8 0.000211492
24 *777:15 *3360:A 2.41274e-06
*RES
1 *3477:Q *779:8 18.523
2 *779:8 *2845:C 18.3548
3 *779:8 *779:19 2.71135
4 *779:19 *3360:A 15.0271
5 *779:19 *3362:A1 21.2102
*END
*D_NET *780 0.00181626
*CONN
*I *3362:B1 I *D sky130_fd_sc_hd__o21a_1
*I *2845:D I *D sky130_fd_sc_hd__nor4_1
*I *3478:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3362:B1 0
2 *2845:D 0.000146796
3 *3478:Q 0.000270456
4 *780:6 0.000417252
5 *2845:C *2845:D 4.30017e-06
6 *2857:B *2845:D 2.65667e-05
7 *3176:A *2845:D 1.77537e-06
8 *3176:A *780:6 6.51589e-05
9 *3358:A1 *780:6 1.9101e-05
10 *3361:C *2845:D 0.000118166
11 *155:10 *780:6 0
12 *262:35 *2845:D 0.000163997
13 *262:35 *780:6 0.000261007
14 *503:6 *780:6 0.000137921
15 *503:26 *780:6 0.000169093
16 *711:10 *2845:D 0
17 *711:10 *780:6 0
18 *778:21 *780:6 1.46723e-05
*RES
1 *3478:Q *780:6 23.4709
2 *780:6 *2845:D 18.1049
3 *780:6 *3362:B1 13.7491
*END
*D_NET *781 0.00121589
*CONN
*I *2855:A I *D sky130_fd_sc_hd__nand2_1
*I *2888:A I *D sky130_fd_sc_hd__and2_1
*I *3449:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2855:A 9.26235e-05
2 *2888:A 0
3 *3449:Q 0.000230853
4 *781:10 0.000323476
5 *3331:A0 *781:10 4.15559e-05
6 *230:62 *2855:A 0.000185675
7 *503:26 *2855:A 0.00034171
*RES
1 *3449:Q *781:10 22.329
2 *781:10 *2888:A 9.24915
3 *781:10 *2855:A 14.2165
*END
*D_NET *782 0.00397663
*CONN
*I *3180:B I *D sky130_fd_sc_hd__or3_1
*I *2850:D I *D sky130_fd_sc_hd__or4_2
*I *3177:C1 I *D sky130_fd_sc_hd__a211o_1
*I *3179:A1 I *D sky130_fd_sc_hd__o21ai_1
*I *3175:A I *D sky130_fd_sc_hd__nand2_1
*I *3411:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3180:B 7.45149e-05
2 *2850:D 0.000156999
3 *3177:C1 0.000138102
4 *3179:A1 5.37953e-05
5 *3175:A 9.26849e-05
6 *3411:Q 0
7 *782:39 0.000571083
8 *782:27 0.000534602
9 *782:8 0.000234714
10 *782:5 0.000145165
11 *2850:D *3180:A 0.000164825
12 *3175:B *3175:A 4.65954e-06
13 *3177:A1 *3177:C1 7.06733e-06
14 *3177:B1 *3175:A 5.28741e-05
15 *3177:B1 *3177:C1 1.05106e-05
16 *3183:B1 *782:39 0.000224395
17 *3412:D *782:39 3.99086e-06
18 *335:24 *3175:A 0.000163249
19 *335:24 *3179:A1 7.85867e-05
20 *341:8 *3180:B 0.000127194
21 *341:8 *782:39 9.75356e-05
22 *348:8 *782:8 0.000250843
23 *348:8 *782:27 0.000168546
24 *501:34 *3175:A 0.000103026
25 *501:34 *3179:A1 0.000324166
26 *506:8 *3180:B 3.67528e-06
27 *684:8 *782:8 0.000109048
28 *684:8 *782:27 8.07794e-05
29 *734:30 *3180:B 0
30 *734:30 *782:39 0
*RES
1 *3411:Q *782:5 13.7491
2 *782:5 *782:8 8.82351
3 *782:8 *3175:A 14.4576
4 *782:8 *3179:A1 12.7456
5 *782:5 *782:27 7.57775
6 *782:27 *3177:C1 12.2151
7 *782:27 *782:39 12.6014
8 *782:39 *2850:D 16.7151
9 *782:39 *3180:B 15.9964
*END
*D_NET *783 0.00293884
*CONN
*I *2850:C I *D sky130_fd_sc_hd__or4_2
*I *3180:A I *D sky130_fd_sc_hd__or3_1
*I *3179:B1 I *D sky130_fd_sc_hd__o21ai_1
*I *3412:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2850:C 0
2 *3180:A 8.99336e-05
3 *3179:B1 0.000116224
4 *3412:Q 0
5 *783:19 0.000281354
6 *783:5 0.000307645
7 *2850:D *3180:A 0.000164825
8 *3180:C *3180:A 0.000269737
9 *3202:B1 *3179:B1 0.000148144
10 *3202:B1 *783:19 0.00027273
11 *282:17 *3180:A 7.92757e-06
12 *335:24 *3179:B1 0.000204647
13 *341:8 *783:19 0
14 *501:26 *3179:B1 0.000477015
15 *686:18 *3179:B1 0.000148144
16 *686:18 *783:19 0.000450517
*RES
1 *3412:Q *783:5 13.7491
2 *783:5 *3179:B1 21.5719
3 *783:5 *783:19 12.8783
4 *783:19 *3180:A 14.0718
5 *783:19 *2850:C 9.24915
*END
*D_NET *784 0.00128489
*CONN
*I *3185:A I *D sky130_fd_sc_hd__or2_1
*I *3184:A I *D sky130_fd_sc_hd__and2_1
*I *2850:B I *D sky130_fd_sc_hd__or4_2
*I *3413:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3185:A 0.000104872
2 *3184:A 0
3 *2850:B 8.11416e-05
4 *3413:Q 8.75653e-05
5 *784:17 0.00014965
6 *784:5 0.000213485
7 *3185:B *3185:A 0.000169041
8 *3185:B *784:5 1.92172e-05
9 *3185:B *784:17 1.92336e-05
10 *3187:A1 *784:5 0.000222149
11 *3188:B *3185:A 4.80635e-06
12 *341:8 *2850:B 0
13 *506:19 *784:5 0.000144695
14 *510:8 *3185:A 4.33655e-05
15 *686:18 *2850:B 2.56676e-05
*RES
1 *3413:Q *784:5 13.3002
2 *784:5 *2850:B 20.0811
3 *784:5 *784:17 1.30211
4 *784:17 *3184:A 9.24915
5 *784:17 *3185:A 12.7697
*END
*D_NET *785 0.00337254
*CONN
*I *3189:C1 I *D sky130_fd_sc_hd__a211o_1
*I *3188:A I *D sky130_fd_sc_hd__nand2_1
*I *2850:A I *D sky130_fd_sc_hd__or4_2
*I *3414:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3189:C1 0.000203168
2 *3188:A 6.07146e-05
3 *2850:A 0.000283715
4 *3414:Q 0.000394169
5 *785:8 0.000404262
6 *785:5 0.000657168
7 *869:DIODE *785:5 0.000161298
8 *3180:C *2850:A 0.000413252
9 *3188:B *3188:A 6.08467e-05
10 *3189:B1 *3189:C1 1.35212e-05
11 *3190:A1 *3188:A 0.000111722
12 *3414:CLK *2850:A 1.37926e-05
13 *3414:CLK *3189:C1 6.08467e-05
14 *3414:CLK *785:8 2.0517e-05
15 *3414:D *3189:C1 2.13584e-05
16 *335:10 *2850:A 0.000225799
17 *335:10 *785:8 9.24241e-05
18 *503:26 *3189:C1 7.26888e-05
19 *503:26 *785:5 1.04187e-05
20 *659:55 *3188:A 4.56831e-05
21 *659:56 *2850:A 4.51753e-05
*RES
1 *3414:Q *785:5 15.5186
2 *785:5 *785:8 6.332
3 *785:8 *2850:A 22.6783
4 *785:8 *3188:A 16.1605
5 *785:5 *3189:C1 14.4576
*END
*D_NET *786 0.00377996
*CONN
*I *3194:B I *D sky130_fd_sc_hd__or4_2
*I *2886:A I *D sky130_fd_sc_hd__or3_2
*I *2852:B I *D sky130_fd_sc_hd__or4_2
*I *3192:B1 I *D sky130_fd_sc_hd__a2111o_1
*I *3191:B1 I *D sky130_fd_sc_hd__o21ai_1
*I *3415:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3194:B 0
2 *2886:A 9.79541e-05
3 *2852:B 0.000278839
4 *3192:B1 0
5 *3191:B1 0.000137222
6 *3415:Q 4.5018e-05
7 *786:30 0.00042031
8 *786:26 0.000316588
9 *786:12 0.000481756
10 *786:5 0.000662622
11 *2853:A *786:26 0.000102681
12 *2853:A *786:30 3.00073e-05
13 *2886:C *2852:B 2.97556e-05
14 *2886:C *2886:A 0.000118166
15 *3192:A1 *3191:B1 1.09551e-05
16 *3192:A2 *786:26 0
17 *3192:C1 *3191:B1 3.14978e-05
18 *3192:D1 *3191:B1 0.0002646
19 *3193:A2 *3191:B1 0.000171273
20 *3195:B *786:26 6.94439e-05
21 *3195:B *786:30 7.50872e-05
22 *3197:A1 *2852:B 0.000264586
23 *230:26 *786:26 0
24 *233:17 *3191:B1 6.50586e-05
25 *235:23 *2886:A 1.65872e-05
26 *235:43 *2886:A 5.04829e-06
27 *402:41 *786:12 0
28 *501:20 *3191:B1 9.82896e-06
29 *709:12 *786:12 7.50722e-05
30 *709:12 *786:26 0
*RES
1 *3415:Q *786:5 9.97254
2 *786:5 *786:12 14.4678
3 *786:12 *3191:B1 14.8434
4 *786:12 *3192:B1 9.24915
5 *786:5 *786:26 11.315
6 *786:26 *786:30 5.91674
7 *786:30 *2852:B 13.8548
8 *786:30 *2886:A 12.0704
9 *786:26 *3194:B 13.7491
*END
*D_NET *787 0.000592795
*CONN
*I *2852:A I *D sky130_fd_sc_hd__or4_2
*I *2884:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *3416:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2852:A 7.3839e-05
2 *2884:A 2.15148e-05
3 *3416:Q 0.000128236
4 *787:7 0.00022359
5 *2852:D *2852:A 3.10924e-05
6 *2852:D *2884:A 2.71397e-05
7 *3196:B1 *2852:A 0
8 *232:17 *787:7 9.77091e-06
9 *659:61 *2852:A 0
10 *709:12 *2852:A 5.04734e-05
11 *709:12 *2884:A 2.71397e-05
*RES
1 *3416:Q *787:7 15.5817
2 *787:7 *2884:A 14.7506
3 *787:7 *2852:A 15.9964
*END
*D_NET *788 0.000877458
*CONN
*I *2885:B I *D sky130_fd_sc_hd__or4_1
*I *2851:B I *D sky130_fd_sc_hd__or2_1
*I *3198:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *3417:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2885:B 4.44951e-05
2 *2851:B 9.13232e-05
3 *3198:A 2.19858e-05
4 *3417:Q 8.43631e-05
5 *788:8 0.000205854
6 *788:5 0.000221403
7 *2885:D *2851:B 6.31809e-05
8 *2885:D *2885:B 4.30017e-06
9 *2885:D *788:8 6.46135e-05
10 *3208:C *2885:B 2.52287e-06
11 *3208:C *788:5 8.35699e-06
12 *3208:C *788:8 0
13 *516:12 *2851:B 0
14 *516:12 *788:8 0
15 *519:11 *3198:A 6.50586e-05
*RES
1 *3417:Q *788:5 10.5271
2 *788:5 *788:8 7.1625
3 *788:8 *3198:A 14.4725
4 *788:8 *2851:B 16.4116
5 *788:5 *2885:B 9.97254
*END
*D_NET *789 0.00324479
*CONN
*I *3208:A I *D sky130_fd_sc_hd__or3_1
*I *3204:B1 I *D sky130_fd_sc_hd__o31ai_1
*I *3203:A I *D sky130_fd_sc_hd__or4_1
*I *2851:A I *D sky130_fd_sc_hd__or2_1
*I *2885:A I *D sky130_fd_sc_hd__or4_1
*I *3418:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3208:A 1.6005e-05
2 *3204:B1 0
3 *3203:A 0
4 *2851:A 0.00012673
5 *2885:A 0.000117641
6 *3418:Q 0.000118752
7 *789:23 0.000489199
8 *789:19 0.000528955
9 *789:13 0.000348885
10 *789:9 0.000199515
11 *2885:D *2851:A 6.14273e-05
12 *2885:D *2885:A 9.77871e-05
13 *2887:A *2851:A 4.15143e-05
14 *2887:A *2885:A 4.01437e-05
15 *3203:C *789:23 0.000271058
16 *3204:A1 *789:19 0
17 *3204:A3 *789:19 0
18 *3206:A2 *2851:A 4.58003e-05
19 *3206:B1 *789:19 2.99287e-05
20 *3206:B2 *789:13 0.000161234
21 *3208:C *3208:A 2.91008e-06
22 *3208:C *789:13 0.000159728
23 *3400:CLK *789:9 6.23875e-05
24 *3400:CLK *789:13 1.65872e-05
25 *3418:CLK *789:19 1.3262e-05
26 *519:29 *789:23 5.20545e-05
27 *519:38 *789:23 2.42138e-05
28 *659:10 *789:19 7.52407e-05
29 *707:12 *789:9 4.27003e-05
30 *708:12 *789:9 0.000101133
31 *709:12 *2885:A 0
*RES
1 *3418:Q *789:9 21.9137
2 *789:9 *789:13 2.96592
3 *789:13 *789:19 15.8501
4 *789:19 *789:23 11.324
5 *789:23 *2885:A 17.6574
6 *789:23 *2851:A 17.6896
7 *789:19 *3203:A 9.24915
8 *789:13 *3204:B1 9.24915
9 *789:9 *3208:A 9.82786
*END
*D_NET *790 0.00172071
*CONN
*I *2848:B I *D sky130_fd_sc_hd__or2_1
*I *3211:A1 I *D sky130_fd_sc_hd__o21a_1
*I *3209:A I *D sky130_fd_sc_hd__xor2_1
*I *3419:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2848:B 0.000113781
2 *3211:A1 2.33008e-05
3 *3209:A 0
4 *3419:Q 0.000233192
5 *790:18 0.000289591
6 *790:7 0.000385701
7 *2848:B *2848:A 0
8 *790:18 *2848:A 0
9 *790:18 *791:8 0
10 *3207:A *2848:B 0.000190042
11 *3207:A *790:18 5.92192e-05
12 *3210:B1 *790:7 0.000228593
13 *3210:B1 *790:18 0
14 *3211:A2 *3211:A1 5.04829e-06
15 *3211:A2 *790:18 0.000127179
16 *3400:D *2848:B 0
17 *363:31 *3211:A1 6.50586e-05
*RES
1 *3419:Q *790:7 15.398
2 *790:7 *3209:A 9.24915
3 *790:7 *790:18 8.87986
4 *790:18 *3211:A1 14.4725
5 *790:18 *2848:B 17.2421
*END
*D_NET *791 0.00123353
*CONN
*I *2848:A I *D sky130_fd_sc_hd__or2_1
*I *3211:B1 I *D sky130_fd_sc_hd__o21a_1
*I *3420:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2848:A 0.000254015
2 *3211:B1 0
3 *3420:Q 0.000110556
4 *791:8 0.000364571
5 *2848:B *2848:A 0
6 *3211:A2 *791:8 2.69064e-05
7 *3400:D *2848:A 0.000187997
8 *3400:D *791:8 0.000127179
9 *232:5 *2848:A 1.19856e-05
10 *232:13 *2848:A 0.000150321
11 *790:18 *2848:A 0
12 *790:18 *791:8 0
*RES
1 *3420:Q *791:8 16.7198
2 *791:8 *3211:B1 13.7491
3 *791:8 *2848:A 21.3173
*END