blob: 15cf3fb601c986d81ce886567685df7784d205fc [file] [log] [blame]
===========================================================================
report_checks -path_delay min (Hold)
============================================================================
Startpoint: _4835_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _4843_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.15 0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (ideal)
0.15 0.00 0.00 ^ _4835_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.06 0.35 0.35 ^ _4835_/Q (sky130_fd_sc_hd__dfxtp_2)
2 0.01 spi.pending_data (net)
0.06 0.01 0.35 ^ _4424_/A1 (sky130_fd_sc_hd__o21ai_2)
0.04 0.06 0.41 v _4424_/Y (sky130_fd_sc_hd__o21ai_2)
2 0.01 _0164_ (net)
0.04 0.00 0.42 v _4843_/D (sky130_fd_sc_hd__dfxtp_2)
0.42 data arrival time
0.15 0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (ideal)
0.25 0.25 clock uncertainty
0.00 0.25 clock reconvergence pessimism
0.25 ^ _4843_/CLK (sky130_fd_sc_hd__dfxtp_2)
-0.02 0.23 library hold time
0.23 data required time
-----------------------------------------------------------------------------
0.23 data required time
-0.42 data arrival time
-----------------------------------------------------------------------------
0.19 slack (MET)
Startpoint: _4699_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _4699_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.15 0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (ideal)
0.15 0.00 0.00 ^ _4699_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.03 0.33 0.33 v _4699_/Q (sky130_fd_sc_hd__dfxtp_2)
2 0.01 uart.rxm.prescaler[6] (net)
0.03 0.00 0.33 v _3443_/B1 (sky130_fd_sc_hd__o21ai_2)
0.05 0.05 0.38 ^ _3443_/Y (sky130_fd_sc_hd__o21ai_2)
1 0.00 _1022_ (net)
0.05 0.01 0.39 ^ _3449_/A1 (sky130_fd_sc_hd__a21oi_2)
0.03 0.04 0.43 v _3449_/Y (sky130_fd_sc_hd__a21oi_2)
1 0.00 _0030_ (net)
0.03 0.00 0.43 v _4699_/D (sky130_fd_sc_hd__dfxtp_2)
0.43 data arrival time
0.15 0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (ideal)
0.25 0.25 clock uncertainty
0.00 0.25 clock reconvergence pessimism
0.25 ^ _4699_/CLK (sky130_fd_sc_hd__dfxtp_2)
-0.02 0.23 library hold time
0.23 data required time
-----------------------------------------------------------------------------
0.23 data required time
-0.43 data arrival time
-----------------------------------------------------------------------------
0.20 slack (MET)
Startpoint: _4904_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _4692_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.15 0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (ideal)
0.15 0.00 0.00 ^ _4904_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.07 0.35 0.35 ^ _4904_/Q (sky130_fd_sc_hd__dfxtp_2)
3 0.01 uart.rxm.data_count[3] (net)
0.07 0.01 0.36 ^ _3380_/A (sky130_fd_sc_hd__nor3_2)
0.05 0.07 0.42 v _3380_/Y (sky130_fd_sc_hd__nor3_2)
5 0.02 _0023_ (net)
0.05 0.00 0.43 v _4692_/D (sky130_fd_sc_hd__dfxtp_2)
0.43 data arrival time
0.15 0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (ideal)
0.25 0.25 clock uncertainty
0.00 0.25 clock reconvergence pessimism
0.25 ^ _4692_/CLK (sky130_fd_sc_hd__dfxtp_2)
-0.02 0.23 library hold time
0.23 data required time
-----------------------------------------------------------------------------
0.23 data required time
-0.43 data arrival time
-----------------------------------------------------------------------------
0.20 slack (MET)
Startpoint: _4729_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _4729_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.15 0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (ideal)
0.15 0.00 0.00 ^ _4729_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.03 0.33 0.33 v _4729_/Q (sky130_fd_sc_hd__dfxtp_2)
2 0.01 uart.txm.prescaler[1] (net)
0.03 0.00 0.33 v _3586_/B1 (sky130_fd_sc_hd__o21ai_2)
0.05 0.05 0.38 ^ _3586_/Y (sky130_fd_sc_hd__o21ai_2)
1 0.00 _1135_ (net)
0.05 0.01 0.39 ^ _3590_/A1 (sky130_fd_sc_hd__a21oi_2)
0.03 0.04 0.43 v _3590_/Y (sky130_fd_sc_hd__a21oi_2)
1 0.00 _0060_ (net)
0.03 0.00 0.43 v _4729_/D (sky130_fd_sc_hd__dfxtp_2)
0.43 data arrival time
0.15 0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (ideal)
0.25 0.25 clock uncertainty
0.00 0.25 clock reconvergence pessimism
0.25 ^ _4729_/CLK (sky130_fd_sc_hd__dfxtp_2)
-0.02 0.23 library hold time
0.23 data required time
-----------------------------------------------------------------------------
0.23 data required time
-0.43 data arrival time
-----------------------------------------------------------------------------
0.20 slack (MET)
Startpoint: _4850_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _4850_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.15 0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (ideal)
0.15 0.00 0.00 ^ _4850_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.04 0.33 0.33 ^ _4850_/Q (sky130_fd_sc_hd__dfxtp_2)
2 0.01 spi.bit_sso (net)
0.04 0.00 0.34 ^ _4489_/A1 (sky130_fd_sc_hd__a21o_2)
0.02 0.10 0.43 ^ _4489_/X (sky130_fd_sc_hd__a21o_2)
1 0.00 _0171_ (net)
0.02 0.00 0.44 ^ _4850_/D (sky130_fd_sc_hd__dfxtp_2)
0.44 data arrival time
0.15 0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (ideal)
0.25 0.25 clock uncertainty
0.00 0.25 clock reconvergence pessimism
0.25 ^ _4850_/CLK (sky130_fd_sc_hd__dfxtp_2)
-0.01 0.24 library hold time
0.24 data required time
-----------------------------------------------------------------------------
0.24 data required time
-0.44 data arrival time
-----------------------------------------------------------------------------
0.20 slack (MET)