blob: acab724403980cbd263deffbcad7324d47e4fd75 [file] [log] [blame]
===========================================================================
report_checks -path_delay min (Hold)
============================================================================
Startpoint: _4835_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _4835_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.15 0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (ideal)
0.15 0.00 0.00 ^ _4835_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.04 0.32 0.32 v _4835_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 spi.pending_data (net)
0.04 0.00 0.32 v _4437_/A (sky130_fd_sc_hd__nand2_1)
0.04 0.05 0.37 ^ _4437_/Y (sky130_fd_sc_hd__nand2_1)
1 0.00 _1889_ (net)
0.04 0.00 0.37 ^ _4438_/A2 (sky130_fd_sc_hd__a21oi_1)
0.04 0.05 0.43 v _4438_/Y (sky130_fd_sc_hd__a21oi_1)
1 0.00 _0156_ (net)
0.04 0.00 0.43 v _4835_/D (sky130_fd_sc_hd__dfxtp_1)
0.43 data arrival time
0.15 0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (ideal)
0.25 0.25 clock uncertainty
0.00 0.25 clock reconvergence pessimism
0.25 ^ _4835_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.03 0.22 library hold time
0.22 data required time
-----------------------------------------------------------------------------
0.22 data required time
-0.43 data arrival time
-----------------------------------------------------------------------------
0.20 slack (MET)
Startpoint: _4693_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _4693_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.15 0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (ideal)
0.15 0.00 0.00 ^ _4693_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.04 0.33 0.33 v _4693_/Q (sky130_fd_sc_hd__dfxtp_1)
3 0.01 uart.rxm.prescaler[0] (net)
0.04 0.00 0.33 v _3403_/A (sky130_fd_sc_hd__nand2_1)
0.05 0.06 0.38 ^ _3403_/Y (sky130_fd_sc_hd__nand2_1)
1 0.00 _0988_ (net)
0.05 0.00 0.38 ^ _3410_/A1 (sky130_fd_sc_hd__a21oi_1)
0.04 0.05 0.43 v _3410_/Y (sky130_fd_sc_hd__a21oi_1)
1 0.00 _0024_ (net)
0.04 0.00 0.43 v _4693_/D (sky130_fd_sc_hd__dfxtp_1)
0.43 data arrival time
0.15 0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (ideal)
0.25 0.25 clock uncertainty
0.00 0.25 clock reconvergence pessimism
0.25 ^ _4693_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.03 0.22 library hold time
0.22 data required time
-----------------------------------------------------------------------------
0.22 data required time
-0.43 data arrival time
-----------------------------------------------------------------------------
0.21 slack (MET)
Startpoint: _4700_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _4700_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.15 0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (ideal)
0.15 0.00 0.00 ^ _4700_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.05 0.33 0.33 v _4700_/Q (sky130_fd_sc_hd__dfxtp_1)
3 0.01 uart.rxm.prescaler[7] (net)
0.05 0.00 0.33 v _3450_/A (sky130_fd_sc_hd__nand2_1)
0.04 0.05 0.38 ^ _3450_/Y (sky130_fd_sc_hd__nand2_1)
1 0.00 _1028_ (net)
0.04 0.00 0.38 ^ _3458_/A1 (sky130_fd_sc_hd__a21oi_1)
0.04 0.05 0.43 v _3458_/Y (sky130_fd_sc_hd__a21oi_1)
1 0.00 _0031_ (net)
0.04 0.00 0.43 v _4700_/D (sky130_fd_sc_hd__dfxtp_1)
0.43 data arrival time
0.15 0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (ideal)
0.25 0.25 clock uncertainty
0.00 0.25 clock reconvergence pessimism
0.25 ^ _4700_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.03 0.22 library hold time
0.22 data required time
-----------------------------------------------------------------------------
0.22 data required time
-0.43 data arrival time
-----------------------------------------------------------------------------
0.21 slack (MET)
Startpoint: _4694_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _4694_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.15 0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (ideal)
0.15 0.00 0.00 ^ _4694_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.04 0.33 0.33 v _4694_/Q (sky130_fd_sc_hd__dfxtp_1)
3 0.01 uart.rxm.prescaler[1] (net)
0.04 0.00 0.33 v _3411_/A (sky130_fd_sc_hd__nand2_1)
0.05 0.06 0.38 ^ _3411_/Y (sky130_fd_sc_hd__nand2_1)
1 0.00 _0995_ (net)
0.05 0.00 0.38 ^ _3417_/A1 (sky130_fd_sc_hd__a21oi_1)
0.04 0.05 0.43 v _3417_/Y (sky130_fd_sc_hd__a21oi_1)
1 0.00 _0025_ (net)
0.04 0.00 0.43 v _4694_/D (sky130_fd_sc_hd__dfxtp_1)
0.43 data arrival time
0.15 0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (ideal)
0.25 0.25 clock uncertainty
0.00 0.25 clock reconvergence pessimism
0.25 ^ _4694_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.03 0.22 library hold time
0.22 data required time
-----------------------------------------------------------------------------
0.22 data required time
-0.43 data arrival time
-----------------------------------------------------------------------------
0.21 slack (MET)
Startpoint: _4735_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _4735_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.15 0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (ideal)
0.15 0.00 0.00 ^ _4735_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.05 0.33 0.33 v _4735_/Q (sky130_fd_sc_hd__dfxtp_1)
3 0.01 uart.txm.prescaler[7] (net)
0.05 0.00 0.33 v _3611_/A (sky130_fd_sc_hd__nand2_1)
0.04 0.06 0.39 ^ _3611_/Y (sky130_fd_sc_hd__nand2_1)
1 0.00 _1154_ (net)
0.04 0.00 0.39 ^ _3614_/A1 (sky130_fd_sc_hd__a21oi_1)
0.04 0.05 0.44 v _3614_/Y (sky130_fd_sc_hd__a21oi_1)
1 0.00 _0066_ (net)
0.04 0.00 0.44 v _4735_/D (sky130_fd_sc_hd__dfxtp_1)
0.44 data arrival time
0.15 0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (ideal)
0.25 0.25 clock uncertainty
0.00 0.25 clock reconvergence pessimism
0.25 ^ _4735_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.03 0.22 library hold time
0.22 data required time
-----------------------------------------------------------------------------
0.22 data required time
-0.44 data arrival time
-----------------------------------------------------------------------------
0.21 slack (MET)