blob: c8389a36fff5db39bd179b9a861cf1f851dc27e9 [file] [log] [blame]
===========================================================================
report_checks -path_delay min (Hold)
============================================================================
Startpoint: _10876_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _10876_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.15 0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (ideal)
0.15 0.00 0.00 ^ _10876_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.04 0.34 0.34 v _10876_/Q (sky130_fd_sc_hd__dfxtp_2)
3 0.01 qei.count_reg_2[15] (net)
0.04 0.01 0.34 v _08724_/A (sky130_fd_sc_hd__inv_2)
0.03 0.04 0.38 ^ _08724_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 _03520_ (net)
0.04 0.01 0.39 ^ _08726_/A1 (sky130_fd_sc_hd__a21oi_2)
0.02 0.03 0.43 v _08726_/Y (sky130_fd_sc_hd__a21oi_2)
1 0.00 _00257_ (net)
0.02 0.00 0.43 v _10876_/D (sky130_fd_sc_hd__dfxtp_2)
0.43 data arrival time
0.15 0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (ideal)
0.25 0.25 clock uncertainty
0.00 0.25 clock reconvergence pessimism
0.25 ^ _10876_/CLK (sky130_fd_sc_hd__dfxtp_2)
-0.01 0.24 library hold time
0.24 data required time
-----------------------------------------------------------------------------
0.24 data required time
-0.43 data arrival time
-----------------------------------------------------------------------------
0.19 slack (MET)
Startpoint: _10661_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _10658_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.15 0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (ideal)
0.15 0.00 0.00 ^ _10661_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.12 0.39 0.39 v _10661_/Q (sky130_fd_sc_hd__dfxtp_2)
7 0.05 io_pwm_high_en (net)
0.12 0.00 0.39 v _10658_/D (sky130_fd_sc_hd__dfxtp_2)
0.39 data arrival time
0.15 0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (ideal)
0.25 0.25 clock uncertainty
0.00 0.25 clock reconvergence pessimism
0.25 ^ _10658_/CLK (sky130_fd_sc_hd__dfxtp_2)
-0.05 0.20 library hold time
0.20 data required time
-----------------------------------------------------------------------------
0.20 data required time
-0.39 data arrival time
-----------------------------------------------------------------------------
0.19 slack (MET)
Startpoint: _10844_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _10844_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.15 0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (ideal)
0.15 0.00 0.00 ^ _10844_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.04 0.33 0.33 ^ _10844_/Q (sky130_fd_sc_hd__dfxtp_2)
2 0.01 qei.speed_enable (net)
0.04 0.00 0.34 ^ _08604_/A1 (sky130_fd_sc_hd__a21o_2)
0.02 0.10 0.43 ^ _08604_/X (sky130_fd_sc_hd__a21o_2)
1 0.00 _00225_ (net)
0.02 0.00 0.43 ^ _10844_/D (sky130_fd_sc_hd__dfxtp_2)
0.43 data arrival time
0.15 0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (ideal)
0.25 0.25 clock uncertainty
0.00 0.25 clock reconvergence pessimism
0.25 ^ _10844_/CLK (sky130_fd_sc_hd__dfxtp_2)
-0.01 0.24 library hold time
0.24 data required time
-----------------------------------------------------------------------------
0.24 data required time
-0.43 data arrival time
-----------------------------------------------------------------------------
0.20 slack (MET)
Startpoint: _10877_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _10877_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.15 0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (ideal)
0.15 0.00 0.00 ^ _10877_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.10 0.37 0.37 ^ _10877_/Q (sky130_fd_sc_hd__dfxtp_2)
5 0.02 interlink.io_qei_count_do[0] (net)
0.10 0.01 0.38 ^ _08738_/A1 (sky130_fd_sc_hd__a21boi_2)
0.04 0.05 0.43 v _08738_/Y (sky130_fd_sc_hd__a21boi_2)
1 0.00 _00258_ (net)
0.04 0.00 0.43 v _10877_/D (sky130_fd_sc_hd__dfxtp_2)
0.43 data arrival time
0.15 0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (ideal)
0.25 0.25 clock uncertainty
0.00 0.25 clock reconvergence pessimism
0.25 ^ _10877_/CLK (sky130_fd_sc_hd__dfxtp_2)
-0.02 0.23 library hold time
0.23 data required time
-----------------------------------------------------------------------------
0.23 data required time
-0.43 data arrival time
-----------------------------------------------------------------------------
0.20 slack (MET)
Startpoint: _10866_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _10866_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.15 0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (ideal)
0.15 0.00 0.00 ^ _10866_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.04 0.34 0.34 v _10866_/Q (sky130_fd_sc_hd__dfxtp_2)
3 0.01 qei.count_reg_2[5] (net)
0.04 0.01 0.34 v _08687_/A (sky130_fd_sc_hd__inv_2)
0.03 0.04 0.38 ^ _08687_/Y (sky130_fd_sc_hd__inv_2)
1 0.00 _03493_ (net)
0.04 0.01 0.39 ^ _08689_/A1 (sky130_fd_sc_hd__a221oi_2)
0.04 0.04 0.43 v _08689_/Y (sky130_fd_sc_hd__a221oi_2)
1 0.00 _00247_ (net)
0.04 0.00 0.43 v _10866_/D (sky130_fd_sc_hd__dfxtp_2)
0.43 data arrival time
0.15 0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (ideal)
0.25 0.25 clock uncertainty
0.00 0.25 clock reconvergence pessimism
0.25 ^ _10866_/CLK (sky130_fd_sc_hd__dfxtp_2)
-0.02 0.23 library hold time
0.23 data required time
-----------------------------------------------------------------------------
0.23 data required time
-0.43 data arrival time
-----------------------------------------------------------------------------
0.20 slack (MET)