blob: c57bc74f07c68394474607fdac0b5bf931756703 [file] [log] [blame]
===========================================================================
report_checks -path_delay min (Hold)
============================================================================
Startpoint: _10673_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _10705_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.30 0.20 0.20 ^ clock (in)
2 0.07 clock (net)
0.30 0.00 0.20 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 0.40 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 clknet_0_clock (net)
0.05 0.00 0.40 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.21 0.21 0.61 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_1_1_0_clock (net)
0.21 0.00 0.61 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.14 0.21 0.82 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_2_3_0_clock (net)
0.14 0.00 0.83 ^ clkbuf_3_6_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.65 0.53 1.35 ^ clkbuf_3_6_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
16 0.12 clknet_3_6_0_clock (net)
0.65 0.00 1.36 ^ clkbuf_leaf_9_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.26 1.62 ^ clkbuf_leaf_9_clock/X (sky130_fd_sc_hd__clkbuf_16)
7 0.03 clknet_leaf_9_clock (net)
0.06 0.00 1.62 ^ _10673_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.04 0.30 1.92 v _10673_/Q (sky130_fd_sc_hd__dfxtp_1)
1 0.01 pwm.reg_duty[11] (net)
0.04 0.00 1.92 v _06225_/A (sky130_fd_sc_hd__inv_2)
0.05 0.05 1.97 ^ _06225_/Y (sky130_fd_sc_hd__inv_2)
2 0.01 _01223_ (net)
0.05 0.00 1.97 ^ _07928_/A1 (sky130_fd_sc_hd__o21ai_1)
0.04 0.06 2.03 v _07928_/Y (sky130_fd_sc_hd__o21ai_1)
1 0.00 _02894_ (net)
0.04 0.00 2.03 v _07929_/B2 (sky130_fd_sc_hd__o221a_1)
0.04 0.20 2.23 v _07929_/X (sky130_fd_sc_hd__o221a_1)
1 0.00 _00087_ (net)
0.04 0.00 2.23 v _10705_/D (sky130_fd_sc_hd__dfxtp_1)
2.23 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.30 0.22 0.22 ^ clock (in)
2 0.07 clock (net)
0.30 0.00 0.22 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 0.44 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 clknet_0_clock (net)
0.05 0.00 0.44 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.21 0.23 0.68 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_1_1_0_clock (net)
0.21 0.00 0.68 ^ clkbuf_2_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.14 0.24 0.92 ^ clkbuf_2_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_2_2_0_clock (net)
0.14 0.00 0.92 ^ clkbuf_3_4_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.92 0.78 1.69 ^ clkbuf_3_4_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
22 0.17 clknet_3_4_0_clock (net)
0.92 0.01 1.70 ^ clkbuf_leaf_7_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.31 2.01 ^ clkbuf_leaf_7_clock/X (sky130_fd_sc_hd__clkbuf_16)
6 0.03 clknet_leaf_7_clock (net)
0.07 0.00 2.01 ^ _10705_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 2.26 clock uncertainty
-0.06 2.19 clock reconvergence pessimism
-0.05 2.15 library hold time
2.15 data required time
-----------------------------------------------------------------------------
2.15 data required time
-2.23 data arrival time
-----------------------------------------------------------------------------
0.08 slack (MET)
Startpoint: _10823_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _10805_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.30 0.20 0.20 ^ clock (in)
2 0.07 clock (net)
0.30 0.00 0.20 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 0.40 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 clknet_0_clock (net)
0.05 0.00 0.40 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.21 0.21 0.61 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_1_0_0_clock (net)
0.21 0.00 0.61 ^ clkbuf_2_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.14 0.21 0.83 ^ clkbuf_2_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_2_0_0_clock (net)
0.14 0.00 0.83 ^ clkbuf_3_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.92 0.70 1.53 ^ clkbuf_3_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
22 0.17 clknet_3_1_0_clock (net)
0.92 0.00 1.53 ^ clkbuf_leaf_65_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.29 1.82 ^ clkbuf_leaf_65_clock/X (sky130_fd_sc_hd__clkbuf_16)
10 0.04 clknet_leaf_65_clock (net)
0.08 0.00 1.82 ^ _10823_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.12 0.35 2.17 ^ _10823_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 qei.qei_period_count[15] (net)
0.12 0.00 2.17 ^ _08484_/A2 (sky130_fd_sc_hd__o211a_1)
0.04 0.13 2.31 ^ _08484_/X (sky130_fd_sc_hd__o211a_1)
1 0.00 _00187_ (net)
0.04 0.00 2.31 ^ _10805_/D (sky130_fd_sc_hd__dfxtp_2)
2.31 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.30 0.22 0.22 ^ clock (in)
2 0.07 clock (net)
0.30 0.00 0.22 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 0.44 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 clknet_0_clock (net)
0.05 0.00 0.44 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.21 0.23 0.68 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_1_0_0_clock (net)
0.21 0.00 0.68 ^ clkbuf_2_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.14 0.24 0.91 ^ clkbuf_2_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_2_0_0_clock (net)
0.14 0.00 0.92 ^ clkbuf_3_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.96 0.80 1.72 ^ clkbuf_3_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
26 0.18 clknet_3_0_0_clock (net)
0.96 0.01 1.72 ^ clkbuf_leaf_67_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.33 2.05 ^ clkbuf_leaf_67_clock/X (sky130_fd_sc_hd__clkbuf_16)
13 0.04 clknet_leaf_67_clock (net)
0.08 0.00 2.05 ^ _10805_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.25 2.30 clock uncertainty
-0.09 2.22 clock reconvergence pessimism
-0.03 2.19 library hold time
2.19 data required time
-----------------------------------------------------------------------------
2.19 data required time
-2.31 data arrival time
-----------------------------------------------------------------------------
0.12 slack (MET)
Startpoint: _10811_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _10793_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.30 0.20 0.20 ^ clock (in)
2 0.07 clock (net)
0.30 0.00 0.20 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 0.40 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 clknet_0_clock (net)
0.05 0.00 0.40 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.21 0.21 0.61 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_1_0_0_clock (net)
0.21 0.00 0.61 ^ clkbuf_2_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.14 0.21 0.83 ^ clkbuf_2_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_2_0_0_clock (net)
0.14 0.00 0.83 ^ clkbuf_3_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.92 0.70 1.53 ^ clkbuf_3_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
22 0.17 clknet_3_1_0_clock (net)
0.92 0.00 1.53 ^ clkbuf_leaf_65_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.29 1.82 ^ clkbuf_leaf_65_clock/X (sky130_fd_sc_hd__clkbuf_16)
10 0.04 clknet_leaf_65_clock (net)
0.08 0.00 1.82 ^ _10811_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.10 0.34 2.16 ^ _10811_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 qei.qei_period_count[3] (net)
0.10 0.00 2.16 ^ _08450_/A2 (sky130_fd_sc_hd__o211a_1)
0.06 0.15 2.32 ^ _08450_/X (sky130_fd_sc_hd__o211a_1)
1 0.01 _00175_ (net)
0.06 0.00 2.32 ^ _10793_/D (sky130_fd_sc_hd__dfxtp_1)
2.32 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.30 0.22 0.22 ^ clock (in)
2 0.07 clock (net)
0.30 0.00 0.22 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 0.44 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 clknet_0_clock (net)
0.05 0.00 0.44 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.21 0.23 0.68 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_1_0_0_clock (net)
0.21 0.00 0.68 ^ clkbuf_2_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.14 0.24 0.91 ^ clkbuf_2_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_2_0_0_clock (net)
0.14 0.00 0.92 ^ clkbuf_3_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.96 0.80 1.72 ^ clkbuf_3_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
26 0.18 clknet_3_0_0_clock (net)
0.96 0.00 1.72 ^ clkbuf_leaf_66_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.33 2.05 ^ clkbuf_leaf_66_clock/X (sky130_fd_sc_hd__clkbuf_16)
13 0.05 clknet_leaf_66_clock (net)
0.08 0.00 2.05 ^ _10793_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 2.30 clock uncertainty
-0.09 2.22 clock reconvergence pessimism
-0.03 2.19 library hold time
2.19 data required time
-----------------------------------------------------------------------------
2.19 data required time
-2.32 data arrival time
-----------------------------------------------------------------------------
0.13 slack (MET)
Startpoint: _10650_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _10806_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.30 0.20 0.20 ^ clock (in)
2 0.07 clock (net)
0.30 0.00 0.20 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 0.40 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 clknet_0_clock (net)
0.05 0.00 0.40 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.21 0.21 0.61 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_1_1_0_clock (net)
0.21 0.00 0.61 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.14 0.21 0.82 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_2_3_0_clock (net)
0.14 0.00 0.83 ^ clkbuf_3_7_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.61 0.50 1.32 ^ clkbuf_3_7_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
14 0.11 clknet_3_7_0_clock (net)
0.61 0.00 1.32 ^ clkbuf_leaf_28_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.27 1.60 ^ clkbuf_leaf_28_clock/X (sky130_fd_sc_hd__clkbuf_16)
13 0.05 clknet_leaf_28_clock (net)
0.08 0.00 1.60 ^ _10650_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.08 0.33 1.93 v _10650_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 pwm.proc_offset[31] (net)
0.08 0.00 1.93 v _05568_/A (sky130_fd_sc_hd__inv_2)
0.08 0.09 2.02 ^ _05568_/Y (sky130_fd_sc_hd__inv_2)
3 0.02 _00591_ (net)
0.08 0.00 2.02 ^ _05602_/A (sky130_fd_sc_hd__xnor2_1)
0.12 0.16 2.18 ^ _05602_/Y (sky130_fd_sc_hd__xnor2_1)
2 0.01 _00625_ (net)
0.12 0.00 2.18 ^ _05728_/A2 (sky130_fd_sc_hd__o21ai_2)
0.07 0.09 2.28 v _05728_/Y (sky130_fd_sc_hd__o21ai_2)
1 0.01 _00000_ (net)
0.07 0.00 2.28 v _10806_/D (sky130_fd_sc_hd__dfxtp_1)
2.28 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.30 0.22 0.22 ^ clock (in)
2 0.07 clock (net)
0.30 0.00 0.22 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 0.44 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 clknet_0_clock (net)
0.05 0.00 0.44 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.21 0.23 0.68 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_1_1_0_clock (net)
0.21 0.00 0.68 ^ clkbuf_2_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.14 0.24 0.92 ^ clkbuf_2_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_2_2_0_clock (net)
0.14 0.00 0.92 ^ clkbuf_3_4_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.92 0.78 1.69 ^ clkbuf_3_4_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
22 0.17 clknet_3_4_0_clock (net)
0.92 0.00 1.69 ^ clkbuf_leaf_14_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.31 2.00 ^ clkbuf_leaf_14_clock/X (sky130_fd_sc_hd__clkbuf_16)
5 0.02 clknet_leaf_14_clock (net)
0.06 0.00 2.00 ^ _10806_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 2.25 clock uncertainty
-0.06 2.19 clock reconvergence pessimism
-0.06 2.13 library hold time
2.13 data required time
-----------------------------------------------------------------------------
2.13 data required time
-2.28 data arrival time
-----------------------------------------------------------------------------
0.15 slack (MET)
Startpoint: _10812_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _10794_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.30 0.20 0.20 ^ clock (in)
2 0.07 clock (net)
0.30 0.00 0.20 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 0.40 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 clknet_0_clock (net)
0.05 0.00 0.40 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.21 0.21 0.61 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_1_0_0_clock (net)
0.21 0.00 0.61 ^ clkbuf_2_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.14 0.21 0.83 ^ clkbuf_2_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_2_0_0_clock (net)
0.14 0.00 0.83 ^ clkbuf_3_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.96 0.73 1.55 ^ clkbuf_3_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
26 0.18 clknet_3_0_0_clock (net)
0.96 0.00 1.56 ^ clkbuf_leaf_73_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.29 1.85 ^ clkbuf_leaf_73_clock/X (sky130_fd_sc_hd__clkbuf_16)
7 0.03 clknet_leaf_73_clock (net)
0.07 0.00 1.85 ^ _10812_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.11 0.34 2.19 ^ _10812_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 qei.qei_period_count[4] (net)
0.11 0.00 2.19 ^ _08453_/A2 (sky130_fd_sc_hd__o211a_1)
0.05 0.14 2.33 ^ _08453_/X (sky130_fd_sc_hd__o211a_1)
1 0.00 _00176_ (net)
0.05 0.00 2.33 ^ _10794_/D (sky130_fd_sc_hd__dfxtp_1)
2.33 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.30 0.22 0.22 ^ clock (in)
2 0.07 clock (net)
0.30 0.00 0.22 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 0.44 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 clknet_0_clock (net)
0.05 0.00 0.44 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.21 0.23 0.68 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_1_0_0_clock (net)
0.21 0.00 0.68 ^ clkbuf_2_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.14 0.24 0.91 ^ clkbuf_2_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_2_0_0_clock (net)
0.14 0.00 0.91 ^ clkbuf_3_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.92 0.78 1.69 ^ clkbuf_3_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
22 0.17 clknet_3_1_0_clock (net)
0.92 0.00 1.69 ^ clkbuf_leaf_65_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 2.02 ^ clkbuf_leaf_65_clock/X (sky130_fd_sc_hd__clkbuf_16)
10 0.04 clknet_leaf_65_clock (net)
0.08 0.00 2.02 ^ _10794_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 2.27 clock uncertainty
-0.09 2.18 clock reconvergence pessimism
-0.03 2.15 library hold time
2.15 data required time
-----------------------------------------------------------------------------
2.15 data required time
-2.33 data arrival time
-----------------------------------------------------------------------------
0.18 slack (MET)