blob: 60123863bd7d7c77bb0aa133f04fb907e3fd7ed6 [file] [log] [blame]
===========================================================================
report_checks -path_delay max (Setup)
============================================================================
Startpoint: _10999_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _10699_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.15 0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (ideal)
0.15 0.00 0.00 ^ _10999_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.07 0.41 0.41 v _10999_/Q (sky130_fd_sc_hd__dfxtp_2)
4 0.02 pid.sigma_old[6] (net)
0.07 0.00 0.41 v _06338_/A (sky130_fd_sc_hd__xnor2_2)
0.09 0.18 0.59 v _06338_/Y (sky130_fd_sc_hd__xnor2_2)
3 0.01 _01315_ (net)
0.09 0.00 0.59 v _06339_/A (sky130_fd_sc_hd__or3b_2)
0.08 0.51 1.10 v _06339_/X (sky130_fd_sc_hd__or3b_2)
2 0.00 _01316_ (net)
0.08 0.00 1.10 v _06342_/C (sky130_fd_sc_hd__or3_2)
0.08 0.44 1.54 v _06342_/X (sky130_fd_sc_hd__or3_2)
1 0.00 _01319_ (net)
0.08 0.00 1.54 v _06343_/B1 (sky130_fd_sc_hd__a311o_2)
0.08 0.42 1.96 v _06343_/X (sky130_fd_sc_hd__a311o_2)
2 0.01 _01320_ (net)
0.08 0.00 1.96 v _06347_/A3 (sky130_fd_sc_hd__a31o_2)
0.07 0.31 2.26 v _06347_/X (sky130_fd_sc_hd__a31o_2)
3 0.02 _01324_ (net)
0.07 0.00 2.26 v _06362_/A1 (sky130_fd_sc_hd__o311ai_2)
0.47 0.51 2.77 ^ _06362_/Y (sky130_fd_sc_hd__o311ai_2)
3 0.02 _01339_ (net)
0.47 0.00 2.77 ^ _06363_/B2 (sky130_fd_sc_hd__a221o_2)
0.07 0.26 3.03 ^ _06363_/X (sky130_fd_sc_hd__a221o_2)
2 0.01 _01340_ (net)
0.07 0.00 3.03 ^ _06365_/A3 (sky130_fd_sc_hd__a31o_2)
0.17 0.26 3.30 ^ _06365_/X (sky130_fd_sc_hd__a31o_2)
2 0.03 _01342_ (net)
0.17 0.00 3.30 ^ _06380_/A (sky130_fd_sc_hd__xor2_2)
0.11 0.12 3.42 v _06380_/X (sky130_fd_sc_hd__xor2_2)
4 0.01 _01357_ (net)
0.11 0.00 3.42 v _06382_/A (sky130_fd_sc_hd__buf_1)
0.13 0.21 3.63 v _06382_/X (sky130_fd_sc_hd__buf_1)
5 0.02 _01359_ (net)
0.13 0.00 3.63 v _06398_/C (sky130_fd_sc_hd__or3_2)
0.09 0.47 4.10 v _06398_/X (sky130_fd_sc_hd__or3_2)
2 0.01 _01375_ (net)
0.09 0.00 4.10 v _06598_/B1 (sky130_fd_sc_hd__o2111a_2)
0.06 0.20 4.30 v _06598_/X (sky130_fd_sc_hd__o2111a_2)
2 0.01 _01575_ (net)
0.06 0.00 4.30 v _06599_/B1 (sky130_fd_sc_hd__a31o_2)
0.08 0.28 4.58 v _06599_/X (sky130_fd_sc_hd__a31o_2)
4 0.02 _01576_ (net)
0.08 0.00 4.58 v _06601_/B (sky130_fd_sc_hd__and3_2)
0.05 0.23 4.81 v _06601_/X (sky130_fd_sc_hd__and3_2)
2 0.01 _01578_ (net)
0.05 0.00 4.81 v _06603_/B (sky130_fd_sc_hd__or3_2)
0.10 0.51 5.32 v _06603_/X (sky130_fd_sc_hd__or3_2)
3 0.01 _01580_ (net)
0.10 0.00 5.32 v _06610_/C (sky130_fd_sc_hd__and3_2)
0.05 0.25 5.57 v _06610_/X (sky130_fd_sc_hd__and3_2)
2 0.01 _01587_ (net)
0.05 0.00 5.57 v _06633_/A (sky130_fd_sc_hd__or3b_2)
0.10 0.52 6.10 v _06633_/X (sky130_fd_sc_hd__or3b_2)
3 0.01 _01610_ (net)
0.10 0.00 6.10 v _06646_/A1 (sky130_fd_sc_hd__a21o_2)
0.05 0.23 6.33 v _06646_/X (sky130_fd_sc_hd__a21o_2)
2 0.01 _01623_ (net)
0.05 0.00 6.33 v _06650_/A2 (sky130_fd_sc_hd__a21o_2)
0.05 0.24 6.57 v _06650_/X (sky130_fd_sc_hd__a21o_2)
3 0.01 _01627_ (net)
0.05 0.00 6.57 v _06713_/A2 (sky130_fd_sc_hd__a21o_2)
0.06 0.25 6.82 v _06713_/X (sky130_fd_sc_hd__a21o_2)
3 0.01 _01690_ (net)
0.06 0.00 6.82 v _06715_/C (sky130_fd_sc_hd__and3_2)
0.05 0.23 7.05 v _06715_/X (sky130_fd_sc_hd__and3_2)
2 0.01 _01692_ (net)
0.05 0.00 7.05 v _06719_/A (sky130_fd_sc_hd__or3_2)
0.09 0.52 7.57 v _06719_/X (sky130_fd_sc_hd__or3_2)
2 0.01 _01696_ (net)
0.09 0.00 7.57 v _06721_/A2 (sky130_fd_sc_hd__a21o_2)
0.07 0.28 7.84 v _06721_/X (sky130_fd_sc_hd__a21o_2)
3 0.02 _01698_ (net)
0.07 0.00 7.84 v _06723_/A2 (sky130_fd_sc_hd__a21oi_2)
0.17 0.21 8.05 ^ _06723_/Y (sky130_fd_sc_hd__a21oi_2)
3 0.01 _01700_ (net)
0.17 0.00 8.05 ^ _06730_/A2 (sky130_fd_sc_hd__o21a_2)
0.14 0.23 8.28 ^ _06730_/X (sky130_fd_sc_hd__o21a_2)
3 0.02 _01707_ (net)
0.14 0.00 8.28 ^ _06735_/A1 (sky130_fd_sc_hd__a21o_2)
0.08 0.19 8.47 ^ _06735_/X (sky130_fd_sc_hd__a21o_2)
2 0.01 _01712_ (net)
0.08 0.00 8.47 ^ _06737_/A2 (sky130_fd_sc_hd__a21o_2)
0.08 0.17 8.64 ^ _06737_/X (sky130_fd_sc_hd__a21o_2)
2 0.01 _01714_ (net)
0.08 0.00 8.64 ^ _06929_/B (sky130_fd_sc_hd__xor2_2)
0.31 0.30 8.95 ^ _06929_/X (sky130_fd_sc_hd__xor2_2)
2 0.02 _01906_ (net)
0.31 0.00 8.95 ^ _06930_/B (sky130_fd_sc_hd__xor2_2)
0.22 0.26 9.20 ^ _06930_/X (sky130_fd_sc_hd__xor2_2)
2 0.01 _01907_ (net)
0.22 0.00 9.20 ^ _06935_/A (sky130_fd_sc_hd__xnor2_2)
0.09 0.14 9.34 v _06935_/Y (sky130_fd_sc_hd__xnor2_2)
2 0.01 _01912_ (net)
0.09 0.00 9.34 v _06942_/A (sky130_fd_sc_hd__xor2_2)
0.09 0.22 9.56 v _06942_/X (sky130_fd_sc_hd__xor2_2)
2 0.01 _01919_ (net)
0.09 0.00 9.56 v _06963_/A1 (sky130_fd_sc_hd__a21o_2)
0.06 0.25 9.81 v _06963_/X (sky130_fd_sc_hd__a21o_2)
2 0.01 _01940_ (net)
0.06 0.00 9.81 v _06965_/A2 (sky130_fd_sc_hd__a21o_2)
0.06 0.25 10.07 v _06965_/X (sky130_fd_sc_hd__a21o_2)
2 0.01 _01942_ (net)
0.06 0.00 10.07 v _06967_/A2 (sky130_fd_sc_hd__a21o_2)
0.06 0.26 10.32 v _06967_/X (sky130_fd_sc_hd__a21o_2)
2 0.01 _01944_ (net)
0.06 0.00 10.32 v _06968_/B (sky130_fd_sc_hd__xor2_2)
0.29 0.28 10.60 ^ _06968_/X (sky130_fd_sc_hd__xor2_2)
2 0.02 _01945_ (net)
0.29 0.00 10.60 ^ _06999_/A (sky130_fd_sc_hd__xor2_2)
0.21 0.26 10.86 ^ _06999_/X (sky130_fd_sc_hd__xor2_2)
2 0.01 _01976_ (net)
0.21 0.00 10.86 ^ _07659_/A (sky130_fd_sc_hd__xnor2_2)
0.11 0.14 11.00 v _07659_/Y (sky130_fd_sc_hd__xnor2_2)
2 0.01 _02636_ (net)
0.11 0.00 11.00 v _07764_/A1 (sky130_fd_sc_hd__o21ai_2)
0.23 0.27 11.27 ^ _07764_/Y (sky130_fd_sc_hd__o21ai_2)
3 0.02 _02741_ (net)
0.23 0.00 11.27 ^ _07863_/A (sky130_fd_sc_hd__nor3_2)
0.06 0.08 11.35 v _07863_/Y (sky130_fd_sc_hd__nor3_2)
1 0.00 _02840_ (net)
0.06 0.00 11.35 v _07864_/B1 (sky130_fd_sc_hd__a311o_2)
0.16 0.51 11.86 v _07864_/X (sky130_fd_sc_hd__a311o_2)
3 0.05 _02841_ (net)
0.16 0.01 11.86 v _07891_/A (sky130_fd_sc_hd__buf_1)
0.13 0.23 12.09 v _07891_/X (sky130_fd_sc_hd__buf_1)
5 0.02 _02863_ (net)
0.13 0.00 12.09 v _07894_/A (sky130_fd_sc_hd__nor2_2)
0.23 0.27 12.36 ^ _07894_/Y (sky130_fd_sc_hd__nor2_2)
1 0.02 _02866_ (net)
0.23 0.00 12.36 ^ _07898_/B1 (sky130_fd_sc_hd__o221a_2)
0.06 0.26 12.62 ^ _07898_/X (sky130_fd_sc_hd__o221a_2)
1 0.01 _00081_ (net)
0.06 0.00 12.62 ^ _10699_/D (sky130_fd_sc_hd__dfxtp_2)
12.62 data arrival time
0.15 20.00 20.00 clock clock (rise edge)
0.00 20.00 clock network delay (ideal)
-0.25 19.75 clock uncertainty
0.00 19.75 clock reconvergence pessimism
19.75 ^ _10699_/CLK (sky130_fd_sc_hd__dfxtp_2)
-0.05 19.70 library setup time
19.70 data required time
-----------------------------------------------------------------------------
19.70 data required time
-12.62 data arrival time
-----------------------------------------------------------------------------
7.08 slack (MET)
Startpoint: _10999_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _10700_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.15 0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (ideal)
0.15 0.00 0.00 ^ _10999_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.07 0.41 0.41 v _10999_/Q (sky130_fd_sc_hd__dfxtp_2)
4 0.02 pid.sigma_old[6] (net)
0.07 0.00 0.41 v _06338_/A (sky130_fd_sc_hd__xnor2_2)
0.09 0.18 0.59 v _06338_/Y (sky130_fd_sc_hd__xnor2_2)
3 0.01 _01315_ (net)
0.09 0.00 0.59 v _06339_/A (sky130_fd_sc_hd__or3b_2)
0.08 0.51 1.10 v _06339_/X (sky130_fd_sc_hd__or3b_2)
2 0.00 _01316_ (net)
0.08 0.00 1.10 v _06342_/C (sky130_fd_sc_hd__or3_2)
0.08 0.44 1.54 v _06342_/X (sky130_fd_sc_hd__or3_2)
1 0.00 _01319_ (net)
0.08 0.00 1.54 v _06343_/B1 (sky130_fd_sc_hd__a311o_2)
0.08 0.42 1.96 v _06343_/X (sky130_fd_sc_hd__a311o_2)
2 0.01 _01320_ (net)
0.08 0.00 1.96 v _06347_/A3 (sky130_fd_sc_hd__a31o_2)
0.07 0.31 2.26 v _06347_/X (sky130_fd_sc_hd__a31o_2)
3 0.02 _01324_ (net)
0.07 0.00 2.26 v _06362_/A1 (sky130_fd_sc_hd__o311ai_2)
0.47 0.51 2.77 ^ _06362_/Y (sky130_fd_sc_hd__o311ai_2)
3 0.02 _01339_ (net)
0.47 0.00 2.77 ^ _06363_/B2 (sky130_fd_sc_hd__a221o_2)
0.07 0.26 3.03 ^ _06363_/X (sky130_fd_sc_hd__a221o_2)
2 0.01 _01340_ (net)
0.07 0.00 3.03 ^ _06365_/A3 (sky130_fd_sc_hd__a31o_2)
0.17 0.26 3.30 ^ _06365_/X (sky130_fd_sc_hd__a31o_2)
2 0.03 _01342_ (net)
0.17 0.00 3.30 ^ _06380_/A (sky130_fd_sc_hd__xor2_2)
0.11 0.12 3.42 v _06380_/X (sky130_fd_sc_hd__xor2_2)
4 0.01 _01357_ (net)
0.11 0.00 3.42 v _06382_/A (sky130_fd_sc_hd__buf_1)
0.13 0.21 3.63 v _06382_/X (sky130_fd_sc_hd__buf_1)
5 0.02 _01359_ (net)
0.13 0.00 3.63 v _06398_/C (sky130_fd_sc_hd__or3_2)
0.09 0.47 4.10 v _06398_/X (sky130_fd_sc_hd__or3_2)
2 0.01 _01375_ (net)
0.09 0.00 4.10 v _06598_/B1 (sky130_fd_sc_hd__o2111a_2)
0.06 0.20 4.30 v _06598_/X (sky130_fd_sc_hd__o2111a_2)
2 0.01 _01575_ (net)
0.06 0.00 4.30 v _06599_/B1 (sky130_fd_sc_hd__a31o_2)
0.08 0.28 4.58 v _06599_/X (sky130_fd_sc_hd__a31o_2)
4 0.02 _01576_ (net)
0.08 0.00 4.58 v _06601_/B (sky130_fd_sc_hd__and3_2)
0.05 0.23 4.81 v _06601_/X (sky130_fd_sc_hd__and3_2)
2 0.01 _01578_ (net)
0.05 0.00 4.81 v _06603_/B (sky130_fd_sc_hd__or3_2)
0.10 0.51 5.32 v _06603_/X (sky130_fd_sc_hd__or3_2)
3 0.01 _01580_ (net)
0.10 0.00 5.32 v _06610_/C (sky130_fd_sc_hd__and3_2)
0.05 0.25 5.57 v _06610_/X (sky130_fd_sc_hd__and3_2)
2 0.01 _01587_ (net)
0.05 0.00 5.57 v _06633_/A (sky130_fd_sc_hd__or3b_2)
0.10 0.52 6.10 v _06633_/X (sky130_fd_sc_hd__or3b_2)
3 0.01 _01610_ (net)
0.10 0.00 6.10 v _06646_/A1 (sky130_fd_sc_hd__a21o_2)
0.05 0.23 6.33 v _06646_/X (sky130_fd_sc_hd__a21o_2)
2 0.01 _01623_ (net)
0.05 0.00 6.33 v _06650_/A2 (sky130_fd_sc_hd__a21o_2)
0.05 0.24 6.57 v _06650_/X (sky130_fd_sc_hd__a21o_2)
3 0.01 _01627_ (net)
0.05 0.00 6.57 v _06713_/A2 (sky130_fd_sc_hd__a21o_2)
0.06 0.25 6.82 v _06713_/X (sky130_fd_sc_hd__a21o_2)
3 0.01 _01690_ (net)
0.06 0.00 6.82 v _06715_/C (sky130_fd_sc_hd__and3_2)
0.05 0.23 7.05 v _06715_/X (sky130_fd_sc_hd__and3_2)
2 0.01 _01692_ (net)
0.05 0.00 7.05 v _06719_/A (sky130_fd_sc_hd__or3_2)
0.09 0.52 7.57 v _06719_/X (sky130_fd_sc_hd__or3_2)
2 0.01 _01696_ (net)
0.09 0.00 7.57 v _06721_/A2 (sky130_fd_sc_hd__a21o_2)
0.07 0.28 7.84 v _06721_/X (sky130_fd_sc_hd__a21o_2)
3 0.02 _01698_ (net)
0.07 0.00 7.84 v _06723_/A2 (sky130_fd_sc_hd__a21oi_2)
0.17 0.21 8.05 ^ _06723_/Y (sky130_fd_sc_hd__a21oi_2)
3 0.01 _01700_ (net)
0.17 0.00 8.05 ^ _06730_/A2 (sky130_fd_sc_hd__o21a_2)
0.14 0.23 8.28 ^ _06730_/X (sky130_fd_sc_hd__o21a_2)
3 0.02 _01707_ (net)
0.14 0.00 8.28 ^ _06735_/A1 (sky130_fd_sc_hd__a21o_2)
0.08 0.19 8.47 ^ _06735_/X (sky130_fd_sc_hd__a21o_2)
2 0.01 _01712_ (net)
0.08 0.00 8.47 ^ _06737_/A2 (sky130_fd_sc_hd__a21o_2)
0.08 0.17 8.64 ^ _06737_/X (sky130_fd_sc_hd__a21o_2)
2 0.01 _01714_ (net)
0.08 0.00 8.64 ^ _06929_/B (sky130_fd_sc_hd__xor2_2)
0.31 0.30 8.95 ^ _06929_/X (sky130_fd_sc_hd__xor2_2)
2 0.02 _01906_ (net)
0.31 0.00 8.95 ^ _06930_/B (sky130_fd_sc_hd__xor2_2)
0.22 0.26 9.20 ^ _06930_/X (sky130_fd_sc_hd__xor2_2)
2 0.01 _01907_ (net)
0.22 0.00 9.20 ^ _06935_/A (sky130_fd_sc_hd__xnor2_2)
0.09 0.14 9.34 v _06935_/Y (sky130_fd_sc_hd__xnor2_2)
2 0.01 _01912_ (net)
0.09 0.00 9.34 v _06942_/A (sky130_fd_sc_hd__xor2_2)
0.09 0.22 9.56 v _06942_/X (sky130_fd_sc_hd__xor2_2)
2 0.01 _01919_ (net)
0.09 0.00 9.56 v _06963_/A1 (sky130_fd_sc_hd__a21o_2)
0.06 0.25 9.81 v _06963_/X (sky130_fd_sc_hd__a21o_2)
2 0.01 _01940_ (net)
0.06 0.00 9.81 v _06965_/A2 (sky130_fd_sc_hd__a21o_2)
0.06 0.25 10.07 v _06965_/X (sky130_fd_sc_hd__a21o_2)
2 0.01 _01942_ (net)
0.06 0.00 10.07 v _06967_/A2 (sky130_fd_sc_hd__a21o_2)
0.06 0.26 10.32 v _06967_/X (sky130_fd_sc_hd__a21o_2)
2 0.01 _01944_ (net)
0.06 0.00 10.32 v _06968_/B (sky130_fd_sc_hd__xor2_2)
0.29 0.28 10.60 ^ _06968_/X (sky130_fd_sc_hd__xor2_2)
2 0.02 _01945_ (net)
0.29 0.00 10.60 ^ _06999_/A (sky130_fd_sc_hd__xor2_2)
0.21 0.26 10.86 ^ _06999_/X (sky130_fd_sc_hd__xor2_2)
2 0.01 _01976_ (net)
0.21 0.00 10.86 ^ _07659_/A (sky130_fd_sc_hd__xnor2_2)
0.11 0.14 11.00 v _07659_/Y (sky130_fd_sc_hd__xnor2_2)
2 0.01 _02636_ (net)
0.11 0.00 11.00 v _07764_/A1 (sky130_fd_sc_hd__o21ai_2)
0.23 0.27 11.27 ^ _07764_/Y (sky130_fd_sc_hd__o21ai_2)
3 0.02 _02741_ (net)
0.23 0.00 11.27 ^ _07863_/A (sky130_fd_sc_hd__nor3_2)
0.06 0.08 11.35 v _07863_/Y (sky130_fd_sc_hd__nor3_2)
1 0.00 _02840_ (net)
0.06 0.00 11.35 v _07864_/B1 (sky130_fd_sc_hd__a311o_2)
0.16 0.51 11.86 v _07864_/X (sky130_fd_sc_hd__a311o_2)
3 0.05 _02841_ (net)
0.16 0.01 11.86 v _07891_/A (sky130_fd_sc_hd__buf_1)
0.13 0.23 12.09 v _07891_/X (sky130_fd_sc_hd__buf_1)
5 0.02 _02863_ (net)
0.13 0.00 12.09 v _07900_/A (sky130_fd_sc_hd__nor2_2)
0.23 0.27 12.36 ^ _07900_/Y (sky130_fd_sc_hd__nor2_2)
1 0.02 _02871_ (net)
0.23 0.00 12.36 ^ _07902_/B1 (sky130_fd_sc_hd__o221a_2)
0.05 0.25 12.61 ^ _07902_/X (sky130_fd_sc_hd__o221a_2)
1 0.00 _00082_ (net)
0.05 0.00 12.61 ^ _10700_/D (sky130_fd_sc_hd__dfxtp_2)
12.61 data arrival time
0.15 20.00 20.00 clock clock (rise edge)
0.00 20.00 clock network delay (ideal)
-0.25 19.75 clock uncertainty
0.00 19.75 clock reconvergence pessimism
19.75 ^ _10700_/CLK (sky130_fd_sc_hd__dfxtp_2)
-0.04 19.71 library setup time
19.71 data required time
-----------------------------------------------------------------------------
19.71 data required time
-12.61 data arrival time
-----------------------------------------------------------------------------
7.09 slack (MET)
Startpoint: _10999_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _10701_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.15 0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (ideal)
0.15 0.00 0.00 ^ _10999_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.07 0.41 0.41 v _10999_/Q (sky130_fd_sc_hd__dfxtp_2)
4 0.02 pid.sigma_old[6] (net)
0.07 0.00 0.41 v _06338_/A (sky130_fd_sc_hd__xnor2_2)
0.09 0.18 0.59 v _06338_/Y (sky130_fd_sc_hd__xnor2_2)
3 0.01 _01315_ (net)
0.09 0.00 0.59 v _06339_/A (sky130_fd_sc_hd__or3b_2)
0.08 0.51 1.10 v _06339_/X (sky130_fd_sc_hd__or3b_2)
2 0.00 _01316_ (net)
0.08 0.00 1.10 v _06342_/C (sky130_fd_sc_hd__or3_2)
0.08 0.44 1.54 v _06342_/X (sky130_fd_sc_hd__or3_2)
1 0.00 _01319_ (net)
0.08 0.00 1.54 v _06343_/B1 (sky130_fd_sc_hd__a311o_2)
0.08 0.42 1.96 v _06343_/X (sky130_fd_sc_hd__a311o_2)
2 0.01 _01320_ (net)
0.08 0.00 1.96 v _06347_/A3 (sky130_fd_sc_hd__a31o_2)
0.07 0.31 2.26 v _06347_/X (sky130_fd_sc_hd__a31o_2)
3 0.02 _01324_ (net)
0.07 0.00 2.26 v _06362_/A1 (sky130_fd_sc_hd__o311ai_2)
0.47 0.51 2.77 ^ _06362_/Y (sky130_fd_sc_hd__o311ai_2)
3 0.02 _01339_ (net)
0.47 0.00 2.77 ^ _06363_/B2 (sky130_fd_sc_hd__a221o_2)
0.07 0.26 3.03 ^ _06363_/X (sky130_fd_sc_hd__a221o_2)
2 0.01 _01340_ (net)
0.07 0.00 3.03 ^ _06365_/A3 (sky130_fd_sc_hd__a31o_2)
0.17 0.26 3.30 ^ _06365_/X (sky130_fd_sc_hd__a31o_2)
2 0.03 _01342_ (net)
0.17 0.00 3.30 ^ _06380_/A (sky130_fd_sc_hd__xor2_2)
0.11 0.12 3.42 v _06380_/X (sky130_fd_sc_hd__xor2_2)
4 0.01 _01357_ (net)
0.11 0.00 3.42 v _06382_/A (sky130_fd_sc_hd__buf_1)
0.13 0.21 3.63 v _06382_/X (sky130_fd_sc_hd__buf_1)
5 0.02 _01359_ (net)
0.13 0.00 3.63 v _06398_/C (sky130_fd_sc_hd__or3_2)
0.09 0.47 4.10 v _06398_/X (sky130_fd_sc_hd__or3_2)
2 0.01 _01375_ (net)
0.09 0.00 4.10 v _06598_/B1 (sky130_fd_sc_hd__o2111a_2)
0.06 0.20 4.30 v _06598_/X (sky130_fd_sc_hd__o2111a_2)
2 0.01 _01575_ (net)
0.06 0.00 4.30 v _06599_/B1 (sky130_fd_sc_hd__a31o_2)
0.08 0.28 4.58 v _06599_/X (sky130_fd_sc_hd__a31o_2)
4 0.02 _01576_ (net)
0.08 0.00 4.58 v _06601_/B (sky130_fd_sc_hd__and3_2)
0.05 0.23 4.81 v _06601_/X (sky130_fd_sc_hd__and3_2)
2 0.01 _01578_ (net)
0.05 0.00 4.81 v _06603_/B (sky130_fd_sc_hd__or3_2)
0.10 0.51 5.32 v _06603_/X (sky130_fd_sc_hd__or3_2)
3 0.01 _01580_ (net)
0.10 0.00 5.32 v _06610_/C (sky130_fd_sc_hd__and3_2)
0.05 0.25 5.57 v _06610_/X (sky130_fd_sc_hd__and3_2)
2 0.01 _01587_ (net)
0.05 0.00 5.57 v _06633_/A (sky130_fd_sc_hd__or3b_2)
0.10 0.52 6.10 v _06633_/X (sky130_fd_sc_hd__or3b_2)
3 0.01 _01610_ (net)
0.10 0.00 6.10 v _06646_/A1 (sky130_fd_sc_hd__a21o_2)
0.05 0.23 6.33 v _06646_/X (sky130_fd_sc_hd__a21o_2)
2 0.01 _01623_ (net)
0.05 0.00 6.33 v _06650_/A2 (sky130_fd_sc_hd__a21o_2)
0.05 0.24 6.57 v _06650_/X (sky130_fd_sc_hd__a21o_2)
3 0.01 _01627_ (net)
0.05 0.00 6.57 v _06713_/A2 (sky130_fd_sc_hd__a21o_2)
0.06 0.25 6.82 v _06713_/X (sky130_fd_sc_hd__a21o_2)
3 0.01 _01690_ (net)
0.06 0.00 6.82 v _06715_/C (sky130_fd_sc_hd__and3_2)
0.05 0.23 7.05 v _06715_/X (sky130_fd_sc_hd__and3_2)
2 0.01 _01692_ (net)
0.05 0.00 7.05 v _06719_/A (sky130_fd_sc_hd__or3_2)
0.09 0.52 7.57 v _06719_/X (sky130_fd_sc_hd__or3_2)
2 0.01 _01696_ (net)
0.09 0.00 7.57 v _06721_/A2 (sky130_fd_sc_hd__a21o_2)
0.07 0.28 7.84 v _06721_/X (sky130_fd_sc_hd__a21o_2)
3 0.02 _01698_ (net)
0.07 0.00 7.84 v _06723_/A2 (sky130_fd_sc_hd__a21oi_2)
0.17 0.21 8.05 ^ _06723_/Y (sky130_fd_sc_hd__a21oi_2)
3 0.01 _01700_ (net)
0.17 0.00 8.05 ^ _06730_/A2 (sky130_fd_sc_hd__o21a_2)
0.14 0.23 8.28 ^ _06730_/X (sky130_fd_sc_hd__o21a_2)
3 0.02 _01707_ (net)
0.14 0.00 8.28 ^ _06735_/A1 (sky130_fd_sc_hd__a21o_2)
0.08 0.19 8.47 ^ _06735_/X (sky130_fd_sc_hd__a21o_2)
2 0.01 _01712_ (net)
0.08 0.00 8.47 ^ _06737_/A2 (sky130_fd_sc_hd__a21o_2)
0.08 0.17 8.64 ^ _06737_/X (sky130_fd_sc_hd__a21o_2)
2 0.01 _01714_ (net)
0.08 0.00 8.64 ^ _06929_/B (sky130_fd_sc_hd__xor2_2)
0.31 0.30 8.95 ^ _06929_/X (sky130_fd_sc_hd__xor2_2)
2 0.02 _01906_ (net)
0.31 0.00 8.95 ^ _06930_/B (sky130_fd_sc_hd__xor2_2)
0.22 0.26 9.20 ^ _06930_/X (sky130_fd_sc_hd__xor2_2)
2 0.01 _01907_ (net)
0.22 0.00 9.20 ^ _06935_/A (sky130_fd_sc_hd__xnor2_2)
0.09 0.14 9.34 v _06935_/Y (sky130_fd_sc_hd__xnor2_2)
2 0.01 _01912_ (net)
0.09 0.00 9.34 v _06942_/A (sky130_fd_sc_hd__xor2_2)
0.09 0.22 9.56 v _06942_/X (sky130_fd_sc_hd__xor2_2)
2 0.01 _01919_ (net)
0.09 0.00 9.56 v _06963_/A1 (sky130_fd_sc_hd__a21o_2)
0.06 0.25 9.81 v _06963_/X (sky130_fd_sc_hd__a21o_2)
2 0.01 _01940_ (net)
0.06 0.00 9.81 v _06965_/A2 (sky130_fd_sc_hd__a21o_2)
0.06 0.25 10.07 v _06965_/X (sky130_fd_sc_hd__a21o_2)
2 0.01 _01942_ (net)
0.06 0.00 10.07 v _06967_/A2 (sky130_fd_sc_hd__a21o_2)
0.06 0.26 10.32 v _06967_/X (sky130_fd_sc_hd__a21o_2)
2 0.01 _01944_ (net)
0.06 0.00 10.32 v _06968_/B (sky130_fd_sc_hd__xor2_2)
0.29 0.28 10.60 ^ _06968_/X (sky130_fd_sc_hd__xor2_2)
2 0.02 _01945_ (net)
0.29 0.00 10.60 ^ _06999_/A (sky130_fd_sc_hd__xor2_2)
0.21 0.26 10.86 ^ _06999_/X (sky130_fd_sc_hd__xor2_2)
2 0.01 _01976_ (net)
0.21 0.00 10.86 ^ _07659_/A (sky130_fd_sc_hd__xnor2_2)
0.11 0.14 11.00 v _07659_/Y (sky130_fd_sc_hd__xnor2_2)
2 0.01 _02636_ (net)
0.11 0.00 11.00 v _07764_/A1 (sky130_fd_sc_hd__o21ai_2)
0.23 0.27 11.27 ^ _07764_/Y (sky130_fd_sc_hd__o21ai_2)
3 0.02 _02741_ (net)
0.23 0.00 11.27 ^ _07863_/A (sky130_fd_sc_hd__nor3_2)
0.06 0.08 11.35 v _07863_/Y (sky130_fd_sc_hd__nor3_2)
1 0.00 _02840_ (net)
0.06 0.00 11.35 v _07864_/B1 (sky130_fd_sc_hd__a311o_2)
0.16 0.51 11.86 v _07864_/X (sky130_fd_sc_hd__a311o_2)
3 0.05 _02841_ (net)
0.16 0.01 11.86 v _07891_/A (sky130_fd_sc_hd__buf_1)
0.13 0.23 12.09 v _07891_/X (sky130_fd_sc_hd__buf_1)
5 0.02 _02863_ (net)
0.13 0.00 12.09 v _07904_/A (sky130_fd_sc_hd__nor2_2)
0.23 0.26 12.36 ^ _07904_/Y (sky130_fd_sc_hd__nor2_2)
1 0.02 _02874_ (net)
0.23 0.00 12.36 ^ _07906_/B1 (sky130_fd_sc_hd__o221a_2)
0.05 0.25 12.61 ^ _07906_/X (sky130_fd_sc_hd__o221a_2)
1 0.00 _00083_ (net)
0.05 0.00 12.61 ^ _10701_/D (sky130_fd_sc_hd__dfxtp_2)
12.61 data arrival time
0.15 20.00 20.00 clock clock (rise edge)
0.00 20.00 clock network delay (ideal)
-0.25 19.75 clock uncertainty
0.00 19.75 clock reconvergence pessimism
19.75 ^ _10701_/CLK (sky130_fd_sc_hd__dfxtp_2)
-0.04 19.71 library setup time
19.71 data required time
-----------------------------------------------------------------------------
19.71 data required time
-12.61 data arrival time
-----------------------------------------------------------------------------
7.10 slack (MET)
Startpoint: _10999_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _10702_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.15 0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (ideal)
0.15 0.00 0.00 ^ _10999_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.07 0.41 0.41 v _10999_/Q (sky130_fd_sc_hd__dfxtp_2)
4 0.02 pid.sigma_old[6] (net)
0.07 0.00 0.41 v _06338_/A (sky130_fd_sc_hd__xnor2_2)
0.09 0.18 0.59 v _06338_/Y (sky130_fd_sc_hd__xnor2_2)
3 0.01 _01315_ (net)
0.09 0.00 0.59 v _06339_/A (sky130_fd_sc_hd__or3b_2)
0.08 0.51 1.10 v _06339_/X (sky130_fd_sc_hd__or3b_2)
2 0.00 _01316_ (net)
0.08 0.00 1.10 v _06342_/C (sky130_fd_sc_hd__or3_2)
0.08 0.44 1.54 v _06342_/X (sky130_fd_sc_hd__or3_2)
1 0.00 _01319_ (net)
0.08 0.00 1.54 v _06343_/B1 (sky130_fd_sc_hd__a311o_2)
0.08 0.42 1.96 v _06343_/X (sky130_fd_sc_hd__a311o_2)
2 0.01 _01320_ (net)
0.08 0.00 1.96 v _06347_/A3 (sky130_fd_sc_hd__a31o_2)
0.07 0.31 2.26 v _06347_/X (sky130_fd_sc_hd__a31o_2)
3 0.02 _01324_ (net)
0.07 0.00 2.26 v _06362_/A1 (sky130_fd_sc_hd__o311ai_2)
0.47 0.51 2.77 ^ _06362_/Y (sky130_fd_sc_hd__o311ai_2)
3 0.02 _01339_ (net)
0.47 0.00 2.77 ^ _06363_/B2 (sky130_fd_sc_hd__a221o_2)
0.07 0.26 3.03 ^ _06363_/X (sky130_fd_sc_hd__a221o_2)
2 0.01 _01340_ (net)
0.07 0.00 3.03 ^ _06365_/A3 (sky130_fd_sc_hd__a31o_2)
0.17 0.26 3.30 ^ _06365_/X (sky130_fd_sc_hd__a31o_2)
2 0.03 _01342_ (net)
0.17 0.00 3.30 ^ _06380_/A (sky130_fd_sc_hd__xor2_2)
0.11 0.12 3.42 v _06380_/X (sky130_fd_sc_hd__xor2_2)
4 0.01 _01357_ (net)
0.11 0.00 3.42 v _06382_/A (sky130_fd_sc_hd__buf_1)
0.13 0.21 3.63 v _06382_/X (sky130_fd_sc_hd__buf_1)
5 0.02 _01359_ (net)
0.13 0.00 3.63 v _06398_/C (sky130_fd_sc_hd__or3_2)
0.09 0.47 4.10 v _06398_/X (sky130_fd_sc_hd__or3_2)
2 0.01 _01375_ (net)
0.09 0.00 4.10 v _06598_/B1 (sky130_fd_sc_hd__o2111a_2)
0.06 0.20 4.30 v _06598_/X (sky130_fd_sc_hd__o2111a_2)
2 0.01 _01575_ (net)
0.06 0.00 4.30 v _06599_/B1 (sky130_fd_sc_hd__a31o_2)
0.08 0.28 4.58 v _06599_/X (sky130_fd_sc_hd__a31o_2)
4 0.02 _01576_ (net)
0.08 0.00 4.58 v _06601_/B (sky130_fd_sc_hd__and3_2)
0.05 0.23 4.81 v _06601_/X (sky130_fd_sc_hd__and3_2)
2 0.01 _01578_ (net)
0.05 0.00 4.81 v _06603_/B (sky130_fd_sc_hd__or3_2)
0.10 0.51 5.32 v _06603_/X (sky130_fd_sc_hd__or3_2)
3 0.01 _01580_ (net)
0.10 0.00 5.32 v _06610_/C (sky130_fd_sc_hd__and3_2)
0.05 0.25 5.57 v _06610_/X (sky130_fd_sc_hd__and3_2)
2 0.01 _01587_ (net)
0.05 0.00 5.57 v _06633_/A (sky130_fd_sc_hd__or3b_2)
0.10 0.52 6.10 v _06633_/X (sky130_fd_sc_hd__or3b_2)
3 0.01 _01610_ (net)
0.10 0.00 6.10 v _06646_/A1 (sky130_fd_sc_hd__a21o_2)
0.05 0.23 6.33 v _06646_/X (sky130_fd_sc_hd__a21o_2)
2 0.01 _01623_ (net)
0.05 0.00 6.33 v _06650_/A2 (sky130_fd_sc_hd__a21o_2)
0.05 0.24 6.57 v _06650_/X (sky130_fd_sc_hd__a21o_2)
3 0.01 _01627_ (net)
0.05 0.00 6.57 v _06713_/A2 (sky130_fd_sc_hd__a21o_2)
0.06 0.25 6.82 v _06713_/X (sky130_fd_sc_hd__a21o_2)
3 0.01 _01690_ (net)
0.06 0.00 6.82 v _06715_/C (sky130_fd_sc_hd__and3_2)
0.05 0.23 7.05 v _06715_/X (sky130_fd_sc_hd__and3_2)
2 0.01 _01692_ (net)
0.05 0.00 7.05 v _06719_/A (sky130_fd_sc_hd__or3_2)
0.09 0.52 7.57 v _06719_/X (sky130_fd_sc_hd__or3_2)
2 0.01 _01696_ (net)
0.09 0.00 7.57 v _06721_/A2 (sky130_fd_sc_hd__a21o_2)
0.07 0.28 7.84 v _06721_/X (sky130_fd_sc_hd__a21o_2)
3 0.02 _01698_ (net)
0.07 0.00 7.84 v _06723_/A2 (sky130_fd_sc_hd__a21oi_2)
0.17 0.21 8.05 ^ _06723_/Y (sky130_fd_sc_hd__a21oi_2)
3 0.01 _01700_ (net)
0.17 0.00 8.05 ^ _06730_/A2 (sky130_fd_sc_hd__o21a_2)
0.14 0.23 8.28 ^ _06730_/X (sky130_fd_sc_hd__o21a_2)
3 0.02 _01707_ (net)
0.14 0.00 8.28 ^ _06735_/A1 (sky130_fd_sc_hd__a21o_2)
0.08 0.19 8.47 ^ _06735_/X (sky130_fd_sc_hd__a21o_2)
2 0.01 _01712_ (net)
0.08 0.00 8.47 ^ _06737_/A2 (sky130_fd_sc_hd__a21o_2)
0.08 0.17 8.64 ^ _06737_/X (sky130_fd_sc_hd__a21o_2)
2 0.01 _01714_ (net)
0.08 0.00 8.64 ^ _06929_/B (sky130_fd_sc_hd__xor2_2)
0.31 0.30 8.95 ^ _06929_/X (sky130_fd_sc_hd__xor2_2)
2 0.02 _01906_ (net)
0.31 0.00 8.95 ^ _06930_/B (sky130_fd_sc_hd__xor2_2)
0.22 0.26 9.20 ^ _06930_/X (sky130_fd_sc_hd__xor2_2)
2 0.01 _01907_ (net)
0.22 0.00 9.20 ^ _06935_/A (sky130_fd_sc_hd__xnor2_2)
0.09 0.14 9.34 v _06935_/Y (sky130_fd_sc_hd__xnor2_2)
2 0.01 _01912_ (net)
0.09 0.00 9.34 v _06942_/A (sky130_fd_sc_hd__xor2_2)
0.09 0.22 9.56 v _06942_/X (sky130_fd_sc_hd__xor2_2)
2 0.01 _01919_ (net)
0.09 0.00 9.56 v _06963_/A1 (sky130_fd_sc_hd__a21o_2)
0.06 0.25 9.81 v _06963_/X (sky130_fd_sc_hd__a21o_2)
2 0.01 _01940_ (net)
0.06 0.00 9.81 v _06965_/A2 (sky130_fd_sc_hd__a21o_2)
0.06 0.25 10.07 v _06965_/X (sky130_fd_sc_hd__a21o_2)
2 0.01 _01942_ (net)
0.06 0.00 10.07 v _06967_/A2 (sky130_fd_sc_hd__a21o_2)
0.06 0.26 10.32 v _06967_/X (sky130_fd_sc_hd__a21o_2)
2 0.01 _01944_ (net)
0.06 0.00 10.32 v _06968_/B (sky130_fd_sc_hd__xor2_2)
0.29 0.28 10.60 ^ _06968_/X (sky130_fd_sc_hd__xor2_2)
2 0.02 _01945_ (net)
0.29 0.00 10.60 ^ _06999_/A (sky130_fd_sc_hd__xor2_2)
0.21 0.26 10.86 ^ _06999_/X (sky130_fd_sc_hd__xor2_2)
2 0.01 _01976_ (net)
0.21 0.00 10.86 ^ _07659_/A (sky130_fd_sc_hd__xnor2_2)
0.11 0.14 11.00 v _07659_/Y (sky130_fd_sc_hd__xnor2_2)
2 0.01 _02636_ (net)
0.11 0.00 11.00 v _07764_/A1 (sky130_fd_sc_hd__o21ai_2)
0.23 0.27 11.27 ^ _07764_/Y (sky130_fd_sc_hd__o21ai_2)
3 0.02 _02741_ (net)
0.23 0.00 11.27 ^ _07863_/A (sky130_fd_sc_hd__nor3_2)
0.06 0.08 11.35 v _07863_/Y (sky130_fd_sc_hd__nor3_2)
1 0.00 _02840_ (net)
0.06 0.00 11.35 v _07864_/B1 (sky130_fd_sc_hd__a311o_2)
0.16 0.51 11.86 v _07864_/X (sky130_fd_sc_hd__a311o_2)
3 0.05 _02841_ (net)
0.16 0.01 11.86 v _07891_/A (sky130_fd_sc_hd__buf_1)
0.13 0.23 12.09 v _07891_/X (sky130_fd_sc_hd__buf_1)
5 0.02 _02863_ (net)
0.13 0.00 12.09 v _07908_/A (sky130_fd_sc_hd__nor2_2)
0.23 0.26 12.36 ^ _07908_/Y (sky130_fd_sc_hd__nor2_2)
1 0.02 _02877_ (net)
0.23 0.00 12.36 ^ _07910_/B1 (sky130_fd_sc_hd__o221a_2)
0.05 0.25 12.61 ^ _07910_/X (sky130_fd_sc_hd__o221a_2)
1 0.00 _00084_ (net)
0.05 0.00 12.61 ^ _10702_/D (sky130_fd_sc_hd__dfxtp_2)
12.61 data arrival time
0.15 20.00 20.00 clock clock (rise edge)
0.00 20.00 clock network delay (ideal)
-0.25 19.75 clock uncertainty
0.00 19.75 clock reconvergence pessimism
19.75 ^ _10702_/CLK (sky130_fd_sc_hd__dfxtp_2)
-0.04 19.71 library setup time
19.71 data required time
-----------------------------------------------------------------------------
19.71 data required time
-12.61 data arrival time
-----------------------------------------------------------------------------
7.10 slack (MET)
Startpoint: _10999_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _10703_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.15 0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (ideal)
0.15 0.00 0.00 ^ _10999_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.07 0.41 0.41 v _10999_/Q (sky130_fd_sc_hd__dfxtp_2)
4 0.02 pid.sigma_old[6] (net)
0.07 0.00 0.41 v _06338_/A (sky130_fd_sc_hd__xnor2_2)
0.09 0.18 0.59 v _06338_/Y (sky130_fd_sc_hd__xnor2_2)
3 0.01 _01315_ (net)
0.09 0.00 0.59 v _06339_/A (sky130_fd_sc_hd__or3b_2)
0.08 0.51 1.10 v _06339_/X (sky130_fd_sc_hd__or3b_2)
2 0.00 _01316_ (net)
0.08 0.00 1.10 v _06342_/C (sky130_fd_sc_hd__or3_2)
0.08 0.44 1.54 v _06342_/X (sky130_fd_sc_hd__or3_2)
1 0.00 _01319_ (net)
0.08 0.00 1.54 v _06343_/B1 (sky130_fd_sc_hd__a311o_2)
0.08 0.42 1.96 v _06343_/X (sky130_fd_sc_hd__a311o_2)
2 0.01 _01320_ (net)
0.08 0.00 1.96 v _06347_/A3 (sky130_fd_sc_hd__a31o_2)
0.07 0.31 2.26 v _06347_/X (sky130_fd_sc_hd__a31o_2)
3 0.02 _01324_ (net)
0.07 0.00 2.26 v _06362_/A1 (sky130_fd_sc_hd__o311ai_2)
0.47 0.51 2.77 ^ _06362_/Y (sky130_fd_sc_hd__o311ai_2)
3 0.02 _01339_ (net)
0.47 0.00 2.77 ^ _06363_/B2 (sky130_fd_sc_hd__a221o_2)
0.07 0.26 3.03 ^ _06363_/X (sky130_fd_sc_hd__a221o_2)
2 0.01 _01340_ (net)
0.07 0.00 3.03 ^ _06365_/A3 (sky130_fd_sc_hd__a31o_2)
0.17 0.26 3.30 ^ _06365_/X (sky130_fd_sc_hd__a31o_2)
2 0.03 _01342_ (net)
0.17 0.00 3.30 ^ _06380_/A (sky130_fd_sc_hd__xor2_2)
0.11 0.12 3.42 v _06380_/X (sky130_fd_sc_hd__xor2_2)
4 0.01 _01357_ (net)
0.11 0.00 3.42 v _06382_/A (sky130_fd_sc_hd__buf_1)
0.13 0.21 3.63 v _06382_/X (sky130_fd_sc_hd__buf_1)
5 0.02 _01359_ (net)
0.13 0.00 3.63 v _06398_/C (sky130_fd_sc_hd__or3_2)
0.09 0.47 4.10 v _06398_/X (sky130_fd_sc_hd__or3_2)
2 0.01 _01375_ (net)
0.09 0.00 4.10 v _06598_/B1 (sky130_fd_sc_hd__o2111a_2)
0.06 0.20 4.30 v _06598_/X (sky130_fd_sc_hd__o2111a_2)
2 0.01 _01575_ (net)
0.06 0.00 4.30 v _06599_/B1 (sky130_fd_sc_hd__a31o_2)
0.08 0.28 4.58 v _06599_/X (sky130_fd_sc_hd__a31o_2)
4 0.02 _01576_ (net)
0.08 0.00 4.58 v _06601_/B (sky130_fd_sc_hd__and3_2)
0.05 0.23 4.81 v _06601_/X (sky130_fd_sc_hd__and3_2)
2 0.01 _01578_ (net)
0.05 0.00 4.81 v _06603_/B (sky130_fd_sc_hd__or3_2)
0.10 0.51 5.32 v _06603_/X (sky130_fd_sc_hd__or3_2)
3 0.01 _01580_ (net)
0.10 0.00 5.32 v _06610_/C (sky130_fd_sc_hd__and3_2)
0.05 0.25 5.57 v _06610_/X (sky130_fd_sc_hd__and3_2)
2 0.01 _01587_ (net)
0.05 0.00 5.57 v _06633_/A (sky130_fd_sc_hd__or3b_2)
0.10 0.52 6.10 v _06633_/X (sky130_fd_sc_hd__or3b_2)
3 0.01 _01610_ (net)
0.10 0.00 6.10 v _06646_/A1 (sky130_fd_sc_hd__a21o_2)
0.05 0.23 6.33 v _06646_/X (sky130_fd_sc_hd__a21o_2)
2 0.01 _01623_ (net)
0.05 0.00 6.33 v _06650_/A2 (sky130_fd_sc_hd__a21o_2)
0.05 0.24 6.57 v _06650_/X (sky130_fd_sc_hd__a21o_2)
3 0.01 _01627_ (net)
0.05 0.00 6.57 v _06713_/A2 (sky130_fd_sc_hd__a21o_2)
0.06 0.25 6.82 v _06713_/X (sky130_fd_sc_hd__a21o_2)
3 0.01 _01690_ (net)
0.06 0.00 6.82 v _06715_/C (sky130_fd_sc_hd__and3_2)
0.05 0.23 7.05 v _06715_/X (sky130_fd_sc_hd__and3_2)
2 0.01 _01692_ (net)
0.05 0.00 7.05 v _06719_/A (sky130_fd_sc_hd__or3_2)
0.09 0.52 7.57 v _06719_/X (sky130_fd_sc_hd__or3_2)
2 0.01 _01696_ (net)
0.09 0.00 7.57 v _06721_/A2 (sky130_fd_sc_hd__a21o_2)
0.07 0.28 7.84 v _06721_/X (sky130_fd_sc_hd__a21o_2)
3 0.02 _01698_ (net)
0.07 0.00 7.84 v _06723_/A2 (sky130_fd_sc_hd__a21oi_2)
0.17 0.21 8.05 ^ _06723_/Y (sky130_fd_sc_hd__a21oi_2)
3 0.01 _01700_ (net)
0.17 0.00 8.05 ^ _06730_/A2 (sky130_fd_sc_hd__o21a_2)
0.14 0.23 8.28 ^ _06730_/X (sky130_fd_sc_hd__o21a_2)
3 0.02 _01707_ (net)
0.14 0.00 8.28 ^ _06735_/A1 (sky130_fd_sc_hd__a21o_2)
0.08 0.19 8.47 ^ _06735_/X (sky130_fd_sc_hd__a21o_2)
2 0.01 _01712_ (net)
0.08 0.00 8.47 ^ _06737_/A2 (sky130_fd_sc_hd__a21o_2)
0.08 0.17 8.64 ^ _06737_/X (sky130_fd_sc_hd__a21o_2)
2 0.01 _01714_ (net)
0.08 0.00 8.64 ^ _06929_/B (sky130_fd_sc_hd__xor2_2)
0.31 0.30 8.95 ^ _06929_/X (sky130_fd_sc_hd__xor2_2)
2 0.02 _01906_ (net)
0.31 0.00 8.95 ^ _06930_/B (sky130_fd_sc_hd__xor2_2)
0.22 0.26 9.20 ^ _06930_/X (sky130_fd_sc_hd__xor2_2)
2 0.01 _01907_ (net)
0.22 0.00 9.20 ^ _06935_/A (sky130_fd_sc_hd__xnor2_2)
0.09 0.14 9.34 v _06935_/Y (sky130_fd_sc_hd__xnor2_2)
2 0.01 _01912_ (net)
0.09 0.00 9.34 v _06942_/A (sky130_fd_sc_hd__xor2_2)
0.09 0.22 9.56 v _06942_/X (sky130_fd_sc_hd__xor2_2)
2 0.01 _01919_ (net)
0.09 0.00 9.56 v _06963_/A1 (sky130_fd_sc_hd__a21o_2)
0.06 0.25 9.81 v _06963_/X (sky130_fd_sc_hd__a21o_2)
2 0.01 _01940_ (net)
0.06 0.00 9.81 v _06965_/A2 (sky130_fd_sc_hd__a21o_2)
0.06 0.25 10.07 v _06965_/X (sky130_fd_sc_hd__a21o_2)
2 0.01 _01942_ (net)
0.06 0.00 10.07 v _06967_/A2 (sky130_fd_sc_hd__a21o_2)
0.06 0.26 10.32 v _06967_/X (sky130_fd_sc_hd__a21o_2)
2 0.01 _01944_ (net)
0.06 0.00 10.32 v _06968_/B (sky130_fd_sc_hd__xor2_2)
0.29 0.28 10.60 ^ _06968_/X (sky130_fd_sc_hd__xor2_2)
2 0.02 _01945_ (net)
0.29 0.00 10.60 ^ _06999_/A (sky130_fd_sc_hd__xor2_2)
0.21 0.26 10.86 ^ _06999_/X (sky130_fd_sc_hd__xor2_2)
2 0.01 _01976_ (net)
0.21 0.00 10.86 ^ _07659_/A (sky130_fd_sc_hd__xnor2_2)
0.11 0.14 11.00 v _07659_/Y (sky130_fd_sc_hd__xnor2_2)
2 0.01 _02636_ (net)
0.11 0.00 11.00 v _07764_/A1 (sky130_fd_sc_hd__o21ai_2)
0.23 0.27 11.27 ^ _07764_/Y (sky130_fd_sc_hd__o21ai_2)
3 0.02 _02741_ (net)
0.23 0.00 11.27 ^ _07863_/A (sky130_fd_sc_hd__nor3_2)
0.06 0.08 11.35 v _07863_/Y (sky130_fd_sc_hd__nor3_2)
1 0.00 _02840_ (net)
0.06 0.00 11.35 v _07864_/B1 (sky130_fd_sc_hd__a311o_2)
0.16 0.51 11.86 v _07864_/X (sky130_fd_sc_hd__a311o_2)
3 0.05 _02841_ (net)
0.16 0.01 11.86 v _07891_/A (sky130_fd_sc_hd__buf_1)
0.13 0.23 12.09 v _07891_/X (sky130_fd_sc_hd__buf_1)
5 0.02 _02863_ (net)
0.13 0.00 12.09 v _07912_/A (sky130_fd_sc_hd__nor2_2)
0.23 0.26 12.36 ^ _07912_/Y (sky130_fd_sc_hd__nor2_2)
1 0.02 _02880_ (net)
0.23 0.00 12.36 ^ _07915_/B1 (sky130_fd_sc_hd__o221a_2)
0.05 0.24 12.60 ^ _07915_/X (sky130_fd_sc_hd__o221a_2)
1 0.00 _00085_ (net)
0.05 0.00 12.60 ^ _10703_/D (sky130_fd_sc_hd__dfxtp_2)
12.60 data arrival time
0.15 20.00 20.00 clock clock (rise edge)
0.00 20.00 clock network delay (ideal)
-0.25 19.75 clock uncertainty
0.00 19.75 clock reconvergence pessimism
19.75 ^ _10703_/CLK (sky130_fd_sc_hd__dfxtp_2)
-0.04 19.71 library setup time
19.71 data required time
-----------------------------------------------------------------------------
19.71 data required time
-12.60 data arrival time
-----------------------------------------------------------------------------
7.11 slack (MET)