blob: 7b9981098184a70927a46db978b4ee8146df334d [file] [log] [blame]
===========================================================================
report_checks -path_delay min (Hold)
============================================================================
Startpoint: _10811_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _10793_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.31 0.21 0.21 ^ clock (in)
1 0.07 clock (net)
0.31 0.00 0.21 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 0.41 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_clock (net)
0.05 0.00 0.41 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.20 0.60 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 clknet_1_0_0_clock (net)
0.19 0.00 0.60 ^ clkbuf_2_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.19 0.80 ^ clkbuf_2_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 clknet_2_0_0_clock (net)
0.12 0.00 0.80 ^ clkbuf_3_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.79 0.61 1.41 ^ clkbuf_3_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
11 0.15 clknet_3_1_0_clock (net)
0.79 0.00 1.41 ^ clkbuf_leaf_65_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.28 1.69 ^ clkbuf_leaf_65_clock/X (sky130_fd_sc_hd__clkbuf_16)
10 0.03 clknet_leaf_65_clock (net)
0.07 0.00 1.69 ^ _10811_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.08 0.32 2.01 ^ _10811_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 qei.qei_period_count[3] (net)
0.08 0.00 2.01 ^ _08450_/A2 (sky130_fd_sc_hd__o211a_1)
0.06 0.14 2.16 ^ _08450_/X (sky130_fd_sc_hd__o211a_1)
1 0.01 _00175_ (net)
0.06 0.00 2.16 ^ _10793_/D (sky130_fd_sc_hd__dfxtp_1)
2.16 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.31 0.23 0.23 ^ clock (in)
1 0.07 clock (net)
0.31 0.00 0.23 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 0.45 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_clock (net)
0.05 0.00 0.45 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.22 0.67 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 clknet_1_0_0_clock (net)
0.19 0.00 0.67 ^ clkbuf_2_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.21 0.88 ^ clkbuf_2_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 clknet_2_0_0_clock (net)
0.12 0.00 0.88 ^ clkbuf_3_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.84 0.71 1.60 ^ clkbuf_3_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
13 0.16 clknet_3_0_0_clock (net)
0.84 0.00 1.60 ^ clkbuf_leaf_66_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 1.92 ^ clkbuf_leaf_66_clock/X (sky130_fd_sc_hd__clkbuf_16)
13 0.04 clknet_leaf_66_clock (net)
0.08 0.00 1.92 ^ _10793_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 2.17 clock uncertainty
-0.08 2.08 clock reconvergence pessimism
-0.03 2.05 library hold time
2.05 data required time
-----------------------------------------------------------------------------
2.05 data required time
-2.16 data arrival time
-----------------------------------------------------------------------------
0.10 slack (MET)
Startpoint: _10823_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _10805_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.31 0.21 0.21 ^ clock (in)
1 0.07 clock (net)
0.31 0.00 0.21 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 0.41 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_clock (net)
0.05 0.00 0.41 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.20 0.60 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 clknet_1_0_0_clock (net)
0.19 0.00 0.60 ^ clkbuf_2_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.19 0.80 ^ clkbuf_2_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 clknet_2_0_0_clock (net)
0.12 0.00 0.80 ^ clkbuf_3_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.79 0.61 1.41 ^ clkbuf_3_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
11 0.15 clknet_3_1_0_clock (net)
0.79 0.00 1.41 ^ clkbuf_leaf_65_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.28 1.69 ^ clkbuf_leaf_65_clock/X (sky130_fd_sc_hd__clkbuf_16)
10 0.03 clknet_leaf_65_clock (net)
0.07 0.00 1.69 ^ _10823_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.11 0.35 2.03 ^ _10823_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 qei.qei_period_count[15] (net)
0.11 0.00 2.03 ^ _08484_/A2 (sky130_fd_sc_hd__o211a_1)
0.04 0.13 2.17 ^ _08484_/X (sky130_fd_sc_hd__o211a_1)
1 0.00 _00187_ (net)
0.04 0.00 2.17 ^ _10805_/D (sky130_fd_sc_hd__dfxtp_2)
2.17 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.31 0.23 0.23 ^ clock (in)
1 0.07 clock (net)
0.31 0.00 0.23 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 0.45 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_clock (net)
0.05 0.00 0.45 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.22 0.67 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 clknet_1_0_0_clock (net)
0.19 0.00 0.67 ^ clkbuf_2_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.21 0.88 ^ clkbuf_2_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 clknet_2_0_0_clock (net)
0.12 0.00 0.88 ^ clkbuf_3_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.84 0.71 1.60 ^ clkbuf_3_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
13 0.16 clknet_3_0_0_clock (net)
0.84 0.00 1.60 ^ clkbuf_leaf_67_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 1.92 ^ clkbuf_leaf_67_clock/X (sky130_fd_sc_hd__clkbuf_16)
13 0.04 clknet_leaf_67_clock (net)
0.08 0.00 1.92 ^ _10805_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.25 2.17 clock uncertainty
-0.08 2.08 clock reconvergence pessimism
-0.03 2.06 library hold time
2.06 data required time
-----------------------------------------------------------------------------
2.06 data required time
-2.17 data arrival time
-----------------------------------------------------------------------------
0.11 slack (MET)
Startpoint: _10673_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _10705_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.31 0.21 0.21 ^ clock (in)
1 0.07 clock (net)
0.31 0.00 0.21 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 0.41 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_clock (net)
0.05 0.00 0.41 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.20 0.61 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 clknet_1_1_0_clock (net)
0.19 0.00 0.61 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.19 0.80 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 clknet_2_3_0_clock (net)
0.11 0.00 0.80 ^ clkbuf_3_6_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.60 0.49 1.28 ^ clkbuf_3_6_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
8 0.11 clknet_3_6_0_clock (net)
0.60 0.00 1.28 ^ clkbuf_leaf_9_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.25 1.53 ^ clkbuf_leaf_9_clock/X (sky130_fd_sc_hd__clkbuf_16)
7 0.03 clknet_leaf_9_clock (net)
0.06 0.00 1.53 ^ _10673_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.04 0.29 1.82 v _10673_/Q (sky130_fd_sc_hd__dfxtp_1)
1 0.01 pwm.reg_duty[11] (net)
0.04 0.00 1.82 v _06225_/A (sky130_fd_sc_hd__inv_2)
0.04 0.05 1.87 ^ _06225_/Y (sky130_fd_sc_hd__inv_2)
2 0.01 _01223_ (net)
0.04 0.00 1.87 ^ _07928_/A1 (sky130_fd_sc_hd__o21ai_1)
0.04 0.06 1.93 v _07928_/Y (sky130_fd_sc_hd__o21ai_1)
1 0.00 _02894_ (net)
0.04 0.00 1.93 v _07929_/B2 (sky130_fd_sc_hd__o221a_1)
0.04 0.19 2.12 v _07929_/X (sky130_fd_sc_hd__o221a_1)
1 0.00 _00087_ (net)
0.04 0.00 2.12 v _10705_/D (sky130_fd_sc_hd__dfxtp_1)
2.12 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.31 0.23 0.23 ^ clock (in)
1 0.07 clock (net)
0.31 0.00 0.23 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 0.45 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_clock (net)
0.05 0.00 0.45 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.22 0.67 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 clknet_1_1_0_clock (net)
0.19 0.00 0.67 ^ clkbuf_2_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.22 0.89 ^ clkbuf_2_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 clknet_2_2_0_clock (net)
0.13 0.00 0.89 ^ clkbuf_3_4_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.79 0.68 1.57 ^ clkbuf_3_4_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
11 0.15 clknet_3_4_0_clock (net)
0.79 0.00 1.58 ^ clkbuf_leaf_7_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.30 1.87 ^ clkbuf_leaf_7_clock/X (sky130_fd_sc_hd__clkbuf_16)
6 0.02 clknet_leaf_7_clock (net)
0.06 0.00 1.87 ^ _10705_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 2.12 clock uncertainty
-0.06 2.06 clock reconvergence pessimism
-0.05 2.01 library hold time
2.01 data required time
-----------------------------------------------------------------------------
2.01 data required time
-2.12 data arrival time
-----------------------------------------------------------------------------
0.11 slack (MET)
Startpoint: _10650_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _10806_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.31 0.21 0.21 ^ clock (in)
1 0.07 clock (net)
0.31 0.00 0.21 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 0.41 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_clock (net)
0.05 0.00 0.41 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.20 0.61 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 clknet_1_1_0_clock (net)
0.19 0.00 0.61 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.19 0.80 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 clknet_2_3_0_clock (net)
0.11 0.00 0.80 ^ clkbuf_3_7_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.54 0.45 1.24 ^ clkbuf_3_7_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
7 0.10 clknet_3_7_0_clock (net)
0.54 0.00 1.24 ^ clkbuf_leaf_28_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.26 1.50 ^ clkbuf_leaf_28_clock/X (sky130_fd_sc_hd__clkbuf_16)
13 0.04 clknet_leaf_28_clock (net)
0.07 0.00 1.50 ^ _10650_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.07 0.32 1.82 v _10650_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 pwm.proc_offset[31] (net)
0.07 0.00 1.82 v _05568_/A (sky130_fd_sc_hd__inv_2)
0.07 0.08 1.90 ^ _05568_/Y (sky130_fd_sc_hd__inv_2)
3 0.01 _00591_ (net)
0.07 0.00 1.90 ^ _05602_/A (sky130_fd_sc_hd__xnor2_1)
0.11 0.15 2.06 ^ _05602_/Y (sky130_fd_sc_hd__xnor2_1)
2 0.01 _00625_ (net)
0.11 0.00 2.06 ^ _05728_/A2 (sky130_fd_sc_hd__o21ai_2)
0.07 0.09 2.15 v _05728_/Y (sky130_fd_sc_hd__o21ai_2)
1 0.01 _00000_ (net)
0.07 0.00 2.15 v _10806_/D (sky130_fd_sc_hd__dfxtp_1)
2.15 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.31 0.23 0.23 ^ clock (in)
1 0.07 clock (net)
0.31 0.00 0.23 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 0.45 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_clock (net)
0.05 0.00 0.45 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.22 0.67 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 clknet_1_1_0_clock (net)
0.19 0.00 0.67 ^ clkbuf_2_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.22 0.89 ^ clkbuf_2_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 clknet_2_2_0_clock (net)
0.13 0.00 0.89 ^ clkbuf_3_4_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.79 0.68 1.57 ^ clkbuf_3_4_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
11 0.15 clknet_3_4_0_clock (net)
0.79 0.00 1.57 ^ clkbuf_leaf_14_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.29 1.87 ^ clkbuf_leaf_14_clock/X (sky130_fd_sc_hd__clkbuf_16)
5 0.02 clknet_leaf_14_clock (net)
0.06 0.00 1.87 ^ _10806_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 2.12 clock uncertainty
-0.06 2.05 clock reconvergence pessimism
-0.06 1.99 library hold time
1.99 data required time
-----------------------------------------------------------------------------
1.99 data required time
-2.15 data arrival time
-----------------------------------------------------------------------------
0.15 slack (MET)
Startpoint: _10861_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _10824_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.31 0.21 0.21 ^ clock (in)
1 0.07 clock (net)
0.31 0.00 0.21 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 0.41 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_clock (net)
0.05 0.00 0.41 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.20 0.60 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 clknet_1_0_0_clock (net)
0.19 0.00 0.60 ^ clkbuf_2_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.19 0.80 ^ clkbuf_2_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 clknet_2_0_0_clock (net)
0.12 0.00 0.80 ^ clkbuf_3_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.84 0.65 1.44 ^ clkbuf_3_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
13 0.16 clknet_3_0_0_clock (net)
0.84 0.00 1.45 ^ _10861_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.10 0.49 1.94 v _10861_/Q (sky130_fd_sc_hd__dfxtp_1)
5 0.02 qei.count_reg_2[0] (net)
0.10 0.00 1.94 v _08568_/A1 (sky130_fd_sc_hd__a22o_1)
0.04 0.21 2.15 v _08568_/X (sky130_fd_sc_hd__a22o_1)
1 0.00 _00205_ (net)
0.04 0.00 2.15 v _10824_/D (sky130_fd_sc_hd__dfxtp_1)
2.15 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.31 0.23 0.23 ^ clock (in)
1 0.07 clock (net)
0.31 0.00 0.23 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 0.45 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_clock (net)
0.05 0.00 0.45 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.22 0.67 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 clknet_1_0_0_clock (net)
0.19 0.00 0.67 ^ clkbuf_2_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.21 0.88 ^ clkbuf_2_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 clknet_2_0_0_clock (net)
0.12 0.00 0.88 ^ clkbuf_3_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.84 0.71 1.60 ^ clkbuf_3_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
13 0.16 clknet_3_0_0_clock (net)
0.84 0.00 1.60 ^ clkbuf_leaf_66_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 1.92 ^ clkbuf_leaf_66_clock/X (sky130_fd_sc_hd__clkbuf_16)
13 0.04 clknet_leaf_66_clock (net)
0.08 0.00 1.92 ^ _10824_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 2.17 clock uncertainty
-0.15 2.01 clock reconvergence pessimism
-0.04 1.97 library hold time
1.97 data required time
-----------------------------------------------------------------------------
1.97 data required time
-2.15 data arrival time
-----------------------------------------------------------------------------
0.18 slack (MET)