blob: 3cd7aa965c405980b940c6808115d7c78bcee728 [file] [log] [blame]
OpenROAD 8d53e9b018dec98fa63e907ddeb6c5406f035361
This program is licensed under the BSD-3 license. See the LICENSE file for details.
Components of this program may be licensed under more restrictive licenses which must be honored.
[INFO ODB-0222] Reading LEF file: /home/ali112000/mpw5/UETRV-ECORE/openlane/Motor_Top/runs/Motor_Top/tmp/merged_unpadded.lef
[INFO ODB-0223] Created 13 technology layers
[INFO ODB-0224] Created 25 technology vias
[INFO ODB-0225] Created 441 library cells
[INFO ODB-0226] Finished LEF file: /home/ali112000/mpw5/UETRV-ECORE/openlane/Motor_Top/runs/Motor_Top/tmp/merged_unpadded.lef
[INFO ODB-0127] Reading DEF file: /home/ali112000/mpw5/UETRV-ECORE/openlane/Motor_Top/runs/Motor_Top/results/routing/Motor_Top.def
[INFO ODB-0128] Design: Motor_Top
[INFO ODB-0130] Created 99 pins.
[INFO ODB-0131] Created 32703 components and 146175 component-terminals.
[INFO ODB-0132] Created 2 special nets and 124264 connections.
[INFO ODB-0133] Created 6002 nets and 21911 connections.
[INFO ODB-0134] Finished DEF file: /home/ali112000/mpw5/UETRV-ECORE/openlane/Motor_Top/runs/Motor_Top/results/routing/Motor_Top.def
###############################################################################
# Created by write_sdc
# Mon Mar 21 23:43:39 2022
###############################################################################
current_design Motor_Top
###############################################################################
# Timing Constraints
###############################################################################
create_clock -name clock -period 20.0000 [get_ports {clock}]
set_clock_transition 0.1500 [get_clocks {clock}]
set_clock_uncertainty 0.2500 clock
set_propagated_clock [get_clocks {clock}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_ba_match}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_qei_ch_a}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_qei_ch_b}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_addr[0]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_addr[10]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_addr[11]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_addr[12]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_addr[13]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_addr[14]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_addr[15]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_addr[1]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_addr[2]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_addr[3]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_addr[4]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_addr[5]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_addr[6]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_addr[7]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_addr[8]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_addr[9]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[0]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[10]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[11]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[12]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[13]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[14]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[15]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[16]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[17]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[18]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[19]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[1]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[20]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[21]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[22]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[23]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[24]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[25]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[26]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[27]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[28]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[29]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[2]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[30]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[31]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[3]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[4]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[5]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[6]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[7]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[8]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[9]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_sel[0]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_sel[1]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_sel[2]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_sel[3]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_stb}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_we}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {reset}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_motor_irq}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_pwm_high}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_pwm_high_en}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_pwm_low}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_pwm_low_en}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_ack_o}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[0]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[10]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[11]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[12]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[13]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[14]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[15]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[16]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[17]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[18]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[19]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[1]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[20]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[21]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[22]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[23]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[24]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[25]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[26]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[27]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[28]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[29]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[2]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[30]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[31]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[3]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[4]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[5]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[6]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[7]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[8]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[9]}]
###############################################################################
# Environment
###############################################################################
set_load -pin_load 0.0334 [get_ports {io_motor_irq}]
set_load -pin_load 0.0334 [get_ports {io_pwm_high}]
set_load -pin_load 0.0334 [get_ports {io_pwm_high_en}]
set_load -pin_load 0.0334 [get_ports {io_pwm_low}]
set_load -pin_load 0.0334 [get_ports {io_pwm_low_en}]
set_load -pin_load 0.0334 [get_ports {io_wbs_ack_o}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[31]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[30]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[29]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[28]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[27]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[26]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[25]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[24]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[23]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[22]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[21]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[20]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[19]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[18]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[17]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[16]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[15]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[14]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[13]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[12]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[11]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[10]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[9]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[8]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[7]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[6]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[5]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[4]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[3]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[2]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[1]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[0]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {clock}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_ba_match}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_qei_ch_a}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_qei_ch_b}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_stb}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_we}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {reset}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_addr[15]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_addr[14]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_addr[13]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_addr[12]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_addr[11]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_addr[10]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_addr[9]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_addr[8]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_addr[7]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_addr[6]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_addr[5]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_addr[4]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_addr[3]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_addr[2]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_addr[1]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_addr[0]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[31]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[30]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[29]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[28]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[27]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[26]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[25]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[24]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[23]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[22]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[21]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[20]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[19]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[18]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[17]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[16]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[15]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[14]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[13]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[12]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[11]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[10]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[9]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[8]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[7]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[6]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[5]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[4]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[3]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[2]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[1]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[0]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_sel[3]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_sel[2]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_sel[1]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_sel[0]}]
set_timing_derate -early 0.9500
set_timing_derate -late 1.0500
###############################################################################
# Design Rules
###############################################################################
set_max_fanout 5.0000 [current_design]
min_report
===========================================================================
report_checks -path_delay min (Hold)
============================================================================
Startpoint: _10673_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _10705_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.28 0.19 0.19 ^ clock (in)
2 0.06 clock (net)
0.28 0.00 0.19 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 0.38 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.05 0.00 0.38 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.23 0.23 0.61 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_1_1_0_clock (net)
0.23 0.00 0.61 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.21 0.82 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_2_3_0_clock (net)
0.12 0.00 0.82 ^ clkbuf_3_6_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.63 0.51 1.33 ^ clkbuf_3_6_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
16 0.12 clknet_3_6_0_clock (net)
0.63 0.00 1.33 ^ clkbuf_leaf_9_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.26 1.59 ^ clkbuf_leaf_9_clock/X (sky130_fd_sc_hd__clkbuf_16)
7 0.03 clknet_leaf_9_clock (net)
0.06 0.00 1.59 ^ _10673_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.04 0.29 1.89 v _10673_/Q (sky130_fd_sc_hd__dfxtp_1)
1 0.01 pwm.reg_duty[11] (net)
0.04 0.00 1.89 v _06225_/A (sky130_fd_sc_hd__inv_2)
0.04 0.05 1.94 ^ _06225_/Y (sky130_fd_sc_hd__inv_2)
2 0.01 _01223_ (net)
0.04 0.00 1.94 ^ _07928_/A1 (sky130_fd_sc_hd__o21ai_1)
0.04 0.06 2.00 v _07928_/Y (sky130_fd_sc_hd__o21ai_1)
1 0.00 _02894_ (net)
0.04 0.00 2.00 v _07929_/B2 (sky130_fd_sc_hd__o221a_1)
0.04 0.20 2.19 v _07929_/X (sky130_fd_sc_hd__o221a_1)
1 0.00 _00087_ (net)
0.04 0.00 2.19 v _10705_/D (sky130_fd_sc_hd__dfxtp_1)
2.19 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.28 0.21 0.21 ^ clock (in)
2 0.06 clock (net)
0.28 0.00 0.21 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 0.42 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.05 0.00 0.42 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.23 0.25 0.67 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_1_1_0_clock (net)
0.23 0.00 0.68 ^ clkbuf_2_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.25 0.92 ^ clkbuf_2_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_2_2_0_clock (net)
0.15 0.00 0.92 ^ clkbuf_3_4_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.92 0.78 1.70 ^ clkbuf_3_4_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
22 0.17 clknet_3_4_0_clock (net)
0.92 0.01 1.71 ^ clkbuf_leaf_7_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.31 2.02 ^ clkbuf_leaf_7_clock/X (sky130_fd_sc_hd__clkbuf_16)
6 0.02 clknet_leaf_7_clock (net)
0.06 0.00 2.02 ^ _10705_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 2.27 clock uncertainty
-0.06 2.21 clock reconvergence pessimism
-0.05 2.16 library hold time
2.16 data required time
-----------------------------------------------------------------------------
2.16 data required time
-2.19 data arrival time
-----------------------------------------------------------------------------
0.03 slack (MET)
Startpoint: _10650_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _10806_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.28 0.19 0.19 ^ clock (in)
2 0.06 clock (net)
0.28 0.00 0.19 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 0.38 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.05 0.00 0.38 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.23 0.23 0.61 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_1_1_0_clock (net)
0.23 0.00 0.61 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.21 0.82 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_2_3_0_clock (net)
0.12 0.00 0.82 ^ clkbuf_3_7_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.53 0.44 1.26 ^ clkbuf_3_7_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
14 0.10 clknet_3_7_0_clock (net)
0.53 0.00 1.26 ^ clkbuf_leaf_28_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.25 1.52 ^ clkbuf_leaf_28_clock/X (sky130_fd_sc_hd__clkbuf_16)
13 0.04 clknet_leaf_28_clock (net)
0.07 0.00 1.52 ^ _10650_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.07 0.33 1.84 v _10650_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 pwm.proc_offset[31] (net)
0.07 0.00 1.85 v _05568_/A (sky130_fd_sc_hd__inv_2)
0.07 0.09 1.93 ^ _05568_/Y (sky130_fd_sc_hd__inv_2)
3 0.01 _00591_ (net)
0.07 0.00 1.93 ^ _05602_/A (sky130_fd_sc_hd__xnor2_1)
0.12 0.15 2.09 ^ _05602_/Y (sky130_fd_sc_hd__xnor2_1)
2 0.01 _00625_ (net)
0.12 0.00 2.09 ^ _05728_/A2 (sky130_fd_sc_hd__o21ai_2)
0.08 0.10 2.18 v _05728_/Y (sky130_fd_sc_hd__o21ai_2)
1 0.02 _00000_ (net)
0.08 0.00 2.18 v _10806_/D (sky130_fd_sc_hd__dfxtp_1)
2.18 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.28 0.21 0.21 ^ clock (in)
2 0.06 clock (net)
0.28 0.00 0.21 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 0.42 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.05 0.00 0.42 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.23 0.25 0.67 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_1_1_0_clock (net)
0.23 0.00 0.68 ^ clkbuf_2_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.25 0.92 ^ clkbuf_2_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_2_2_0_clock (net)
0.15 0.00 0.92 ^ clkbuf_3_4_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.92 0.78 1.70 ^ clkbuf_3_4_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
22 0.17 clknet_3_4_0_clock (net)
0.92 0.00 1.71 ^ clkbuf_leaf_14_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.31 2.01 ^ clkbuf_leaf_14_clock/X (sky130_fd_sc_hd__clkbuf_16)
5 0.02 clknet_leaf_14_clock (net)
0.06 0.00 2.01 ^ _10806_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 2.26 clock uncertainty
-0.06 2.20 clock reconvergence pessimism
-0.06 2.14 library hold time
2.14 data required time
-----------------------------------------------------------------------------
2.14 data required time
-2.18 data arrival time
-----------------------------------------------------------------------------
0.05 slack (MET)
Startpoint: _10813_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _10795_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.28 0.19 0.19 ^ clock (in)
2 0.06 clock (net)
0.28 0.00 0.19 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 0.38 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.05 0.00 0.38 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.21 0.21 0.60 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_1_0_0_clock (net)
0.21 0.00 0.60 ^ clkbuf_2_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.14 0.21 0.81 ^ clkbuf_2_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_2_0_0_clock (net)
0.14 0.00 0.81 ^ clkbuf_3_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.91 0.70 1.51 ^ clkbuf_3_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
26 0.17 clknet_3_0_0_clock (net)
0.91 0.00 1.51 ^ clkbuf_leaf_72_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.28 1.79 ^ clkbuf_leaf_72_clock/X (sky130_fd_sc_hd__clkbuf_16)
9 0.03 clknet_leaf_72_clock (net)
0.07 0.00 1.80 ^ _10813_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.09 0.33 2.13 ^ _10813_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 qei.qei_period_count[5] (net)
0.09 0.00 2.13 ^ _08457_/A2 (sky130_fd_sc_hd__o211a_1)
0.04 0.13 2.26 ^ _08457_/X (sky130_fd_sc_hd__o211a_1)
1 0.00 _00177_ (net)
0.04 0.00 2.26 ^ _10795_/D (sky130_fd_sc_hd__dfxtp_1)
2.26 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.28 0.21 0.21 ^ clock (in)
2 0.06 clock (net)
0.28 0.00 0.21 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 0.42 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.05 0.00 0.42 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.21 0.24 0.66 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_1_0_0_clock (net)
0.21 0.00 0.66 ^ clkbuf_2_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.14 0.24 0.89 ^ clkbuf_2_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_2_0_0_clock (net)
0.14 0.00 0.90 ^ clkbuf_3_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.94 0.79 1.68 ^ clkbuf_3_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
22 0.18 clknet_3_1_0_clock (net)
0.94 0.00 1.69 ^ clkbuf_leaf_65_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.32 2.01 ^ clkbuf_leaf_65_clock/X (sky130_fd_sc_hd__clkbuf_16)
10 0.03 clknet_leaf_65_clock (net)
0.07 0.00 2.01 ^ _10795_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 2.26 clock uncertainty
-0.09 2.17 clock reconvergence pessimism
-0.03 2.15 library hold time
2.15 data required time
-----------------------------------------------------------------------------
2.15 data required time
-2.26 data arrival time
-----------------------------------------------------------------------------
0.12 slack (MET)
Startpoint: _10812_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _10794_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.28 0.19 0.19 ^ clock (in)
2 0.06 clock (net)
0.28 0.00 0.19 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 0.38 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.05 0.00 0.38 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.21 0.21 0.60 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_1_0_0_clock (net)
0.21 0.00 0.60 ^ clkbuf_2_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.14 0.21 0.81 ^ clkbuf_2_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_2_0_0_clock (net)
0.14 0.00 0.81 ^ clkbuf_3_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.91 0.70 1.51 ^ clkbuf_3_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
26 0.17 clknet_3_0_0_clock (net)
0.91 0.01 1.51 ^ clkbuf_leaf_73_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.28 1.79 ^ clkbuf_leaf_73_clock/X (sky130_fd_sc_hd__clkbuf_16)
7 0.03 clknet_leaf_73_clock (net)
0.07 0.00 1.79 ^ _10812_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.10 0.34 2.13 ^ _10812_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 qei.qei_period_count[4] (net)
0.10 0.00 2.13 ^ _08453_/A2 (sky130_fd_sc_hd__o211a_1)
0.04 0.14 2.26 ^ _08453_/X (sky130_fd_sc_hd__o211a_1)
1 0.00 _00176_ (net)
0.04 0.00 2.26 ^ _10794_/D (sky130_fd_sc_hd__dfxtp_1)
2.26 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.28 0.21 0.21 ^ clock (in)
2 0.06 clock (net)
0.28 0.00 0.21 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 0.42 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.05 0.00 0.42 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.21 0.24 0.66 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_1_0_0_clock (net)
0.21 0.00 0.66 ^ clkbuf_2_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.14 0.24 0.89 ^ clkbuf_2_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_2_0_0_clock (net)
0.14 0.00 0.90 ^ clkbuf_3_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.94 0.79 1.68 ^ clkbuf_3_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
22 0.18 clknet_3_1_0_clock (net)
0.94 0.00 1.69 ^ clkbuf_leaf_65_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.32 2.01 ^ clkbuf_leaf_65_clock/X (sky130_fd_sc_hd__clkbuf_16)
10 0.03 clknet_leaf_65_clock (net)
0.07 0.00 2.01 ^ _10794_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 2.26 clock uncertainty
-0.09 2.17 clock reconvergence pessimism
-0.03 2.15 library hold time
2.15 data required time
-----------------------------------------------------------------------------
2.15 data required time
-2.26 data arrival time
-----------------------------------------------------------------------------
0.12 slack (MET)
Startpoint: _10953_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _10703_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.28 0.19 0.19 ^ clock (in)
2 0.06 clock (net)
0.28 0.00 0.19 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 0.38 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.05 0.00 0.38 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.21 0.21 0.60 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_1_0_0_clock (net)
0.21 0.00 0.60 ^ clkbuf_2_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.22 0.82 ^ clkbuf_2_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_2_1_0_clock (net)
0.15 0.00 0.82 ^ clkbuf_3_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.78 0.61 1.43 ^ clkbuf_3_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
20 0.15 clknet_3_3_0_clock (net)
0.78 0.00 1.44 ^ clkbuf_leaf_40_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.27 1.71 ^ clkbuf_leaf_40_clock/X (sky130_fd_sc_hd__clkbuf_16)
9 0.03 clknet_leaf_40_clock (net)
0.07 0.00 1.71 ^ _10953_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.04 0.29 2.01 v _10953_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 pid.reg_pid_out[9] (net)
0.04 0.00 2.01 v _07911_/A (sky130_fd_sc_hd__nand2_1)
0.06 0.06 2.07 ^ _07911_/Y (sky130_fd_sc_hd__nand2_1)
1 0.01 _02879_ (net)
0.06 0.00 2.07 ^ _07912_/B (sky130_fd_sc_hd__nor2_2)
0.06 0.06 2.13 v _07912_/Y (sky130_fd_sc_hd__nor2_2)
2 0.02 _02880_ (net)
0.06 0.00 2.13 v _07915_/B1 (sky130_fd_sc_hd__o221a_1)
0.03 0.21 2.34 v _07915_/X (sky130_fd_sc_hd__o221a_1)
1 0.00 _00085_ (net)
0.03 0.00 2.34 v _10703_/D (sky130_fd_sc_hd__dfxtp_1)
2.34 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.28 0.21 0.21 ^ clock (in)
2 0.06 clock (net)
0.28 0.00 0.21 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 0.42 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.05 0.00 0.42 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.23 0.25 0.67 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_1_1_0_clock (net)
0.23 0.00 0.68 ^ clkbuf_2_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.25 0.92 ^ clkbuf_2_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_2_2_0_clock (net)
0.15 0.00 0.92 ^ clkbuf_3_4_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.92 0.78 1.70 ^ clkbuf_3_4_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
22 0.17 clknet_3_4_0_clock (net)
0.92 0.01 1.71 ^ clkbuf_leaf_8_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.33 2.04 ^ clkbuf_leaf_8_clock/X (sky130_fd_sc_hd__clkbuf_16)
11 0.04 clknet_leaf_8_clock (net)
0.08 0.00 2.04 ^ _10703_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 2.29 clock uncertainty
-0.04 2.25 clock reconvergence pessimism
-0.04 2.21 library hold time
2.21 data required time
-----------------------------------------------------------------------------
2.21 data required time
-2.34 data arrival time
-----------------------------------------------------------------------------
0.13 slack (MET)
min_report_end
max_report
===========================================================================
report_checks -path_delay max (Setup)
============================================================================
Startpoint: _10999_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _10708_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.28 0.21 0.21 ^ clock (in)
2 0.06 clock (net)
0.28 0.00 0.21 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 0.42 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.05 0.00 0.42 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.21 0.24 0.66 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_1_0_0_clock (net)
0.21 0.00 0.66 ^ clkbuf_2_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.25 0.90 ^ clkbuf_2_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_2_1_0_clock (net)
0.15 0.00 0.91 ^ clkbuf_3_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.78 0.68 1.58 ^ clkbuf_3_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
20 0.15 clknet_3_3_0_clock (net)
0.78 0.01 1.59 ^ clkbuf_leaf_48_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.29 1.88 ^ clkbuf_leaf_48_clock/X (sky130_fd_sc_hd__clkbuf_16)
6 0.02 clknet_leaf_48_clock (net)
0.06 0.00 1.88 ^ _10999_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.08 0.39 2.26 v _10999_/Q (sky130_fd_sc_hd__dfxtp_2)
4 0.03 pid.sigma_old[6] (net)
0.08 0.00 2.27 v _06338_/A (sky130_fd_sc_hd__xnor2_4)
0.09 0.20 2.46 v _06338_/Y (sky130_fd_sc_hd__xnor2_4)
3 0.02 _01315_ (net)
0.09 0.00 2.46 v _06339_/A (sky130_fd_sc_hd__or3b_1)
0.09 0.43 2.89 v _06339_/X (sky130_fd_sc_hd__or3b_1)
2 0.01 _01316_ (net)
0.09 0.00 2.89 v _06342_/C (sky130_fd_sc_hd__or3_1)
0.07 0.33 3.23 v _06342_/X (sky130_fd_sc_hd__or3_1)
1 0.00 _01319_ (net)
0.07 0.00 3.23 v _06343_/B1 (sky130_fd_sc_hd__a311o_1)
0.09 0.39 3.61 v _06343_/X (sky130_fd_sc_hd__a311o_1)
2 0.01 _01320_ (net)
0.09 0.00 3.61 v _06347_/A3 (sky130_fd_sc_hd__a31o_2)
0.08 0.33 3.94 v _06347_/X (sky130_fd_sc_hd__a31o_2)
3 0.02 _01324_ (net)
0.08 0.00 3.94 v _06362_/A1 (sky130_fd_sc_hd__o311ai_4)
0.32 0.39 4.33 ^ _06362_/Y (sky130_fd_sc_hd__o311ai_4)
3 0.02 _01339_ (net)
0.32 0.00 4.34 ^ _06363_/B2 (sky130_fd_sc_hd__a221o_1)
0.08 0.24 4.57 ^ _06363_/X (sky130_fd_sc_hd__a221o_1)
2 0.01 _01340_ (net)
0.08 0.00 4.57 ^ _06365_/A3 (sky130_fd_sc_hd__a31o_2)
0.14 0.25 4.82 ^ _06365_/X (sky130_fd_sc_hd__a31o_2)
2 0.02 _01342_ (net)
0.14 0.00 4.82 ^ _06380_/A (sky130_fd_sc_hd__xor2_2)
0.10 0.12 4.94 v _06380_/X (sky130_fd_sc_hd__xor2_2)
4 0.01 _01357_ (net)
0.10 0.00 4.94 v _06382_/A (sky130_fd_sc_hd__clkbuf_2)
0.08 0.18 5.11 v _06382_/X (sky130_fd_sc_hd__clkbuf_2)
5 0.02 _01359_ (net)
0.08 0.00 5.11 v _06398_/C (sky130_fd_sc_hd__or3_1)
0.08 0.35 5.47 v _06398_/X (sky130_fd_sc_hd__or3_1)
2 0.01 _01375_ (net)
0.08 0.00 5.47 v _06598_/B1 (sky130_fd_sc_hd__o2111a_1)
0.07 0.19 5.65 v _06598_/X (sky130_fd_sc_hd__o2111a_1)
2 0.01 _01575_ (net)
0.07 0.00 5.65 v _06599_/B1 (sky130_fd_sc_hd__a31o_1)
0.09 0.25 5.91 v _06599_/X (sky130_fd_sc_hd__a31o_1)
4 0.01 _01576_ (net)
0.09 0.00 5.91 v _06601_/B (sky130_fd_sc_hd__and3_1)
0.05 0.20 6.11 v _06601_/X (sky130_fd_sc_hd__and3_1)
2 0.01 _01578_ (net)
0.05 0.00 6.11 v _06603_/B (sky130_fd_sc_hd__or3_1)
0.10 0.40 6.51 v _06603_/X (sky130_fd_sc_hd__or3_1)
3 0.01 _01580_ (net)
0.10 0.00 6.51 v _06610_/C (sky130_fd_sc_hd__and3_1)
0.04 0.22 6.73 v _06610_/X (sky130_fd_sc_hd__and3_1)
2 0.00 _01587_ (net)
0.04 0.00 6.73 v _06633_/A (sky130_fd_sc_hd__or3b_1)
0.10 0.43 7.16 v _06633_/X (sky130_fd_sc_hd__or3b_1)
3 0.01 _01610_ (net)
0.10 0.00 7.16 v _06646_/A1 (sky130_fd_sc_hd__a21o_1)
0.04 0.19 7.35 v _06646_/X (sky130_fd_sc_hd__a21o_1)
2 0.01 _01623_ (net)
0.04 0.00 7.35 v _06650_/A2 (sky130_fd_sc_hd__a21o_1)
0.06 0.21 7.56 v _06650_/X (sky130_fd_sc_hd__a21o_1)
3 0.01 _01627_ (net)
0.06 0.00 7.56 v _06652_/A2 (sky130_fd_sc_hd__a21bo_1)
0.06 0.23 7.79 v _06652_/X (sky130_fd_sc_hd__a21bo_1)
2 0.01 _01629_ (net)
0.06 0.00 7.79 v _06654_/A2 (sky130_fd_sc_hd__a21o_1)
0.06 0.22 8.01 v _06654_/X (sky130_fd_sc_hd__a21o_1)
2 0.01 _01631_ (net)
0.06 0.00 8.01 v _06656_/A2 (sky130_fd_sc_hd__a21o_1)
0.09 0.25 8.26 v _06656_/X (sky130_fd_sc_hd__a21o_1)
4 0.02 _01633_ (net)
0.09 0.00 8.26 v _06699_/A1 (sky130_fd_sc_hd__a21oi_1)
0.23 0.24 8.50 ^ _06699_/Y (sky130_fd_sc_hd__a21oi_1)
1 0.01 _01676_ (net)
0.23 0.00 8.50 ^ _06700_/B (sky130_fd_sc_hd__xnor2_2)
0.09 0.13 8.63 v _06700_/Y (sky130_fd_sc_hd__xnor2_2)
2 0.01 _01677_ (net)
0.09 0.00 8.63 v _06731_/B (sky130_fd_sc_hd__xor2_2)
0.09 0.18 8.81 v _06731_/X (sky130_fd_sc_hd__xor2_2)
2 0.01 _01708_ (net)
0.09 0.00 8.82 v _06733_/A (sky130_fd_sc_hd__xnor2_2)
0.28 0.30 9.12 ^ _06733_/Y (sky130_fd_sc_hd__xnor2_2)
2 0.02 _01710_ (net)
0.28 0.00 9.12 ^ _06735_/A2 (sky130_fd_sc_hd__a21o_1)
0.12 0.23 9.35 ^ _06735_/X (sky130_fd_sc_hd__a21o_1)
2 0.01 _01712_ (net)
0.12 0.00 9.35 ^ _06737_/A2 (sky130_fd_sc_hd__a21o_1)
0.18 0.24 9.59 ^ _06737_/X (sky130_fd_sc_hd__a21o_1)
2 0.02 _01714_ (net)
0.18 0.00 9.59 ^ _06929_/B (sky130_fd_sc_hd__xor2_4)
0.28 0.31 9.90 ^ _06929_/X (sky130_fd_sc_hd__xor2_4)
2 0.03 _01906_ (net)
0.28 0.00 9.90 ^ _06930_/B (sky130_fd_sc_hd__xor2_4)
0.24 0.28 10.18 ^ _06930_/X (sky130_fd_sc_hd__xor2_4)
2 0.03 _01907_ (net)
0.24 0.00 10.18 ^ _06935_/A (sky130_fd_sc_hd__xnor2_4)
0.09 0.14 10.32 v _06935_/Y (sky130_fd_sc_hd__xnor2_4)
2 0.02 _01912_ (net)
0.09 0.00 10.33 v _06942_/A (sky130_fd_sc_hd__xor2_4)
0.09 0.22 10.55 v _06942_/X (sky130_fd_sc_hd__xor2_4)
2 0.02 _01919_ (net)
0.09 0.00 10.55 v _06963_/A1 (sky130_fd_sc_hd__a21o_2)
0.07 0.26 10.81 v _06963_/X (sky130_fd_sc_hd__a21o_2)
2 0.02 _01940_ (net)
0.07 0.00 10.81 v _06965_/A2 (sky130_fd_sc_hd__a21o_1)
0.10 0.27 11.08 v _06965_/X (sky130_fd_sc_hd__a21o_1)
2 0.02 _01942_ (net)
0.10 0.00 11.08 v _06967_/A2 (sky130_fd_sc_hd__a21o_1)
0.10 0.28 11.35 v _06967_/X (sky130_fd_sc_hd__a21o_1)
2 0.02 _01944_ (net)
0.10 0.00 11.35 v _06968_/B (sky130_fd_sc_hd__xor2_4)
0.10 0.20 11.56 v _06968_/X (sky130_fd_sc_hd__xor2_4)
2 0.02 _01945_ (net)
0.10 0.00 11.56 v _07765_/A2 (sky130_fd_sc_hd__a21oi_1)
0.24 0.27 11.83 ^ _07765_/Y (sky130_fd_sc_hd__a21oi_1)
1 0.01 _02742_ (net)
0.24 0.00 11.83 ^ _07770_/A (sky130_fd_sc_hd__xnor2_1)
0.10 0.16 11.99 v _07770_/Y (sky130_fd_sc_hd__xnor2_1)
1 0.01 _02747_ (net)
0.10 0.00 11.99 v _07813_/A (sky130_fd_sc_hd__xnor2_2)
0.26 0.29 12.28 ^ _07813_/Y (sky130_fd_sc_hd__xnor2_2)
3 0.02 _02790_ (net)
0.26 0.00 12.28 ^ _07863_/B (sky130_fd_sc_hd__nor3_1)
0.07 0.10 12.38 v _07863_/Y (sky130_fd_sc_hd__nor3_1)
1 0.00 _02840_ (net)
0.07 0.00 12.38 v _07864_/B1 (sky130_fd_sc_hd__a311o_4)
0.13 0.50 12.88 v _07864_/X (sky130_fd_sc_hd__a311o_4)
6 0.06 _02841_ (net)
0.13 0.01 12.90 v _07917_/A (sky130_fd_sc_hd__clkbuf_2)
0.09 0.21 13.10 v _07917_/X (sky130_fd_sc_hd__clkbuf_2)
5 0.02 _02884_ (net)
0.09 0.00 13.10 v _07939_/A (sky130_fd_sc_hd__nor2_2)
0.29 0.29 13.40 ^ _07939_/Y (sky130_fd_sc_hd__nor2_2)
2 0.03 _02902_ (net)
0.29 0.00 13.40 ^ _07941_/B1 (sky130_fd_sc_hd__o221a_1)
0.06 0.25 13.65 ^ _07941_/X (sky130_fd_sc_hd__o221a_1)
1 0.00 _00090_ (net)
0.06 0.00 13.65 ^ _10708_/D (sky130_fd_sc_hd__dfxtp_1)
13.65 data arrival time
20.00 20.00 clock clock (rise edge)
0.00 20.00 clock source latency
0.28 0.19 20.19 ^ clock (in)
2 0.06 clock (net)
0.28 0.00 20.19 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 20.38 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.05 0.00 20.38 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.23 0.23 20.61 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_1_1_0_clock (net)
0.23 0.00 20.61 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.21 20.82 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_2_3_0_clock (net)
0.12 0.00 20.82 ^ clkbuf_3_6_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.63 0.51 21.33 ^ clkbuf_3_6_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
16 0.12 clknet_3_6_0_clock (net)
0.63 0.00 21.33 ^ clkbuf_leaf_10_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.26 21.60 ^ clkbuf_leaf_10_clock/X (sky130_fd_sc_hd__clkbuf_16)
10 0.04 clknet_leaf_10_clock (net)
0.07 0.00 21.60 ^ _10708_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 21.35 clock uncertainty
0.04 21.39 clock reconvergence pessimism
-0.05 21.34 library setup time
21.34 data required time
-----------------------------------------------------------------------------
21.34 data required time
-13.65 data arrival time
-----------------------------------------------------------------------------
7.69 slack (MET)
Startpoint: _10999_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _10707_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.28 0.21 0.21 ^ clock (in)
2 0.06 clock (net)
0.28 0.00 0.21 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 0.42 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.05 0.00 0.42 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.21 0.24 0.66 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_1_0_0_clock (net)
0.21 0.00 0.66 ^ clkbuf_2_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.25 0.90 ^ clkbuf_2_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_2_1_0_clock (net)
0.15 0.00 0.91 ^ clkbuf_3_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.78 0.68 1.58 ^ clkbuf_3_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
20 0.15 clknet_3_3_0_clock (net)
0.78 0.01 1.59 ^ clkbuf_leaf_48_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.29 1.88 ^ clkbuf_leaf_48_clock/X (sky130_fd_sc_hd__clkbuf_16)
6 0.02 clknet_leaf_48_clock (net)
0.06 0.00 1.88 ^ _10999_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.08 0.39 2.26 v _10999_/Q (sky130_fd_sc_hd__dfxtp_2)
4 0.03 pid.sigma_old[6] (net)
0.08 0.00 2.27 v _06338_/A (sky130_fd_sc_hd__xnor2_4)
0.09 0.20 2.46 v _06338_/Y (sky130_fd_sc_hd__xnor2_4)
3 0.02 _01315_ (net)
0.09 0.00 2.46 v _06339_/A (sky130_fd_sc_hd__or3b_1)
0.09 0.43 2.89 v _06339_/X (sky130_fd_sc_hd__or3b_1)
2 0.01 _01316_ (net)
0.09 0.00 2.89 v _06342_/C (sky130_fd_sc_hd__or3_1)
0.07 0.33 3.23 v _06342_/X (sky130_fd_sc_hd__or3_1)
1 0.00 _01319_ (net)
0.07 0.00 3.23 v _06343_/B1 (sky130_fd_sc_hd__a311o_1)
0.09 0.39 3.61 v _06343_/X (sky130_fd_sc_hd__a311o_1)
2 0.01 _01320_ (net)
0.09 0.00 3.61 v _06347_/A3 (sky130_fd_sc_hd__a31o_2)
0.08 0.33 3.94 v _06347_/X (sky130_fd_sc_hd__a31o_2)
3 0.02 _01324_ (net)
0.08 0.00 3.94 v _06362_/A1 (sky130_fd_sc_hd__o311ai_4)
0.32 0.39 4.33 ^ _06362_/Y (sky130_fd_sc_hd__o311ai_4)
3 0.02 _01339_ (net)
0.32 0.00 4.34 ^ _06363_/B2 (sky130_fd_sc_hd__a221o_1)
0.08 0.24 4.57 ^ _06363_/X (sky130_fd_sc_hd__a221o_1)
2 0.01 _01340_ (net)
0.08 0.00 4.57 ^ _06365_/A3 (sky130_fd_sc_hd__a31o_2)
0.14 0.25 4.82 ^ _06365_/X (sky130_fd_sc_hd__a31o_2)
2 0.02 _01342_ (net)
0.14 0.00 4.82 ^ _06380_/A (sky130_fd_sc_hd__xor2_2)
0.10 0.12 4.94 v _06380_/X (sky130_fd_sc_hd__xor2_2)
4 0.01 _01357_ (net)
0.10 0.00 4.94 v _06382_/A (sky130_fd_sc_hd__clkbuf_2)
0.08 0.18 5.11 v _06382_/X (sky130_fd_sc_hd__clkbuf_2)
5 0.02 _01359_ (net)
0.08 0.00 5.11 v _06398_/C (sky130_fd_sc_hd__or3_1)
0.08 0.35 5.47 v _06398_/X (sky130_fd_sc_hd__or3_1)
2 0.01 _01375_ (net)
0.08 0.00 5.47 v _06598_/B1 (sky130_fd_sc_hd__o2111a_1)
0.07 0.19 5.65 v _06598_/X (sky130_fd_sc_hd__o2111a_1)
2 0.01 _01575_ (net)
0.07 0.00 5.65 v _06599_/B1 (sky130_fd_sc_hd__a31o_1)
0.09 0.25 5.91 v _06599_/X (sky130_fd_sc_hd__a31o_1)
4 0.01 _01576_ (net)
0.09 0.00 5.91 v _06601_/B (sky130_fd_sc_hd__and3_1)
0.05 0.20 6.11 v _06601_/X (sky130_fd_sc_hd__and3_1)
2 0.01 _01578_ (net)
0.05 0.00 6.11 v _06603_/B (sky130_fd_sc_hd__or3_1)
0.10 0.40 6.51 v _06603_/X (sky130_fd_sc_hd__or3_1)
3 0.01 _01580_ (net)
0.10 0.00 6.51 v _06610_/C (sky130_fd_sc_hd__and3_1)
0.04 0.22 6.73 v _06610_/X (sky130_fd_sc_hd__and3_1)
2 0.00 _01587_ (net)
0.04 0.00 6.73 v _06633_/A (sky130_fd_sc_hd__or3b_1)
0.10 0.43 7.16 v _06633_/X (sky130_fd_sc_hd__or3b_1)
3 0.01 _01610_ (net)
0.10 0.00 7.16 v _06646_/A1 (sky130_fd_sc_hd__a21o_1)
0.04 0.19 7.35 v _06646_/X (sky130_fd_sc_hd__a21o_1)
2 0.01 _01623_ (net)
0.04 0.00 7.35 v _06650_/A2 (sky130_fd_sc_hd__a21o_1)
0.06 0.21 7.56 v _06650_/X (sky130_fd_sc_hd__a21o_1)
3 0.01 _01627_ (net)
0.06 0.00 7.56 v _06652_/A2 (sky130_fd_sc_hd__a21bo_1)
0.06 0.23 7.79 v _06652_/X (sky130_fd_sc_hd__a21bo_1)
2 0.01 _01629_ (net)
0.06 0.00 7.79 v _06654_/A2 (sky130_fd_sc_hd__a21o_1)
0.06 0.22 8.01 v _06654_/X (sky130_fd_sc_hd__a21o_1)
2 0.01 _01631_ (net)
0.06 0.00 8.01 v _06656_/A2 (sky130_fd_sc_hd__a21o_1)
0.09 0.25 8.26 v _06656_/X (sky130_fd_sc_hd__a21o_1)
4 0.02 _01633_ (net)
0.09 0.00 8.26 v _06699_/A1 (sky130_fd_sc_hd__a21oi_1)
0.23 0.24 8.50 ^ _06699_/Y (sky130_fd_sc_hd__a21oi_1)
1 0.01 _01676_ (net)
0.23 0.00 8.50 ^ _06700_/B (sky130_fd_sc_hd__xnor2_2)
0.09 0.13 8.63 v _06700_/Y (sky130_fd_sc_hd__xnor2_2)
2 0.01 _01677_ (net)
0.09 0.00 8.63 v _06731_/B (sky130_fd_sc_hd__xor2_2)
0.09 0.18 8.81 v _06731_/X (sky130_fd_sc_hd__xor2_2)
2 0.01 _01708_ (net)
0.09 0.00 8.82 v _06733_/A (sky130_fd_sc_hd__xnor2_2)
0.28 0.30 9.12 ^ _06733_/Y (sky130_fd_sc_hd__xnor2_2)
2 0.02 _01710_ (net)
0.28 0.00 9.12 ^ _06735_/A2 (sky130_fd_sc_hd__a21o_1)
0.12 0.23 9.35 ^ _06735_/X (sky130_fd_sc_hd__a21o_1)
2 0.01 _01712_ (net)
0.12 0.00 9.35 ^ _06737_/A2 (sky130_fd_sc_hd__a21o_1)
0.18 0.24 9.59 ^ _06737_/X (sky130_fd_sc_hd__a21o_1)
2 0.02 _01714_ (net)
0.18 0.00 9.59 ^ _06929_/B (sky130_fd_sc_hd__xor2_4)
0.28 0.31 9.90 ^ _06929_/X (sky130_fd_sc_hd__xor2_4)
2 0.03 _01906_ (net)
0.28 0.00 9.90 ^ _06930_/B (sky130_fd_sc_hd__xor2_4)
0.24 0.28 10.18 ^ _06930_/X (sky130_fd_sc_hd__xor2_4)
2 0.03 _01907_ (net)
0.24 0.00 10.18 ^ _06935_/A (sky130_fd_sc_hd__xnor2_4)
0.09 0.14 10.32 v _06935_/Y (sky130_fd_sc_hd__xnor2_4)
2 0.02 _01912_ (net)
0.09 0.00 10.33 v _06942_/A (sky130_fd_sc_hd__xor2_4)
0.09 0.22 10.55 v _06942_/X (sky130_fd_sc_hd__xor2_4)
2 0.02 _01919_ (net)
0.09 0.00 10.55 v _06963_/A1 (sky130_fd_sc_hd__a21o_2)
0.07 0.26 10.81 v _06963_/X (sky130_fd_sc_hd__a21o_2)
2 0.02 _01940_ (net)
0.07 0.00 10.81 v _06965_/A2 (sky130_fd_sc_hd__a21o_1)
0.10 0.27 11.08 v _06965_/X (sky130_fd_sc_hd__a21o_1)
2 0.02 _01942_ (net)
0.10 0.00 11.08 v _06967_/A2 (sky130_fd_sc_hd__a21o_1)
0.10 0.28 11.35 v _06967_/X (sky130_fd_sc_hd__a21o_1)
2 0.02 _01944_ (net)
0.10 0.00 11.35 v _06968_/B (sky130_fd_sc_hd__xor2_4)
0.10 0.20 11.56 v _06968_/X (sky130_fd_sc_hd__xor2_4)
2 0.02 _01945_ (net)
0.10 0.00 11.56 v _07765_/A2 (sky130_fd_sc_hd__a21oi_1)
0.24 0.27 11.83 ^ _07765_/Y (sky130_fd_sc_hd__a21oi_1)
1 0.01 _02742_ (net)
0.24 0.00 11.83 ^ _07770_/A (sky130_fd_sc_hd__xnor2_1)
0.10 0.16 11.99 v _07770_/Y (sky130_fd_sc_hd__xnor2_1)
1 0.01 _02747_ (net)
0.10 0.00 11.99 v _07813_/A (sky130_fd_sc_hd__xnor2_2)
0.26 0.29 12.28 ^ _07813_/Y (sky130_fd_sc_hd__xnor2_2)
3 0.02 _02790_ (net)
0.26 0.00 12.28 ^ _07863_/B (sky130_fd_sc_hd__nor3_1)
0.07 0.10 12.38 v _07863_/Y (sky130_fd_sc_hd__nor3_1)
1 0.00 _02840_ (net)
0.07 0.00 12.38 v _07864_/B1 (sky130_fd_sc_hd__a311o_4)
0.13 0.50 12.88 v _07864_/X (sky130_fd_sc_hd__a311o_4)
6 0.06 _02841_ (net)
0.13 0.01 12.90 v _07917_/A (sky130_fd_sc_hd__clkbuf_2)
0.09 0.21 13.10 v _07917_/X (sky130_fd_sc_hd__clkbuf_2)
5 0.02 _02884_ (net)
0.09 0.00 13.10 v _07935_/A (sky130_fd_sc_hd__nor2_2)
0.24 0.25 13.36 ^ _07935_/Y (sky130_fd_sc_hd__nor2_2)
2 0.02 _02899_ (net)
0.24 0.00 13.36 ^ _07937_/B1 (sky130_fd_sc_hd__o221a_1)
0.05 0.23 13.59 ^ _07937_/X (sky130_fd_sc_hd__o221a_1)
1 0.00 _00089_ (net)
0.05 0.00 13.59 ^ _10707_/D (sky130_fd_sc_hd__dfxtp_1)
13.59 data arrival time
20.00 20.00 clock clock (rise edge)
0.00 20.00 clock source latency
0.28 0.19 20.19 ^ clock (in)
2 0.06 clock (net)
0.28 0.00 20.19 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 20.38 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.05 0.00 20.38 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.23 0.23 20.61 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_1_1_0_clock (net)
0.23 0.00 20.61 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.21 20.82 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_2_3_0_clock (net)
0.12 0.00 20.82 ^ clkbuf_3_6_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.63 0.51 21.33 ^ clkbuf_3_6_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
16 0.12 clknet_3_6_0_clock (net)
0.63 0.00 21.33 ^ clkbuf_leaf_10_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.26 21.60 ^ clkbuf_leaf_10_clock/X (sky130_fd_sc_hd__clkbuf_16)
10 0.04 clknet_leaf_10_clock (net)
0.07 0.00 21.60 ^ _10707_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 21.35 clock uncertainty
0.04 21.39 clock reconvergence pessimism
-0.05 21.34 library setup time
21.34 data required time
-----------------------------------------------------------------------------
21.34 data required time
-13.59 data arrival time
-----------------------------------------------------------------------------
7.75 slack (MET)
Startpoint: _10999_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _10699_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.28 0.21 0.21 ^ clock (in)
2 0.06 clock (net)
0.28 0.00 0.21 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 0.42 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.05 0.00 0.42 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.21 0.24 0.66 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_1_0_0_clock (net)
0.21 0.00 0.66 ^ clkbuf_2_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.25 0.90 ^ clkbuf_2_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_2_1_0_clock (net)
0.15 0.00 0.91 ^ clkbuf_3_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.78 0.68 1.58 ^ clkbuf_3_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
20 0.15 clknet_3_3_0_clock (net)
0.78 0.01 1.59 ^ clkbuf_leaf_48_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.29 1.88 ^ clkbuf_leaf_48_clock/X (sky130_fd_sc_hd__clkbuf_16)
6 0.02 clknet_leaf_48_clock (net)
0.06 0.00 1.88 ^ _10999_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.08 0.39 2.26 v _10999_/Q (sky130_fd_sc_hd__dfxtp_2)
4 0.03 pid.sigma_old[6] (net)
0.08 0.00 2.27 v _06338_/A (sky130_fd_sc_hd__xnor2_4)
0.09 0.20 2.46 v _06338_/Y (sky130_fd_sc_hd__xnor2_4)
3 0.02 _01315_ (net)
0.09 0.00 2.46 v _06339_/A (sky130_fd_sc_hd__or3b_1)
0.09 0.43 2.89 v _06339_/X (sky130_fd_sc_hd__or3b_1)
2 0.01 _01316_ (net)
0.09 0.00 2.89 v _06342_/C (sky130_fd_sc_hd__or3_1)
0.07 0.33 3.23 v _06342_/X (sky130_fd_sc_hd__or3_1)
1 0.00 _01319_ (net)
0.07 0.00 3.23 v _06343_/B1 (sky130_fd_sc_hd__a311o_1)
0.09 0.39 3.61 v _06343_/X (sky130_fd_sc_hd__a311o_1)
2 0.01 _01320_ (net)
0.09 0.00 3.61 v _06347_/A3 (sky130_fd_sc_hd__a31o_2)
0.08 0.33 3.94 v _06347_/X (sky130_fd_sc_hd__a31o_2)
3 0.02 _01324_ (net)
0.08 0.00 3.94 v _06362_/A1 (sky130_fd_sc_hd__o311ai_4)
0.32 0.39 4.33 ^ _06362_/Y (sky130_fd_sc_hd__o311ai_4)
3 0.02 _01339_ (net)
0.32 0.00 4.34 ^ _06363_/B2 (sky130_fd_sc_hd__a221o_1)
0.08 0.24 4.57 ^ _06363_/X (sky130_fd_sc_hd__a221o_1)
2 0.01 _01340_ (net)
0.08 0.00 4.57 ^ _06365_/A3 (sky130_fd_sc_hd__a31o_2)
0.14 0.25 4.82 ^ _06365_/X (sky130_fd_sc_hd__a31o_2)
2 0.02 _01342_ (net)
0.14 0.00 4.82 ^ _06380_/A (sky130_fd_sc_hd__xor2_2)
0.10 0.12 4.94 v _06380_/X (sky130_fd_sc_hd__xor2_2)
4 0.01 _01357_ (net)
0.10 0.00 4.94 v _06382_/A (sky130_fd_sc_hd__clkbuf_2)
0.08 0.18 5.11 v _06382_/X (sky130_fd_sc_hd__clkbuf_2)
5 0.02 _01359_ (net)
0.08 0.00 5.11 v _06398_/C (sky130_fd_sc_hd__or3_1)
0.08 0.35 5.47 v _06398_/X (sky130_fd_sc_hd__or3_1)
2 0.01 _01375_ (net)
0.08 0.00 5.47 v _06598_/B1 (sky130_fd_sc_hd__o2111a_1)
0.07 0.19 5.65 v _06598_/X (sky130_fd_sc_hd__o2111a_1)
2 0.01 _01575_ (net)
0.07 0.00 5.65 v _06599_/B1 (sky130_fd_sc_hd__a31o_1)
0.09 0.25 5.91 v _06599_/X (sky130_fd_sc_hd__a31o_1)
4 0.01 _01576_ (net)
0.09 0.00 5.91 v _06601_/B (sky130_fd_sc_hd__and3_1)
0.05 0.20 6.11 v _06601_/X (sky130_fd_sc_hd__and3_1)
2 0.01 _01578_ (net)
0.05 0.00 6.11 v _06603_/B (sky130_fd_sc_hd__or3_1)
0.10 0.40 6.51 v _06603_/X (sky130_fd_sc_hd__or3_1)
3 0.01 _01580_ (net)
0.10 0.00 6.51 v _06610_/C (sky130_fd_sc_hd__and3_1)
0.04 0.22 6.73 v _06610_/X (sky130_fd_sc_hd__and3_1)
2 0.00 _01587_ (net)
0.04 0.00 6.73 v _06633_/A (sky130_fd_sc_hd__or3b_1)
0.10 0.43 7.16 v _06633_/X (sky130_fd_sc_hd__or3b_1)
3 0.01 _01610_ (net)
0.10 0.00 7.16 v _06646_/A1 (sky130_fd_sc_hd__a21o_1)
0.04 0.19 7.35 v _06646_/X (sky130_fd_sc_hd__a21o_1)
2 0.01 _01623_ (net)
0.04 0.00 7.35 v _06650_/A2 (sky130_fd_sc_hd__a21o_1)
0.06 0.21 7.56 v _06650_/X (sky130_fd_sc_hd__a21o_1)
3 0.01 _01627_ (net)
0.06 0.00 7.56 v _06652_/A2 (sky130_fd_sc_hd__a21bo_1)
0.06 0.23 7.79 v _06652_/X (sky130_fd_sc_hd__a21bo_1)
2 0.01 _01629_ (net)
0.06 0.00 7.79 v _06654_/A2 (sky130_fd_sc_hd__a21o_1)
0.06 0.22 8.01 v _06654_/X (sky130_fd_sc_hd__a21o_1)
2 0.01 _01631_ (net)
0.06 0.00 8.01 v _06656_/A2 (sky130_fd_sc_hd__a21o_1)
0.09 0.25 8.26 v _06656_/X (sky130_fd_sc_hd__a21o_1)
4 0.02 _01633_ (net)
0.09 0.00 8.26 v _06699_/A1 (sky130_fd_sc_hd__a21oi_1)
0.23 0.24 8.50 ^ _06699_/Y (sky130_fd_sc_hd__a21oi_1)
1 0.01 _01676_ (net)
0.23 0.00 8.50 ^ _06700_/B (sky130_fd_sc_hd__xnor2_2)
0.09 0.13 8.63 v _06700_/Y (sky130_fd_sc_hd__xnor2_2)
2 0.01 _01677_ (net)
0.09 0.00 8.63 v _06731_/B (sky130_fd_sc_hd__xor2_2)
0.09 0.18 8.81 v _06731_/X (sky130_fd_sc_hd__xor2_2)
2 0.01 _01708_ (net)
0.09 0.00 8.82 v _06733_/A (sky130_fd_sc_hd__xnor2_2)
0.28 0.30 9.12 ^ _06733_/Y (sky130_fd_sc_hd__xnor2_2)
2 0.02 _01710_ (net)
0.28 0.00 9.12 ^ _06735_/A2 (sky130_fd_sc_hd__a21o_1)
0.12 0.23 9.35 ^ _06735_/X (sky130_fd_sc_hd__a21o_1)
2 0.01 _01712_ (net)
0.12 0.00 9.35 ^ _06737_/A2 (sky130_fd_sc_hd__a21o_1)
0.18 0.24 9.59 ^ _06737_/X (sky130_fd_sc_hd__a21o_1)
2 0.02 _01714_ (net)
0.18 0.00 9.59 ^ _06929_/B (sky130_fd_sc_hd__xor2_4)
0.28 0.31 9.90 ^ _06929_/X (sky130_fd_sc_hd__xor2_4)
2 0.03 _01906_ (net)
0.28 0.00 9.90 ^ _06930_/B (sky130_fd_sc_hd__xor2_4)
0.24 0.28 10.18 ^ _06930_/X (sky130_fd_sc_hd__xor2_4)
2 0.03 _01907_ (net)
0.24 0.00 10.18 ^ _06935_/A (sky130_fd_sc_hd__xnor2_4)
0.09 0.14 10.32 v _06935_/Y (sky130_fd_sc_hd__xnor2_4)
2 0.02 _01912_ (net)
0.09 0.00 10.33 v _06942_/A (sky130_fd_sc_hd__xor2_4)
0.09 0.22 10.55 v _06942_/X (sky130_fd_sc_hd__xor2_4)
2 0.02 _01919_ (net)
0.09 0.00 10.55 v _06963_/A1 (sky130_fd_sc_hd__a21o_2)
0.07 0.26 10.81 v _06963_/X (sky130_fd_sc_hd__a21o_2)
2 0.02 _01940_ (net)
0.07 0.00 10.81 v _06965_/A2 (sky130_fd_sc_hd__a21o_1)
0.10 0.27 11.08 v _06965_/X (sky130_fd_sc_hd__a21o_1)
2 0.02 _01942_ (net)
0.10 0.00 11.08 v _06967_/A2 (sky130_fd_sc_hd__a21o_1)
0.10 0.28 11.35 v _06967_/X (sky130_fd_sc_hd__a21o_1)
2 0.02 _01944_ (net)
0.10 0.00 11.35 v _06968_/B (sky130_fd_sc_hd__xor2_4)
0.10 0.20 11.56 v _06968_/X (sky130_fd_sc_hd__xor2_4)
2 0.02 _01945_ (net)
0.10 0.00 11.56 v _07765_/A2 (sky130_fd_sc_hd__a21oi_1)
0.24 0.27 11.83 ^ _07765_/Y (sky130_fd_sc_hd__a21oi_1)
1 0.01 _02742_ (net)
0.24 0.00 11.83 ^ _07770_/A (sky130_fd_sc_hd__xnor2_1)
0.10 0.16 11.99 v _07770_/Y (sky130_fd_sc_hd__xnor2_1)
1 0.01 _02747_ (net)
0.10 0.00 11.99 v _07813_/A (sky130_fd_sc_hd__xnor2_2)
0.26 0.29 12.28 ^ _07813_/Y (sky130_fd_sc_hd__xnor2_2)
3 0.02 _02790_ (net)
0.26 0.00 12.28 ^ _07863_/B (sky130_fd_sc_hd__nor3_1)
0.07 0.10 12.38 v _07863_/Y (sky130_fd_sc_hd__nor3_1)
1 0.00 _02840_ (net)
0.07 0.00 12.38 v _07864_/B1 (sky130_fd_sc_hd__a311o_4)
0.13 0.50 12.88 v _07864_/X (sky130_fd_sc_hd__a311o_4)
6 0.06 _02841_ (net)
0.13 0.01 12.89 v _07891_/A (sky130_fd_sc_hd__clkbuf_2)
0.09 0.21 13.10 v _07891_/X (sky130_fd_sc_hd__clkbuf_2)
5 0.02 _02863_ (net)
0.09 0.00 13.10 v _07894_/A (sky130_fd_sc_hd__nor2_2)
0.29 0.30 13.40 ^ _07894_/Y (sky130_fd_sc_hd__nor2_2)
2 0.03 _02866_ (net)
0.29 0.00 13.40 ^ _07898_/B1 (sky130_fd_sc_hd__o221a_1)
0.08 0.28 13.68 ^ _07898_/X (sky130_fd_sc_hd__o221a_1)
1 0.01 _00081_ (net)
0.08 0.00 13.68 ^ _10699_/D (sky130_fd_sc_hd__dfxtp_1)
13.68 data arrival time
20.00 20.00 clock clock (rise edge)
0.00 20.00 clock source latency
0.28 0.19 20.19 ^ clock (in)
2 0.06 clock (net)
0.28 0.00 20.19 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 20.38 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.05 0.00 20.38 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.21 0.21 20.60 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_1_0_0_clock (net)
0.21 0.00 20.60 ^ clkbuf_2_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.14 0.21 20.81 ^ clkbuf_2_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_2_0_0_clock (net)
0.14 0.00 20.81 ^ clkbuf_3_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.94 0.71 21.52 ^ clkbuf_3_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
22 0.18 clknet_3_1_0_clock (net)
0.94 0.00 21.53 ^ clkbuf_leaf_6_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.28 21.80 ^ clkbuf_leaf_6_clock/X (sky130_fd_sc_hd__clkbuf_16)
5 0.02 clknet_leaf_6_clock (net)
0.06 0.00 21.81 ^ _10699_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 21.56 clock uncertainty
0.06 21.62 clock reconvergence pessimism
-0.06 21.56 library setup time
21.56 data required time
-----------------------------------------------------------------------------
21.56 data required time
-13.68 data arrival time
-----------------------------------------------------------------------------
7.88 slack (MET)
Startpoint: _10999_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _10700_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.28 0.21 0.21 ^ clock (in)
2 0.06 clock (net)
0.28 0.00 0.21 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 0.42 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.05 0.00 0.42 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.21 0.24 0.66 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_1_0_0_clock (net)
0.21 0.00 0.66 ^ clkbuf_2_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.25 0.90 ^ clkbuf_2_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_2_1_0_clock (net)
0.15 0.00 0.91 ^ clkbuf_3_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.78 0.68 1.58 ^ clkbuf_3_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
20 0.15 clknet_3_3_0_clock (net)
0.78 0.01 1.59 ^ clkbuf_leaf_48_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.29 1.88 ^ clkbuf_leaf_48_clock/X (sky130_fd_sc_hd__clkbuf_16)
6 0.02 clknet_leaf_48_clock (net)
0.06 0.00 1.88 ^ _10999_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.08 0.39 2.26 v _10999_/Q (sky130_fd_sc_hd__dfxtp_2)
4 0.03 pid.sigma_old[6] (net)
0.08 0.00 2.27 v _06338_/A (sky130_fd_sc_hd__xnor2_4)
0.09 0.20 2.46 v _06338_/Y (sky130_fd_sc_hd__xnor2_4)
3 0.02 _01315_ (net)
0.09 0.00 2.46 v _06339_/A (sky130_fd_sc_hd__or3b_1)
0.09 0.43 2.89 v _06339_/X (sky130_fd_sc_hd__or3b_1)
2 0.01 _01316_ (net)
0.09 0.00 2.89 v _06342_/C (sky130_fd_sc_hd__or3_1)
0.07 0.33 3.23 v _06342_/X (sky130_fd_sc_hd__or3_1)
1 0.00 _01319_ (net)
0.07 0.00 3.23 v _06343_/B1 (sky130_fd_sc_hd__a311o_1)
0.09 0.39 3.61 v _06343_/X (sky130_fd_sc_hd__a311o_1)
2 0.01 _01320_ (net)
0.09 0.00 3.61 v _06347_/A3 (sky130_fd_sc_hd__a31o_2)
0.08 0.33 3.94 v _06347_/X (sky130_fd_sc_hd__a31o_2)
3 0.02 _01324_ (net)
0.08 0.00 3.94 v _06362_/A1 (sky130_fd_sc_hd__o311ai_4)
0.32 0.39 4.33 ^ _06362_/Y (sky130_fd_sc_hd__o311ai_4)
3 0.02 _01339_ (net)
0.32 0.00 4.34 ^ _06363_/B2 (sky130_fd_sc_hd__a221o_1)
0.08 0.24 4.57 ^ _06363_/X (sky130_fd_sc_hd__a221o_1)
2 0.01 _01340_ (net)
0.08 0.00 4.57 ^ _06365_/A3 (sky130_fd_sc_hd__a31o_2)
0.14 0.25 4.82 ^ _06365_/X (sky130_fd_sc_hd__a31o_2)
2 0.02 _01342_ (net)
0.14 0.00 4.82 ^ _06380_/A (sky130_fd_sc_hd__xor2_2)
0.10 0.12 4.94 v _06380_/X (sky130_fd_sc_hd__xor2_2)
4 0.01 _01357_ (net)
0.10 0.00 4.94 v _06382_/A (sky130_fd_sc_hd__clkbuf_2)
0.08 0.18 5.11 v _06382_/X (sky130_fd_sc_hd__clkbuf_2)
5 0.02 _01359_ (net)
0.08 0.00 5.11 v _06398_/C (sky130_fd_sc_hd__or3_1)
0.08 0.35 5.47 v _06398_/X (sky130_fd_sc_hd__or3_1)
2 0.01 _01375_ (net)
0.08 0.00 5.47 v _06598_/B1 (sky130_fd_sc_hd__o2111a_1)
0.07 0.19 5.65 v _06598_/X (sky130_fd_sc_hd__o2111a_1)
2 0.01 _01575_ (net)
0.07 0.00 5.65 v _06599_/B1 (sky130_fd_sc_hd__a31o_1)
0.09 0.25 5.91 v _06599_/X (sky130_fd_sc_hd__a31o_1)
4 0.01 _01576_ (net)
0.09 0.00 5.91 v _06601_/B (sky130_fd_sc_hd__and3_1)
0.05 0.20 6.11 v _06601_/X (sky130_fd_sc_hd__and3_1)
2 0.01 _01578_ (net)
0.05 0.00 6.11 v _06603_/B (sky130_fd_sc_hd__or3_1)
0.10 0.40 6.51 v _06603_/X (sky130_fd_sc_hd__or3_1)
3 0.01 _01580_ (net)
0.10 0.00 6.51 v _06610_/C (sky130_fd_sc_hd__and3_1)
0.04 0.22 6.73 v _06610_/X (sky130_fd_sc_hd__and3_1)
2 0.00 _01587_ (net)
0.04 0.00 6.73 v _06633_/A (sky130_fd_sc_hd__or3b_1)
0.10 0.43 7.16 v _06633_/X (sky130_fd_sc_hd__or3b_1)
3 0.01 _01610_ (net)
0.10 0.00 7.16 v _06646_/A1 (sky130_fd_sc_hd__a21o_1)
0.04 0.19 7.35 v _06646_/X (sky130_fd_sc_hd__a21o_1)
2 0.01 _01623_ (net)
0.04 0.00 7.35 v _06650_/A2 (sky130_fd_sc_hd__a21o_1)
0.06 0.21 7.56 v _06650_/X (sky130_fd_sc_hd__a21o_1)
3 0.01 _01627_ (net)
0.06 0.00 7.56 v _06652_/A2 (sky130_fd_sc_hd__a21bo_1)
0.06 0.23 7.79 v _06652_/X (sky130_fd_sc_hd__a21bo_1)
2 0.01 _01629_ (net)
0.06 0.00 7.79 v _06654_/A2 (sky130_fd_sc_hd__a21o_1)
0.06 0.22 8.01 v _06654_/X (sky130_fd_sc_hd__a21o_1)
2 0.01 _01631_ (net)
0.06 0.00 8.01 v _06656_/A2 (sky130_fd_sc_hd__a21o_1)
0.09 0.25 8.26 v _06656_/X (sky130_fd_sc_hd__a21o_1)
4 0.02 _01633_ (net)
0.09 0.00 8.26 v _06699_/A1 (sky130_fd_sc_hd__a21oi_1)
0.23 0.24 8.50 ^ _06699_/Y (sky130_fd_sc_hd__a21oi_1)
1 0.01 _01676_ (net)
0.23 0.00 8.50 ^ _06700_/B (sky130_fd_sc_hd__xnor2_2)
0.09 0.13 8.63 v _06700_/Y (sky130_fd_sc_hd__xnor2_2)
2 0.01 _01677_ (net)
0.09 0.00 8.63 v _06731_/B (sky130_fd_sc_hd__xor2_2)
0.09 0.18 8.81 v _06731_/X (sky130_fd_sc_hd__xor2_2)
2 0.01 _01708_ (net)
0.09 0.00 8.82 v _06733_/A (sky130_fd_sc_hd__xnor2_2)
0.28 0.30 9.12 ^ _06733_/Y (sky130_fd_sc_hd__xnor2_2)
2 0.02 _01710_ (net)
0.28 0.00 9.12 ^ _06735_/A2 (sky130_fd_sc_hd__a21o_1)
0.12 0.23 9.35 ^ _06735_/X (sky130_fd_sc_hd__a21o_1)
2 0.01 _01712_ (net)
0.12 0.00 9.35 ^ _06737_/A2 (sky130_fd_sc_hd__a21o_1)
0.18 0.24 9.59 ^ _06737_/X (sky130_fd_sc_hd__a21o_1)
2 0.02 _01714_ (net)
0.18 0.00 9.59 ^ _06929_/B (sky130_fd_sc_hd__xor2_4)
0.28 0.31 9.90 ^ _06929_/X (sky130_fd_sc_hd__xor2_4)
2 0.03 _01906_ (net)
0.28 0.00 9.90 ^ _06930_/B (sky130_fd_sc_hd__xor2_4)
0.24 0.28 10.18 ^ _06930_/X (sky130_fd_sc_hd__xor2_4)
2 0.03 _01907_ (net)
0.24 0.00 10.18 ^ _06935_/A (sky130_fd_sc_hd__xnor2_4)
0.09 0.14 10.32 v _06935_/Y (sky130_fd_sc_hd__xnor2_4)
2 0.02 _01912_ (net)
0.09 0.00 10.33 v _06942_/A (sky130_fd_sc_hd__xor2_4)
0.09 0.22 10.55 v _06942_/X (sky130_fd_sc_hd__xor2_4)
2 0.02 _01919_ (net)
0.09 0.00 10.55 v _06963_/A1 (sky130_fd_sc_hd__a21o_2)
0.07 0.26 10.81 v _06963_/X (sky130_fd_sc_hd__a21o_2)
2 0.02 _01940_ (net)
0.07 0.00 10.81 v _06965_/A2 (sky130_fd_sc_hd__a21o_1)
0.10 0.27 11.08 v _06965_/X (sky130_fd_sc_hd__a21o_1)
2 0.02 _01942_ (net)
0.10 0.00 11.08 v _06967_/A2 (sky130_fd_sc_hd__a21o_1)
0.10 0.28 11.35 v _06967_/X (sky130_fd_sc_hd__a21o_1)
2 0.02 _01944_ (net)
0.10 0.00 11.35 v _06968_/B (sky130_fd_sc_hd__xor2_4)
0.10 0.20 11.56 v _06968_/X (sky130_fd_sc_hd__xor2_4)
2 0.02 _01945_ (net)
0.10 0.00 11.56 v _07765_/A2 (sky130_fd_sc_hd__a21oi_1)
0.24 0.27 11.83 ^ _07765_/Y (sky130_fd_sc_hd__a21oi_1)
1 0.01 _02742_ (net)
0.24 0.00 11.83 ^ _07770_/A (sky130_fd_sc_hd__xnor2_1)
0.10 0.16 11.99 v _07770_/Y (sky130_fd_sc_hd__xnor2_1)
1 0.01 _02747_ (net)
0.10 0.00 11.99 v _07813_/A (sky130_fd_sc_hd__xnor2_2)
0.26 0.29 12.28 ^ _07813_/Y (sky130_fd_sc_hd__xnor2_2)
3 0.02 _02790_ (net)
0.26 0.00 12.28 ^ _07863_/B (sky130_fd_sc_hd__nor3_1)
0.07 0.10 12.38 v _07863_/Y (sky130_fd_sc_hd__nor3_1)
1 0.00 _02840_ (net)
0.07 0.00 12.38 v _07864_/B1 (sky130_fd_sc_hd__a311o_4)
0.13 0.50 12.88 v _07864_/X (sky130_fd_sc_hd__a311o_4)
6 0.06 _02841_ (net)
0.13 0.01 12.89 v _07891_/A (sky130_fd_sc_hd__clkbuf_2)
0.09 0.21 13.10 v _07891_/X (sky130_fd_sc_hd__clkbuf_2)
5 0.02 _02863_ (net)
0.09 0.00 13.10 v _07900_/A (sky130_fd_sc_hd__nor2_2)
0.30 0.30 13.40 ^ _07900_/Y (sky130_fd_sc_hd__nor2_2)
2 0.03 _02871_ (net)
0.30 0.00 13.41 ^ _07902_/B1 (sky130_fd_sc_hd__o221a_1)
0.06 0.25 13.66 ^ _07902_/X (sky130_fd_sc_hd__o221a_1)
1 0.00 _00082_ (net)
0.06 0.00 13.66 ^ _10700_/D (sky130_fd_sc_hd__dfxtp_1)
13.66 data arrival time
20.00 20.00 clock clock (rise edge)
0.00 20.00 clock source latency
0.28 0.19 20.19 ^ clock (in)
2 0.06 clock (net)
0.28 0.00 20.19 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 20.38 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.05 0.00 20.38 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.21 0.21 20.60 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_1_0_0_clock (net)
0.21 0.00 20.60 ^ clkbuf_2_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.14 0.21 20.81 ^ clkbuf_2_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_2_0_0_clock (net)
0.14 0.00 20.81 ^ clkbuf_3_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.94 0.71 21.52 ^ clkbuf_3_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
22 0.18 clknet_3_1_0_clock (net)
0.94 0.00 21.53 ^ clkbuf_leaf_6_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.28 21.80 ^ clkbuf_leaf_6_clock/X (sky130_fd_sc_hd__clkbuf_16)
5 0.02 clknet_leaf_6_clock (net)
0.06 0.00 21.81 ^ _10700_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 21.56 clock uncertainty
0.06 21.62 clock reconvergence pessimism
-0.05 21.56 library setup time
21.56 data required time
-----------------------------------------------------------------------------
21.56 data required time
-13.66 data arrival time
-----------------------------------------------------------------------------
7.91 slack (MET)
Startpoint: _10999_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _10705_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.28 0.21 0.21 ^ clock (in)
2 0.06 clock (net)
0.28 0.00 0.21 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 0.42 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.05 0.00 0.42 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.21 0.24 0.66 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_1_0_0_clock (net)
0.21 0.00 0.66 ^ clkbuf_2_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.25 0.90 ^ clkbuf_2_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_2_1_0_clock (net)
0.15 0.00 0.91 ^ clkbuf_3_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.78 0.68 1.58 ^ clkbuf_3_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
20 0.15 clknet_3_3_0_clock (net)
0.78 0.01 1.59 ^ clkbuf_leaf_48_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.29 1.88 ^ clkbuf_leaf_48_clock/X (sky130_fd_sc_hd__clkbuf_16)
6 0.02 clknet_leaf_48_clock (net)
0.06 0.00 1.88 ^ _10999_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.08 0.39 2.26 v _10999_/Q (sky130_fd_sc_hd__dfxtp_2)
4 0.03 pid.sigma_old[6] (net)
0.08 0.00 2.27 v _06338_/A (sky130_fd_sc_hd__xnor2_4)
0.09 0.20 2.46 v _06338_/Y (sky130_fd_sc_hd__xnor2_4)
3 0.02 _01315_ (net)
0.09 0.00 2.46 v _06339_/A (sky130_fd_sc_hd__or3b_1)
0.09 0.43 2.89 v _06339_/X (sky130_fd_sc_hd__or3b_1)
2 0.01 _01316_ (net)
0.09 0.00 2.89 v _06342_/C (sky130_fd_sc_hd__or3_1)
0.07 0.33 3.23 v _06342_/X (sky130_fd_sc_hd__or3_1)
1 0.00 _01319_ (net)
0.07 0.00 3.23 v _06343_/B1 (sky130_fd_sc_hd__a311o_1)
0.09 0.39 3.61 v _06343_/X (sky130_fd_sc_hd__a311o_1)
2 0.01 _01320_ (net)
0.09 0.00 3.61 v _06347_/A3 (sky130_fd_sc_hd__a31o_2)
0.08 0.33 3.94 v _06347_/X (sky130_fd_sc_hd__a31o_2)
3 0.02 _01324_ (net)
0.08 0.00 3.94 v _06362_/A1 (sky130_fd_sc_hd__o311ai_4)
0.32 0.39 4.33 ^ _06362_/Y (sky130_fd_sc_hd__o311ai_4)
3 0.02 _01339_ (net)
0.32 0.00 4.34 ^ _06363_/B2 (sky130_fd_sc_hd__a221o_1)
0.08 0.24 4.57 ^ _06363_/X (sky130_fd_sc_hd__a221o_1)
2 0.01 _01340_ (net)
0.08 0.00 4.57 ^ _06365_/A3 (sky130_fd_sc_hd__a31o_2)
0.14 0.25 4.82 ^ _06365_/X (sky130_fd_sc_hd__a31o_2)
2 0.02 _01342_ (net)
0.14 0.00 4.82 ^ _06380_/A (sky130_fd_sc_hd__xor2_2)
0.10 0.12 4.94 v _06380_/X (sky130_fd_sc_hd__xor2_2)
4 0.01 _01357_ (net)
0.10 0.00 4.94 v _06382_/A (sky130_fd_sc_hd__clkbuf_2)
0.08 0.18 5.11 v _06382_/X (sky130_fd_sc_hd__clkbuf_2)
5 0.02 _01359_ (net)
0.08 0.00 5.11 v _06398_/C (sky130_fd_sc_hd__or3_1)
0.08 0.35 5.47 v _06398_/X (sky130_fd_sc_hd__or3_1)
2 0.01 _01375_ (net)
0.08 0.00 5.47 v _06598_/B1 (sky130_fd_sc_hd__o2111a_1)
0.07 0.19 5.65 v _06598_/X (sky130_fd_sc_hd__o2111a_1)
2 0.01 _01575_ (net)
0.07 0.00 5.65 v _06599_/B1 (sky130_fd_sc_hd__a31o_1)
0.09 0.25 5.91 v _06599_/X (sky130_fd_sc_hd__a31o_1)
4 0.01 _01576_ (net)
0.09 0.00 5.91 v _06601_/B (sky130_fd_sc_hd__and3_1)
0.05 0.20 6.11 v _06601_/X (sky130_fd_sc_hd__and3_1)
2 0.01 _01578_ (net)
0.05 0.00 6.11 v _06603_/B (sky130_fd_sc_hd__or3_1)
0.10 0.40 6.51 v _06603_/X (sky130_fd_sc_hd__or3_1)
3 0.01 _01580_ (net)
0.10 0.00 6.51 v _06610_/C (sky130_fd_sc_hd__and3_1)
0.04 0.22 6.73 v _06610_/X (sky130_fd_sc_hd__and3_1)
2 0.00 _01587_ (net)
0.04 0.00 6.73 v _06633_/A (sky130_fd_sc_hd__or3b_1)
0.10 0.43 7.16 v _06633_/X (sky130_fd_sc_hd__or3b_1)
3 0.01 _01610_ (net)
0.10 0.00 7.16 v _06646_/A1 (sky130_fd_sc_hd__a21o_1)
0.04 0.19 7.35 v _06646_/X (sky130_fd_sc_hd__a21o_1)
2 0.01 _01623_ (net)
0.04 0.00 7.35 v _06650_/A2 (sky130_fd_sc_hd__a21o_1)
0.06 0.21 7.56 v _06650_/X (sky130_fd_sc_hd__a21o_1)
3 0.01 _01627_ (net)
0.06 0.00 7.56 v _06652_/A2 (sky130_fd_sc_hd__a21bo_1)
0.06 0.23 7.79 v _06652_/X (sky130_fd_sc_hd__a21bo_1)
2 0.01 _01629_ (net)
0.06 0.00 7.79 v _06654_/A2 (sky130_fd_sc_hd__a21o_1)
0.06 0.22 8.01 v _06654_/X (sky130_fd_sc_hd__a21o_1)
2 0.01 _01631_ (net)
0.06 0.00 8.01 v _06656_/A2 (sky130_fd_sc_hd__a21o_1)
0.09 0.25 8.26 v _06656_/X (sky130_fd_sc_hd__a21o_1)
4 0.02 _01633_ (net)
0.09 0.00 8.26 v _06699_/A1 (sky130_fd_sc_hd__a21oi_1)
0.23 0.24 8.50 ^ _06699_/Y (sky130_fd_sc_hd__a21oi_1)
1 0.01 _01676_ (net)
0.23 0.00 8.50 ^ _06700_/B (sky130_fd_sc_hd__xnor2_2)
0.09 0.13 8.63 v _06700_/Y (sky130_fd_sc_hd__xnor2_2)
2 0.01 _01677_ (net)
0.09 0.00 8.63 v _06731_/B (sky130_fd_sc_hd__xor2_2)
0.09 0.18 8.81 v _06731_/X (sky130_fd_sc_hd__xor2_2)
2 0.01 _01708_ (net)
0.09 0.00 8.82 v _06733_/A (sky130_fd_sc_hd__xnor2_2)
0.28 0.30 9.12 ^ _06733_/Y (sky130_fd_sc_hd__xnor2_2)
2 0.02 _01710_ (net)
0.28 0.00 9.12 ^ _06735_/A2 (sky130_fd_sc_hd__a21o_1)
0.12 0.23 9.35 ^ _06735_/X (sky130_fd_sc_hd__a21o_1)
2 0.01 _01712_ (net)
0.12 0.00 9.35 ^ _06737_/A2 (sky130_fd_sc_hd__a21o_1)
0.18 0.24 9.59 ^ _06737_/X (sky130_fd_sc_hd__a21o_1)
2 0.02 _01714_ (net)
0.18 0.00 9.59 ^ _06929_/B (sky130_fd_sc_hd__xor2_4)
0.28 0.31 9.90 ^ _06929_/X (sky130_fd_sc_hd__xor2_4)
2 0.03 _01906_ (net)
0.28 0.00 9.90 ^ _06930_/B (sky130_fd_sc_hd__xor2_4)
0.24 0.28 10.18 ^ _06930_/X (sky130_fd_sc_hd__xor2_4)
2 0.03 _01907_ (net)
0.24 0.00 10.18 ^ _06935_/A (sky130_fd_sc_hd__xnor2_4)
0.09 0.14 10.32 v _06935_/Y (sky130_fd_sc_hd__xnor2_4)
2 0.02 _01912_ (net)
0.09 0.00 10.33 v _06942_/A (sky130_fd_sc_hd__xor2_4)
0.09 0.22 10.55 v _06942_/X (sky130_fd_sc_hd__xor2_4)
2 0.02 _01919_ (net)
0.09 0.00 10.55 v _06963_/A1 (sky130_fd_sc_hd__a21o_2)
0.07 0.26 10.81 v _06963_/X (sky130_fd_sc_hd__a21o_2)
2 0.02 _01940_ (net)
0.07 0.00 10.81 v _06965_/A2 (sky130_fd_sc_hd__a21o_1)
0.10 0.27 11.08 v _06965_/X (sky130_fd_sc_hd__a21o_1)
2 0.02 _01942_ (net)
0.10 0.00 11.08 v _06967_/A2 (sky130_fd_sc_hd__a21o_1)
0.10 0.28 11.35 v _06967_/X (sky130_fd_sc_hd__a21o_1)
2 0.02 _01944_ (net)
0.10 0.00 11.35 v _06968_/B (sky130_fd_sc_hd__xor2_4)
0.10 0.20 11.56 v _06968_/X (sky130_fd_sc_hd__xor2_4)
2 0.02 _01945_ (net)
0.10 0.00 11.56 v _07765_/A2 (sky130_fd_sc_hd__a21oi_1)
0.24 0.27 11.83 ^ _07765_/Y (sky130_fd_sc_hd__a21oi_1)
1 0.01 _02742_ (net)
0.24 0.00 11.83 ^ _07770_/A (sky130_fd_sc_hd__xnor2_1)
0.10 0.16 11.99 v _07770_/Y (sky130_fd_sc_hd__xnor2_1)
1 0.01 _02747_ (net)
0.10 0.00 11.99 v _07813_/A (sky130_fd_sc_hd__xnor2_2)
0.26 0.29 12.28 ^ _07813_/Y (sky130_fd_sc_hd__xnor2_2)
3 0.02 _02790_ (net)
0.26 0.00 12.28 ^ _07863_/B (sky130_fd_sc_hd__nor3_1)
0.07 0.10 12.38 v _07863_/Y (sky130_fd_sc_hd__nor3_1)
1 0.00 _02840_ (net)
0.07 0.00 12.38 v _07864_/B1 (sky130_fd_sc_hd__a311o_4)
0.13 0.50 12.88 v _07864_/X (sky130_fd_sc_hd__a311o_4)
6 0.06 _02841_ (net)
0.13 0.01 12.90 v _07917_/A (sky130_fd_sc_hd__clkbuf_2)
0.09 0.21 13.10 v _07917_/X (sky130_fd_sc_hd__clkbuf_2)
5 0.02 _02884_ (net)
0.09 0.00 13.10 v _07927_/A (sky130_fd_sc_hd__nor2_2)
0.24 0.26 13.36 ^ _07927_/Y (sky130_fd_sc_hd__nor2_2)
2 0.02 _02893_ (net)
0.24 0.00 13.36 ^ _07929_/B1 (sky130_fd_sc_hd__o221a_1)
0.06 0.24 13.61 ^ _07929_/X (sky130_fd_sc_hd__o221a_1)
1 0.00 _00087_ (net)
0.06 0.00 13.61 ^ _10705_/D (sky130_fd_sc_hd__dfxtp_1)
13.61 data arrival time
20.00 20.00 clock clock (rise edge)
0.00 20.00 clock source latency
0.28 0.19 20.19 ^ clock (in)
2 0.06 clock (net)
0.28 0.00 20.19 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 20.38 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.05 0.00 20.38 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.23 0.23 20.61 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_1_1_0_clock (net)
0.23 0.00 20.61 ^ clkbuf_2_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.22 20.83 ^ clkbuf_2_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_2_2_0_clock (net)
0.15 0.00 20.84 ^ clkbuf_3_4_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.92 0.71 21.54 ^ clkbuf_3_4_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
22 0.17 clknet_3_4_0_clock (net)
0.92 0.01 21.55 ^ clkbuf_leaf_7_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.28 21.83 ^ clkbuf_leaf_7_clock/X (sky130_fd_sc_hd__clkbuf_16)
6 0.02 clknet_leaf_7_clock (net)
0.06 0.00 21.83 ^ _10705_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 21.58 clock uncertainty
0.04 21.62 clock reconvergence pessimism
-0.05 21.56 library setup time
21.56 data required time
-----------------------------------------------------------------------------
21.56 data required time
-13.61 data arrival time
-----------------------------------------------------------------------------
7.95 slack (MET)
max_report_end
check_report
===========================================================================
report_checks -unconstrained
============================================================================
Startpoint: _10999_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _10708_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.28 0.21 0.21 ^ clock (in)
2 0.06 clock (net)
0.28 0.00 0.21 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 0.42 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.05 0.00 0.42 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.21 0.24 0.66 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_1_0_0_clock (net)
0.21 0.00 0.66 ^ clkbuf_2_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.25 0.90 ^ clkbuf_2_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_2_1_0_clock (net)
0.15 0.00 0.91 ^ clkbuf_3_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.78 0.68 1.58 ^ clkbuf_3_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
20 0.15 clknet_3_3_0_clock (net)
0.78 0.01 1.59 ^ clkbuf_leaf_48_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.29 1.88 ^ clkbuf_leaf_48_clock/X (sky130_fd_sc_hd__clkbuf_16)
6 0.02 clknet_leaf_48_clock (net)
0.06 0.00 1.88 ^ _10999_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.08 0.39 2.26 v _10999_/Q (sky130_fd_sc_hd__dfxtp_2)
4 0.03 pid.sigma_old[6] (net)
0.08 0.00 2.27 v _06338_/A (sky130_fd_sc_hd__xnor2_4)
0.09 0.20 2.46 v _06338_/Y (sky130_fd_sc_hd__xnor2_4)
3 0.02 _01315_ (net)
0.09 0.00 2.46 v _06339_/A (sky130_fd_sc_hd__or3b_1)
0.09 0.43 2.89 v _06339_/X (sky130_fd_sc_hd__or3b_1)
2 0.01 _01316_ (net)
0.09 0.00 2.89 v _06342_/C (sky130_fd_sc_hd__or3_1)
0.07 0.33 3.23 v _06342_/X (sky130_fd_sc_hd__or3_1)
1 0.00 _01319_ (net)
0.07 0.00 3.23 v _06343_/B1 (sky130_fd_sc_hd__a311o_1)
0.09 0.39 3.61 v _06343_/X (sky130_fd_sc_hd__a311o_1)
2 0.01 _01320_ (net)
0.09 0.00 3.61 v _06347_/A3 (sky130_fd_sc_hd__a31o_2)
0.08 0.33 3.94 v _06347_/X (sky130_fd_sc_hd__a31o_2)
3 0.02 _01324_ (net)
0.08 0.00 3.94 v _06362_/A1 (sky130_fd_sc_hd__o311ai_4)
0.32 0.39 4.33 ^ _06362_/Y (sky130_fd_sc_hd__o311ai_4)
3 0.02 _01339_ (net)
0.32 0.00 4.34 ^ _06363_/B2 (sky130_fd_sc_hd__a221o_1)
0.08 0.24 4.57 ^ _06363_/X (sky130_fd_sc_hd__a221o_1)
2 0.01 _01340_ (net)
0.08 0.00 4.57 ^ _06365_/A3 (sky130_fd_sc_hd__a31o_2)
0.14 0.25 4.82 ^ _06365_/X (sky130_fd_sc_hd__a31o_2)
2 0.02 _01342_ (net)
0.14 0.00 4.82 ^ _06380_/A (sky130_fd_sc_hd__xor2_2)
0.10 0.12 4.94 v _06380_/X (sky130_fd_sc_hd__xor2_2)
4 0.01 _01357_ (net)
0.10 0.00 4.94 v _06382_/A (sky130_fd_sc_hd__clkbuf_2)
0.08 0.18 5.11 v _06382_/X (sky130_fd_sc_hd__clkbuf_2)
5 0.02 _01359_ (net)
0.08 0.00 5.11 v _06398_/C (sky130_fd_sc_hd__or3_1)
0.08 0.35 5.47 v _06398_/X (sky130_fd_sc_hd__or3_1)
2 0.01 _01375_ (net)
0.08 0.00 5.47 v _06598_/B1 (sky130_fd_sc_hd__o2111a_1)
0.07 0.19 5.65 v _06598_/X (sky130_fd_sc_hd__o2111a_1)
2 0.01 _01575_ (net)
0.07 0.00 5.65 v _06599_/B1 (sky130_fd_sc_hd__a31o_1)
0.09 0.25 5.91 v _06599_/X (sky130_fd_sc_hd__a31o_1)
4 0.01 _01576_ (net)
0.09 0.00 5.91 v _06601_/B (sky130_fd_sc_hd__and3_1)
0.05 0.20 6.11 v _06601_/X (sky130_fd_sc_hd__and3_1)
2 0.01 _01578_ (net)
0.05 0.00 6.11 v _06603_/B (sky130_fd_sc_hd__or3_1)
0.10 0.40 6.51 v _06603_/X (sky130_fd_sc_hd__or3_1)
3 0.01 _01580_ (net)
0.10 0.00 6.51 v _06610_/C (sky130_fd_sc_hd__and3_1)
0.04 0.22 6.73 v _06610_/X (sky130_fd_sc_hd__and3_1)
2 0.00 _01587_ (net)
0.04 0.00 6.73 v _06633_/A (sky130_fd_sc_hd__or3b_1)
0.10 0.43 7.16 v _06633_/X (sky130_fd_sc_hd__or3b_1)
3 0.01 _01610_ (net)
0.10 0.00 7.16 v _06646_/A1 (sky130_fd_sc_hd__a21o_1)
0.04 0.19 7.35 v _06646_/X (sky130_fd_sc_hd__a21o_1)
2 0.01 _01623_ (net)
0.04 0.00 7.35 v _06650_/A2 (sky130_fd_sc_hd__a21o_1)
0.06 0.21 7.56 v _06650_/X (sky130_fd_sc_hd__a21o_1)
3 0.01 _01627_ (net)
0.06 0.00 7.56 v _06652_/A2 (sky130_fd_sc_hd__a21bo_1)
0.06 0.23 7.79 v _06652_/X (sky130_fd_sc_hd__a21bo_1)
2 0.01 _01629_ (net)
0.06 0.00 7.79 v _06654_/A2 (sky130_fd_sc_hd__a21o_1)
0.06 0.22 8.01 v _06654_/X (sky130_fd_sc_hd__a21o_1)
2 0.01 _01631_ (net)
0.06 0.00 8.01 v _06656_/A2 (sky130_fd_sc_hd__a21o_1)
0.09 0.25 8.26 v _06656_/X (sky130_fd_sc_hd__a21o_1)
4 0.02 _01633_ (net)
0.09 0.00 8.26 v _06699_/A1 (sky130_fd_sc_hd__a21oi_1)
0.23 0.24 8.50 ^ _06699_/Y (sky130_fd_sc_hd__a21oi_1)
1 0.01 _01676_ (net)
0.23 0.00 8.50 ^ _06700_/B (sky130_fd_sc_hd__xnor2_2)
0.09 0.13 8.63 v _06700_/Y (sky130_fd_sc_hd__xnor2_2)
2 0.01 _01677_ (net)
0.09 0.00 8.63 v _06731_/B (sky130_fd_sc_hd__xor2_2)
0.09 0.18 8.81 v _06731_/X (sky130_fd_sc_hd__xor2_2)
2 0.01 _01708_ (net)
0.09 0.00 8.82 v _06733_/A (sky130_fd_sc_hd__xnor2_2)
0.28 0.30 9.12 ^ _06733_/Y (sky130_fd_sc_hd__xnor2_2)
2 0.02 _01710_ (net)
0.28 0.00 9.12 ^ _06735_/A2 (sky130_fd_sc_hd__a21o_1)
0.12 0.23 9.35 ^ _06735_/X (sky130_fd_sc_hd__a21o_1)
2 0.01 _01712_ (net)
0.12 0.00 9.35 ^ _06737_/A2 (sky130_fd_sc_hd__a21o_1)
0.18 0.24 9.59 ^ _06737_/X (sky130_fd_sc_hd__a21o_1)
2 0.02 _01714_ (net)
0.18 0.00 9.59 ^ _06929_/B (sky130_fd_sc_hd__xor2_4)
0.28 0.31 9.90 ^ _06929_/X (sky130_fd_sc_hd__xor2_4)
2 0.03 _01906_ (net)
0.28 0.00 9.90 ^ _06930_/B (sky130_fd_sc_hd__xor2_4)
0.24 0.28 10.18 ^ _06930_/X (sky130_fd_sc_hd__xor2_4)
2 0.03 _01907_ (net)
0.24 0.00 10.18 ^ _06935_/A (sky130_fd_sc_hd__xnor2_4)
0.09 0.14 10.32 v _06935_/Y (sky130_fd_sc_hd__xnor2_4)
2 0.02 _01912_ (net)
0.09 0.00 10.33 v _06942_/A (sky130_fd_sc_hd__xor2_4)
0.09 0.22 10.55 v _06942_/X (sky130_fd_sc_hd__xor2_4)
2 0.02 _01919_ (net)
0.09 0.00 10.55 v _06963_/A1 (sky130_fd_sc_hd__a21o_2)
0.07 0.26 10.81 v _06963_/X (sky130_fd_sc_hd__a21o_2)
2 0.02 _01940_ (net)
0.07 0.00 10.81 v _06965_/A2 (sky130_fd_sc_hd__a21o_1)
0.10 0.27 11.08 v _06965_/X (sky130_fd_sc_hd__a21o_1)
2 0.02 _01942_ (net)
0.10 0.00 11.08 v _06967_/A2 (sky130_fd_sc_hd__a21o_1)
0.10 0.28 11.35 v _06967_/X (sky130_fd_sc_hd__a21o_1)
2 0.02 _01944_ (net)
0.10 0.00 11.35 v _06968_/B (sky130_fd_sc_hd__xor2_4)
0.10 0.20 11.56 v _06968_/X (sky130_fd_sc_hd__xor2_4)
2 0.02 _01945_ (net)
0.10 0.00 11.56 v _07765_/A2 (sky130_fd_sc_hd__a21oi_1)
0.24 0.27 11.83 ^ _07765_/Y (sky130_fd_sc_hd__a21oi_1)
1 0.01 _02742_ (net)
0.24 0.00 11.83 ^ _07770_/A (sky130_fd_sc_hd__xnor2_1)
0.10 0.16 11.99 v _07770_/Y (sky130_fd_sc_hd__xnor2_1)
1 0.01 _02747_ (net)
0.10 0.00 11.99 v _07813_/A (sky130_fd_sc_hd__xnor2_2)
0.26 0.29 12.28 ^ _07813_/Y (sky130_fd_sc_hd__xnor2_2)
3 0.02 _02790_ (net)
0.26 0.00 12.28 ^ _07863_/B (sky130_fd_sc_hd__nor3_1)
0.07 0.10 12.38 v _07863_/Y (sky130_fd_sc_hd__nor3_1)
1 0.00 _02840_ (net)
0.07 0.00 12.38 v _07864_/B1 (sky130_fd_sc_hd__a311o_4)
0.13 0.50 12.88 v _07864_/X (sky130_fd_sc_hd__a311o_4)
6 0.06 _02841_ (net)
0.13 0.01 12.90 v _07917_/A (sky130_fd_sc_hd__clkbuf_2)
0.09 0.21 13.10 v _07917_/X (sky130_fd_sc_hd__clkbuf_2)
5 0.02 _02884_ (net)
0.09 0.00 13.10 v _07939_/A (sky130_fd_sc_hd__nor2_2)
0.29 0.29 13.40 ^ _07939_/Y (sky130_fd_sc_hd__nor2_2)
2 0.03 _02902_ (net)
0.29 0.00 13.40 ^ _07941_/B1 (sky130_fd_sc_hd__o221a_1)
0.06 0.25 13.65 ^ _07941_/X (sky130_fd_sc_hd__o221a_1)
1 0.00 _00090_ (net)
0.06 0.00 13.65 ^ _10708_/D (sky130_fd_sc_hd__dfxtp_1)
13.65 data arrival time
20.00 20.00 clock clock (rise edge)
0.00 20.00 clock source latency
0.28 0.19 20.19 ^ clock (in)
2 0.06 clock (net)
0.28 0.00 20.19 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 20.38 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.05 0.00 20.38 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.23 0.23 20.61 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_1_1_0_clock (net)
0.23 0.00 20.61 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.21 20.82 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_2_3_0_clock (net)
0.12 0.00 20.82 ^ clkbuf_3_6_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.63 0.51 21.33 ^ clkbuf_3_6_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
16 0.12 clknet_3_6_0_clock (net)
0.63 0.00 21.33 ^ clkbuf_leaf_10_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.26 21.60 ^ clkbuf_leaf_10_clock/X (sky130_fd_sc_hd__clkbuf_16)
10 0.04 clknet_leaf_10_clock (net)
0.07 0.00 21.60 ^ _10708_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 21.35 clock uncertainty
0.04 21.39 clock reconvergence pessimism
-0.05 21.34 library setup time
21.34 data required time
-----------------------------------------------------------------------------
21.34 data required time
-13.65 data arrival time
-----------------------------------------------------------------------------
7.69 slack (MET)
===========================================================================
report_checks --slack_max -0.01
============================================================================
No paths found.
check_report_end
check_slew
===========================================================================
report_check_types -max_slew -max_cap -max_fanout -violators
============================================================================
===========================================================================
max slew violation count 0
max fanout violation count 0
max cap violation count 0
============================================================================
check_slew_end
tns_report
===========================================================================
report_tns
============================================================================
tns 0.00
tns_report_end
wns_report
===========================================================================
report_wns
============================================================================
wns 0.00
wns_report_end
worst_slack
===========================================================================
report_worst_slack -max (Setup)
============================================================================
worst slack 7.69
===========================================================================
report_worst_slack -min (Hold)
============================================================================
worst slack 0.03
worst_slack_end
clock_skew
===========================================================================
report_clock_skew
============================================================================
Clock clock
Latency CRPR Skew
_10843_/CLK ^
2.00
_10906_/CLK ^
1.26 -0.04 0.70
clock_skew_end
power_report
===========================================================================
report_power
============================================================================
Group Internal Switching Leakage Total
Power Power Power Power
----------------------------------------------------------------
Sequential 9.59e-04 7.54e-05 3.78e-09 1.03e-03 17.9%
Combinational 2.26e-03 2.50e-03 6.65e-08 4.76e-03 82.1%
Macro 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
Pad 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
----------------------------------------------------------------
Total 3.22e-03 2.57e-03 7.03e-08 5.79e-03 100.0%
55.6% 44.4% 0.0%
power_report_end
area_report
===========================================================================
report_design_area
============================================================================
Design area 216906 u^2 93% utilization.
area_report_end