blob: 7a96a1a46cbf032d5fc764a1966bd2b4088a0bd7 [file] [log] [blame]
OpenROAD 8d53e9b018dec98fa63e907ddeb6c5406f035361
This program is licensed under the BSD-3 license. See the LICENSE file for details.
Components of this program may be licensed under more restrictive licenses which must be honored.
[INFO ODB-0222] Reading LEF file: /home/ali112000/mpw5/UETRV-ECORE/openlane/Motor_Top/runs/Motor_Top/tmp/merged_unpadded.lef
[INFO ODB-0223] Created 13 technology layers
[INFO ODB-0224] Created 25 technology vias
[INFO ODB-0225] Created 441 library cells
[INFO ODB-0226] Finished LEF file: /home/ali112000/mpw5/UETRV-ECORE/openlane/Motor_Top/runs/Motor_Top/tmp/merged_unpadded.lef
[INFO ODB-0127] Reading DEF file: /home/ali112000/mpw5/UETRV-ECORE/openlane/Motor_Top/runs/Motor_Top/tmp/routing/18-fill.def
[INFO ODB-0128] Design: Motor_Top
[INFO ODB-0130] Created 99 pins.
[INFO ODB-0131] Created 32703 components and 146175 component-terminals.
[INFO ODB-0132] Created 2 special nets and 124264 connections.
[INFO ODB-0133] Created 6002 nets and 21911 connections.
[INFO ODB-0134] Finished DEF file: /home/ali112000/mpw5/UETRV-ECORE/openlane/Motor_Top/runs/Motor_Top/tmp/routing/18-fill.def
###############################################################################
# Created by write_sdc
# Mon Mar 21 23:43:39 2022
###############################################################################
current_design Motor_Top
###############################################################################
# Timing Constraints
###############################################################################
create_clock -name clock -period 20.0000 [get_ports {clock}]
set_clock_transition 0.1500 [get_clocks {clock}]
set_clock_uncertainty 0.2500 clock
set_propagated_clock [get_clocks {clock}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_ba_match}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_qei_ch_a}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_qei_ch_b}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_addr[0]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_addr[10]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_addr[11]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_addr[12]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_addr[13]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_addr[14]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_addr[15]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_addr[1]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_addr[2]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_addr[3]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_addr[4]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_addr[5]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_addr[6]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_addr[7]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_addr[8]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_addr[9]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[0]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[10]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[11]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[12]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[13]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[14]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[15]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[16]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[17]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[18]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[19]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[1]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[20]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[21]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[22]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[23]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[24]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[25]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[26]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[27]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[28]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[29]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[2]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[30]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[31]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[3]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[4]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[5]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[6]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[7]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[8]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_data[9]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_sel[0]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_sel[1]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_sel[2]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_sel[3]}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_stb}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_m2s_we}]
set_input_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {reset}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_motor_irq}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_pwm_high}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_pwm_high_en}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_pwm_low}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_pwm_low_en}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_ack_o}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[0]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[10]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[11]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[12]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[13]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[14]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[15]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[16]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[17]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[18]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[19]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[1]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[20]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[21]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[22]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[23]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[24]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[25]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[26]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[27]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[28]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[29]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[2]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[30]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[31]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[3]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[4]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[5]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[6]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[7]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[8]}]
set_output_delay 4.0000 -clock [get_clocks {clock}] -add_delay [get_ports {io_wbs_data_o[9]}]
###############################################################################
# Environment
###############################################################################
set_load -pin_load 0.0334 [get_ports {io_motor_irq}]
set_load -pin_load 0.0334 [get_ports {io_pwm_high}]
set_load -pin_load 0.0334 [get_ports {io_pwm_high_en}]
set_load -pin_load 0.0334 [get_ports {io_pwm_low}]
set_load -pin_load 0.0334 [get_ports {io_pwm_low_en}]
set_load -pin_load 0.0334 [get_ports {io_wbs_ack_o}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[31]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[30]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[29]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[28]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[27]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[26]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[25]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[24]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[23]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[22]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[21]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[20]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[19]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[18]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[17]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[16]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[15]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[14]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[13]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[12]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[11]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[10]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[9]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[8]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[7]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[6]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[5]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[4]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[3]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[2]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[1]}]
set_load -pin_load 0.0334 [get_ports {io_wbs_data_o[0]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {clock}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_ba_match}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_qei_ch_a}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_qei_ch_b}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_stb}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_we}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {reset}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_addr[15]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_addr[14]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_addr[13]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_addr[12]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_addr[11]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_addr[10]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_addr[9]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_addr[8]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_addr[7]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_addr[6]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_addr[5]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_addr[4]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_addr[3]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_addr[2]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_addr[1]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_addr[0]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[31]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[30]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[29]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[28]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[27]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[26]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[25]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[24]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[23]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[22]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[21]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[20]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[19]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[18]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[17]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[16]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[15]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[14]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[13]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[12]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[11]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[10]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[9]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[8]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[7]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[6]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[5]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[4]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[3]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[2]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[1]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_data[0]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_sel[3]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_sel[2]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_sel[1]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_wbs_m2s_sel[0]}]
set_timing_derate -early 0.9500
set_timing_derate -late 1.0500
###############################################################################
# Design Rules
###############################################################################
set_max_fanout 5.0000 [current_design]
[INFO]: Setting signal min routing layer to: met1 and clock min routing layer to met1.
[INFO]: Setting signal max routing layer to: met4 and clock max routing layer to met4.
-congestion_iterations 50 -verbose
[INFO GRT-0020] Min routing layer: met1
[INFO GRT-0021] Max routing layer: met4
[INFO GRT-0022] Global adjustment: 30%
[INFO GRT-0023] Grid origin: (0, 0)
[WARNING GRT-0043] No OR_DEFAULT vias defined.
[INFO GRT-0088] Layer li1 Track-Pitch = 0.4600 line-2-Via Pitch: 0.3400
[INFO GRT-0088] Layer met1 Track-Pitch = 0.3400 line-2-Via Pitch: 0.3400
[INFO GRT-0088] Layer met2 Track-Pitch = 0.4600 line-2-Via Pitch: 0.3500
[INFO GRT-0088] Layer met3 Track-Pitch = 0.6800 line-2-Via Pitch: 0.6150
[INFO GRT-0088] Layer met4 Track-Pitch = 0.9200 line-2-Via Pitch: 0.6150
[INFO GRT-0019] Found 91 clock nets.
[INFO GRT-0001] Minimum degree: 2
[INFO GRT-0002] Maximum degree: 27
[INFO GRT-0003] Macros: 0
[INFO GRT-0004] Blockages: 7387
[INFO GRT-0053] Routing resources analysis:
Routing Original Derated Resource
Layer Direction Resources Resources Reduction (%)
---------------------------------------------------------------
li1 Vertical 0 0 0.00%
met1 Horizontal 103680 43310 58.23%
met2 Vertical 77760 51830 33.35%
met3 Horizontal 51840 36210 30.15%
met4 Vertical 36288 20874 42.48%
---------------------------------------------------------------
[INFO GRT-0101] Running extra iterations to remove overflow.
[INFO GRT-0197] Via related to pin nodes: 27016
[INFO GRT-0198] Via related Steiner nodes: 506
[INFO GRT-0199] Via filling finished.
[INFO GRT-0111] Final number of vias: 30194
[INFO GRT-0112] Final usage 3D: 120847
[INFO GRT-0096] Final congestion report:
Layer Resource Demand Usage (%) Max H / Max V / Total Overflow
---------------------------------------------------------------------------------------
li1 0 0 0.00% 0 / 0 / 0
met1 43310 13730 31.70% 0 / 0 / 0
met2 51830 13674 26.38% 0 / 0 / 0
met3 36210 1569 4.33% 0 / 0 / 0
met4 20874 1292 6.19% 0 / 0 / 0
---------------------------------------------------------------------------------------
Total 152224 30265 19.88% 0 / 0 / 0
[INFO GRT-0018] Total wirelength: 312901 um
[INFO GRT-0014] Routed nets: 5995
[INFO]: Setting RC values...
min_report
===========================================================================
report_checks -path_delay min (Hold)
============================================================================
Startpoint: _10673_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _10705_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.30 0.20 0.20 ^ clock (in)
2 0.07 clock (net)
0.30 0.00 0.20 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 0.40 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 clknet_0_clock (net)
0.05 0.00 0.40 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.21 0.21 0.61 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_1_1_0_clock (net)
0.21 0.00 0.61 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.14 0.21 0.82 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_2_3_0_clock (net)
0.14 0.00 0.83 ^ clkbuf_3_6_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.65 0.53 1.35 ^ clkbuf_3_6_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
16 0.12 clknet_3_6_0_clock (net)
0.65 0.00 1.36 ^ clkbuf_leaf_9_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.26 1.62 ^ clkbuf_leaf_9_clock/X (sky130_fd_sc_hd__clkbuf_16)
7 0.03 clknet_leaf_9_clock (net)
0.06 0.00 1.62 ^ _10673_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.04 0.30 1.92 v _10673_/Q (sky130_fd_sc_hd__dfxtp_1)
1 0.01 pwm.reg_duty[11] (net)
0.04 0.00 1.92 v _06225_/A (sky130_fd_sc_hd__inv_2)
0.05 0.05 1.97 ^ _06225_/Y (sky130_fd_sc_hd__inv_2)
2 0.01 _01223_ (net)
0.05 0.00 1.97 ^ _07928_/A1 (sky130_fd_sc_hd__o21ai_1)
0.04 0.06 2.03 v _07928_/Y (sky130_fd_sc_hd__o21ai_1)
1 0.00 _02894_ (net)
0.04 0.00 2.03 v _07929_/B2 (sky130_fd_sc_hd__o221a_1)
0.04 0.20 2.23 v _07929_/X (sky130_fd_sc_hd__o221a_1)
1 0.00 _00087_ (net)
0.04 0.00 2.23 v _10705_/D (sky130_fd_sc_hd__dfxtp_1)
2.23 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.30 0.22 0.22 ^ clock (in)
2 0.07 clock (net)
0.30 0.00 0.22 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 0.44 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 clknet_0_clock (net)
0.05 0.00 0.44 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.21 0.23 0.68 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_1_1_0_clock (net)
0.21 0.00 0.68 ^ clkbuf_2_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.14 0.24 0.92 ^ clkbuf_2_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_2_2_0_clock (net)
0.14 0.00 0.92 ^ clkbuf_3_4_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.92 0.78 1.69 ^ clkbuf_3_4_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
22 0.17 clknet_3_4_0_clock (net)
0.92 0.01 1.70 ^ clkbuf_leaf_7_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.31 2.01 ^ clkbuf_leaf_7_clock/X (sky130_fd_sc_hd__clkbuf_16)
6 0.03 clknet_leaf_7_clock (net)
0.07 0.00 2.01 ^ _10705_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 2.26 clock uncertainty
-0.06 2.19 clock reconvergence pessimism
-0.05 2.15 library hold time
2.15 data required time
-----------------------------------------------------------------------------
2.15 data required time
-2.23 data arrival time
-----------------------------------------------------------------------------
0.08 slack (MET)
Startpoint: _10823_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _10805_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.30 0.20 0.20 ^ clock (in)
2 0.07 clock (net)
0.30 0.00 0.20 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 0.40 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 clknet_0_clock (net)
0.05 0.00 0.40 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.21 0.21 0.61 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_1_0_0_clock (net)
0.21 0.00 0.61 ^ clkbuf_2_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.14 0.21 0.83 ^ clkbuf_2_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_2_0_0_clock (net)
0.14 0.00 0.83 ^ clkbuf_3_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.92 0.70 1.53 ^ clkbuf_3_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
22 0.17 clknet_3_1_0_clock (net)
0.92 0.00 1.53 ^ clkbuf_leaf_65_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.29 1.82 ^ clkbuf_leaf_65_clock/X (sky130_fd_sc_hd__clkbuf_16)
10 0.04 clknet_leaf_65_clock (net)
0.08 0.00 1.82 ^ _10823_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.12 0.35 2.17 ^ _10823_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 qei.qei_period_count[15] (net)
0.12 0.00 2.17 ^ _08484_/A2 (sky130_fd_sc_hd__o211a_1)
0.04 0.13 2.31 ^ _08484_/X (sky130_fd_sc_hd__o211a_1)
1 0.00 _00187_ (net)
0.04 0.00 2.31 ^ _10805_/D (sky130_fd_sc_hd__dfxtp_2)
2.31 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.30 0.22 0.22 ^ clock (in)
2 0.07 clock (net)
0.30 0.00 0.22 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 0.44 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 clknet_0_clock (net)
0.05 0.00 0.44 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.21 0.23 0.68 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_1_0_0_clock (net)
0.21 0.00 0.68 ^ clkbuf_2_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.14 0.24 0.91 ^ clkbuf_2_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_2_0_0_clock (net)
0.14 0.00 0.92 ^ clkbuf_3_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.96 0.80 1.72 ^ clkbuf_3_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
26 0.18 clknet_3_0_0_clock (net)
0.96 0.01 1.72 ^ clkbuf_leaf_67_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.33 2.05 ^ clkbuf_leaf_67_clock/X (sky130_fd_sc_hd__clkbuf_16)
13 0.04 clknet_leaf_67_clock (net)
0.08 0.00 2.05 ^ _10805_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.25 2.30 clock uncertainty
-0.09 2.22 clock reconvergence pessimism
-0.03 2.19 library hold time
2.19 data required time
-----------------------------------------------------------------------------
2.19 data required time
-2.31 data arrival time
-----------------------------------------------------------------------------
0.12 slack (MET)
Startpoint: _10811_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _10793_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.30 0.20 0.20 ^ clock (in)
2 0.07 clock (net)
0.30 0.00 0.20 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 0.40 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 clknet_0_clock (net)
0.05 0.00 0.40 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.21 0.21 0.61 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_1_0_0_clock (net)
0.21 0.00 0.61 ^ clkbuf_2_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.14 0.21 0.83 ^ clkbuf_2_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_2_0_0_clock (net)
0.14 0.00 0.83 ^ clkbuf_3_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.92 0.70 1.53 ^ clkbuf_3_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
22 0.17 clknet_3_1_0_clock (net)
0.92 0.00 1.53 ^ clkbuf_leaf_65_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.29 1.82 ^ clkbuf_leaf_65_clock/X (sky130_fd_sc_hd__clkbuf_16)
10 0.04 clknet_leaf_65_clock (net)
0.08 0.00 1.82 ^ _10811_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.10 0.34 2.16 ^ _10811_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 qei.qei_period_count[3] (net)
0.10 0.00 2.16 ^ _08450_/A2 (sky130_fd_sc_hd__o211a_1)
0.06 0.15 2.32 ^ _08450_/X (sky130_fd_sc_hd__o211a_1)
1 0.01 _00175_ (net)
0.06 0.00 2.32 ^ _10793_/D (sky130_fd_sc_hd__dfxtp_1)
2.32 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.30 0.22 0.22 ^ clock (in)
2 0.07 clock (net)
0.30 0.00 0.22 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 0.44 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 clknet_0_clock (net)
0.05 0.00 0.44 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.21 0.23 0.68 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_1_0_0_clock (net)
0.21 0.00 0.68 ^ clkbuf_2_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.14 0.24 0.91 ^ clkbuf_2_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_2_0_0_clock (net)
0.14 0.00 0.92 ^ clkbuf_3_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.96 0.80 1.72 ^ clkbuf_3_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
26 0.18 clknet_3_0_0_clock (net)
0.96 0.00 1.72 ^ clkbuf_leaf_66_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.33 2.05 ^ clkbuf_leaf_66_clock/X (sky130_fd_sc_hd__clkbuf_16)
13 0.05 clknet_leaf_66_clock (net)
0.08 0.00 2.05 ^ _10793_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 2.30 clock uncertainty
-0.09 2.22 clock reconvergence pessimism
-0.03 2.19 library hold time
2.19 data required time
-----------------------------------------------------------------------------
2.19 data required time
-2.32 data arrival time
-----------------------------------------------------------------------------
0.13 slack (MET)
Startpoint: _10650_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _10806_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.30 0.20 0.20 ^ clock (in)
2 0.07 clock (net)
0.30 0.00 0.20 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 0.40 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 clknet_0_clock (net)
0.05 0.00 0.40 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.21 0.21 0.61 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_1_1_0_clock (net)
0.21 0.00 0.61 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.14 0.21 0.82 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_2_3_0_clock (net)
0.14 0.00 0.83 ^ clkbuf_3_7_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.61 0.50 1.32 ^ clkbuf_3_7_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
14 0.11 clknet_3_7_0_clock (net)
0.61 0.00 1.32 ^ clkbuf_leaf_28_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.27 1.60 ^ clkbuf_leaf_28_clock/X (sky130_fd_sc_hd__clkbuf_16)
13 0.05 clknet_leaf_28_clock (net)
0.08 0.00 1.60 ^ _10650_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.08 0.33 1.93 v _10650_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 pwm.proc_offset[31] (net)
0.08 0.00 1.93 v _05568_/A (sky130_fd_sc_hd__inv_2)
0.08 0.09 2.02 ^ _05568_/Y (sky130_fd_sc_hd__inv_2)
3 0.02 _00591_ (net)
0.08 0.00 2.02 ^ _05602_/A (sky130_fd_sc_hd__xnor2_1)
0.12 0.16 2.18 ^ _05602_/Y (sky130_fd_sc_hd__xnor2_1)
2 0.01 _00625_ (net)
0.12 0.00 2.18 ^ _05728_/A2 (sky130_fd_sc_hd__o21ai_2)
0.07 0.09 2.28 v _05728_/Y (sky130_fd_sc_hd__o21ai_2)
1 0.01 _00000_ (net)
0.07 0.00 2.28 v _10806_/D (sky130_fd_sc_hd__dfxtp_1)
2.28 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.30 0.22 0.22 ^ clock (in)
2 0.07 clock (net)
0.30 0.00 0.22 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 0.44 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 clknet_0_clock (net)
0.05 0.00 0.44 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.21 0.23 0.68 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_1_1_0_clock (net)
0.21 0.00 0.68 ^ clkbuf_2_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.14 0.24 0.92 ^ clkbuf_2_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_2_2_0_clock (net)
0.14 0.00 0.92 ^ clkbuf_3_4_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.92 0.78 1.69 ^ clkbuf_3_4_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
22 0.17 clknet_3_4_0_clock (net)
0.92 0.00 1.69 ^ clkbuf_leaf_14_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.31 2.00 ^ clkbuf_leaf_14_clock/X (sky130_fd_sc_hd__clkbuf_16)
5 0.02 clknet_leaf_14_clock (net)
0.06 0.00 2.00 ^ _10806_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 2.25 clock uncertainty
-0.06 2.19 clock reconvergence pessimism
-0.06 2.13 library hold time
2.13 data required time
-----------------------------------------------------------------------------
2.13 data required time
-2.28 data arrival time
-----------------------------------------------------------------------------
0.15 slack (MET)
Startpoint: _10812_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _10794_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.30 0.20 0.20 ^ clock (in)
2 0.07 clock (net)
0.30 0.00 0.20 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 0.40 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 clknet_0_clock (net)
0.05 0.00 0.40 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.21 0.21 0.61 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_1_0_0_clock (net)
0.21 0.00 0.61 ^ clkbuf_2_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.14 0.21 0.83 ^ clkbuf_2_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_2_0_0_clock (net)
0.14 0.00 0.83 ^ clkbuf_3_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.96 0.73 1.55 ^ clkbuf_3_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
26 0.18 clknet_3_0_0_clock (net)
0.96 0.00 1.56 ^ clkbuf_leaf_73_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.29 1.85 ^ clkbuf_leaf_73_clock/X (sky130_fd_sc_hd__clkbuf_16)
7 0.03 clknet_leaf_73_clock (net)
0.07 0.00 1.85 ^ _10812_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.11 0.34 2.19 ^ _10812_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 qei.qei_period_count[4] (net)
0.11 0.00 2.19 ^ _08453_/A2 (sky130_fd_sc_hd__o211a_1)
0.05 0.14 2.33 ^ _08453_/X (sky130_fd_sc_hd__o211a_1)
1 0.00 _00176_ (net)
0.05 0.00 2.33 ^ _10794_/D (sky130_fd_sc_hd__dfxtp_1)
2.33 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.30 0.22 0.22 ^ clock (in)
2 0.07 clock (net)
0.30 0.00 0.22 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 0.44 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 clknet_0_clock (net)
0.05 0.00 0.44 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.21 0.23 0.68 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_1_0_0_clock (net)
0.21 0.00 0.68 ^ clkbuf_2_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.14 0.24 0.91 ^ clkbuf_2_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_2_0_0_clock (net)
0.14 0.00 0.91 ^ clkbuf_3_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.92 0.78 1.69 ^ clkbuf_3_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
22 0.17 clknet_3_1_0_clock (net)
0.92 0.00 1.69 ^ clkbuf_leaf_65_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 2.02 ^ clkbuf_leaf_65_clock/X (sky130_fd_sc_hd__clkbuf_16)
10 0.04 clknet_leaf_65_clock (net)
0.08 0.00 2.02 ^ _10794_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 2.27 clock uncertainty
-0.09 2.18 clock reconvergence pessimism
-0.03 2.15 library hold time
2.15 data required time
-----------------------------------------------------------------------------
2.15 data required time
-2.33 data arrival time
-----------------------------------------------------------------------------
0.18 slack (MET)
min_report_end
max_report
===========================================================================
report_checks -path_delay max (Setup)
============================================================================
Startpoint: _10982_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _10707_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.30 0.22 0.22 ^ clock (in)
2 0.07 clock (net)
0.30 0.00 0.22 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 0.44 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 clknet_0_clock (net)
0.05 0.00 0.44 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.21 0.23 0.68 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_1_0_0_clock (net)
0.21 0.00 0.68 ^ clkbuf_2_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.25 0.93 ^ clkbuf_2_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_2_1_0_clock (net)
0.16 0.00 0.93 ^ clkbuf_3_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.81 0.71 1.64 ^ clkbuf_3_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
20 0.15 clknet_3_3_0_clock (net)
0.81 0.00 1.64 ^ clkbuf_leaf_42_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.30 1.94 ^ clkbuf_leaf_42_clock/X (sky130_fd_sc_hd__clkbuf_16)
5 0.02 clknet_leaf_42_clock (net)
0.06 0.00 1.94 ^ _10982_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.22 0.46 2.39 ^ _10982_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.02 pid.e_prev1[6] (net)
0.22 0.00 2.39 ^ _06338_/B (sky130_fd_sc_hd__xnor2_4)
0.10 0.13 2.52 v _06338_/Y (sky130_fd_sc_hd__xnor2_4)
3 0.02 _01315_ (net)
0.10 0.00 2.52 v _06339_/A (sky130_fd_sc_hd__or3b_1)
0.09 0.43 2.96 v _06339_/X (sky130_fd_sc_hd__or3b_1)
2 0.01 _01316_ (net)
0.09 0.00 2.96 v _06342_/C (sky130_fd_sc_hd__or3_1)
0.08 0.35 3.31 v _06342_/X (sky130_fd_sc_hd__or3_1)
1 0.01 _01319_ (net)
0.08 0.00 3.31 v _06343_/B1 (sky130_fd_sc_hd__a311o_1)
0.09 0.39 3.70 v _06343_/X (sky130_fd_sc_hd__a311o_1)
2 0.01 _01320_ (net)
0.09 0.00 3.70 v _06347_/A3 (sky130_fd_sc_hd__a31o_2)
0.08 0.33 4.03 v _06347_/X (sky130_fd_sc_hd__a31o_2)
3 0.02 _01324_ (net)
0.08 0.00 4.03 v _06362_/A1 (sky130_fd_sc_hd__o311ai_4)
0.33 0.40 4.43 ^ _06362_/Y (sky130_fd_sc_hd__o311ai_4)
3 0.02 _01339_ (net)
0.33 0.00 4.43 ^ _06363_/B2 (sky130_fd_sc_hd__a221o_1)
0.09 0.24 4.68 ^ _06363_/X (sky130_fd_sc_hd__a221o_1)
2 0.01 _01340_ (net)
0.09 0.00 4.68 ^ _06365_/A3 (sky130_fd_sc_hd__a31o_2)
0.15 0.26 4.93 ^ _06365_/X (sky130_fd_sc_hd__a31o_2)
2 0.02 _01342_ (net)
0.15 0.00 4.94 ^ _06380_/A (sky130_fd_sc_hd__xor2_2)
0.11 0.13 5.06 v _06380_/X (sky130_fd_sc_hd__xor2_2)
4 0.01 _01357_ (net)
0.11 0.00 5.06 v _06382_/A (sky130_fd_sc_hd__clkbuf_2)
0.08 0.18 5.25 v _06382_/X (sky130_fd_sc_hd__clkbuf_2)
5 0.02 _01359_ (net)
0.08 0.00 5.25 v _06398_/C (sky130_fd_sc_hd__or3_1)
0.09 0.36 5.61 v _06398_/X (sky130_fd_sc_hd__or3_1)
2 0.01 _01375_ (net)
0.09 0.00 5.61 v _06399_/B1 (sky130_fd_sc_hd__o21a_1)
0.10 0.19 5.79 v _06399_/X (sky130_fd_sc_hd__o21a_1)
5 0.02 _01376_ (net)
0.10 0.00 5.80 v _06599_/A1 (sky130_fd_sc_hd__a31o_1)
0.09 0.26 6.06 v _06599_/X (sky130_fd_sc_hd__a31o_1)
4 0.02 _01576_ (net)
0.09 0.00 6.06 v _06601_/B (sky130_fd_sc_hd__and3_1)
0.06 0.22 6.28 v _06601_/X (sky130_fd_sc_hd__and3_1)
2 0.01 _01578_ (net)
0.06 0.00 6.28 v _06603_/B (sky130_fd_sc_hd__or3_1)
0.11 0.43 6.70 v _06603_/X (sky130_fd_sc_hd__or3_1)
3 0.01 _01580_ (net)
0.11 0.00 6.70 v _06610_/C (sky130_fd_sc_hd__and3_1)
0.05 0.23 6.93 v _06610_/X (sky130_fd_sc_hd__and3_1)
2 0.01 _01587_ (net)
0.05 0.00 6.93 v _06633_/A (sky130_fd_sc_hd__or3b_1)
0.10 0.44 7.38 v _06633_/X (sky130_fd_sc_hd__or3b_1)
3 0.01 _01610_ (net)
0.10 0.00 7.38 v _06634_/B1_N (sky130_fd_sc_hd__a21boi_1)
0.11 0.19 7.56 v _06634_/Y (sky130_fd_sc_hd__a21boi_1)
2 0.01 _01611_ (net)
0.11 0.00 7.56 v _06638_/B (sky130_fd_sc_hd__xnor2_1)
0.26 0.27 7.84 ^ _06638_/Y (sky130_fd_sc_hd__xnor2_1)
2 0.01 _01615_ (net)
0.26 0.00 7.84 ^ _06641_/A (sky130_fd_sc_hd__xor2_1)
0.26 0.29 8.13 ^ _06641_/X (sky130_fd_sc_hd__xor2_1)
2 0.01 _01618_ (net)
0.26 0.00 8.13 ^ _06654_/A1 (sky130_fd_sc_hd__a21o_1)
0.10 0.21 8.33 ^ _06654_/X (sky130_fd_sc_hd__a21o_1)
2 0.01 _01631_ (net)
0.10 0.00 8.33 ^ _06656_/A2 (sky130_fd_sc_hd__a21o_1)
0.19 0.24 8.58 ^ _06656_/X (sky130_fd_sc_hd__a21o_1)
4 0.02 _01633_ (net)
0.19 0.00 8.58 ^ _06699_/A1 (sky130_fd_sc_hd__a21oi_1)
0.11 0.14 8.72 v _06699_/Y (sky130_fd_sc_hd__a21oi_1)
1 0.01 _01676_ (net)
0.11 0.00 8.72 v _06700_/B (sky130_fd_sc_hd__xnor2_2)
0.28 0.28 9.00 ^ _06700_/Y (sky130_fd_sc_hd__xnor2_2)
2 0.02 _01677_ (net)
0.28 0.00 9.00 ^ _06731_/B (sky130_fd_sc_hd__xor2_2)
0.11 0.16 9.16 v _06731_/X (sky130_fd_sc_hd__xor2_2)
2 0.01 _01708_ (net)
0.11 0.00 9.16 v _06733_/A (sky130_fd_sc_hd__xnor2_2)
0.31 0.34 9.49 ^ _06733_/Y (sky130_fd_sc_hd__xnor2_2)
2 0.02 _01710_ (net)
0.31 0.00 9.49 ^ _06735_/A2 (sky130_fd_sc_hd__a21o_1)
0.13 0.24 9.74 ^ _06735_/X (sky130_fd_sc_hd__a21o_1)
2 0.01 _01712_ (net)
0.13 0.00 9.74 ^ _06737_/A2 (sky130_fd_sc_hd__a21o_1)
0.19 0.25 9.99 ^ _06737_/X (sky130_fd_sc_hd__a21o_1)
2 0.02 _01714_ (net)
0.19 0.00 9.99 ^ _06929_/B (sky130_fd_sc_hd__xor2_4)
0.29 0.31 10.30 ^ _06929_/X (sky130_fd_sc_hd__xor2_4)
2 0.04 _01906_ (net)
0.29 0.00 10.31 ^ _06930_/B (sky130_fd_sc_hd__xor2_4)
0.25 0.29 10.60 ^ _06930_/X (sky130_fd_sc_hd__xor2_4)
2 0.03 _01907_ (net)
0.25 0.00 10.60 ^ _06935_/A (sky130_fd_sc_hd__xnor2_4)
0.22 0.19 10.80 ^ _06935_/Y (sky130_fd_sc_hd__xnor2_4)
2 0.02 _01912_ (net)
0.22 0.00 10.80 ^ _06942_/A (sky130_fd_sc_hd__xor2_4)
0.21 0.26 11.05 ^ _06942_/X (sky130_fd_sc_hd__xor2_4)
2 0.02 _01919_ (net)
0.21 0.00 11.05 ^ _06963_/A1 (sky130_fd_sc_hd__a21o_2)
0.12 0.24 11.29 ^ _06963_/X (sky130_fd_sc_hd__a21o_2)
2 0.02 _01940_ (net)
0.12 0.00 11.29 ^ _06965_/A2 (sky130_fd_sc_hd__a21o_1)
0.20 0.26 11.55 ^ _06965_/X (sky130_fd_sc_hd__a21o_1)
2 0.02 _01942_ (net)
0.20 0.00 11.55 ^ _06967_/A2 (sky130_fd_sc_hd__a21o_1)
0.19 0.27 11.82 ^ _06967_/X (sky130_fd_sc_hd__a21o_1)
2 0.02 _01944_ (net)
0.19 0.00 11.82 ^ _06968_/B (sky130_fd_sc_hd__xor2_4)
0.24 0.28 12.10 ^ _06968_/X (sky130_fd_sc_hd__xor2_4)
2 0.03 _01945_ (net)
0.24 0.00 12.10 ^ _07765_/A2 (sky130_fd_sc_hd__a21oi_1)
0.10 0.16 12.26 v _07765_/Y (sky130_fd_sc_hd__a21oi_1)
1 0.01 _02742_ (net)
0.10 0.00 12.26 v _07770_/A (sky130_fd_sc_hd__xnor2_1)
0.30 0.32 12.58 ^ _07770_/Y (sky130_fd_sc_hd__xnor2_1)
1 0.01 _02747_ (net)
0.30 0.00 12.58 ^ _07813_/A (sky130_fd_sc_hd__xnor2_2)
0.28 0.22 12.80 ^ _07813_/Y (sky130_fd_sc_hd__xnor2_2)
3 0.02 _02790_ (net)
0.28 0.00 12.80 ^ _07863_/B (sky130_fd_sc_hd__nor3_1)
0.08 0.11 12.92 v _07863_/Y (sky130_fd_sc_hd__nor3_1)
1 0.01 _02840_ (net)
0.08 0.00 12.92 v _07864_/B1 (sky130_fd_sc_hd__a311o_4)
0.12 0.50 13.42 v _07864_/X (sky130_fd_sc_hd__a311o_4)
6 0.05 _02841_ (net)
0.12 0.01 13.42 v _07917_/A (sky130_fd_sc_hd__clkbuf_2)
0.10 0.21 13.63 v _07917_/X (sky130_fd_sc_hd__clkbuf_2)
5 0.03 _02884_ (net)
0.10 0.00 13.63 v _07935_/A (sky130_fd_sc_hd__nor2_2)
0.25 0.27 13.90 ^ _07935_/Y (sky130_fd_sc_hd__nor2_2)
2 0.02 _02899_ (net)
0.25 0.00 13.90 ^ _07937_/B1 (sky130_fd_sc_hd__o221a_1)
0.06 0.24 14.14 ^ _07937_/X (sky130_fd_sc_hd__o221a_1)
1 0.00 _00089_ (net)
0.06 0.00 14.14 ^ _10707_/D (sky130_fd_sc_hd__dfxtp_1)
14.14 data arrival time
20.00 20.00 clock clock (rise edge)
0.00 20.00 clock source latency
0.30 0.20 20.20 ^ clock (in)
2 0.07 clock (net)
0.30 0.00 20.20 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 20.40 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 clknet_0_clock (net)
0.05 0.00 20.40 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.21 0.21 20.61 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_1_1_0_clock (net)
0.21 0.00 20.61 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.14 0.21 20.82 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_2_3_0_clock (net)
0.14 0.00 20.83 ^ clkbuf_3_6_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.65 0.53 21.35 ^ clkbuf_3_6_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
16 0.12 clknet_3_6_0_clock (net)
0.65 0.00 21.36 ^ clkbuf_leaf_10_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.27 21.63 ^ clkbuf_leaf_10_clock/X (sky130_fd_sc_hd__clkbuf_16)
10 0.04 clknet_leaf_10_clock (net)
0.07 0.00 21.63 ^ _10707_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 21.38 clock uncertainty
0.04 21.42 clock reconvergence pessimism
-0.05 21.36 library setup time
21.36 data required time
-----------------------------------------------------------------------------
21.36 data required time
-14.14 data arrival time
-----------------------------------------------------------------------------
7.22 slack (MET)
Startpoint: _10982_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _10708_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.30 0.22 0.22 ^ clock (in)
2 0.07 clock (net)
0.30 0.00 0.22 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 0.44 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 clknet_0_clock (net)
0.05 0.00 0.44 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.21 0.23 0.68 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_1_0_0_clock (net)
0.21 0.00 0.68 ^ clkbuf_2_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.25 0.93 ^ clkbuf_2_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_2_1_0_clock (net)
0.16 0.00 0.93 ^ clkbuf_3_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.81 0.71 1.64 ^ clkbuf_3_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
20 0.15 clknet_3_3_0_clock (net)
0.81 0.00 1.64 ^ clkbuf_leaf_42_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.30 1.94 ^ clkbuf_leaf_42_clock/X (sky130_fd_sc_hd__clkbuf_16)
5 0.02 clknet_leaf_42_clock (net)
0.06 0.00 1.94 ^ _10982_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.22 0.46 2.39 ^ _10982_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.02 pid.e_prev1[6] (net)
0.22 0.00 2.39 ^ _06338_/B (sky130_fd_sc_hd__xnor2_4)
0.10 0.13 2.52 v _06338_/Y (sky130_fd_sc_hd__xnor2_4)
3 0.02 _01315_ (net)
0.10 0.00 2.52 v _06339_/A (sky130_fd_sc_hd__or3b_1)
0.09 0.43 2.96 v _06339_/X (sky130_fd_sc_hd__or3b_1)
2 0.01 _01316_ (net)
0.09 0.00 2.96 v _06342_/C (sky130_fd_sc_hd__or3_1)
0.08 0.35 3.31 v _06342_/X (sky130_fd_sc_hd__or3_1)
1 0.01 _01319_ (net)
0.08 0.00 3.31 v _06343_/B1 (sky130_fd_sc_hd__a311o_1)
0.09 0.39 3.70 v _06343_/X (sky130_fd_sc_hd__a311o_1)
2 0.01 _01320_ (net)
0.09 0.00 3.70 v _06347_/A3 (sky130_fd_sc_hd__a31o_2)
0.08 0.33 4.03 v _06347_/X (sky130_fd_sc_hd__a31o_2)
3 0.02 _01324_ (net)
0.08 0.00 4.03 v _06362_/A1 (sky130_fd_sc_hd__o311ai_4)
0.33 0.40 4.43 ^ _06362_/Y (sky130_fd_sc_hd__o311ai_4)
3 0.02 _01339_ (net)
0.33 0.00 4.43 ^ _06363_/B2 (sky130_fd_sc_hd__a221o_1)
0.09 0.24 4.68 ^ _06363_/X (sky130_fd_sc_hd__a221o_1)
2 0.01 _01340_ (net)
0.09 0.00 4.68 ^ _06365_/A3 (sky130_fd_sc_hd__a31o_2)
0.15 0.26 4.93 ^ _06365_/X (sky130_fd_sc_hd__a31o_2)
2 0.02 _01342_ (net)
0.15 0.00 4.94 ^ _06380_/A (sky130_fd_sc_hd__xor2_2)
0.11 0.13 5.06 v _06380_/X (sky130_fd_sc_hd__xor2_2)
4 0.01 _01357_ (net)
0.11 0.00 5.06 v _06382_/A (sky130_fd_sc_hd__clkbuf_2)
0.08 0.18 5.25 v _06382_/X (sky130_fd_sc_hd__clkbuf_2)
5 0.02 _01359_ (net)
0.08 0.00 5.25 v _06398_/C (sky130_fd_sc_hd__or3_1)
0.09 0.36 5.61 v _06398_/X (sky130_fd_sc_hd__or3_1)
2 0.01 _01375_ (net)
0.09 0.00 5.61 v _06399_/B1 (sky130_fd_sc_hd__o21a_1)
0.10 0.19 5.79 v _06399_/X (sky130_fd_sc_hd__o21a_1)
5 0.02 _01376_ (net)
0.10 0.00 5.80 v _06599_/A1 (sky130_fd_sc_hd__a31o_1)
0.09 0.26 6.06 v _06599_/X (sky130_fd_sc_hd__a31o_1)
4 0.02 _01576_ (net)
0.09 0.00 6.06 v _06601_/B (sky130_fd_sc_hd__and3_1)
0.06 0.22 6.28 v _06601_/X (sky130_fd_sc_hd__and3_1)
2 0.01 _01578_ (net)
0.06 0.00 6.28 v _06603_/B (sky130_fd_sc_hd__or3_1)
0.11 0.43 6.70 v _06603_/X (sky130_fd_sc_hd__or3_1)
3 0.01 _01580_ (net)
0.11 0.00 6.70 v _06610_/C (sky130_fd_sc_hd__and3_1)
0.05 0.23 6.93 v _06610_/X (sky130_fd_sc_hd__and3_1)
2 0.01 _01587_ (net)
0.05 0.00 6.93 v _06633_/A (sky130_fd_sc_hd__or3b_1)
0.10 0.44 7.38 v _06633_/X (sky130_fd_sc_hd__or3b_1)
3 0.01 _01610_ (net)
0.10 0.00 7.38 v _06634_/B1_N (sky130_fd_sc_hd__a21boi_1)
0.11 0.19 7.56 v _06634_/Y (sky130_fd_sc_hd__a21boi_1)
2 0.01 _01611_ (net)
0.11 0.00 7.56 v _06638_/B (sky130_fd_sc_hd__xnor2_1)
0.26 0.27 7.84 ^ _06638_/Y (sky130_fd_sc_hd__xnor2_1)
2 0.01 _01615_ (net)
0.26 0.00 7.84 ^ _06641_/A (sky130_fd_sc_hd__xor2_1)
0.26 0.29 8.13 ^ _06641_/X (sky130_fd_sc_hd__xor2_1)
2 0.01 _01618_ (net)
0.26 0.00 8.13 ^ _06654_/A1 (sky130_fd_sc_hd__a21o_1)
0.10 0.21 8.33 ^ _06654_/X (sky130_fd_sc_hd__a21o_1)
2 0.01 _01631_ (net)
0.10 0.00 8.33 ^ _06656_/A2 (sky130_fd_sc_hd__a21o_1)
0.19 0.24 8.58 ^ _06656_/X (sky130_fd_sc_hd__a21o_1)
4 0.02 _01633_ (net)
0.19 0.00 8.58 ^ _06699_/A1 (sky130_fd_sc_hd__a21oi_1)
0.11 0.14 8.72 v _06699_/Y (sky130_fd_sc_hd__a21oi_1)
1 0.01 _01676_ (net)
0.11 0.00 8.72 v _06700_/B (sky130_fd_sc_hd__xnor2_2)
0.28 0.28 9.00 ^ _06700_/Y (sky130_fd_sc_hd__xnor2_2)
2 0.02 _01677_ (net)
0.28 0.00 9.00 ^ _06731_/B (sky130_fd_sc_hd__xor2_2)
0.11 0.16 9.16 v _06731_/X (sky130_fd_sc_hd__xor2_2)
2 0.01 _01708_ (net)
0.11 0.00 9.16 v _06733_/A (sky130_fd_sc_hd__xnor2_2)
0.31 0.34 9.49 ^ _06733_/Y (sky130_fd_sc_hd__xnor2_2)
2 0.02 _01710_ (net)
0.31 0.00 9.49 ^ _06735_/A2 (sky130_fd_sc_hd__a21o_1)
0.13 0.24 9.74 ^ _06735_/X (sky130_fd_sc_hd__a21o_1)
2 0.01 _01712_ (net)
0.13 0.00 9.74 ^ _06737_/A2 (sky130_fd_sc_hd__a21o_1)
0.19 0.25 9.99 ^ _06737_/X (sky130_fd_sc_hd__a21o_1)
2 0.02 _01714_ (net)
0.19 0.00 9.99 ^ _06929_/B (sky130_fd_sc_hd__xor2_4)
0.29 0.31 10.30 ^ _06929_/X (sky130_fd_sc_hd__xor2_4)
2 0.04 _01906_ (net)
0.29 0.00 10.31 ^ _06930_/B (sky130_fd_sc_hd__xor2_4)
0.25 0.29 10.60 ^ _06930_/X (sky130_fd_sc_hd__xor2_4)
2 0.03 _01907_ (net)
0.25 0.00 10.60 ^ _06935_/A (sky130_fd_sc_hd__xnor2_4)
0.22 0.19 10.80 ^ _06935_/Y (sky130_fd_sc_hd__xnor2_4)
2 0.02 _01912_ (net)
0.22 0.00 10.80 ^ _06942_/A (sky130_fd_sc_hd__xor2_4)
0.21 0.26 11.05 ^ _06942_/X (sky130_fd_sc_hd__xor2_4)
2 0.02 _01919_ (net)
0.21 0.00 11.05 ^ _06963_/A1 (sky130_fd_sc_hd__a21o_2)
0.12 0.24 11.29 ^ _06963_/X (sky130_fd_sc_hd__a21o_2)
2 0.02 _01940_ (net)
0.12 0.00 11.29 ^ _06965_/A2 (sky130_fd_sc_hd__a21o_1)
0.20 0.26 11.55 ^ _06965_/X (sky130_fd_sc_hd__a21o_1)
2 0.02 _01942_ (net)
0.20 0.00 11.55 ^ _06967_/A2 (sky130_fd_sc_hd__a21o_1)
0.19 0.27 11.82 ^ _06967_/X (sky130_fd_sc_hd__a21o_1)
2 0.02 _01944_ (net)
0.19 0.00 11.82 ^ _06968_/B (sky130_fd_sc_hd__xor2_4)
0.24 0.28 12.10 ^ _06968_/X (sky130_fd_sc_hd__xor2_4)
2 0.03 _01945_ (net)
0.24 0.00 12.10 ^ _07765_/A2 (sky130_fd_sc_hd__a21oi_1)
0.10 0.16 12.26 v _07765_/Y (sky130_fd_sc_hd__a21oi_1)
1 0.01 _02742_ (net)
0.10 0.00 12.26 v _07770_/A (sky130_fd_sc_hd__xnor2_1)
0.30 0.32 12.58 ^ _07770_/Y (sky130_fd_sc_hd__xnor2_1)
1 0.01 _02747_ (net)
0.30 0.00 12.58 ^ _07813_/A (sky130_fd_sc_hd__xnor2_2)
0.28 0.22 12.80 ^ _07813_/Y (sky130_fd_sc_hd__xnor2_2)
3 0.02 _02790_ (net)
0.28 0.00 12.80 ^ _07863_/B (sky130_fd_sc_hd__nor3_1)
0.08 0.11 12.92 v _07863_/Y (sky130_fd_sc_hd__nor3_1)
1 0.01 _02840_ (net)
0.08 0.00 12.92 v _07864_/B1 (sky130_fd_sc_hd__a311o_4)
0.12 0.50 13.42 v _07864_/X (sky130_fd_sc_hd__a311o_4)
6 0.05 _02841_ (net)
0.12 0.01 13.42 v _07917_/A (sky130_fd_sc_hd__clkbuf_2)
0.10 0.21 13.63 v _07917_/X (sky130_fd_sc_hd__clkbuf_2)
5 0.03 _02884_ (net)
0.10 0.00 13.63 v _07939_/A (sky130_fd_sc_hd__nor2_2)
0.22 0.25 13.88 ^ _07939_/Y (sky130_fd_sc_hd__nor2_2)
2 0.02 _02902_ (net)
0.22 0.00 13.88 ^ _07941_/B1 (sky130_fd_sc_hd__o221a_1)
0.06 0.24 14.12 ^ _07941_/X (sky130_fd_sc_hd__o221a_1)
1 0.00 _00090_ (net)
0.06 0.00 14.12 ^ _10708_/D (sky130_fd_sc_hd__dfxtp_1)
14.12 data arrival time
20.00 20.00 clock clock (rise edge)
0.00 20.00 clock source latency
0.30 0.20 20.20 ^ clock (in)
2 0.07 clock (net)
0.30 0.00 20.20 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 20.40 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 clknet_0_clock (net)
0.05 0.00 20.40 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.21 0.21 20.61 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_1_1_0_clock (net)
0.21 0.00 20.61 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.14 0.21 20.82 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_2_3_0_clock (net)
0.14 0.00 20.83 ^ clkbuf_3_6_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.65 0.53 21.35 ^ clkbuf_3_6_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
16 0.12 clknet_3_6_0_clock (net)
0.65 0.00 21.36 ^ clkbuf_leaf_10_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.27 21.63 ^ clkbuf_leaf_10_clock/X (sky130_fd_sc_hd__clkbuf_16)
10 0.04 clknet_leaf_10_clock (net)
0.07 0.00 21.63 ^ _10708_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 21.38 clock uncertainty
0.04 21.42 clock reconvergence pessimism
-0.05 21.37 library setup time
21.37 data required time
-----------------------------------------------------------------------------
21.37 data required time
-14.12 data arrival time
-----------------------------------------------------------------------------
7.25 slack (MET)
Startpoint: _10982_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _10699_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.30 0.22 0.22 ^ clock (in)
2 0.07 clock (net)
0.30 0.00 0.22 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 0.44 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 clknet_0_clock (net)
0.05 0.00 0.44 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.21 0.23 0.68 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_1_0_0_clock (net)
0.21 0.00 0.68 ^ clkbuf_2_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.25 0.93 ^ clkbuf_2_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_2_1_0_clock (net)
0.16 0.00 0.93 ^ clkbuf_3_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.81 0.71 1.64 ^ clkbuf_3_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
20 0.15 clknet_3_3_0_clock (net)
0.81 0.00 1.64 ^ clkbuf_leaf_42_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.30 1.94 ^ clkbuf_leaf_42_clock/X (sky130_fd_sc_hd__clkbuf_16)
5 0.02 clknet_leaf_42_clock (net)
0.06 0.00 1.94 ^ _10982_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.22 0.46 2.39 ^ _10982_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.02 pid.e_prev1[6] (net)
0.22 0.00 2.39 ^ _06338_/B (sky130_fd_sc_hd__xnor2_4)
0.10 0.13 2.52 v _06338_/Y (sky130_fd_sc_hd__xnor2_4)
3 0.02 _01315_ (net)
0.10 0.00 2.52 v _06339_/A (sky130_fd_sc_hd__or3b_1)
0.09 0.43 2.96 v _06339_/X (sky130_fd_sc_hd__or3b_1)
2 0.01 _01316_ (net)
0.09 0.00 2.96 v _06342_/C (sky130_fd_sc_hd__or3_1)
0.08 0.35 3.31 v _06342_/X (sky130_fd_sc_hd__or3_1)
1 0.01 _01319_ (net)
0.08 0.00 3.31 v _06343_/B1 (sky130_fd_sc_hd__a311o_1)
0.09 0.39 3.70 v _06343_/X (sky130_fd_sc_hd__a311o_1)
2 0.01 _01320_ (net)
0.09 0.00 3.70 v _06347_/A3 (sky130_fd_sc_hd__a31o_2)
0.08 0.33 4.03 v _06347_/X (sky130_fd_sc_hd__a31o_2)
3 0.02 _01324_ (net)
0.08 0.00 4.03 v _06362_/A1 (sky130_fd_sc_hd__o311ai_4)
0.33 0.40 4.43 ^ _06362_/Y (sky130_fd_sc_hd__o311ai_4)
3 0.02 _01339_ (net)
0.33 0.00 4.43 ^ _06363_/B2 (sky130_fd_sc_hd__a221o_1)
0.09 0.24 4.68 ^ _06363_/X (sky130_fd_sc_hd__a221o_1)
2 0.01 _01340_ (net)
0.09 0.00 4.68 ^ _06365_/A3 (sky130_fd_sc_hd__a31o_2)
0.15 0.26 4.93 ^ _06365_/X (sky130_fd_sc_hd__a31o_2)
2 0.02 _01342_ (net)
0.15 0.00 4.94 ^ _06380_/A (sky130_fd_sc_hd__xor2_2)
0.11 0.13 5.06 v _06380_/X (sky130_fd_sc_hd__xor2_2)
4 0.01 _01357_ (net)
0.11 0.00 5.06 v _06382_/A (sky130_fd_sc_hd__clkbuf_2)
0.08 0.18 5.25 v _06382_/X (sky130_fd_sc_hd__clkbuf_2)
5 0.02 _01359_ (net)
0.08 0.00 5.25 v _06398_/C (sky130_fd_sc_hd__or3_1)
0.09 0.36 5.61 v _06398_/X (sky130_fd_sc_hd__or3_1)
2 0.01 _01375_ (net)
0.09 0.00 5.61 v _06399_/B1 (sky130_fd_sc_hd__o21a_1)
0.10 0.19 5.79 v _06399_/X (sky130_fd_sc_hd__o21a_1)
5 0.02 _01376_ (net)
0.10 0.00 5.80 v _06599_/A1 (sky130_fd_sc_hd__a31o_1)
0.09 0.26 6.06 v _06599_/X (sky130_fd_sc_hd__a31o_1)
4 0.02 _01576_ (net)
0.09 0.00 6.06 v _06601_/B (sky130_fd_sc_hd__and3_1)
0.06 0.22 6.28 v _06601_/X (sky130_fd_sc_hd__and3_1)
2 0.01 _01578_ (net)
0.06 0.00 6.28 v _06603_/B (sky130_fd_sc_hd__or3_1)
0.11 0.43 6.70 v _06603_/X (sky130_fd_sc_hd__or3_1)
3 0.01 _01580_ (net)
0.11 0.00 6.70 v _06610_/C (sky130_fd_sc_hd__and3_1)
0.05 0.23 6.93 v _06610_/X (sky130_fd_sc_hd__and3_1)
2 0.01 _01587_ (net)
0.05 0.00 6.93 v _06633_/A (sky130_fd_sc_hd__or3b_1)
0.10 0.44 7.38 v _06633_/X (sky130_fd_sc_hd__or3b_1)
3 0.01 _01610_ (net)
0.10 0.00 7.38 v _06634_/B1_N (sky130_fd_sc_hd__a21boi_1)
0.11 0.19 7.56 v _06634_/Y (sky130_fd_sc_hd__a21boi_1)
2 0.01 _01611_ (net)
0.11 0.00 7.56 v _06638_/B (sky130_fd_sc_hd__xnor2_1)
0.26 0.27 7.84 ^ _06638_/Y (sky130_fd_sc_hd__xnor2_1)
2 0.01 _01615_ (net)
0.26 0.00 7.84 ^ _06641_/A (sky130_fd_sc_hd__xor2_1)
0.26 0.29 8.13 ^ _06641_/X (sky130_fd_sc_hd__xor2_1)
2 0.01 _01618_ (net)
0.26 0.00 8.13 ^ _06654_/A1 (sky130_fd_sc_hd__a21o_1)
0.10 0.21 8.33 ^ _06654_/X (sky130_fd_sc_hd__a21o_1)
2 0.01 _01631_ (net)
0.10 0.00 8.33 ^ _06656_/A2 (sky130_fd_sc_hd__a21o_1)
0.19 0.24 8.58 ^ _06656_/X (sky130_fd_sc_hd__a21o_1)
4 0.02 _01633_ (net)
0.19 0.00 8.58 ^ _06699_/A1 (sky130_fd_sc_hd__a21oi_1)
0.11 0.14 8.72 v _06699_/Y (sky130_fd_sc_hd__a21oi_1)
1 0.01 _01676_ (net)
0.11 0.00 8.72 v _06700_/B (sky130_fd_sc_hd__xnor2_2)
0.28 0.28 9.00 ^ _06700_/Y (sky130_fd_sc_hd__xnor2_2)
2 0.02 _01677_ (net)
0.28 0.00 9.00 ^ _06731_/B (sky130_fd_sc_hd__xor2_2)
0.11 0.16 9.16 v _06731_/X (sky130_fd_sc_hd__xor2_2)
2 0.01 _01708_ (net)
0.11 0.00 9.16 v _06733_/A (sky130_fd_sc_hd__xnor2_2)
0.31 0.34 9.49 ^ _06733_/Y (sky130_fd_sc_hd__xnor2_2)
2 0.02 _01710_ (net)
0.31 0.00 9.49 ^ _06735_/A2 (sky130_fd_sc_hd__a21o_1)
0.13 0.24 9.74 ^ _06735_/X (sky130_fd_sc_hd__a21o_1)
2 0.01 _01712_ (net)
0.13 0.00 9.74 ^ _06737_/A2 (sky130_fd_sc_hd__a21o_1)
0.19 0.25 9.99 ^ _06737_/X (sky130_fd_sc_hd__a21o_1)
2 0.02 _01714_ (net)
0.19 0.00 9.99 ^ _06929_/B (sky130_fd_sc_hd__xor2_4)
0.29 0.31 10.30 ^ _06929_/X (sky130_fd_sc_hd__xor2_4)
2 0.04 _01906_ (net)
0.29 0.00 10.31 ^ _06930_/B (sky130_fd_sc_hd__xor2_4)
0.25 0.29 10.60 ^ _06930_/X (sky130_fd_sc_hd__xor2_4)
2 0.03 _01907_ (net)
0.25 0.00 10.60 ^ _06935_/A (sky130_fd_sc_hd__xnor2_4)
0.22 0.19 10.80 ^ _06935_/Y (sky130_fd_sc_hd__xnor2_4)
2 0.02 _01912_ (net)
0.22 0.00 10.80 ^ _06942_/A (sky130_fd_sc_hd__xor2_4)
0.21 0.26 11.05 ^ _06942_/X (sky130_fd_sc_hd__xor2_4)
2 0.02 _01919_ (net)
0.21 0.00 11.05 ^ _06963_/A1 (sky130_fd_sc_hd__a21o_2)
0.12 0.24 11.29 ^ _06963_/X (sky130_fd_sc_hd__a21o_2)
2 0.02 _01940_ (net)
0.12 0.00 11.29 ^ _06965_/A2 (sky130_fd_sc_hd__a21o_1)
0.20 0.26 11.55 ^ _06965_/X (sky130_fd_sc_hd__a21o_1)
2 0.02 _01942_ (net)
0.20 0.00 11.55 ^ _06967_/A2 (sky130_fd_sc_hd__a21o_1)
0.19 0.27 11.82 ^ _06967_/X (sky130_fd_sc_hd__a21o_1)
2 0.02 _01944_ (net)
0.19 0.00 11.82 ^ _06968_/B (sky130_fd_sc_hd__xor2_4)
0.24 0.28 12.10 ^ _06968_/X (sky130_fd_sc_hd__xor2_4)
2 0.03 _01945_ (net)
0.24 0.00 12.10 ^ _07765_/A2 (sky130_fd_sc_hd__a21oi_1)
0.10 0.16 12.26 v _07765_/Y (sky130_fd_sc_hd__a21oi_1)
1 0.01 _02742_ (net)
0.10 0.00 12.26 v _07770_/A (sky130_fd_sc_hd__xnor2_1)
0.30 0.32 12.58 ^ _07770_/Y (sky130_fd_sc_hd__xnor2_1)
1 0.01 _02747_ (net)
0.30 0.00 12.58 ^ _07813_/A (sky130_fd_sc_hd__xnor2_2)
0.28 0.22 12.80 ^ _07813_/Y (sky130_fd_sc_hd__xnor2_2)
3 0.02 _02790_ (net)
0.28 0.00 12.80 ^ _07863_/B (sky130_fd_sc_hd__nor3_1)
0.08 0.11 12.92 v _07863_/Y (sky130_fd_sc_hd__nor3_1)
1 0.01 _02840_ (net)
0.08 0.00 12.92 v _07864_/B1 (sky130_fd_sc_hd__a311o_4)
0.12 0.50 13.42 v _07864_/X (sky130_fd_sc_hd__a311o_4)
6 0.05 _02841_ (net)
0.12 0.01 13.42 v _07891_/A (sky130_fd_sc_hd__clkbuf_2)
0.10 0.21 13.63 v _07891_/X (sky130_fd_sc_hd__clkbuf_2)
5 0.02 _02863_ (net)
0.10 0.00 13.63 v _07894_/A (sky130_fd_sc_hd__nor2_2)
0.25 0.27 13.90 ^ _07894_/Y (sky130_fd_sc_hd__nor2_2)
2 0.02 _02866_ (net)
0.25 0.00 13.90 ^ _07898_/B1 (sky130_fd_sc_hd__o221a_1)
0.08 0.26 14.16 ^ _07898_/X (sky130_fd_sc_hd__o221a_1)
1 0.01 _00081_ (net)
0.08 0.00 14.16 ^ _10699_/D (sky130_fd_sc_hd__dfxtp_1)
14.16 data arrival time
20.00 20.00 clock clock (rise edge)
0.00 20.00 clock source latency
0.30 0.20 20.20 ^ clock (in)
2 0.07 clock (net)
0.30 0.00 20.20 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 20.40 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 clknet_0_clock (net)
0.05 0.00 20.40 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.21 0.21 20.61 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_1_0_0_clock (net)
0.21 0.00 20.61 ^ clkbuf_2_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.14 0.21 20.83 ^ clkbuf_2_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_2_0_0_clock (net)
0.14 0.00 20.83 ^ clkbuf_3_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.92 0.70 21.53 ^ clkbuf_3_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
22 0.17 clknet_3_1_0_clock (net)
0.92 0.00 21.53 ^ clkbuf_leaf_6_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.28 21.81 ^ clkbuf_leaf_6_clock/X (sky130_fd_sc_hd__clkbuf_16)
5 0.02 clknet_leaf_6_clock (net)
0.07 0.00 21.81 ^ _10699_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 21.56 clock uncertainty
0.06 21.63 clock reconvergence pessimism
-0.06 21.57 library setup time
21.57 data required time
-----------------------------------------------------------------------------
21.57 data required time
-14.16 data arrival time
-----------------------------------------------------------------------------
7.41 slack (MET)
Startpoint: _10982_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _10701_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.30 0.22 0.22 ^ clock (in)
2 0.07 clock (net)
0.30 0.00 0.22 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 0.44 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 clknet_0_clock (net)
0.05 0.00 0.44 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.21 0.23 0.68 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_1_0_0_clock (net)
0.21 0.00 0.68 ^ clkbuf_2_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.25 0.93 ^ clkbuf_2_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_2_1_0_clock (net)
0.16 0.00 0.93 ^ clkbuf_3_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.81 0.71 1.64 ^ clkbuf_3_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
20 0.15 clknet_3_3_0_clock (net)
0.81 0.00 1.64 ^ clkbuf_leaf_42_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.30 1.94 ^ clkbuf_leaf_42_clock/X (sky130_fd_sc_hd__clkbuf_16)
5 0.02 clknet_leaf_42_clock (net)
0.06 0.00 1.94 ^ _10982_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.22 0.46 2.39 ^ _10982_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.02 pid.e_prev1[6] (net)
0.22 0.00 2.39 ^ _06338_/B (sky130_fd_sc_hd__xnor2_4)
0.10 0.13 2.52 v _06338_/Y (sky130_fd_sc_hd__xnor2_4)
3 0.02 _01315_ (net)
0.10 0.00 2.52 v _06339_/A (sky130_fd_sc_hd__or3b_1)
0.09 0.43 2.96 v _06339_/X (sky130_fd_sc_hd__or3b_1)
2 0.01 _01316_ (net)
0.09 0.00 2.96 v _06342_/C (sky130_fd_sc_hd__or3_1)
0.08 0.35 3.31 v _06342_/X (sky130_fd_sc_hd__or3_1)
1 0.01 _01319_ (net)
0.08 0.00 3.31 v _06343_/B1 (sky130_fd_sc_hd__a311o_1)
0.09 0.39 3.70 v _06343_/X (sky130_fd_sc_hd__a311o_1)
2 0.01 _01320_ (net)
0.09 0.00 3.70 v _06347_/A3 (sky130_fd_sc_hd__a31o_2)
0.08 0.33 4.03 v _06347_/X (sky130_fd_sc_hd__a31o_2)
3 0.02 _01324_ (net)
0.08 0.00 4.03 v _06362_/A1 (sky130_fd_sc_hd__o311ai_4)
0.33 0.40 4.43 ^ _06362_/Y (sky130_fd_sc_hd__o311ai_4)
3 0.02 _01339_ (net)
0.33 0.00 4.43 ^ _06363_/B2 (sky130_fd_sc_hd__a221o_1)
0.09 0.24 4.68 ^ _06363_/X (sky130_fd_sc_hd__a221o_1)
2 0.01 _01340_ (net)
0.09 0.00 4.68 ^ _06365_/A3 (sky130_fd_sc_hd__a31o_2)
0.15 0.26 4.93 ^ _06365_/X (sky130_fd_sc_hd__a31o_2)
2 0.02 _01342_ (net)
0.15 0.00 4.94 ^ _06380_/A (sky130_fd_sc_hd__xor2_2)
0.11 0.13 5.06 v _06380_/X (sky130_fd_sc_hd__xor2_2)
4 0.01 _01357_ (net)
0.11 0.00 5.06 v _06382_/A (sky130_fd_sc_hd__clkbuf_2)
0.08 0.18 5.25 v _06382_/X (sky130_fd_sc_hd__clkbuf_2)
5 0.02 _01359_ (net)
0.08 0.00 5.25 v _06398_/C (sky130_fd_sc_hd__or3_1)
0.09 0.36 5.61 v _06398_/X (sky130_fd_sc_hd__or3_1)
2 0.01 _01375_ (net)
0.09 0.00 5.61 v _06399_/B1 (sky130_fd_sc_hd__o21a_1)
0.10 0.19 5.79 v _06399_/X (sky130_fd_sc_hd__o21a_1)
5 0.02 _01376_ (net)
0.10 0.00 5.80 v _06599_/A1 (sky130_fd_sc_hd__a31o_1)
0.09 0.26 6.06 v _06599_/X (sky130_fd_sc_hd__a31o_1)
4 0.02 _01576_ (net)
0.09 0.00 6.06 v _06601_/B (sky130_fd_sc_hd__and3_1)
0.06 0.22 6.28 v _06601_/X (sky130_fd_sc_hd__and3_1)
2 0.01 _01578_ (net)
0.06 0.00 6.28 v _06603_/B (sky130_fd_sc_hd__or3_1)
0.11 0.43 6.70 v _06603_/X (sky130_fd_sc_hd__or3_1)
3 0.01 _01580_ (net)
0.11 0.00 6.70 v _06610_/C (sky130_fd_sc_hd__and3_1)
0.05 0.23 6.93 v _06610_/X (sky130_fd_sc_hd__and3_1)
2 0.01 _01587_ (net)
0.05 0.00 6.93 v _06633_/A (sky130_fd_sc_hd__or3b_1)
0.10 0.44 7.38 v _06633_/X (sky130_fd_sc_hd__or3b_1)
3 0.01 _01610_ (net)
0.10 0.00 7.38 v _06634_/B1_N (sky130_fd_sc_hd__a21boi_1)
0.11 0.19 7.56 v _06634_/Y (sky130_fd_sc_hd__a21boi_1)
2 0.01 _01611_ (net)
0.11 0.00 7.56 v _06638_/B (sky130_fd_sc_hd__xnor2_1)
0.26 0.27 7.84 ^ _06638_/Y (sky130_fd_sc_hd__xnor2_1)
2 0.01 _01615_ (net)
0.26 0.00 7.84 ^ _06641_/A (sky130_fd_sc_hd__xor2_1)
0.26 0.29 8.13 ^ _06641_/X (sky130_fd_sc_hd__xor2_1)
2 0.01 _01618_ (net)
0.26 0.00 8.13 ^ _06654_/A1 (sky130_fd_sc_hd__a21o_1)
0.10 0.21 8.33 ^ _06654_/X (sky130_fd_sc_hd__a21o_1)
2 0.01 _01631_ (net)
0.10 0.00 8.33 ^ _06656_/A2 (sky130_fd_sc_hd__a21o_1)
0.19 0.24 8.58 ^ _06656_/X (sky130_fd_sc_hd__a21o_1)
4 0.02 _01633_ (net)
0.19 0.00 8.58 ^ _06699_/A1 (sky130_fd_sc_hd__a21oi_1)
0.11 0.14 8.72 v _06699_/Y (sky130_fd_sc_hd__a21oi_1)
1 0.01 _01676_ (net)
0.11 0.00 8.72 v _06700_/B (sky130_fd_sc_hd__xnor2_2)
0.28 0.28 9.00 ^ _06700_/Y (sky130_fd_sc_hd__xnor2_2)
2 0.02 _01677_ (net)
0.28 0.00 9.00 ^ _06731_/B (sky130_fd_sc_hd__xor2_2)
0.11 0.16 9.16 v _06731_/X (sky130_fd_sc_hd__xor2_2)
2 0.01 _01708_ (net)
0.11 0.00 9.16 v _06733_/A (sky130_fd_sc_hd__xnor2_2)
0.31 0.34 9.49 ^ _06733_/Y (sky130_fd_sc_hd__xnor2_2)
2 0.02 _01710_ (net)
0.31 0.00 9.49 ^ _06735_/A2 (sky130_fd_sc_hd__a21o_1)
0.13 0.24 9.74 ^ _06735_/X (sky130_fd_sc_hd__a21o_1)
2 0.01 _01712_ (net)
0.13 0.00 9.74 ^ _06737_/A2 (sky130_fd_sc_hd__a21o_1)
0.19 0.25 9.99 ^ _06737_/X (sky130_fd_sc_hd__a21o_1)
2 0.02 _01714_ (net)
0.19 0.00 9.99 ^ _06929_/B (sky130_fd_sc_hd__xor2_4)
0.29 0.31 10.30 ^ _06929_/X (sky130_fd_sc_hd__xor2_4)
2 0.04 _01906_ (net)
0.29 0.00 10.31 ^ _06930_/B (sky130_fd_sc_hd__xor2_4)
0.25 0.29 10.60 ^ _06930_/X (sky130_fd_sc_hd__xor2_4)
2 0.03 _01907_ (net)
0.25 0.00 10.60 ^ _06935_/A (sky130_fd_sc_hd__xnor2_4)
0.22 0.19 10.80 ^ _06935_/Y (sky130_fd_sc_hd__xnor2_4)
2 0.02 _01912_ (net)
0.22 0.00 10.80 ^ _06942_/A (sky130_fd_sc_hd__xor2_4)
0.21 0.26 11.05 ^ _06942_/X (sky130_fd_sc_hd__xor2_4)
2 0.02 _01919_ (net)
0.21 0.00 11.05 ^ _06963_/A1 (sky130_fd_sc_hd__a21o_2)
0.12 0.24 11.29 ^ _06963_/X (sky130_fd_sc_hd__a21o_2)
2 0.02 _01940_ (net)
0.12 0.00 11.29 ^ _06965_/A2 (sky130_fd_sc_hd__a21o_1)
0.20 0.26 11.55 ^ _06965_/X (sky130_fd_sc_hd__a21o_1)
2 0.02 _01942_ (net)
0.20 0.00 11.55 ^ _06967_/A2 (sky130_fd_sc_hd__a21o_1)
0.19 0.27 11.82 ^ _06967_/X (sky130_fd_sc_hd__a21o_1)
2 0.02 _01944_ (net)
0.19 0.00 11.82 ^ _06968_/B (sky130_fd_sc_hd__xor2_4)
0.24 0.28 12.10 ^ _06968_/X (sky130_fd_sc_hd__xor2_4)
2 0.03 _01945_ (net)
0.24 0.00 12.10 ^ _07765_/A2 (sky130_fd_sc_hd__a21oi_1)
0.10 0.16 12.26 v _07765_/Y (sky130_fd_sc_hd__a21oi_1)
1 0.01 _02742_ (net)
0.10 0.00 12.26 v _07770_/A (sky130_fd_sc_hd__xnor2_1)
0.30 0.32 12.58 ^ _07770_/Y (sky130_fd_sc_hd__xnor2_1)
1 0.01 _02747_ (net)
0.30 0.00 12.58 ^ _07813_/A (sky130_fd_sc_hd__xnor2_2)
0.28 0.22 12.80 ^ _07813_/Y (sky130_fd_sc_hd__xnor2_2)
3 0.02 _02790_ (net)
0.28 0.00 12.80 ^ _07863_/B (sky130_fd_sc_hd__nor3_1)
0.08 0.11 12.92 v _07863_/Y (sky130_fd_sc_hd__nor3_1)
1 0.01 _02840_ (net)
0.08 0.00 12.92 v _07864_/B1 (sky130_fd_sc_hd__a311o_4)
0.12 0.50 13.42 v _07864_/X (sky130_fd_sc_hd__a311o_4)
6 0.05 _02841_ (net)
0.12 0.01 13.42 v _07891_/A (sky130_fd_sc_hd__clkbuf_2)
0.10 0.21 13.63 v _07891_/X (sky130_fd_sc_hd__clkbuf_2)
5 0.02 _02863_ (net)
0.10 0.00 13.63 v _07904_/A (sky130_fd_sc_hd__nor2_2)
0.26 0.27 13.90 ^ _07904_/Y (sky130_fd_sc_hd__nor2_2)
2 0.02 _02874_ (net)
0.26 0.00 13.90 ^ _07906_/B1 (sky130_fd_sc_hd__o221a_1)
0.06 0.25 14.15 ^ _07906_/X (sky130_fd_sc_hd__o221a_1)
1 0.00 _00083_ (net)
0.06 0.00 14.15 ^ _10701_/D (sky130_fd_sc_hd__dfxtp_1)
14.15 data arrival time
20.00 20.00 clock clock (rise edge)
0.00 20.00 clock source latency
0.30 0.20 20.20 ^ clock (in)
2 0.07 clock (net)
0.30 0.00 20.20 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 20.40 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 clknet_0_clock (net)
0.05 0.00 20.40 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.21 0.21 20.61 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_1_1_0_clock (net)
0.21 0.00 20.61 ^ clkbuf_2_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.14 0.21 20.83 ^ clkbuf_2_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_2_2_0_clock (net)
0.14 0.00 20.83 ^ clkbuf_3_4_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.92 0.70 21.53 ^ clkbuf_3_4_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
22 0.17 clknet_3_4_0_clock (net)
0.92 0.01 21.54 ^ clkbuf_leaf_8_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.30 21.83 ^ clkbuf_leaf_8_clock/X (sky130_fd_sc_hd__clkbuf_16)
11 0.04 clknet_leaf_8_clock (net)
0.08 0.00 21.83 ^ _10701_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 21.58 clock uncertainty
0.04 21.62 clock reconvergence pessimism
-0.05 21.57 library setup time
21.57 data required time
-----------------------------------------------------------------------------
21.57 data required time
-14.15 data arrival time
-----------------------------------------------------------------------------
7.42 slack (MET)
Startpoint: _10982_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _10703_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.30 0.22 0.22 ^ clock (in)
2 0.07 clock (net)
0.30 0.00 0.22 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 0.44 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 clknet_0_clock (net)
0.05 0.00 0.44 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.21 0.23 0.68 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_1_0_0_clock (net)
0.21 0.00 0.68 ^ clkbuf_2_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.25 0.93 ^ clkbuf_2_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_2_1_0_clock (net)
0.16 0.00 0.93 ^ clkbuf_3_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.81 0.71 1.64 ^ clkbuf_3_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
20 0.15 clknet_3_3_0_clock (net)
0.81 0.00 1.64 ^ clkbuf_leaf_42_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.30 1.94 ^ clkbuf_leaf_42_clock/X (sky130_fd_sc_hd__clkbuf_16)
5 0.02 clknet_leaf_42_clock (net)
0.06 0.00 1.94 ^ _10982_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.22 0.46 2.39 ^ _10982_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.02 pid.e_prev1[6] (net)
0.22 0.00 2.39 ^ _06338_/B (sky130_fd_sc_hd__xnor2_4)
0.10 0.13 2.52 v _06338_/Y (sky130_fd_sc_hd__xnor2_4)
3 0.02 _01315_ (net)
0.10 0.00 2.52 v _06339_/A (sky130_fd_sc_hd__or3b_1)
0.09 0.43 2.96 v _06339_/X (sky130_fd_sc_hd__or3b_1)
2 0.01 _01316_ (net)
0.09 0.00 2.96 v _06342_/C (sky130_fd_sc_hd__or3_1)
0.08 0.35 3.31 v _06342_/X (sky130_fd_sc_hd__or3_1)
1 0.01 _01319_ (net)
0.08 0.00 3.31 v _06343_/B1 (sky130_fd_sc_hd__a311o_1)
0.09 0.39 3.70 v _06343_/X (sky130_fd_sc_hd__a311o_1)
2 0.01 _01320_ (net)
0.09 0.00 3.70 v _06347_/A3 (sky130_fd_sc_hd__a31o_2)
0.08 0.33 4.03 v _06347_/X (sky130_fd_sc_hd__a31o_2)
3 0.02 _01324_ (net)
0.08 0.00 4.03 v _06362_/A1 (sky130_fd_sc_hd__o311ai_4)
0.33 0.40 4.43 ^ _06362_/Y (sky130_fd_sc_hd__o311ai_4)
3 0.02 _01339_ (net)
0.33 0.00 4.43 ^ _06363_/B2 (sky130_fd_sc_hd__a221o_1)
0.09 0.24 4.68 ^ _06363_/X (sky130_fd_sc_hd__a221o_1)
2 0.01 _01340_ (net)
0.09 0.00 4.68 ^ _06365_/A3 (sky130_fd_sc_hd__a31o_2)
0.15 0.26 4.93 ^ _06365_/X (sky130_fd_sc_hd__a31o_2)
2 0.02 _01342_ (net)
0.15 0.00 4.94 ^ _06380_/A (sky130_fd_sc_hd__xor2_2)
0.11 0.13 5.06 v _06380_/X (sky130_fd_sc_hd__xor2_2)
4 0.01 _01357_ (net)
0.11 0.00 5.06 v _06382_/A (sky130_fd_sc_hd__clkbuf_2)
0.08 0.18 5.25 v _06382_/X (sky130_fd_sc_hd__clkbuf_2)
5 0.02 _01359_ (net)
0.08 0.00 5.25 v _06398_/C (sky130_fd_sc_hd__or3_1)
0.09 0.36 5.61 v _06398_/X (sky130_fd_sc_hd__or3_1)
2 0.01 _01375_ (net)
0.09 0.00 5.61 v _06399_/B1 (sky130_fd_sc_hd__o21a_1)
0.10 0.19 5.79 v _06399_/X (sky130_fd_sc_hd__o21a_1)
5 0.02 _01376_ (net)
0.10 0.00 5.80 v _06599_/A1 (sky130_fd_sc_hd__a31o_1)
0.09 0.26 6.06 v _06599_/X (sky130_fd_sc_hd__a31o_1)
4 0.02 _01576_ (net)
0.09 0.00 6.06 v _06601_/B (sky130_fd_sc_hd__and3_1)
0.06 0.22 6.28 v _06601_/X (sky130_fd_sc_hd__and3_1)
2 0.01 _01578_ (net)
0.06 0.00 6.28 v _06603_/B (sky130_fd_sc_hd__or3_1)
0.11 0.43 6.70 v _06603_/X (sky130_fd_sc_hd__or3_1)
3 0.01 _01580_ (net)
0.11 0.00 6.70 v _06610_/C (sky130_fd_sc_hd__and3_1)
0.05 0.23 6.93 v _06610_/X (sky130_fd_sc_hd__and3_1)
2 0.01 _01587_ (net)
0.05 0.00 6.93 v _06633_/A (sky130_fd_sc_hd__or3b_1)
0.10 0.44 7.38 v _06633_/X (sky130_fd_sc_hd__or3b_1)
3 0.01 _01610_ (net)
0.10 0.00 7.38 v _06634_/B1_N (sky130_fd_sc_hd__a21boi_1)
0.11 0.19 7.56 v _06634_/Y (sky130_fd_sc_hd__a21boi_1)
2 0.01 _01611_ (net)
0.11 0.00 7.56 v _06638_/B (sky130_fd_sc_hd__xnor2_1)
0.26 0.27 7.84 ^ _06638_/Y (sky130_fd_sc_hd__xnor2_1)
2 0.01 _01615_ (net)
0.26 0.00 7.84 ^ _06641_/A (sky130_fd_sc_hd__xor2_1)
0.26 0.29 8.13 ^ _06641_/X (sky130_fd_sc_hd__xor2_1)
2 0.01 _01618_ (net)
0.26 0.00 8.13 ^ _06654_/A1 (sky130_fd_sc_hd__a21o_1)
0.10 0.21 8.33 ^ _06654_/X (sky130_fd_sc_hd__a21o_1)
2 0.01 _01631_ (net)
0.10 0.00 8.33 ^ _06656_/A2 (sky130_fd_sc_hd__a21o_1)
0.19 0.24 8.58 ^ _06656_/X (sky130_fd_sc_hd__a21o_1)
4 0.02 _01633_ (net)
0.19 0.00 8.58 ^ _06699_/A1 (sky130_fd_sc_hd__a21oi_1)
0.11 0.14 8.72 v _06699_/Y (sky130_fd_sc_hd__a21oi_1)
1 0.01 _01676_ (net)
0.11 0.00 8.72 v _06700_/B (sky130_fd_sc_hd__xnor2_2)
0.28 0.28 9.00 ^ _06700_/Y (sky130_fd_sc_hd__xnor2_2)
2 0.02 _01677_ (net)
0.28 0.00 9.00 ^ _06731_/B (sky130_fd_sc_hd__xor2_2)
0.11 0.16 9.16 v _06731_/X (sky130_fd_sc_hd__xor2_2)
2 0.01 _01708_ (net)
0.11 0.00 9.16 v _06733_/A (sky130_fd_sc_hd__xnor2_2)
0.31 0.34 9.49 ^ _06733_/Y (sky130_fd_sc_hd__xnor2_2)
2 0.02 _01710_ (net)
0.31 0.00 9.49 ^ _06735_/A2 (sky130_fd_sc_hd__a21o_1)
0.13 0.24 9.74 ^ _06735_/X (sky130_fd_sc_hd__a21o_1)
2 0.01 _01712_ (net)
0.13 0.00 9.74 ^ _06737_/A2 (sky130_fd_sc_hd__a21o_1)
0.19 0.25 9.99 ^ _06737_/X (sky130_fd_sc_hd__a21o_1)
2 0.02 _01714_ (net)
0.19 0.00 9.99 ^ _06929_/B (sky130_fd_sc_hd__xor2_4)
0.29 0.31 10.30 ^ _06929_/X (sky130_fd_sc_hd__xor2_4)
2 0.04 _01906_ (net)
0.29 0.00 10.31 ^ _06930_/B (sky130_fd_sc_hd__xor2_4)
0.25 0.29 10.60 ^ _06930_/X (sky130_fd_sc_hd__xor2_4)
2 0.03 _01907_ (net)
0.25 0.00 10.60 ^ _06935_/A (sky130_fd_sc_hd__xnor2_4)
0.22 0.19 10.80 ^ _06935_/Y (sky130_fd_sc_hd__xnor2_4)
2 0.02 _01912_ (net)
0.22 0.00 10.80 ^ _06942_/A (sky130_fd_sc_hd__xor2_4)
0.21 0.26 11.05 ^ _06942_/X (sky130_fd_sc_hd__xor2_4)
2 0.02 _01919_ (net)
0.21 0.00 11.05 ^ _06963_/A1 (sky130_fd_sc_hd__a21o_2)
0.12 0.24 11.29 ^ _06963_/X (sky130_fd_sc_hd__a21o_2)
2 0.02 _01940_ (net)
0.12 0.00 11.29 ^ _06965_/A2 (sky130_fd_sc_hd__a21o_1)
0.20 0.26 11.55 ^ _06965_/X (sky130_fd_sc_hd__a21o_1)
2 0.02 _01942_ (net)
0.20 0.00 11.55 ^ _06967_/A2 (sky130_fd_sc_hd__a21o_1)
0.19 0.27 11.82 ^ _06967_/X (sky130_fd_sc_hd__a21o_1)
2 0.02 _01944_ (net)
0.19 0.00 11.82 ^ _06968_/B (sky130_fd_sc_hd__xor2_4)
0.24 0.28 12.10 ^ _06968_/X (sky130_fd_sc_hd__xor2_4)
2 0.03 _01945_ (net)
0.24 0.00 12.10 ^ _07765_/A2 (sky130_fd_sc_hd__a21oi_1)
0.10 0.16 12.26 v _07765_/Y (sky130_fd_sc_hd__a21oi_1)
1 0.01 _02742_ (net)
0.10 0.00 12.26 v _07770_/A (sky130_fd_sc_hd__xnor2_1)
0.30 0.32 12.58 ^ _07770_/Y (sky130_fd_sc_hd__xnor2_1)
1 0.01 _02747_ (net)
0.30 0.00 12.58 ^ _07813_/A (sky130_fd_sc_hd__xnor2_2)
0.28 0.22 12.80 ^ _07813_/Y (sky130_fd_sc_hd__xnor2_2)
3 0.02 _02790_ (net)
0.28 0.00 12.80 ^ _07863_/B (sky130_fd_sc_hd__nor3_1)
0.08 0.11 12.92 v _07863_/Y (sky130_fd_sc_hd__nor3_1)
1 0.01 _02840_ (net)
0.08 0.00 12.92 v _07864_/B1 (sky130_fd_sc_hd__a311o_4)
0.12 0.50 13.42 v _07864_/X (sky130_fd_sc_hd__a311o_4)
6 0.05 _02841_ (net)
0.12 0.01 13.42 v _07891_/A (sky130_fd_sc_hd__clkbuf_2)
0.10 0.21 13.63 v _07891_/X (sky130_fd_sc_hd__clkbuf_2)
5 0.02 _02863_ (net)
0.10 0.00 13.63 v _07912_/A (sky130_fd_sc_hd__nor2_2)
0.25 0.27 13.90 ^ _07912_/Y (sky130_fd_sc_hd__nor2_2)
2 0.02 _02880_ (net)
0.25 0.00 13.90 ^ _07915_/B1 (sky130_fd_sc_hd__o221a_1)
0.06 0.24 14.15 ^ _07915_/X (sky130_fd_sc_hd__o221a_1)
1 0.00 _00085_ (net)
0.06 0.00 14.15 ^ _10703_/D (sky130_fd_sc_hd__dfxtp_1)
14.15 data arrival time
20.00 20.00 clock clock (rise edge)
0.00 20.00 clock source latency
0.30 0.20 20.20 ^ clock (in)
2 0.07 clock (net)
0.30 0.00 20.20 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 20.40 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 clknet_0_clock (net)
0.05 0.00 20.40 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.21 0.21 20.61 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_1_1_0_clock (net)
0.21 0.00 20.61 ^ clkbuf_2_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.14 0.21 20.83 ^ clkbuf_2_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_2_2_0_clock (net)
0.14 0.00 20.83 ^ clkbuf_3_4_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.92 0.70 21.53 ^ clkbuf_3_4_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
22 0.17 clknet_3_4_0_clock (net)
0.92 0.01 21.54 ^ clkbuf_leaf_8_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.30 21.83 ^ clkbuf_leaf_8_clock/X (sky130_fd_sc_hd__clkbuf_16)
11 0.04 clknet_leaf_8_clock (net)
0.08 0.00 21.83 ^ _10703_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 21.58 clock uncertainty
0.04 21.62 clock reconvergence pessimism
-0.05 21.57 library setup time
21.57 data required time
-----------------------------------------------------------------------------
21.57 data required time
-14.15 data arrival time
-----------------------------------------------------------------------------
7.43 slack (MET)
max_report_end
check_report
===========================================================================
report_checks -unconstrained
============================================================================
Startpoint: _10982_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _10707_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.30 0.22 0.22 ^ clock (in)
2 0.07 clock (net)
0.30 0.00 0.22 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 0.44 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 clknet_0_clock (net)
0.05 0.00 0.44 ^ clkbuf_1_0_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.21 0.23 0.68 ^ clkbuf_1_0_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_1_0_0_clock (net)
0.21 0.00 0.68 ^ clkbuf_2_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.16 0.25 0.93 ^ clkbuf_2_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_2_1_0_clock (net)
0.16 0.00 0.93 ^ clkbuf_3_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.81 0.71 1.64 ^ clkbuf_3_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
20 0.15 clknet_3_3_0_clock (net)
0.81 0.00 1.64 ^ clkbuf_leaf_42_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.30 1.94 ^ clkbuf_leaf_42_clock/X (sky130_fd_sc_hd__clkbuf_16)
5 0.02 clknet_leaf_42_clock (net)
0.06 0.00 1.94 ^ _10982_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.22 0.46 2.39 ^ _10982_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.02 pid.e_prev1[6] (net)
0.22 0.00 2.39 ^ _06338_/B (sky130_fd_sc_hd__xnor2_4)
0.10 0.13 2.52 v _06338_/Y (sky130_fd_sc_hd__xnor2_4)
3 0.02 _01315_ (net)
0.10 0.00 2.52 v _06339_/A (sky130_fd_sc_hd__or3b_1)
0.09 0.43 2.96 v _06339_/X (sky130_fd_sc_hd__or3b_1)
2 0.01 _01316_ (net)
0.09 0.00 2.96 v _06342_/C (sky130_fd_sc_hd__or3_1)
0.08 0.35 3.31 v _06342_/X (sky130_fd_sc_hd__or3_1)
1 0.01 _01319_ (net)
0.08 0.00 3.31 v _06343_/B1 (sky130_fd_sc_hd__a311o_1)
0.09 0.39 3.70 v _06343_/X (sky130_fd_sc_hd__a311o_1)
2 0.01 _01320_ (net)
0.09 0.00 3.70 v _06347_/A3 (sky130_fd_sc_hd__a31o_2)
0.08 0.33 4.03 v _06347_/X (sky130_fd_sc_hd__a31o_2)
3 0.02 _01324_ (net)
0.08 0.00 4.03 v _06362_/A1 (sky130_fd_sc_hd__o311ai_4)
0.33 0.40 4.43 ^ _06362_/Y (sky130_fd_sc_hd__o311ai_4)
3 0.02 _01339_ (net)
0.33 0.00 4.43 ^ _06363_/B2 (sky130_fd_sc_hd__a221o_1)
0.09 0.24 4.68 ^ _06363_/X (sky130_fd_sc_hd__a221o_1)
2 0.01 _01340_ (net)
0.09 0.00 4.68 ^ _06365_/A3 (sky130_fd_sc_hd__a31o_2)
0.15 0.26 4.93 ^ _06365_/X (sky130_fd_sc_hd__a31o_2)
2 0.02 _01342_ (net)
0.15 0.00 4.94 ^ _06380_/A (sky130_fd_sc_hd__xor2_2)
0.11 0.13 5.06 v _06380_/X (sky130_fd_sc_hd__xor2_2)
4 0.01 _01357_ (net)
0.11 0.00 5.06 v _06382_/A (sky130_fd_sc_hd__clkbuf_2)
0.08 0.18 5.25 v _06382_/X (sky130_fd_sc_hd__clkbuf_2)
5 0.02 _01359_ (net)
0.08 0.00 5.25 v _06398_/C (sky130_fd_sc_hd__or3_1)
0.09 0.36 5.61 v _06398_/X (sky130_fd_sc_hd__or3_1)
2 0.01 _01375_ (net)
0.09 0.00 5.61 v _06399_/B1 (sky130_fd_sc_hd__o21a_1)
0.10 0.19 5.79 v _06399_/X (sky130_fd_sc_hd__o21a_1)
5 0.02 _01376_ (net)
0.10 0.00 5.80 v _06599_/A1 (sky130_fd_sc_hd__a31o_1)
0.09 0.26 6.06 v _06599_/X (sky130_fd_sc_hd__a31o_1)
4 0.02 _01576_ (net)
0.09 0.00 6.06 v _06601_/B (sky130_fd_sc_hd__and3_1)
0.06 0.22 6.28 v _06601_/X (sky130_fd_sc_hd__and3_1)
2 0.01 _01578_ (net)
0.06 0.00 6.28 v _06603_/B (sky130_fd_sc_hd__or3_1)
0.11 0.43 6.70 v _06603_/X (sky130_fd_sc_hd__or3_1)
3 0.01 _01580_ (net)
0.11 0.00 6.70 v _06610_/C (sky130_fd_sc_hd__and3_1)
0.05 0.23 6.93 v _06610_/X (sky130_fd_sc_hd__and3_1)
2 0.01 _01587_ (net)
0.05 0.00 6.93 v _06633_/A (sky130_fd_sc_hd__or3b_1)
0.10 0.44 7.38 v _06633_/X (sky130_fd_sc_hd__or3b_1)
3 0.01 _01610_ (net)
0.10 0.00 7.38 v _06634_/B1_N (sky130_fd_sc_hd__a21boi_1)
0.11 0.19 7.56 v _06634_/Y (sky130_fd_sc_hd__a21boi_1)
2 0.01 _01611_ (net)
0.11 0.00 7.56 v _06638_/B (sky130_fd_sc_hd__xnor2_1)
0.26 0.27 7.84 ^ _06638_/Y (sky130_fd_sc_hd__xnor2_1)
2 0.01 _01615_ (net)
0.26 0.00 7.84 ^ _06641_/A (sky130_fd_sc_hd__xor2_1)
0.26 0.29 8.13 ^ _06641_/X (sky130_fd_sc_hd__xor2_1)
2 0.01 _01618_ (net)
0.26 0.00 8.13 ^ _06654_/A1 (sky130_fd_sc_hd__a21o_1)
0.10 0.21 8.33 ^ _06654_/X (sky130_fd_sc_hd__a21o_1)
2 0.01 _01631_ (net)
0.10 0.00 8.33 ^ _06656_/A2 (sky130_fd_sc_hd__a21o_1)
0.19 0.24 8.58 ^ _06656_/X (sky130_fd_sc_hd__a21o_1)
4 0.02 _01633_ (net)
0.19 0.00 8.58 ^ _06699_/A1 (sky130_fd_sc_hd__a21oi_1)
0.11 0.14 8.72 v _06699_/Y (sky130_fd_sc_hd__a21oi_1)
1 0.01 _01676_ (net)
0.11 0.00 8.72 v _06700_/B (sky130_fd_sc_hd__xnor2_2)
0.28 0.28 9.00 ^ _06700_/Y (sky130_fd_sc_hd__xnor2_2)
2 0.02 _01677_ (net)
0.28 0.00 9.00 ^ _06731_/B (sky130_fd_sc_hd__xor2_2)
0.11 0.16 9.16 v _06731_/X (sky130_fd_sc_hd__xor2_2)
2 0.01 _01708_ (net)
0.11 0.00 9.16 v _06733_/A (sky130_fd_sc_hd__xnor2_2)
0.31 0.34 9.49 ^ _06733_/Y (sky130_fd_sc_hd__xnor2_2)
2 0.02 _01710_ (net)
0.31 0.00 9.49 ^ _06735_/A2 (sky130_fd_sc_hd__a21o_1)
0.13 0.24 9.74 ^ _06735_/X (sky130_fd_sc_hd__a21o_1)
2 0.01 _01712_ (net)
0.13 0.00 9.74 ^ _06737_/A2 (sky130_fd_sc_hd__a21o_1)
0.19 0.25 9.99 ^ _06737_/X (sky130_fd_sc_hd__a21o_1)
2 0.02 _01714_ (net)
0.19 0.00 9.99 ^ _06929_/B (sky130_fd_sc_hd__xor2_4)
0.29 0.31 10.30 ^ _06929_/X (sky130_fd_sc_hd__xor2_4)
2 0.04 _01906_ (net)
0.29 0.00 10.31 ^ _06930_/B (sky130_fd_sc_hd__xor2_4)
0.25 0.29 10.60 ^ _06930_/X (sky130_fd_sc_hd__xor2_4)
2 0.03 _01907_ (net)
0.25 0.00 10.60 ^ _06935_/A (sky130_fd_sc_hd__xnor2_4)
0.22 0.19 10.80 ^ _06935_/Y (sky130_fd_sc_hd__xnor2_4)
2 0.02 _01912_ (net)
0.22 0.00 10.80 ^ _06942_/A (sky130_fd_sc_hd__xor2_4)
0.21 0.26 11.05 ^ _06942_/X (sky130_fd_sc_hd__xor2_4)
2 0.02 _01919_ (net)
0.21 0.00 11.05 ^ _06963_/A1 (sky130_fd_sc_hd__a21o_2)
0.12 0.24 11.29 ^ _06963_/X (sky130_fd_sc_hd__a21o_2)
2 0.02 _01940_ (net)
0.12 0.00 11.29 ^ _06965_/A2 (sky130_fd_sc_hd__a21o_1)
0.20 0.26 11.55 ^ _06965_/X (sky130_fd_sc_hd__a21o_1)
2 0.02 _01942_ (net)
0.20 0.00 11.55 ^ _06967_/A2 (sky130_fd_sc_hd__a21o_1)
0.19 0.27 11.82 ^ _06967_/X (sky130_fd_sc_hd__a21o_1)
2 0.02 _01944_ (net)
0.19 0.00 11.82 ^ _06968_/B (sky130_fd_sc_hd__xor2_4)
0.24 0.28 12.10 ^ _06968_/X (sky130_fd_sc_hd__xor2_4)
2 0.03 _01945_ (net)
0.24 0.00 12.10 ^ _07765_/A2 (sky130_fd_sc_hd__a21oi_1)
0.10 0.16 12.26 v _07765_/Y (sky130_fd_sc_hd__a21oi_1)
1 0.01 _02742_ (net)
0.10 0.00 12.26 v _07770_/A (sky130_fd_sc_hd__xnor2_1)
0.30 0.32 12.58 ^ _07770_/Y (sky130_fd_sc_hd__xnor2_1)
1 0.01 _02747_ (net)
0.30 0.00 12.58 ^ _07813_/A (sky130_fd_sc_hd__xnor2_2)
0.28 0.22 12.80 ^ _07813_/Y (sky130_fd_sc_hd__xnor2_2)
3 0.02 _02790_ (net)
0.28 0.00 12.80 ^ _07863_/B (sky130_fd_sc_hd__nor3_1)
0.08 0.11 12.92 v _07863_/Y (sky130_fd_sc_hd__nor3_1)
1 0.01 _02840_ (net)
0.08 0.00 12.92 v _07864_/B1 (sky130_fd_sc_hd__a311o_4)
0.12 0.50 13.42 v _07864_/X (sky130_fd_sc_hd__a311o_4)
6 0.05 _02841_ (net)
0.12 0.01 13.42 v _07917_/A (sky130_fd_sc_hd__clkbuf_2)
0.10 0.21 13.63 v _07917_/X (sky130_fd_sc_hd__clkbuf_2)
5 0.03 _02884_ (net)
0.10 0.00 13.63 v _07935_/A (sky130_fd_sc_hd__nor2_2)
0.25 0.27 13.90 ^ _07935_/Y (sky130_fd_sc_hd__nor2_2)
2 0.02 _02899_ (net)
0.25 0.00 13.90 ^ _07937_/B1 (sky130_fd_sc_hd__o221a_1)
0.06 0.24 14.14 ^ _07937_/X (sky130_fd_sc_hd__o221a_1)
1 0.00 _00089_ (net)
0.06 0.00 14.14 ^ _10707_/D (sky130_fd_sc_hd__dfxtp_1)
14.14 data arrival time
20.00 20.00 clock clock (rise edge)
0.00 20.00 clock source latency
0.30 0.20 20.20 ^ clock (in)
2 0.07 clock (net)
0.30 0.00 20.20 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 20.40 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.02 clknet_0_clock (net)
0.05 0.00 20.40 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.21 0.21 20.61 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_1_1_0_clock (net)
0.21 0.00 20.61 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.14 0.21 20.82 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_2_3_0_clock (net)
0.14 0.00 20.83 ^ clkbuf_3_6_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.65 0.53 21.35 ^ clkbuf_3_6_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
16 0.12 clknet_3_6_0_clock (net)
0.65 0.00 21.36 ^ clkbuf_leaf_10_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.27 21.63 ^ clkbuf_leaf_10_clock/X (sky130_fd_sc_hd__clkbuf_16)
10 0.04 clknet_leaf_10_clock (net)
0.07 0.00 21.63 ^ _10707_/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.25 21.38 clock uncertainty
0.04 21.42 clock reconvergence pessimism
-0.05 21.36 library setup time
21.36 data required time
-----------------------------------------------------------------------------
21.36 data required time
-14.14 data arrival time
-----------------------------------------------------------------------------
7.22 slack (MET)
===========================================================================
report_checks --slack_max -0.01
============================================================================
No paths found.
check_report_end
check_slew
===========================================================================
report_check_types -max_slew -max_cap -max_fanout -violators
============================================================================
===========================================================================
max slew violation count 0
max fanout violation count 0
max cap violation count 0
============================================================================
check_slew_end
tns_report
===========================================================================
report_tns
============================================================================
tns 0.00
tns_report_end
wns_report
===========================================================================
report_wns
============================================================================
wns 0.00
wns_report_end
worst_slack
===========================================================================
report_worst_slack -max (Setup)
============================================================================
worst slack 7.22
===========================================================================
report_worst_slack -min (Hold)
============================================================================
worst slack 0.08
worst_slack_end
clock_skew
===========================================================================
report_clock_skew
============================================================================
Clock clock
Latency CRPR Skew
_10911_/CLK ^
2.05
_10906_/CLK ^
1.32 -0.04 0.68
clock_skew_end
power_report
===========================================================================
report_power
============================================================================
Group Internal Switching Leakage Total
Power Power Power Power
----------------------------------------------------------------
Sequential 9.59e-04 7.86e-05 3.78e-09 1.04e-03 17.2%
Combinational 2.26e-03 2.72e-03 6.65e-08 4.98e-03 82.8%
Macro 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
Pad 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
----------------------------------------------------------------
Total 3.22e-03 2.80e-03 7.03e-08 6.02e-03 100.0%
53.5% 46.5% 0.0%
power_report_end
area_report
===========================================================================
report_design_area
============================================================================
Design area 216906 u^2 93% utilization.
area_report_end