blob: f337a43593214e1cd4b27e8ffb030c1dff6dc7b0 [file] [log] [blame]
===========================================================================
report_checks -path_delay min (Hold)
============================================================================
Startpoint: _19137_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _19136_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.15 0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (ideal)
0.15 0.00 0.00 ^ _19137_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.02 0.32 0.32 v _19137_/Q (sky130_fd_sc_hd__dfxtp_2)
1 0.00 dpath.csr.br_taken (net)
0.02 0.00 0.32 v _19136_/D (sky130_fd_sc_hd__dfxtp_2)
0.32 data arrival time
0.15 0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (ideal)
0.25 0.25 clock uncertainty
0.00 0.25 clock reconvergence pessimism
0.25 ^ _19136_/CLK (sky130_fd_sc_hd__dfxtp_2)
-0.01 0.24 library hold time
0.24 data required time
-----------------------------------------------------------------------------
0.24 data required time
-0.32 data arrival time
-----------------------------------------------------------------------------
0.09 slack (MET)
Startpoint: _19723_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _19723_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.15 0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (ideal)
0.15 0.00 0.00 ^ _19723_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.04 0.34 0.34 v _19723_/Q (sky130_fd_sc_hd__dfxtp_2)
4 0.01 dpath._T_249[16] (net)
0.04 0.01 0.34 v _10491_/A (sky130_fd_sc_hd__inv_2)
0.04 0.05 0.39 ^ _10491_/Y (sky130_fd_sc_hd__inv_2)
2 0.01 _04933_ (net)
0.04 0.01 0.40 ^ _17763_/A1 (sky130_fd_sc_hd__a21oi_2)
0.02 0.04 0.44 v _17763_/Y (sky130_fd_sc_hd__a21oi_2)
1 0.00 _01480_ (net)
0.02 0.00 0.44 v _19723_/D (sky130_fd_sc_hd__dfxtp_2)
0.44 data arrival time
0.15 0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (ideal)
0.25 0.25 clock uncertainty
0.00 0.25 clock reconvergence pessimism
0.25 ^ _19723_/CLK (sky130_fd_sc_hd__dfxtp_2)
-0.01 0.24 library hold time
0.24 data required time
-----------------------------------------------------------------------------
0.24 data required time
-0.44 data arrival time
-----------------------------------------------------------------------------
0.20 slack (MET)
Startpoint: _19716_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _19716_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.15 0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (ideal)
0.15 0.00 0.00 ^ _19716_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.04 0.34 0.34 v _19716_/Q (sky130_fd_sc_hd__dfxtp_2)
4 0.01 dpath._T_249[9] (net)
0.04 0.01 0.35 v _10795_/A (sky130_fd_sc_hd__inv_2)
0.04 0.05 0.40 ^ _10795_/Y (sky130_fd_sc_hd__inv_2)
2 0.01 _05237_ (net)
0.04 0.01 0.40 ^ _17651_/A1 (sky130_fd_sc_hd__a21oi_2)
0.02 0.04 0.44 v _17651_/Y (sky130_fd_sc_hd__a21oi_2)
1 0.00 _01473_ (net)
0.02 0.00 0.44 v _19716_/D (sky130_fd_sc_hd__dfxtp_2)
0.44 data arrival time
0.15 0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (ideal)
0.25 0.25 clock uncertainty
0.00 0.25 clock reconvergence pessimism
0.25 ^ _19716_/CLK (sky130_fd_sc_hd__dfxtp_2)
-0.01 0.24 library hold time
0.24 data required time
-----------------------------------------------------------------------------
0.24 data required time
-0.44 data arrival time
-----------------------------------------------------------------------------
0.20 slack (MET)
Startpoint: _19720_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _19720_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.15 0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (ideal)
0.15 0.00 0.00 ^ _19720_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.04 0.34 0.34 v _19720_/Q (sky130_fd_sc_hd__dfxtp_2)
4 0.01 dpath._T_249[13] (net)
0.04 0.01 0.35 v _11339_/A (sky130_fd_sc_hd__inv_2)
0.04 0.05 0.40 ^ _11339_/Y (sky130_fd_sc_hd__inv_2)
2 0.01 _05781_ (net)
0.04 0.01 0.40 ^ _17720_/A1 (sky130_fd_sc_hd__a21oi_2)
0.02 0.04 0.44 v _17720_/Y (sky130_fd_sc_hd__a21oi_2)
1 0.00 _01477_ (net)
0.02 0.00 0.44 v _19720_/D (sky130_fd_sc_hd__dfxtp_2)
0.44 data arrival time
0.15 0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (ideal)
0.25 0.25 clock uncertainty
0.00 0.25 clock reconvergence pessimism
0.25 ^ _19720_/CLK (sky130_fd_sc_hd__dfxtp_2)
-0.01 0.24 library hold time
0.24 data required time
-----------------------------------------------------------------------------
0.24 data required time
-0.44 data arrival time
-----------------------------------------------------------------------------
0.20 slack (MET)
Startpoint: _19722_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _19722_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.15 0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (ideal)
0.15 0.00 0.00 ^ _19722_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.04 0.34 0.34 v _19722_/Q (sky130_fd_sc_hd__dfxtp_2)
4 0.01 dpath._T_249[15] (net)
0.04 0.01 0.35 v _10539_/A (sky130_fd_sc_hd__inv_2)
0.04 0.05 0.40 ^ _10539_/Y (sky130_fd_sc_hd__inv_2)
2 0.01 _04981_ (net)
0.04 0.01 0.40 ^ _17751_/A1 (sky130_fd_sc_hd__a21oi_2)
0.02 0.04 0.44 v _17751_/Y (sky130_fd_sc_hd__a21oi_2)
1 0.00 _01479_ (net)
0.02 0.00 0.44 v _19722_/D (sky130_fd_sc_hd__dfxtp_2)
0.44 data arrival time
0.15 0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (ideal)
0.25 0.25 clock uncertainty
0.00 0.25 clock reconvergence pessimism
0.25 ^ _19722_/CLK (sky130_fd_sc_hd__dfxtp_2)
-0.01 0.24 library hold time
0.24 data required time
-----------------------------------------------------------------------------
0.24 data required time
-0.44 data arrival time
-----------------------------------------------------------------------------
0.20 slack (MET)