blob: 93b0cce144e8061cc96200554be4db308c37b976 [file] [log] [blame]
===========================================================================
report_checks -path_delay min (Hold)
============================================================================
Startpoint: _19712_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _19143_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.27 0.18 0.18 ^ clock (in)
2 0.06 clock (net)
0.27 0.00 0.18 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.20 0.38 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.06 0.00 0.38 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.11 0.49 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.05 0.00 0.49 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.14 0.16 0.65 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_1_1_1_clock (net)
0.14 0.00 0.65 ^ clkbuf_2_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.23 0.25 0.90 ^ clkbuf_2_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_2_2_0_clock (net)
0.23 0.00 0.91 ^ clkbuf_3_5_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.22 1.13 ^ clkbuf_3_5_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_3_5_0_clock (net)
0.15 0.00 1.13 ^ clkbuf_4_10_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.57 0.47 1.60 ^ clkbuf_4_10_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
16 0.11 clknet_4_10_0_clock (net)
0.57 0.00 1.60 ^ clkbuf_leaf_144_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.23 1.84 ^ clkbuf_leaf_144_clock/X (sky130_fd_sc_hd__clkbuf_16)
5 0.01 clknet_leaf_144_clock (net)
0.05 0.00 1.84 ^ _19712_/CLK (sky130_fd_sc_hd__dfxtp_4)
0.25 0.47 2.31 ^ _19712_/Q (sky130_fd_sc_hd__dfxtp_4)
10 0.09 dpath._T_249[5] (net)
0.25 0.00 2.31 ^ _15489_/A0 (sky130_fd_sc_hd__mux2_1)
0.07 0.19 2.50 ^ _15489_/X (sky130_fd_sc_hd__mux2_1)
1 0.01 _08936_ (net)
0.07 0.00 2.50 ^ _15491_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 2.63 ^ _15491_/X (sky130_fd_sc_hd__mux2_1)
1 0.00 _08938_ (net)
0.05 0.00 2.63 ^ _15492_/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.07 2.70 ^ _15492_/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 _00908_ (net)
0.04 0.00 2.70 ^ _19143_/D (sky130_fd_sc_hd__dfxtp_1)
2.70 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.27 0.20 0.20 ^ clock (in)
2 0.06 clock (net)
0.27 0.00 0.20 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.22 0.42 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.06 0.00 0.42 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.12 0.54 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.05 0.00 0.54 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.14 0.18 0.72 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_1_1_1_clock (net)
0.14 0.00 0.72 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 1.00 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_2_3_0_clock (net)
0.22 0.00 1.00 ^ clkbuf_3_7_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.24 1.25 ^ clkbuf_3_7_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_3_7_0_clock (net)
0.15 0.00 1.25 ^ clkbuf_4_14_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.11 0.91 2.16 ^ clkbuf_4_14_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
26 0.21 clknet_4_14_0_clock (net)
1.11 0.00 2.16 ^ clkbuf_leaf_125_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.33 2.49 ^ clkbuf_leaf_125_clock/X (sky130_fd_sc_hd__clkbuf_16)
7 0.03 clknet_leaf_125_clock (net)
0.07 0.00 2.49 ^ _19143_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 2.74 clock uncertainty
-0.07 2.67 clock reconvergence pessimism
-0.03 2.64 library hold time
2.64 data required time
-----------------------------------------------------------------------------
2.64 data required time
-2.70 data arrival time
-----------------------------------------------------------------------------
0.05 slack (MET)
Startpoint: _19714_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _19145_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.27 0.18 0.18 ^ clock (in)
2 0.06 clock (net)
0.27 0.00 0.18 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.20 0.38 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.06 0.00 0.38 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.11 0.49 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.05 0.00 0.49 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.14 0.16 0.65 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_1_1_1_clock (net)
0.14 0.00 0.65 ^ clkbuf_2_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.23 0.25 0.90 ^ clkbuf_2_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_2_2_0_clock (net)
0.23 0.00 0.91 ^ clkbuf_3_5_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.22 1.13 ^ clkbuf_3_5_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_3_5_0_clock (net)
0.15 0.00 1.13 ^ clkbuf_4_10_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.57 0.47 1.60 ^ clkbuf_4_10_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
16 0.11 clknet_4_10_0_clock (net)
0.57 0.00 1.60 ^ clkbuf_leaf_139_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.26 1.86 ^ clkbuf_leaf_139_clock/X (sky130_fd_sc_hd__clkbuf_16)
13 0.04 clknet_leaf_139_clock (net)
0.07 0.00 1.86 ^ _19714_/CLK (sky130_fd_sc_hd__dfxtp_4)
0.24 0.47 2.33 ^ _19714_/Q (sky130_fd_sc_hd__dfxtp_4)
10 0.08 dpath._T_249[7] (net)
0.24 0.01 2.34 ^ _15502_/A0 (sky130_fd_sc_hd__mux2_1)
0.07 0.19 2.53 ^ _15502_/X (sky130_fd_sc_hd__mux2_1)
1 0.01 _08947_ (net)
0.07 0.00 2.53 ^ _15503_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 2.65 ^ _15503_/X (sky130_fd_sc_hd__mux2_1)
1 0.00 _08948_ (net)
0.05 0.00 2.65 ^ _15504_/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.07 2.72 ^ _15504_/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 _00910_ (net)
0.04 0.00 2.72 ^ _19145_/D (sky130_fd_sc_hd__dfxtp_1)
2.72 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.27 0.20 0.20 ^ clock (in)
2 0.06 clock (net)
0.27 0.00 0.20 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.22 0.42 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.06 0.00 0.42 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.12 0.54 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.05 0.00 0.54 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.14 0.18 0.72 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_1_1_1_clock (net)
0.14 0.00 0.72 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 1.00 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_2_3_0_clock (net)
0.22 0.00 1.00 ^ clkbuf_3_7_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.24 1.25 ^ clkbuf_3_7_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_3_7_0_clock (net)
0.15 0.00 1.25 ^ clkbuf_4_14_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.11 0.91 2.16 ^ clkbuf_4_14_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
26 0.21 clknet_4_14_0_clock (net)
1.11 0.00 2.16 ^ clkbuf_leaf_126_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.33 2.49 ^ clkbuf_leaf_126_clock/X (sky130_fd_sc_hd__clkbuf_16)
8 0.03 clknet_leaf_126_clock (net)
0.07 0.00 2.49 ^ _19145_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 2.74 clock uncertainty
-0.07 2.67 clock reconvergence pessimism
-0.03 2.65 library hold time
2.65 data required time
-----------------------------------------------------------------------------
2.65 data required time
-2.72 data arrival time
-----------------------------------------------------------------------------
0.08 slack (MET)
Startpoint: _19780_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _19748_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.27 0.18 0.18 ^ clock (in)
2 0.06 clock (net)
0.27 0.00 0.18 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.20 0.38 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.06 0.00 0.38 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.11 0.49 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.05 0.00 0.49 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.14 0.16 0.65 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_1_1_1_clock (net)
0.14 0.00 0.65 ^ clkbuf_2_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.23 0.25 0.90 ^ clkbuf_2_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_2_2_0_clock (net)
0.23 0.00 0.91 ^ clkbuf_3_4_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.14 0.22 1.12 ^ clkbuf_3_4_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_3_4_0_clock (net)
0.14 0.00 1.13 ^ clkbuf_4_9_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.75 0.59 1.72 ^ clkbuf_4_9_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
18 0.14 clknet_4_9_0_clock (net)
0.75 0.01 1.72 ^ clkbuf_leaf_137_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.27 1.99 ^ clkbuf_leaf_137_clock/X (sky130_fd_sc_hd__clkbuf_16)
9 0.03 clknet_leaf_137_clock (net)
0.07 0.00 1.99 ^ _19780_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.12 0.35 2.34 ^ _19780_/Q (sky130_fd_sc_hd__dfxtp_1)
3 0.01 dpath.fet_exe_pc[9] (net)
0.12 0.00 2.34 ^ _17946_/A1 (sky130_fd_sc_hd__mux2_1)
0.04 0.13 2.48 ^ _17946_/X (sky130_fd_sc_hd__mux2_1)
1 0.00 _03342_ (net)
0.04 0.00 2.48 ^ _17947_/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.07 2.55 ^ _17947_/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 _01505_ (net)
0.04 0.00 2.55 ^ _19748_/D (sky130_fd_sc_hd__dfxtp_1)
2.55 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.27 0.20 0.20 ^ clock (in)
2 0.06 clock (net)
0.27 0.00 0.20 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.22 0.42 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.06 0.00 0.42 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.12 0.54 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.05 0.00 0.54 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.14 0.18 0.72 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_1_1_1_clock (net)
0.14 0.00 0.72 ^ clkbuf_2_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.23 0.28 1.00 ^ clkbuf_2_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_2_2_0_clock (net)
0.23 0.00 1.00 ^ clkbuf_3_5_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.24 1.24 ^ clkbuf_3_5_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_3_5_0_clock (net)
0.15 0.00 1.25 ^ clkbuf_4_11_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.91 0.77 2.02 ^ clkbuf_4_11_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
20 0.17 clknet_4_11_0_clock (net)
0.91 0.00 2.02 ^ clkbuf_leaf_136_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.31 2.33 ^ clkbuf_leaf_136_clock/X (sky130_fd_sc_hd__clkbuf_16)
8 0.03 clknet_leaf_136_clock (net)
0.07 0.00 2.34 ^ _19748_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 2.59 clock uncertainty
-0.10 2.49 clock reconvergence pessimism
-0.03 2.46 library hold time
2.46 data required time
-----------------------------------------------------------------------------
2.46 data required time
-2.55 data arrival time
-----------------------------------------------------------------------------
0.08 slack (MET)
Startpoint: _19715_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _19146_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.27 0.18 0.18 ^ clock (in)
2 0.06 clock (net)
0.27 0.00 0.18 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.20 0.38 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.06 0.00 0.38 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.11 0.49 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.05 0.00 0.49 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.14 0.16 0.65 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_1_1_1_clock (net)
0.14 0.00 0.65 ^ clkbuf_2_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.23 0.25 0.90 ^ clkbuf_2_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_2_2_0_clock (net)
0.23 0.00 0.91 ^ clkbuf_3_5_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.22 1.13 ^ clkbuf_3_5_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_3_5_0_clock (net)
0.15 0.00 1.13 ^ clkbuf_4_10_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.57 0.47 1.60 ^ clkbuf_4_10_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
16 0.11 clknet_4_10_0_clock (net)
0.57 0.00 1.60 ^ clkbuf_leaf_138_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.25 1.86 ^ clkbuf_leaf_138_clock/X (sky130_fd_sc_hd__clkbuf_16)
9 0.03 clknet_leaf_138_clock (net)
0.06 0.00 1.86 ^ _19715_/CLK (sky130_fd_sc_hd__dfxtp_4)
0.26 0.48 2.34 ^ _19715_/Q (sky130_fd_sc_hd__dfxtp_4)
10 0.09 dpath._T_249[8] (net)
0.26 0.01 2.34 ^ _15510_/A0 (sky130_fd_sc_hd__mux2_1)
0.08 0.20 2.54 ^ _15510_/X (sky130_fd_sc_hd__mux2_1)
1 0.01 _08954_ (net)
0.08 0.00 2.54 ^ _15511_/A0 (sky130_fd_sc_hd__mux2_1)
0.04 0.12 2.66 ^ _15511_/X (sky130_fd_sc_hd__mux2_1)
1 0.00 _08955_ (net)
0.04 0.00 2.66 ^ _15512_/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.07 2.73 ^ _15512_/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 _00911_ (net)
0.04 0.00 2.73 ^ _19146_/D (sky130_fd_sc_hd__dfxtp_1)
2.73 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.27 0.20 0.20 ^ clock (in)
2 0.06 clock (net)
0.27 0.00 0.20 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.22 0.42 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.06 0.00 0.42 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.12 0.54 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.05 0.00 0.54 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.14 0.18 0.72 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_1_1_1_clock (net)
0.14 0.00 0.72 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.22 0.28 1.00 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_2_3_0_clock (net)
0.22 0.00 1.00 ^ clkbuf_3_7_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.24 1.25 ^ clkbuf_3_7_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_3_7_0_clock (net)
0.15 0.00 1.25 ^ clkbuf_4_14_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.11 0.91 2.16 ^ clkbuf_4_14_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
26 0.21 clknet_4_14_0_clock (net)
1.11 0.00 2.16 ^ clkbuf_leaf_126_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.33 2.49 ^ clkbuf_leaf_126_clock/X (sky130_fd_sc_hd__clkbuf_16)
8 0.03 clknet_leaf_126_clock (net)
0.07 0.00 2.49 ^ _19146_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 2.74 clock uncertainty
-0.07 2.67 clock reconvergence pessimism
-0.03 2.65 library hold time
2.65 data required time
-----------------------------------------------------------------------------
2.65 data required time
-2.73 data arrival time
-----------------------------------------------------------------------------
0.08 slack (MET)
Startpoint: _19779_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _19747_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.27 0.18 0.18 ^ clock (in)
2 0.06 clock (net)
0.27 0.00 0.18 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.20 0.38 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.06 0.00 0.38 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.11 0.49 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.05 0.00 0.49 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.14 0.16 0.65 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_1_1_1_clock (net)
0.14 0.00 0.65 ^ clkbuf_2_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.23 0.25 0.90 ^ clkbuf_2_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_2_2_0_clock (net)
0.23 0.00 0.91 ^ clkbuf_3_4_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.14 0.22 1.12 ^ clkbuf_3_4_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_3_4_0_clock (net)
0.14 0.00 1.13 ^ clkbuf_4_9_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.75 0.59 1.72 ^ clkbuf_4_9_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
18 0.14 clknet_4_9_0_clock (net)
0.75 0.01 1.72 ^ clkbuf_leaf_137_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.27 1.99 ^ clkbuf_leaf_137_clock/X (sky130_fd_sc_hd__clkbuf_16)
9 0.03 clknet_leaf_137_clock (net)
0.07 0.00 1.99 ^ _19779_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.11 0.34 2.34 ^ _19779_/Q (sky130_fd_sc_hd__dfxtp_1)
3 0.01 dpath.fet_exe_pc[8] (net)
0.11 0.00 2.34 ^ _17944_/A1 (sky130_fd_sc_hd__mux2_1)
0.05 0.14 2.48 ^ _17944_/X (sky130_fd_sc_hd__mux2_1)
1 0.00 _03341_ (net)
0.05 0.00 2.48 ^ _17945_/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.07 2.55 ^ _17945_/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 _01504_ (net)
0.04 0.00 2.55 ^ _19747_/D (sky130_fd_sc_hd__dfxtp_1)
2.55 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.27 0.20 0.20 ^ clock (in)
2 0.06 clock (net)
0.27 0.00 0.20 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.22 0.42 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.06 0.00 0.42 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.12 0.54 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.05 0.00 0.54 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.14 0.18 0.72 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_1_1_1_clock (net)
0.14 0.00 0.72 ^ clkbuf_2_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.23 0.28 1.00 ^ clkbuf_2_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_2_2_0_clock (net)
0.23 0.00 1.00 ^ clkbuf_3_5_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.24 1.24 ^ clkbuf_3_5_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_3_5_0_clock (net)
0.15 0.00 1.25 ^ clkbuf_4_11_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.91 0.77 2.02 ^ clkbuf_4_11_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
20 0.17 clknet_4_11_0_clock (net)
0.91 0.00 2.02 ^ clkbuf_leaf_136_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.31 2.33 ^ clkbuf_leaf_136_clock/X (sky130_fd_sc_hd__clkbuf_16)
8 0.03 clknet_leaf_136_clock (net)
0.07 0.00 2.34 ^ _19747_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 2.59 clock uncertainty
-0.10 2.49 clock reconvergence pessimism
-0.03 2.46 library hold time
2.46 data required time
-----------------------------------------------------------------------------
2.46 data required time
-2.55 data arrival time
-----------------------------------------------------------------------------
0.09 slack (MET)