blob: 34c072abc3d1c5c57b79457a7ad991850811b34b [file] [log] [blame]
===========================================================================
report_checks -path_delay min (Hold)
============================================================================
Startpoint: _19712_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _19143_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.28 0.19 0.19 ^ clock (in)
2 0.06 clock (net)
0.28 0.00 0.19 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 0.38 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.05 0.00 0.38 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.11 0.49 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.05 0.00 0.49 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.17 0.66 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_1_1_1_clock (net)
0.15 0.00 0.66 ^ clkbuf_2_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.20 0.23 0.89 ^ clkbuf_2_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_2_2_0_clock (net)
0.20 0.00 0.90 ^ clkbuf_3_5_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.20 1.10 ^ clkbuf_3_5_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_3_5_0_clock (net)
0.13 0.00 1.10 ^ clkbuf_4_10_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.61 0.50 1.59 ^ clkbuf_4_10_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
16 0.11 clknet_4_10_0_clock (net)
0.61 0.00 1.59 ^ clkbuf_leaf_144_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.24 1.84 ^ clkbuf_leaf_144_clock/X (sky130_fd_sc_hd__clkbuf_16)
5 0.02 clknet_leaf_144_clock (net)
0.05 0.00 1.84 ^ _19712_/CLK (sky130_fd_sc_hd__dfxtp_4)
0.22 0.45 2.29 ^ _19712_/Q (sky130_fd_sc_hd__dfxtp_4)
10 0.08 dpath._T_249[5] (net)
0.22 0.00 2.29 ^ _15489_/A0 (sky130_fd_sc_hd__mux2_1)
0.07 0.18 2.47 ^ _15489_/X (sky130_fd_sc_hd__mux2_1)
1 0.01 _08936_ (net)
0.07 0.00 2.47 ^ _15491_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 2.59 ^ _15491_/X (sky130_fd_sc_hd__mux2_1)
1 0.00 _08938_ (net)
0.05 0.00 2.59 ^ _15492_/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 2.68 ^ _15492_/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 _00908_ (net)
0.05 0.00 2.68 ^ _19143_/D (sky130_fd_sc_hd__dfxtp_1)
2.68 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.28 0.20 0.20 ^ clock (in)
2 0.06 clock (net)
0.28 0.00 0.20 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 0.42 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.05 0.00 0.42 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.12 0.54 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.05 0.00 0.54 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.19 0.73 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_1_1_1_clock (net)
0.15 0.00 0.73 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.20 0.26 0.99 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_2_3_0_clock (net)
0.20 0.00 0.99 ^ clkbuf_3_7_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.14 0.23 1.22 ^ clkbuf_3_7_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_3_7_0_clock (net)
0.14 0.00 1.22 ^ clkbuf_4_14_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.02 0.85 2.07 ^ clkbuf_4_14_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
26 0.19 clknet_4_14_0_clock (net)
1.02 0.00 2.07 ^ clkbuf_leaf_125_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.32 2.39 ^ clkbuf_leaf_125_clock/X (sky130_fd_sc_hd__clkbuf_16)
7 0.03 clknet_leaf_125_clock (net)
0.07 0.00 2.39 ^ _19143_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 2.64 clock uncertainty
-0.07 2.57 clock reconvergence pessimism
-0.03 2.55 library hold time
2.55 data required time
-----------------------------------------------------------------------------
2.55 data required time
-2.68 data arrival time
-----------------------------------------------------------------------------
0.13 slack (MET)
Startpoint: _19780_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _19748_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.28 0.19 0.19 ^ clock (in)
2 0.06 clock (net)
0.28 0.00 0.19 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 0.38 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.05 0.00 0.38 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.11 0.49 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.05 0.00 0.49 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.17 0.66 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_1_1_1_clock (net)
0.15 0.00 0.66 ^ clkbuf_2_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.20 0.23 0.89 ^ clkbuf_2_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_2_2_0_clock (net)
0.20 0.00 0.90 ^ clkbuf_3_4_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.20 1.10 ^ clkbuf_3_4_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_3_4_0_clock (net)
0.13 0.00 1.10 ^ clkbuf_4_9_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.72 0.57 1.67 ^ clkbuf_4_9_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
18 0.14 clknet_4_9_0_clock (net)
0.72 0.01 1.67 ^ clkbuf_leaf_137_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.27 1.95 ^ clkbuf_leaf_137_clock/X (sky130_fd_sc_hd__clkbuf_16)
9 0.03 clknet_leaf_137_clock (net)
0.07 0.00 1.95 ^ _19780_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.11 0.34 2.29 ^ _19780_/Q (sky130_fd_sc_hd__dfxtp_1)
3 0.01 dpath.fet_exe_pc[9] (net)
0.11 0.00 2.29 ^ _17946_/A1 (sky130_fd_sc_hd__mux2_1)
0.04 0.13 2.42 ^ _17946_/X (sky130_fd_sc_hd__mux2_1)
1 0.00 _03342_ (net)
0.04 0.00 2.42 ^ _17947_/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 2.50 ^ _17947_/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 _01505_ (net)
0.04 0.00 2.50 ^ _19748_/D (sky130_fd_sc_hd__dfxtp_1)
2.50 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.28 0.20 0.20 ^ clock (in)
2 0.06 clock (net)
0.28 0.00 0.20 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 0.42 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.05 0.00 0.42 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.12 0.54 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.05 0.00 0.54 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.19 0.73 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_1_1_1_clock (net)
0.15 0.00 0.73 ^ clkbuf_2_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.20 0.26 0.99 ^ clkbuf_2_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_2_2_0_clock (net)
0.20 0.00 0.99 ^ clkbuf_3_5_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.22 1.21 ^ clkbuf_3_5_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_3_5_0_clock (net)
0.13 0.00 1.21 ^ clkbuf_4_11_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.84 0.72 1.93 ^ clkbuf_4_11_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
20 0.16 clknet_4_11_0_clock (net)
0.84 0.00 1.93 ^ clkbuf_leaf_136_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.31 2.24 ^ clkbuf_leaf_136_clock/X (sky130_fd_sc_hd__clkbuf_16)
8 0.03 clknet_leaf_136_clock (net)
0.07 0.00 2.24 ^ _19748_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 2.49 clock uncertainty
-0.09 2.39 clock reconvergence pessimism
-0.03 2.37 library hold time
2.37 data required time
-----------------------------------------------------------------------------
2.37 data required time
-2.50 data arrival time
-----------------------------------------------------------------------------
0.13 slack (MET)
Startpoint: _19726_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _19157_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.28 0.19 0.19 ^ clock (in)
2 0.06 clock (net)
0.28 0.00 0.19 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 0.38 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.05 0.00 0.38 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.11 0.49 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.05 0.00 0.49 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.17 0.66 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_1_1_1_clock (net)
0.15 0.00 0.66 ^ clkbuf_2_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.20 0.23 0.89 ^ clkbuf_2_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_2_2_0_clock (net)
0.20 0.00 0.90 ^ clkbuf_3_5_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.20 1.10 ^ clkbuf_3_5_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_3_5_0_clock (net)
0.13 0.00 1.10 ^ clkbuf_4_10_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.61 0.50 1.59 ^ clkbuf_4_10_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
16 0.11 clknet_4_10_0_clock (net)
0.61 0.00 1.59 ^ clkbuf_leaf_138_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.26 1.85 ^ clkbuf_leaf_138_clock/X (sky130_fd_sc_hd__clkbuf_16)
9 0.03 clknet_leaf_138_clock (net)
0.07 0.00 1.85 ^ _19726_/CLK (sky130_fd_sc_hd__dfxtp_4)
0.21 0.45 2.30 ^ _19726_/Q (sky130_fd_sc_hd__dfxtp_4)
10 0.07 dpath._T_249[19] (net)
0.21 0.01 2.31 ^ _15569_/A0 (sky130_fd_sc_hd__mux2_1)
0.06 0.17 2.48 ^ _15569_/X (sky130_fd_sc_hd__mux2_1)
1 0.00 _09002_ (net)
0.06 0.00 2.48 ^ _15570_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.12 2.60 ^ _15570_/X (sky130_fd_sc_hd__mux2_1)
1 0.00 _09003_ (net)
0.05 0.00 2.60 ^ _15571_/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.08 2.67 ^ _15571_/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 _00922_ (net)
0.04 0.00 2.67 ^ _19157_/D (sky130_fd_sc_hd__dfxtp_1)
2.67 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.28 0.20 0.20 ^ clock (in)
2 0.06 clock (net)
0.28 0.00 0.20 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 0.42 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.05 0.00 0.42 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.12 0.54 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.05 0.00 0.54 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.19 0.73 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_1_1_1_clock (net)
0.15 0.00 0.73 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.20 0.26 0.99 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_2_3_0_clock (net)
0.20 0.00 0.99 ^ clkbuf_3_6_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.10 0.20 1.20 ^ clkbuf_3_6_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 clknet_3_6_0_clock (net)
0.10 0.00 1.20 ^ clkbuf_4_12_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.04 0.85 2.04 ^ clkbuf_4_12_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
28 0.20 clknet_4_12_0_clock (net)
1.04 0.01 2.05 ^ clkbuf_leaf_81_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.33 2.38 ^ clkbuf_leaf_81_clock/X (sky130_fd_sc_hd__clkbuf_16)
9 0.03 clknet_leaf_81_clock (net)
0.08 0.00 2.38 ^ _19157_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 2.63 clock uncertainty
-0.07 2.56 clock reconvergence pessimism
-0.03 2.53 library hold time
2.53 data required time
-----------------------------------------------------------------------------
2.53 data required time
-2.67 data arrival time
-----------------------------------------------------------------------------
0.14 slack (MET)
Startpoint: _18253_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _19633_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.28 0.19 0.19 ^ clock (in)
2 0.06 clock (net)
0.28 0.00 0.19 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 0.38 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.05 0.00 0.38 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.11 0.49 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.05 0.00 0.49 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.17 0.66 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_1_1_1_clock (net)
0.15 0.00 0.66 ^ clkbuf_2_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.20 0.23 0.89 ^ clkbuf_2_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_2_2_0_clock (net)
0.20 0.00 0.90 ^ clkbuf_3_4_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.20 1.10 ^ clkbuf_3_4_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_3_4_0_clock (net)
0.13 0.00 1.10 ^ clkbuf_4_9_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.72 0.57 1.67 ^ clkbuf_4_9_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
18 0.14 clknet_4_9_0_clock (net)
0.72 0.00 1.67 ^ clkbuf_leaf_166_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.26 1.93 ^ clkbuf_leaf_166_clock/X (sky130_fd_sc_hd__clkbuf_16)
5 0.02 clknet_leaf_166_clock (net)
0.06 0.00 1.93 ^ _18253_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.19 0.41 2.34 ^ _18253_/Q (sky130_fd_sc_hd__dfxtp_2)
8 0.04 dpath.csr.io_in[2] (net)
0.19 0.00 2.34 ^ _13417_/B2 (sky130_fd_sc_hd__a32o_4)
0.16 0.26 2.60 ^ _13417_/X (sky130_fd_sc_hd__a32o_4)
6 0.05 _07683_ (net)
0.16 0.00 2.60 ^ _16948_/A1 (sky130_fd_sc_hd__o211a_1)
0.04 0.16 2.76 ^ _16948_/X (sky130_fd_sc_hd__o211a_1)
1 0.00 _01390_ (net)
0.04 0.00 2.76 ^ _19633_/D (sky130_fd_sc_hd__dfxtp_2)
2.76 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.28 0.20 0.20 ^ clock (in)
2 0.06 clock (net)
0.28 0.00 0.20 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 0.42 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.05 0.00 0.42 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.12 0.54 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.05 0.00 0.54 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.19 0.73 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_1_1_1_clock (net)
0.15 0.00 0.73 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.20 0.26 0.99 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_2_3_0_clock (net)
0.20 0.00 0.99 ^ clkbuf_3_7_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.14 0.23 1.22 ^ clkbuf_3_7_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_3_7_0_clock (net)
0.14 0.00 1.22 ^ clkbuf_4_15_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.10 0.90 2.12 ^ clkbuf_4_15_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
28 0.21 clknet_4_15_0_clock (net)
1.10 0.01 2.13 ^ clkbuf_leaf_101_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.34 2.46 ^ clkbuf_leaf_101_clock/X (sky130_fd_sc_hd__clkbuf_16)
9 0.03 clknet_leaf_101_clock (net)
0.08 0.00 2.46 ^ _19633_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.25 2.71 clock uncertainty
-0.07 2.64 clock reconvergence pessimism
-0.03 2.62 library hold time
2.62 data required time
-----------------------------------------------------------------------------
2.62 data required time
-2.76 data arrival time
-----------------------------------------------------------------------------
0.15 slack (MET)
Startpoint: _19724_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _19155_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.28 0.19 0.19 ^ clock (in)
2 0.06 clock (net)
0.28 0.00 0.19 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.20 0.38 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.05 0.00 0.38 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.11 0.49 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.05 0.00 0.49 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.17 0.66 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_1_1_1_clock (net)
0.15 0.00 0.66 ^ clkbuf_2_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.20 0.23 0.89 ^ clkbuf_2_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_2_2_0_clock (net)
0.20 0.00 0.90 ^ clkbuf_3_5_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.13 0.20 1.10 ^ clkbuf_3_5_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.02 clknet_3_5_0_clock (net)
0.13 0.00 1.10 ^ clkbuf_4_10_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.61 0.50 1.59 ^ clkbuf_4_10_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
16 0.11 clknet_4_10_0_clock (net)
0.61 0.00 1.59 ^ clkbuf_leaf_139_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.27 1.86 ^ clkbuf_leaf_139_clock/X (sky130_fd_sc_hd__clkbuf_16)
13 0.04 clknet_leaf_139_clock (net)
0.07 0.00 1.86 ^ _19724_/CLK (sky130_fd_sc_hd__dfxtp_4)
0.22 0.46 2.32 ^ _19724_/Q (sky130_fd_sc_hd__dfxtp_4)
10 0.08 dpath._T_249[17] (net)
0.22 0.01 2.33 ^ _15559_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.16 2.50 ^ _15559_/X (sky130_fd_sc_hd__mux2_1)
1 0.00 _08994_ (net)
0.05 0.00 2.50 ^ _15560_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 2.61 ^ _15560_/X (sky130_fd_sc_hd__mux2_1)
1 0.00 _08995_ (net)
0.05 0.00 2.61 ^ _15561_/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.08 2.69 ^ _15561_/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 _00920_ (net)
0.05 0.00 2.69 ^ _19155_/D (sky130_fd_sc_hd__dfxtp_1)
2.69 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.28 0.20 0.20 ^ clock (in)
2 0.06 clock (net)
0.28 0.00 0.20 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.22 0.42 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
4 0.03 clknet_0_clock (net)
0.05 0.00 0.42 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.12 0.54 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.05 0.00 0.54 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.19 0.73 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.03 clknet_1_1_1_clock (net)
0.15 0.00 0.73 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.20 0.26 0.99 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
4 0.04 clknet_2_3_0_clock (net)
0.20 0.00 0.99 ^ clkbuf_3_6_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.10 0.20 1.20 ^ clkbuf_3_6_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 clknet_3_6_0_clock (net)
0.10 0.00 1.20 ^ clkbuf_4_12_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
1.04 0.85 2.04 ^ clkbuf_4_12_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
28 0.20 clknet_4_12_0_clock (net)
1.04 0.00 2.05 ^ clkbuf_leaf_82_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.34 2.38 ^ clkbuf_leaf_82_clock/X (sky130_fd_sc_hd__clkbuf_16)
12 0.04 clknet_leaf_82_clock (net)
0.08 0.00 2.38 ^ _19155_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 2.63 clock uncertainty
-0.07 2.56 clock reconvergence pessimism
-0.03 2.54 library hold time
2.54 data required time
-----------------------------------------------------------------------------
2.54 data required time
-2.69 data arrival time
-----------------------------------------------------------------------------
0.15 slack (MET)