blob: addb0e5242359acd4e5c88ee07c0abb5c290fa78 [file] [log] [blame]
===========================================================================
report_checks -path_delay min (Hold)
============================================================================
Startpoint: _19137_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _19136_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.15 0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (ideal)
0.15 0.00 0.00 ^ _19137_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.02 0.32 0.32 v _19137_/Q (sky130_fd_sc_hd__dfxtp_2)
1 0.00 dpath.csr.br_taken (net)
0.02 0.00 0.32 v _19136_/D (sky130_fd_sc_hd__dfxtp_2)
0.32 data arrival time
0.15 0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (ideal)
0.25 0.25 clock uncertainty
0.00 0.25 clock reconvergence pessimism
0.25 ^ _19136_/CLK (sky130_fd_sc_hd__dfxtp_2)
-0.01 0.24 library hold time
0.24 data required time
-----------------------------------------------------------------------------
0.24 data required time
-0.32 data arrival time
-----------------------------------------------------------------------------
0.08 slack (MET)
Startpoint: _19437_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _19437_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.15 0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (ideal)
0.15 0.00 0.00 ^ _19437_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.05 0.34 0.34 ^ _19437_/Q (sky130_fd_sc_hd__dfxtp_2)
3 0.01 dpath.csr.timeh[13] (net)
0.05 0.00 0.34 ^ _16367_/A1 (sky130_fd_sc_hd__o21a_2)
0.03 0.11 0.45 ^ _16367_/X (sky130_fd_sc_hd__o21a_2)
1 0.00 _01202_ (net)
0.03 0.00 0.45 ^ _19437_/D (sky130_fd_sc_hd__dfxtp_2)
0.45 data arrival time
0.15 0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (ideal)
0.25 0.25 clock uncertainty
0.00 0.25 clock reconvergence pessimism
0.25 ^ _19437_/CLK (sky130_fd_sc_hd__dfxtp_2)
-0.01 0.24 library hold time
0.24 data required time
-----------------------------------------------------------------------------
0.24 data required time
-0.45 data arrival time
-----------------------------------------------------------------------------
0.21 slack (MET)
Startpoint: _19431_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _19431_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.15 0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (ideal)
0.15 0.00 0.00 ^ _19431_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.05 0.34 0.34 ^ _19431_/Q (sky130_fd_sc_hd__dfxtp_2)
3 0.01 dpath.csr.timeh[7] (net)
0.05 0.00 0.34 ^ _16345_/A1 (sky130_fd_sc_hd__o21a_2)
0.03 0.11 0.45 ^ _16345_/X (sky130_fd_sc_hd__o21a_2)
1 0.00 _01196_ (net)
0.03 0.00 0.45 ^ _19431_/D (sky130_fd_sc_hd__dfxtp_2)
0.45 data arrival time
0.15 0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (ideal)
0.25 0.25 clock uncertainty
0.00 0.25 clock reconvergence pessimism
0.25 ^ _19431_/CLK (sky130_fd_sc_hd__dfxtp_2)
-0.01 0.24 library hold time
0.24 data required time
-----------------------------------------------------------------------------
0.24 data required time
-0.45 data arrival time
-----------------------------------------------------------------------------
0.22 slack (MET)
Startpoint: _19612_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _19612_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.15 0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (ideal)
0.15 0.00 0.00 ^ _19612_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.05 0.34 0.34 ^ _19612_/Q (sky130_fd_sc_hd__dfxtp_2)
3 0.01 dpath.csr.cycle[24] (net)
0.05 0.00 0.34 ^ _16901_/A1 (sky130_fd_sc_hd__o21a_2)
0.03 0.11 0.45 ^ _16901_/X (sky130_fd_sc_hd__o21a_2)
1 0.00 _01373_ (net)
0.03 0.00 0.45 ^ _19612_/D (sky130_fd_sc_hd__dfxtp_2)
0.45 data arrival time
0.15 0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (ideal)
0.25 0.25 clock uncertainty
0.00 0.25 clock reconvergence pessimism
0.25 ^ _19612_/CLK (sky130_fd_sc_hd__dfxtp_2)
-0.01 0.24 library hold time
0.24 data required time
-----------------------------------------------------------------------------
0.24 data required time
-0.45 data arrival time
-----------------------------------------------------------------------------
0.22 slack (MET)
Startpoint: _19513_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _19513_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.15 0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (ideal)
0.15 0.00 0.00 ^ _19513_/CLK (sky130_fd_sc_hd__dfxtp_2)
0.05 0.34 0.34 ^ _19513_/Q (sky130_fd_sc_hd__dfxtp_2)
3 0.01 dpath.csr.cycleh[25] (net)
0.05 0.00 0.34 ^ _16600_/A1 (sky130_fd_sc_hd__o21a_2)
0.03 0.11 0.45 ^ _16600_/X (sky130_fd_sc_hd__o21a_2)
1 0.00 _01278_ (net)
0.03 0.00 0.45 ^ _19513_/D (sky130_fd_sc_hd__dfxtp_2)
0.45 data arrival time
0.15 0.00 0.00 clock clock (rise edge)
0.00 0.00 clock network delay (ideal)
0.25 0.25 clock uncertainty
0.00 0.25 clock reconvergence pessimism
0.25 ^ _19513_/CLK (sky130_fd_sc_hd__dfxtp_2)
-0.01 0.24 library hold time
0.24 data required time
-----------------------------------------------------------------------------
0.24 data required time
-0.45 data arrival time
-----------------------------------------------------------------------------
0.22 slack (MET)