blob: 3e65b7e9796cc16e41f1fe6353df1a8ac793ef92 [file] [log] [blame]
===========================================================================
report_checks -path_delay min (Hold)
============================================================================
Startpoint: _19710_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _19141_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.28 0.19 0.19 ^ clock (in)
1 0.06 clock (net)
0.28 0.00 0.19 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.19 0.38 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_clock (net)
0.05 0.00 0.39 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.10 0.49 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.05 0.00 0.49 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.15 0.64 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 clknet_1_1_1_clock (net)
0.12 0.00 0.64 ^ clkbuf_2_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.22 0.86 ^ clkbuf_2_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 clknet_2_2_0_clock (net)
0.19 0.00 0.86 ^ clkbuf_3_5_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.19 1.04 ^ clkbuf_3_5_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 clknet_3_5_0_clock (net)
0.11 0.00 1.04 ^ clkbuf_4_10_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.42 1.47 ^ clkbuf_4_10_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
8 0.09 clknet_4_10_0_clock (net)
0.50 0.00 1.47 ^ clkbuf_leaf_139_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.25 1.72 ^ clkbuf_leaf_139_clock/X (sky130_fd_sc_hd__clkbuf_16)
13 0.04 clknet_leaf_139_clock (net)
0.07 0.00 1.72 ^ _19710_/CLK (sky130_fd_sc_hd__dfxtp_4)
0.17 0.42 2.14 ^ _19710_/Q (sky130_fd_sc_hd__dfxtp_4)
5 0.06 dpath._T_249[3] (net)
0.17 0.00 2.14 ^ _15475_/A1 (sky130_fd_sc_hd__a21o_1)
0.15 0.21 2.35 ^ _15475_/X (sky130_fd_sc_hd__a21o_1)
1 0.02 _08924_ (net)
0.15 0.00 2.35 ^ _15476_/A0 (sky130_fd_sc_hd__mux2_1)
0.04 0.14 2.49 ^ _15476_/X (sky130_fd_sc_hd__mux2_1)
1 0.00 _08925_ (net)
0.04 0.00 2.49 ^ _15477_/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.07 2.56 ^ _15477_/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 _00906_ (net)
0.04 0.00 2.56 ^ _19141_/D (sky130_fd_sc_hd__dfxtp_1)
2.56 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.28 0.21 0.21 ^ clock (in)
1 0.06 clock (net)
0.28 0.00 0.21 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.21 0.43 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_clock (net)
0.05 0.00 0.43 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.11 0.54 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.05 0.00 0.54 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.17 0.70 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 clknet_1_1_1_clock (net)
0.12 0.00 0.70 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.24 0.94 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 clknet_2_3_0_clock (net)
0.18 0.00 0.94 ^ clkbuf_3_7_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.21 1.16 ^ clkbuf_3_7_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 clknet_3_7_0_clock (net)
0.12 0.00 1.16 ^ clkbuf_4_15_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.99 0.82 1.98 ^ clkbuf_4_15_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
14 0.19 clknet_4_15_0_clock (net)
0.99 0.00 1.98 ^ clkbuf_leaf_101_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.32 2.30 ^ clkbuf_leaf_101_clock/X (sky130_fd_sc_hd__clkbuf_16)
9 0.03 clknet_leaf_101_clock (net)
0.07 0.00 2.30 ^ _19141_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 2.55 clock uncertainty
-0.07 2.48 clock reconvergence pessimism
-0.03 2.46 library hold time
2.46 data required time
-----------------------------------------------------------------------------
2.46 data required time
-2.56 data arrival time
-----------------------------------------------------------------------------
0.11 slack (MET)
Startpoint: _19724_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _19155_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.28 0.19 0.19 ^ clock (in)
1 0.06 clock (net)
0.28 0.00 0.19 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.19 0.38 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_clock (net)
0.05 0.00 0.39 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.10 0.49 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.05 0.00 0.49 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.15 0.64 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 clknet_1_1_1_clock (net)
0.12 0.00 0.64 ^ clkbuf_2_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.22 0.86 ^ clkbuf_2_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 clknet_2_2_0_clock (net)
0.19 0.00 0.86 ^ clkbuf_3_5_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.19 1.04 ^ clkbuf_3_5_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 clknet_3_5_0_clock (net)
0.11 0.00 1.04 ^ clkbuf_4_10_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.42 1.47 ^ clkbuf_4_10_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
8 0.09 clknet_4_10_0_clock (net)
0.50 0.00 1.47 ^ clkbuf_leaf_139_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.25 1.72 ^ clkbuf_leaf_139_clock/X (sky130_fd_sc_hd__clkbuf_16)
13 0.04 clknet_leaf_139_clock (net)
0.07 0.00 1.72 ^ _19724_/CLK (sky130_fd_sc_hd__dfxtp_4)
0.19 0.43 2.15 ^ _19724_/Q (sky130_fd_sc_hd__dfxtp_4)
5 0.06 dpath._T_249[17] (net)
0.19 0.01 2.16 ^ _15559_/A0 (sky130_fd_sc_hd__mux2_1)
0.04 0.15 2.31 ^ _15559_/X (sky130_fd_sc_hd__mux2_1)
1 0.00 _08994_ (net)
0.04 0.00 2.31 ^ _15560_/A0 (sky130_fd_sc_hd__mux2_1)
0.04 0.11 2.42 ^ _15560_/X (sky130_fd_sc_hd__mux2_1)
1 0.00 _08995_ (net)
0.04 0.00 2.42 ^ _15561_/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.07 2.49 ^ _15561_/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 _00920_ (net)
0.04 0.00 2.49 ^ _19155_/D (sky130_fd_sc_hd__dfxtp_1)
2.49 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.28 0.21 0.21 ^ clock (in)
1 0.06 clock (net)
0.28 0.00 0.21 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.21 0.43 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_clock (net)
0.05 0.00 0.43 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.11 0.54 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.05 0.00 0.54 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.17 0.70 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 clknet_1_1_1_clock (net)
0.12 0.00 0.70 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.24 0.94 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 clknet_2_3_0_clock (net)
0.18 0.00 0.94 ^ clkbuf_3_6_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.10 0.20 1.14 ^ clkbuf_3_6_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 clknet_3_6_0_clock (net)
0.10 0.00 1.14 ^ clkbuf_4_12_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.90 0.75 1.90 ^ clkbuf_4_12_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
14 0.17 clknet_4_12_0_clock (net)
0.90 0.00 1.90 ^ clkbuf_leaf_82_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 2.22 ^ clkbuf_leaf_82_clock/X (sky130_fd_sc_hd__clkbuf_16)
12 0.04 clknet_leaf_82_clock (net)
0.08 0.00 2.22 ^ _19155_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 2.47 clock uncertainty
-0.07 2.40 clock reconvergence pessimism
-0.03 2.37 library hold time
2.37 data required time
-----------------------------------------------------------------------------
2.37 data required time
-2.49 data arrival time
-----------------------------------------------------------------------------
0.11 slack (MET)
Startpoint: _19737_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _19168_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.28 0.19 0.19 ^ clock (in)
1 0.06 clock (net)
0.28 0.00 0.19 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.19 0.38 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_clock (net)
0.05 0.00 0.39 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.10 0.49 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.05 0.00 0.49 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.15 0.64 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 clknet_1_1_1_clock (net)
0.12 0.00 0.64 ^ clkbuf_2_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.22 0.86 ^ clkbuf_2_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 clknet_2_2_0_clock (net)
0.19 0.00 0.86 ^ clkbuf_3_5_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.19 1.04 ^ clkbuf_3_5_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 clknet_3_5_0_clock (net)
0.11 0.00 1.04 ^ clkbuf_4_10_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.42 1.47 ^ clkbuf_4_10_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
8 0.09 clknet_4_10_0_clock (net)
0.50 0.00 1.47 ^ clkbuf_leaf_139_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.25 1.72 ^ clkbuf_leaf_139_clock/X (sky130_fd_sc_hd__clkbuf_16)
13 0.04 clknet_leaf_139_clock (net)
0.07 0.00 1.72 ^ _19737_/CLK (sky130_fd_sc_hd__dfxtp_4)
0.25 0.48 2.20 ^ _19737_/Q (sky130_fd_sc_hd__dfxtp_4)
5 0.09 dpath._T_249[30] (net)
0.25 0.00 2.20 ^ _15632_/A0 (sky130_fd_sc_hd__mux2_1)
0.04 0.17 2.37 ^ _15632_/X (sky130_fd_sc_hd__mux2_1)
1 0.00 _09054_ (net)
0.04 0.00 2.37 ^ _15633_/A0 (sky130_fd_sc_hd__mux2_1)
0.04 0.11 2.48 ^ _15633_/X (sky130_fd_sc_hd__mux2_1)
1 0.00 _09055_ (net)
0.04 0.00 2.48 ^ _15634_/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.07 2.55 ^ _15634_/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 _00933_ (net)
0.04 0.00 2.55 ^ _19168_/D (sky130_fd_sc_hd__dfxtp_1)
2.55 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.28 0.21 0.21 ^ clock (in)
1 0.06 clock (net)
0.28 0.00 0.21 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.21 0.43 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_clock (net)
0.05 0.00 0.43 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.11 0.54 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.05 0.00 0.54 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.17 0.70 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 clknet_1_1_1_clock (net)
0.12 0.00 0.70 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.24 0.94 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 clknet_2_3_0_clock (net)
0.18 0.00 0.94 ^ clkbuf_3_6_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.10 0.20 1.14 ^ clkbuf_3_6_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 clknet_3_6_0_clock (net)
0.10 0.00 1.14 ^ clkbuf_4_13_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.98 0.81 1.95 ^ clkbuf_4_13_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
15 0.18 clknet_4_13_0_clock (net)
0.98 0.00 1.96 ^ clkbuf_leaf_99_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 2.28 ^ clkbuf_leaf_99_clock/X (sky130_fd_sc_hd__clkbuf_16)
10 0.03 clknet_leaf_99_clock (net)
0.08 0.00 2.28 ^ _19168_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 2.53 clock uncertainty
-0.07 2.46 clock reconvergence pessimism
-0.03 2.44 library hold time
2.44 data required time
-----------------------------------------------------------------------------
2.44 data required time
-2.55 data arrival time
-----------------------------------------------------------------------------
0.11 slack (MET)
Startpoint: _19726_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _19157_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.28 0.19 0.19 ^ clock (in)
1 0.06 clock (net)
0.28 0.00 0.19 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.19 0.38 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_clock (net)
0.05 0.00 0.39 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.10 0.49 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.05 0.00 0.49 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.15 0.64 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 clknet_1_1_1_clock (net)
0.12 0.00 0.64 ^ clkbuf_2_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.22 0.86 ^ clkbuf_2_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 clknet_2_2_0_clock (net)
0.19 0.00 0.86 ^ clkbuf_3_5_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.19 1.04 ^ clkbuf_3_5_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 clknet_3_5_0_clock (net)
0.11 0.00 1.04 ^ clkbuf_4_10_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.42 1.47 ^ clkbuf_4_10_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
8 0.09 clknet_4_10_0_clock (net)
0.50 0.00 1.47 ^ clkbuf_leaf_138_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.24 1.71 ^ clkbuf_leaf_138_clock/X (sky130_fd_sc_hd__clkbuf_16)
9 0.03 clknet_leaf_138_clock (net)
0.06 0.00 1.71 ^ _19726_/CLK (sky130_fd_sc_hd__dfxtp_4)
0.18 0.43 2.14 ^ _19726_/Q (sky130_fd_sc_hd__dfxtp_4)
5 0.06 dpath._T_249[19] (net)
0.19 0.01 2.15 ^ _15569_/A0 (sky130_fd_sc_hd__mux2_1)
0.04 0.15 2.30 ^ _15569_/X (sky130_fd_sc_hd__mux2_1)
1 0.00 _09002_ (net)
0.04 0.00 2.30 ^ _15570_/A0 (sky130_fd_sc_hd__mux2_1)
0.04 0.11 2.41 ^ _15570_/X (sky130_fd_sc_hd__mux2_1)
1 0.00 _09003_ (net)
0.04 0.00 2.41 ^ _15571_/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.07 2.48 ^ _15571_/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 _00922_ (net)
0.04 0.00 2.48 ^ _19157_/D (sky130_fd_sc_hd__dfxtp_1)
2.48 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.28 0.21 0.21 ^ clock (in)
1 0.06 clock (net)
0.28 0.00 0.21 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.21 0.43 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_clock (net)
0.05 0.00 0.43 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.11 0.54 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.05 0.00 0.54 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.17 0.70 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 clknet_1_1_1_clock (net)
0.12 0.00 0.70 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.24 0.94 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 clknet_2_3_0_clock (net)
0.18 0.00 0.94 ^ clkbuf_3_6_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.10 0.20 1.14 ^ clkbuf_3_6_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 clknet_3_6_0_clock (net)
0.10 0.00 1.14 ^ clkbuf_4_12_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.90 0.75 1.90 ^ clkbuf_4_12_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
14 0.17 clknet_4_12_0_clock (net)
0.90 0.00 1.90 ^ clkbuf_leaf_81_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.31 2.21 ^ clkbuf_leaf_81_clock/X (sky130_fd_sc_hd__clkbuf_16)
9 0.03 clknet_leaf_81_clock (net)
0.07 0.00 2.21 ^ _19157_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 2.46 clock uncertainty
-0.07 2.39 clock reconvergence pessimism
-0.03 2.37 library hold time
2.37 data required time
-----------------------------------------------------------------------------
2.37 data required time
-2.48 data arrival time
-----------------------------------------------------------------------------
0.11 slack (MET)
Startpoint: _19715_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _19146_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.28 0.19 0.19 ^ clock (in)
1 0.06 clock (net)
0.28 0.00 0.19 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.19 0.38 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_clock (net)
0.05 0.00 0.39 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.10 0.49 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.05 0.00 0.49 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.15 0.64 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 clknet_1_1_1_clock (net)
0.12 0.00 0.64 ^ clkbuf_2_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.22 0.86 ^ clkbuf_2_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 clknet_2_2_0_clock (net)
0.19 0.00 0.86 ^ clkbuf_3_5_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.19 1.04 ^ clkbuf_3_5_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 clknet_3_5_0_clock (net)
0.11 0.00 1.04 ^ clkbuf_4_10_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.42 1.47 ^ clkbuf_4_10_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
8 0.09 clknet_4_10_0_clock (net)
0.50 0.00 1.47 ^ clkbuf_leaf_138_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.24 1.71 ^ clkbuf_leaf_138_clock/X (sky130_fd_sc_hd__clkbuf_16)
9 0.03 clknet_leaf_138_clock (net)
0.06 0.00 1.71 ^ _19715_/CLK (sky130_fd_sc_hd__dfxtp_4)
0.19 0.43 2.14 ^ _19715_/Q (sky130_fd_sc_hd__dfxtp_4)
5 0.06 dpath._T_249[8] (net)
0.19 0.00 2.14 ^ _15510_/A0 (sky130_fd_sc_hd__mux2_1)
0.08 0.18 2.32 ^ _15510_/X (sky130_fd_sc_hd__mux2_1)
1 0.01 _08954_ (net)
0.08 0.00 2.32 ^ _15511_/A0 (sky130_fd_sc_hd__mux2_1)
0.04 0.12 2.44 ^ _15511_/X (sky130_fd_sc_hd__mux2_1)
1 0.00 _08955_ (net)
0.04 0.00 2.44 ^ _15512_/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.07 2.51 ^ _15512_/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 _00911_ (net)
0.04 0.00 2.51 ^ _19146_/D (sky130_fd_sc_hd__dfxtp_1)
2.51 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.28 0.21 0.21 ^ clock (in)
1 0.06 clock (net)
0.28 0.00 0.21 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.21 0.43 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_clock (net)
0.05 0.00 0.43 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.11 0.54 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.05 0.00 0.54 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.17 0.70 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 clknet_1_1_1_clock (net)
0.12 0.00 0.70 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.24 0.94 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 clknet_2_3_0_clock (net)
0.18 0.00 0.94 ^ clkbuf_3_7_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.21 1.16 ^ clkbuf_3_7_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 clknet_3_7_0_clock (net)
0.12 0.00 1.16 ^ clkbuf_4_14_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.92 0.77 1.92 ^ clkbuf_4_14_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
13 0.17 clknet_4_14_0_clock (net)
0.92 0.00 1.92 ^ clkbuf_leaf_126_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.31 2.24 ^ clkbuf_leaf_126_clock/X (sky130_fd_sc_hd__clkbuf_16)
8 0.03 clknet_leaf_126_clock (net)
0.07 0.00 2.24 ^ _19146_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 2.49 clock uncertainty
-0.07 2.42 clock reconvergence pessimism
-0.03 2.39 library hold time
2.39 data required time
-----------------------------------------------------------------------------
2.39 data required time
-2.51 data arrival time
-----------------------------------------------------------------------------
0.11 slack (MET)