blob: e06c10cd0c5cf7c0dcd34cd4714557a891869165 [file] [log] [blame]
===========================================================================
report_checks -path_delay min (Hold)
============================================================================
Startpoint: _19137_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _19136_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.28 0.19 0.19 ^ clock (in)
1 0.06 clock (net)
0.28 0.00 0.19 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.19 0.38 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_clock (net)
0.05 0.00 0.39 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.10 0.49 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.05 0.00 0.49 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.15 0.64 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 clknet_1_1_1_clock (net)
0.12 0.00 0.64 ^ clkbuf_2_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.22 0.86 ^ clkbuf_2_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 clknet_2_2_0_clock (net)
0.19 0.00 0.86 ^ clkbuf_3_5_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.19 1.04 ^ clkbuf_3_5_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 clknet_3_5_0_clock (net)
0.11 0.00 1.05 ^ clkbuf_4_11_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.72 0.57 1.61 ^ clkbuf_4_11_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
10 0.14 clknet_4_11_0_clock (net)
0.72 0.00 1.61 ^ clkbuf_leaf_142_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.26 1.87 ^ clkbuf_leaf_142_clock/X (sky130_fd_sc_hd__clkbuf_16)
6 0.02 clknet_leaf_142_clock (net)
0.06 0.00 1.87 ^ _19137_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.04 0.29 2.16 ^ _19137_/Q (sky130_fd_sc_hd__dfxtp_1)
1 0.00 dpath.csr.br_taken (net)
0.04 0.00 2.16 ^ _19136_/D (sky130_fd_sc_hd__dfxtp_1)
2.16 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.28 0.21 0.21 ^ clock (in)
1 0.06 clock (net)
0.28 0.00 0.21 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.21 0.43 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_clock (net)
0.05 0.00 0.43 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.11 0.54 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.05 0.00 0.54 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.17 0.70 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 clknet_1_1_1_clock (net)
0.12 0.00 0.70 ^ clkbuf_2_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.24 0.95 ^ clkbuf_2_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 clknet_2_2_0_clock (net)
0.19 0.00 0.95 ^ clkbuf_3_5_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.21 1.15 ^ clkbuf_3_5_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 clknet_3_5_0_clock (net)
0.11 0.00 1.16 ^ clkbuf_4_11_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.72 0.63 1.78 ^ clkbuf_4_11_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
10 0.14 clknet_4_11_0_clock (net)
0.72 0.00 1.78 ^ clkbuf_leaf_141_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.31 2.09 ^ clkbuf_leaf_141_clock/X (sky130_fd_sc_hd__clkbuf_16)
16 0.05 clknet_leaf_141_clock (net)
0.08 0.00 2.10 ^ _19136_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 2.35 clock uncertainty
-0.17 2.18 clock reconvergence pessimism
-0.03 2.15 library hold time
2.15 data required time
-----------------------------------------------------------------------------
2.15 data required time
-2.16 data arrival time
-----------------------------------------------------------------------------
0.01 slack (MET)
Startpoint: _19851_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _19819_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.28 0.19 0.19 ^ clock (in)
1 0.06 clock (net)
0.28 0.00 0.19 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.19 0.38 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_clock (net)
0.05 0.00 0.39 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.10 0.49 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.05 0.00 0.49 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.15 0.64 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 clknet_1_1_1_clock (net)
0.12 0.00 0.64 ^ clkbuf_2_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.22 0.86 ^ clkbuf_2_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 clknet_2_2_0_clock (net)
0.19 0.00 0.86 ^ clkbuf_3_5_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.19 1.04 ^ clkbuf_3_5_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 clknet_3_5_0_clock (net)
0.11 0.00 1.05 ^ clkbuf_4_11_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.72 0.57 1.61 ^ clkbuf_4_11_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
10 0.14 clknet_4_11_0_clock (net)
0.72 0.00 1.62 ^ clkbuf_leaf_121_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.26 1.88 ^ clkbuf_leaf_121_clock/X (sky130_fd_sc_hd__clkbuf_16)
7 0.02 clknet_leaf_121_clock (net)
0.06 0.00 1.88 ^ _19851_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.06 0.31 2.19 v _19851_/Q (sky130_fd_sc_hd__dfxtp_1)
3 0.01 ctrl._T_187[16] (net)
0.06 0.00 2.19 v _09199_/A (sky130_fd_sc_hd__inv_2)
0.09 0.09 2.28 ^ _09199_/Y (sky130_fd_sc_hd__inv_2)
2 0.02 _03642_ (net)
0.09 0.00 2.28 ^ _18109_/A (sky130_fd_sc_hd__nand2_1)
0.06 0.07 2.35 v _18109_/Y (sky130_fd_sc_hd__nand2_1)
1 0.01 _03434_ (net)
0.06 0.00 2.35 v _18111_/B1 (sky130_fd_sc_hd__o211a_1)
0.03 0.12 2.47 v _18111_/X (sky130_fd_sc_hd__o211a_1)
1 0.00 _01576_ (net)
0.03 0.00 2.47 v _19819_/D (sky130_fd_sc_hd__dfxtp_1)
2.47 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.28 0.21 0.21 ^ clock (in)
1 0.06 clock (net)
0.28 0.00 0.21 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.21 0.43 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_clock (net)
0.05 0.00 0.43 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.11 0.54 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.05 0.00 0.54 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.17 0.70 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 clknet_1_1_1_clock (net)
0.12 0.00 0.70 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.24 0.94 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 clknet_2_3_0_clock (net)
0.18 0.00 0.94 ^ clkbuf_3_7_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.21 1.16 ^ clkbuf_3_7_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 clknet_3_7_0_clock (net)
0.12 0.00 1.16 ^ clkbuf_4_15_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.99 0.82 1.98 ^ clkbuf_4_15_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
14 0.19 clknet_4_15_0_clock (net)
0.99 0.00 1.98 ^ clkbuf_leaf_109_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.31 2.29 ^ clkbuf_leaf_109_clock/X (sky130_fd_sc_hd__clkbuf_16)
7 0.02 clknet_leaf_109_clock (net)
0.07 0.00 2.29 ^ _19819_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 2.54 clock uncertainty
-0.07 2.48 clock reconvergence pessimism
-0.04 2.43 library hold time
2.43 data required time
-----------------------------------------------------------------------------
2.43 data required time
-2.47 data arrival time
-----------------------------------------------------------------------------
0.04 slack (MET)
Startpoint: _19435_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _19436_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.28 0.19 0.19 ^ clock (in)
1 0.06 clock (net)
0.28 0.00 0.19 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.19 0.38 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_clock (net)
0.05 0.00 0.39 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.10 0.49 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.05 0.00 0.49 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.15 0.64 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 clknet_1_1_1_clock (net)
0.12 0.00 0.64 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.22 0.85 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 clknet_2_3_0_clock (net)
0.18 0.00 0.85 ^ clkbuf_3_6_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.18 1.04 ^ clkbuf_3_6_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 clknet_3_6_0_clock (net)
0.11 0.00 1.04 ^ clkbuf_4_13_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.98 0.73 1.77 ^ clkbuf_4_13_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
15 0.18 clknet_4_13_0_clock (net)
0.98 0.00 1.77 ^ clkbuf_leaf_96_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.29 2.06 ^ clkbuf_leaf_96_clock/X (sky130_fd_sc_hd__clkbuf_16)
10 0.03 clknet_leaf_96_clock (net)
0.08 0.00 2.06 ^ _19435_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.07 0.33 2.39 v _19435_/Q (sky130_fd_sc_hd__dfxtp_1)
5 0.01 dpath.csr.timeh[11] (net)
0.07 0.00 2.39 v _16362_/A1 (sky130_fd_sc_hd__a21oi_1)
0.11 0.13 2.52 ^ _16362_/Y (sky130_fd_sc_hd__a21oi_1)
1 0.00 _02062_ (net)
0.11 0.00 2.52 ^ _16364_/B (sky130_fd_sc_hd__nor3_1)
0.04 0.06 2.58 v _16364_/Y (sky130_fd_sc_hd__nor3_1)
1 0.00 _01201_ (net)
0.04 0.00 2.58 v _19436_/D (sky130_fd_sc_hd__dfxtp_1)
2.58 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.28 0.21 0.21 ^ clock (in)
1 0.06 clock (net)
0.28 0.00 0.21 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.21 0.43 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_clock (net)
0.05 0.00 0.43 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.11 0.54 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.05 0.00 0.54 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.17 0.70 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 clknet_1_1_1_clock (net)
0.12 0.00 0.70 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.24 0.94 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 clknet_2_3_0_clock (net)
0.18 0.00 0.94 ^ clkbuf_3_7_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.21 1.16 ^ clkbuf_3_7_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 clknet_3_7_0_clock (net)
0.12 0.00 1.16 ^ clkbuf_4_15_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.99 0.82 1.98 ^ clkbuf_4_15_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
14 0.19 clknet_4_15_0_clock (net)
0.99 0.00 1.98 ^ clkbuf_opt_12_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.30 2.27 ^ clkbuf_opt_12_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
1 0.01 clknet_opt_12_0_clock (net)
0.06 0.00 2.27 ^ clkbuf_leaf_97_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.15 2.42 ^ clkbuf_leaf_97_clock/X (sky130_fd_sc_hd__clkbuf_16)
10 0.03 clknet_leaf_97_clock (net)
0.05 0.00 2.42 ^ _19436_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 2.67 clock uncertainty
-0.09 2.58 clock reconvergence pessimism
-0.05 2.53 library hold time
2.53 data required time
-----------------------------------------------------------------------------
2.53 data required time
-2.58 data arrival time
-----------------------------------------------------------------------------
0.05 slack (MET)
Startpoint: _19450_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _19451_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.28 0.19 0.19 ^ clock (in)
1 0.06 clock (net)
0.28 0.00 0.19 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.19 0.38 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_clock (net)
0.05 0.00 0.39 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.10 0.49 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.05 0.00 0.49 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.15 0.64 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 clknet_1_1_1_clock (net)
0.12 0.00 0.64 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.22 0.85 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 clknet_2_3_0_clock (net)
0.18 0.00 0.85 ^ clkbuf_3_6_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.18 1.04 ^ clkbuf_3_6_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 clknet_3_6_0_clock (net)
0.11 0.00 1.04 ^ clkbuf_4_13_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.98 0.73 1.77 ^ clkbuf_4_13_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
15 0.18 clknet_4_13_0_clock (net)
0.98 0.00 1.77 ^ clkbuf_leaf_96_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.29 2.06 ^ clkbuf_leaf_96_clock/X (sky130_fd_sc_hd__clkbuf_16)
10 0.03 clknet_leaf_96_clock (net)
0.08 0.00 2.06 ^ _19450_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.08 0.33 2.40 v _19450_/Q (sky130_fd_sc_hd__dfxtp_1)
5 0.02 dpath.csr.timeh[26] (net)
0.08 0.00 2.40 v _16405_/A1 (sky130_fd_sc_hd__a21oi_1)
0.10 0.13 2.53 ^ _16405_/Y (sky130_fd_sc_hd__a21oi_1)
1 0.00 _02090_ (net)
0.10 0.00 2.53 ^ _16407_/B (sky130_fd_sc_hd__nor3_1)
0.04 0.06 2.59 v _16407_/Y (sky130_fd_sc_hd__nor3_1)
1 0.00 _01216_ (net)
0.04 0.00 2.59 v _19451_/D (sky130_fd_sc_hd__dfxtp_1)
2.59 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.28 0.21 0.21 ^ clock (in)
1 0.06 clock (net)
0.28 0.00 0.21 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.21 0.43 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_clock (net)
0.05 0.00 0.43 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.11 0.54 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.05 0.00 0.54 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.17 0.70 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 clknet_1_1_1_clock (net)
0.12 0.00 0.70 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.24 0.94 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 clknet_2_3_0_clock (net)
0.18 0.00 0.94 ^ clkbuf_3_7_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.21 1.16 ^ clkbuf_3_7_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 clknet_3_7_0_clock (net)
0.12 0.00 1.16 ^ clkbuf_4_15_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.99 0.82 1.98 ^ clkbuf_4_15_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
14 0.19 clknet_4_15_0_clock (net)
0.99 0.00 1.98 ^ clkbuf_opt_12_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.30 2.27 ^ clkbuf_opt_12_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
1 0.01 clknet_opt_12_0_clock (net)
0.06 0.00 2.27 ^ clkbuf_leaf_97_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.15 2.42 ^ clkbuf_leaf_97_clock/X (sky130_fd_sc_hd__clkbuf_16)
10 0.03 clknet_leaf_97_clock (net)
0.05 0.00 2.42 ^ _19451_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 2.67 clock uncertainty
-0.09 2.58 clock reconvergence pessimism
-0.05 2.53 library hold time
2.53 data required time
-----------------------------------------------------------------------------
2.53 data required time
-2.59 data arrival time
-----------------------------------------------------------------------------
0.05 slack (MET)
Startpoint: _19733_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: _19164_ (rising edge-triggered flip-flop clocked by clock)
Path Group: clock
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.28 0.19 0.19 ^ clock (in)
1 0.06 clock (net)
0.28 0.00 0.19 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.19 0.38 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_clock (net)
0.05 0.00 0.39 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.10 0.49 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.05 0.00 0.49 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.15 0.64 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 clknet_1_1_1_clock (net)
0.12 0.00 0.64 ^ clkbuf_2_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.22 0.86 ^ clkbuf_2_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 clknet_2_2_0_clock (net)
0.19 0.00 0.86 ^ clkbuf_3_5_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.19 1.04 ^ clkbuf_3_5_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 clknet_3_5_0_clock (net)
0.11 0.00 1.05 ^ clkbuf_4_10_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.42 1.47 ^ clkbuf_4_10_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
8 0.09 clknet_4_10_0_clock (net)
0.50 0.00 1.47 ^ clkbuf_leaf_138_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.24 1.71 ^ clkbuf_leaf_138_clock/X (sky130_fd_sc_hd__clkbuf_16)
9 0.03 clknet_leaf_138_clock (net)
0.06 0.00 1.71 ^ _19733_/CLK (sky130_fd_sc_hd__dfxtp_4)
0.17 0.42 2.13 ^ _19733_/Q (sky130_fd_sc_hd__dfxtp_4)
5 0.06 dpath._T_249[26] (net)
0.17 0.01 2.14 ^ _15609_/A0 (sky130_fd_sc_hd__mux2_1)
0.04 0.14 2.28 ^ _15609_/X (sky130_fd_sc_hd__mux2_1)
1 0.00 _09035_ (net)
0.04 0.00 2.28 ^ _15610_/A0 (sky130_fd_sc_hd__mux2_1)
0.05 0.11 2.39 ^ _15610_/X (sky130_fd_sc_hd__mux2_1)
1 0.00 _09036_ (net)
0.05 0.00 2.39 ^ _15611_/A (sky130_fd_sc_hd__clkbuf_1)
0.04 0.07 2.46 ^ _15611_/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 _00929_ (net)
0.04 0.00 2.46 ^ _19164_/D (sky130_fd_sc_hd__dfxtp_1)
2.46 data arrival time
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.28 0.21 0.21 ^ clock (in)
1 0.06 clock (net)
0.28 0.00 0.21 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.21 0.43 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_clock (net)
0.05 0.00 0.43 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.11 0.54 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.05 0.00 0.54 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.17 0.70 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 clknet_1_1_1_clock (net)
0.12 0.00 0.70 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.24 0.94 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 clknet_2_3_0_clock (net)
0.18 0.00 0.94 ^ clkbuf_3_6_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.20 1.15 ^ clkbuf_3_6_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 clknet_3_6_0_clock (net)
0.11 0.00 1.15 ^ clkbuf_4_12_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.90 0.75 1.90 ^ clkbuf_4_12_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
14 0.17 clknet_4_12_0_clock (net)
0.90 0.00 1.90 ^ clkbuf_leaf_82_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.08 0.32 2.22 ^ clkbuf_leaf_82_clock/X (sky130_fd_sc_hd__clkbuf_16)
12 0.04 clknet_leaf_82_clock (net)
0.08 0.00 2.22 ^ _19164_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 2.47 clock uncertainty
-0.07 2.40 clock reconvergence pessimism
-0.03 2.38 library hold time
2.38 data required time
-----------------------------------------------------------------------------
2.38 data required time
-2.46 data arrival time
-----------------------------------------------------------------------------
0.08 slack (MET)