blob: 9f9d356c17393bafe40214e72d53a381ec16bab3 [file] [log] [blame]
===========================================================================
report_checks -path_delay max (Setup)
============================================================================
Startpoint: _19838_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: io_ibus_addr[31] (output port clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.28 0.21 0.21 ^ clock (in)
1 0.06 clock (net)
0.28 0.00 0.21 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.21 0.43 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_clock (net)
0.05 0.00 0.43 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.11 0.54 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.05 0.00 0.54 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.17 0.70 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 clknet_1_1_1_clock (net)
0.12 0.00 0.70 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.24 0.94 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 clknet_2_3_0_clock (net)
0.18 0.00 0.94 ^ clkbuf_3_7_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.21 1.16 ^ clkbuf_3_7_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 clknet_3_7_0_clock (net)
0.12 0.00 1.16 ^ clkbuf_4_14_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.92 0.77 1.93 ^ clkbuf_4_14_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
13 0.17 clknet_4_14_0_clock (net)
0.92 0.00 1.93 ^ clkbuf_leaf_116_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.31 2.24 ^ clkbuf_leaf_116_clock/X (sky130_fd_sc_hd__clkbuf_16)
7 0.02 clknet_leaf_116_clock (net)
0.07 0.00 2.24 ^ _19838_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.04 0.32 2.56 v _19838_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 ctrl._T_135[3] (net)
0.04 0.00 2.56 v _09078_/A (sky130_fd_sc_hd__or4bb_2)
0.14 0.73 3.29 v _09078_/X (sky130_fd_sc_hd__or4bb_2)
2 0.02 _03527_ (net)
0.14 0.00 3.30 v _09079_/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.09 0.24 3.53 v _09079_/X (sky130_fd_sc_hd__dlymetal6s2s_1)
5 0.02 _03528_ (net)
0.09 0.00 3.53 v _09180_/B (sky130_fd_sc_hd__or4_2)
0.14 0.75 4.28 v _09180_/X (sky130_fd_sc_hd__or4_2)
3 0.01 _03623_ (net)
0.14 0.00 4.28 v _09181_/B (sky130_fd_sc_hd__and2b_1)
0.05 0.23 4.51 v _09181_/X (sky130_fd_sc_hd__and2b_1)
3 0.01 _03624_ (net)
0.05 0.00 4.51 v _11505_/B (sky130_fd_sc_hd__and2b_2)
0.08 0.26 4.77 v _11505_/X (sky130_fd_sc_hd__and2b_2)
5 0.02 _05945_ (net)
0.08 0.00 4.77 v _11673_/S (sky130_fd_sc_hd__mux2_1)
0.06 0.34 5.11 v _11673_/X (sky130_fd_sc_hd__mux2_1)
1 0.00 _06105_ (net)
0.06 0.00 5.11 v _11674_/A2 (sky130_fd_sc_hd__a21o_2)
0.09 0.29 5.40 v _11674_/X (sky130_fd_sc_hd__a21o_2)
2 0.03 _06106_ (net)
0.09 0.00 5.40 v _11715_/B1 (sky130_fd_sc_hd__o21ai_2)
0.20 0.12 5.52 ^ _11715_/Y (sky130_fd_sc_hd__o21ai_2)
3 0.01 _06145_ (net)
0.20 0.00 5.52 ^ _11716_/C (sky130_fd_sc_hd__and4b_1)
0.11 0.27 5.79 ^ _11716_/X (sky130_fd_sc_hd__and4b_1)
2 0.01 _06146_ (net)
0.11 0.00 5.79 ^ _11717_/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.21 6.01 ^ _11717_/X (sky130_fd_sc_hd__clkbuf_2)
5 0.03 _06147_ (net)
0.15 0.00 6.01 ^ _11842_/A (sky130_fd_sc_hd__nand4_4)
0.14 0.15 6.16 v _11842_/Y (sky130_fd_sc_hd__nand4_4)
5 0.02 _06264_ (net)
0.14 0.00 6.16 v _11950_/A (sky130_fd_sc_hd__or4_2)
0.14 0.78 6.95 v _11950_/X (sky130_fd_sc_hd__or4_2)
4 0.01 _06364_ (net)
0.14 0.00 6.95 v _12025_/B (sky130_fd_sc_hd__or4_2)
0.14 0.76 7.71 v _12025_/X (sky130_fd_sc_hd__or4_2)
4 0.01 _06433_ (net)
0.14 0.00 7.71 v _12140_/B (sky130_fd_sc_hd__nor4_1)
0.48 0.50 8.21 ^ _12140_/Y (sky130_fd_sc_hd__nor4_1)
2 0.01 _06540_ (net)
0.48 0.00 8.21 ^ _12163_/A2 (sky130_fd_sc_hd__a21oi_2)
0.11 0.16 8.37 v _12163_/Y (sky130_fd_sc_hd__a21oi_2)
3 0.01 _06561_ (net)
0.11 0.00 8.37 v _12212_/A2 (sky130_fd_sc_hd__o31a_1)
0.06 0.32 8.69 v _12212_/X (sky130_fd_sc_hd__o31a_1)
2 0.00 _06606_ (net)
0.06 0.00 8.69 v _12213_/B (sky130_fd_sc_hd__nor2_1)
0.17 0.16 8.85 ^ _12213_/Y (sky130_fd_sc_hd__nor2_1)
3 0.01 _06607_ (net)
0.17 0.00 8.85 ^ _12262_/A1 (sky130_fd_sc_hd__a21o_2)
0.11 0.22 9.07 ^ _12262_/X (sky130_fd_sc_hd__a21o_2)
2 0.02 _06652_ (net)
0.11 0.00 9.07 ^ _12282_/B1_N (sky130_fd_sc_hd__a21boi_1)
0.20 0.24 9.31 ^ _12282_/Y (sky130_fd_sc_hd__a21boi_1)
2 0.01 _06670_ (net)
0.20 0.00 9.31 ^ _12306_/B1_N (sky130_fd_sc_hd__a21bo_1)
0.06 0.27 9.59 v _12306_/X (sky130_fd_sc_hd__a21bo_1)
2 0.01 _06692_ (net)
0.06 0.00 9.59 v _12331_/B1 (sky130_fd_sc_hd__a21oi_2)
0.26 0.24 9.83 ^ _12331_/Y (sky130_fd_sc_hd__a21oi_2)
2 0.02 _06715_ (net)
0.26 0.00 9.83 ^ _12332_/B (sky130_fd_sc_hd__xnor2_4)
0.25 0.20 10.03 ^ _12332_/Y (sky130_fd_sc_hd__xnor2_4)
3 0.03 _06716_ (net)
0.25 0.00 10.03 ^ _12334_/A (sky130_fd_sc_hd__xor2_4)
0.20 0.25 10.28 ^ _12334_/X (sky130_fd_sc_hd__xor2_4)
2 0.02 _06718_ (net)
0.20 0.00 10.28 ^ _12347_/B (sky130_fd_sc_hd__nand2_1)
0.06 0.10 10.38 v _12347_/Y (sky130_fd_sc_hd__nand2_1)
2 0.00 _06729_ (net)
0.06 0.00 10.38 v _12348_/B (sky130_fd_sc_hd__or4bb_1)
0.10 0.53 10.91 v _12348_/X (sky130_fd_sc_hd__or4bb_1)
2 0.01 _06730_ (net)
0.10 0.00 10.91 v _12362_/A1 (sky130_fd_sc_hd__a21o_1)
0.08 0.23 11.14 v _12362_/X (sky130_fd_sc_hd__a21o_1)
4 0.02 _06744_ (net)
0.08 0.00 11.14 v _12420_/A2 (sky130_fd_sc_hd__a311o_1)
0.10 0.40 11.54 v _12420_/X (sky130_fd_sc_hd__a311o_1)
2 0.01 _06796_ (net)
0.10 0.00 11.54 v _12431_/A2 (sky130_fd_sc_hd__a21o_2)
0.10 0.32 11.86 v _12431_/X (sky130_fd_sc_hd__a21o_2)
3 0.03 _06807_ (net)
0.10 0.00 11.86 v _12433_/A2 (sky130_fd_sc_hd__a21boi_2)
0.24 0.28 12.14 ^ _12433_/Y (sky130_fd_sc_hd__a21boi_2)
1 0.02 _06808_ (net)
0.24 0.00 12.14 ^ _12437_/A2 (sky130_fd_sc_hd__o31a_1)
0.16 0.26 12.41 ^ _12437_/X (sky130_fd_sc_hd__o31a_1)
2 0.01 _06812_ (net)
0.16 0.00 12.41 ^ _12441_/B1 (sky130_fd_sc_hd__o22a_4)
0.12 0.24 12.64 ^ _12441_/X (sky130_fd_sc_hd__o22a_4)
1 0.04 net166 (net)
0.12 0.00 12.65 ^ output166/A (sky130_fd_sc_hd__buf_2)
0.18 0.25 12.90 ^ output166/X (sky130_fd_sc_hd__buf_2)
1 0.03 io_ibus_addr[31] (net)
0.18 0.00 12.90 ^ io_ibus_addr[31] (out)
12.90 data arrival time
20.00 20.00 clock clock (rise edge)
0.00 20.00 clock network delay (propagated)
-0.25 19.75 clock uncertainty
0.00 19.75 clock reconvergence pessimism
-4.00 15.75 output external delay
15.75 data required time
-----------------------------------------------------------------------------
15.75 data required time
-12.90 data arrival time
-----------------------------------------------------------------------------
2.85 slack (MET)
Startpoint: _19838_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: io_ibus_addr[30] (output port clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.28 0.21 0.21 ^ clock (in)
1 0.06 clock (net)
0.28 0.00 0.21 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.21 0.43 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_clock (net)
0.05 0.00 0.43 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.11 0.54 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.05 0.00 0.54 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.17 0.70 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 clknet_1_1_1_clock (net)
0.12 0.00 0.70 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.24 0.94 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 clknet_2_3_0_clock (net)
0.18 0.00 0.94 ^ clkbuf_3_7_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.21 1.16 ^ clkbuf_3_7_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 clknet_3_7_0_clock (net)
0.12 0.00 1.16 ^ clkbuf_4_14_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.92 0.77 1.93 ^ clkbuf_4_14_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
13 0.17 clknet_4_14_0_clock (net)
0.92 0.00 1.93 ^ clkbuf_leaf_116_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.31 2.24 ^ clkbuf_leaf_116_clock/X (sky130_fd_sc_hd__clkbuf_16)
7 0.02 clknet_leaf_116_clock (net)
0.07 0.00 2.24 ^ _19838_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.04 0.32 2.56 v _19838_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 ctrl._T_135[3] (net)
0.04 0.00 2.56 v _09078_/A (sky130_fd_sc_hd__or4bb_2)
0.14 0.73 3.29 v _09078_/X (sky130_fd_sc_hd__or4bb_2)
2 0.02 _03527_ (net)
0.14 0.00 3.30 v _09079_/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.09 0.24 3.53 v _09079_/X (sky130_fd_sc_hd__dlymetal6s2s_1)
5 0.02 _03528_ (net)
0.09 0.00 3.53 v _09180_/B (sky130_fd_sc_hd__or4_2)
0.14 0.75 4.28 v _09180_/X (sky130_fd_sc_hd__or4_2)
3 0.01 _03623_ (net)
0.14 0.00 4.28 v _09181_/B (sky130_fd_sc_hd__and2b_1)
0.05 0.23 4.51 v _09181_/X (sky130_fd_sc_hd__and2b_1)
3 0.01 _03624_ (net)
0.05 0.00 4.51 v _11505_/B (sky130_fd_sc_hd__and2b_2)
0.08 0.26 4.77 v _11505_/X (sky130_fd_sc_hd__and2b_2)
5 0.02 _05945_ (net)
0.08 0.00 4.77 v _11673_/S (sky130_fd_sc_hd__mux2_1)
0.06 0.34 5.11 v _11673_/X (sky130_fd_sc_hd__mux2_1)
1 0.00 _06105_ (net)
0.06 0.00 5.11 v _11674_/A2 (sky130_fd_sc_hd__a21o_2)
0.09 0.29 5.40 v _11674_/X (sky130_fd_sc_hd__a21o_2)
2 0.03 _06106_ (net)
0.09 0.00 5.40 v _11715_/B1 (sky130_fd_sc_hd__o21ai_2)
0.20 0.12 5.52 ^ _11715_/Y (sky130_fd_sc_hd__o21ai_2)
3 0.01 _06145_ (net)
0.20 0.00 5.52 ^ _11716_/C (sky130_fd_sc_hd__and4b_1)
0.11 0.27 5.79 ^ _11716_/X (sky130_fd_sc_hd__and4b_1)
2 0.01 _06146_ (net)
0.11 0.00 5.79 ^ _11717_/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.21 6.01 ^ _11717_/X (sky130_fd_sc_hd__clkbuf_2)
5 0.03 _06147_ (net)
0.15 0.00 6.01 ^ _11842_/A (sky130_fd_sc_hd__nand4_4)
0.14 0.15 6.16 v _11842_/Y (sky130_fd_sc_hd__nand4_4)
5 0.02 _06264_ (net)
0.14 0.00 6.16 v _11950_/A (sky130_fd_sc_hd__or4_2)
0.14 0.78 6.95 v _11950_/X (sky130_fd_sc_hd__or4_2)
4 0.01 _06364_ (net)
0.14 0.00 6.95 v _12025_/B (sky130_fd_sc_hd__or4_2)
0.14 0.76 7.71 v _12025_/X (sky130_fd_sc_hd__or4_2)
4 0.01 _06433_ (net)
0.14 0.00 7.71 v _12140_/B (sky130_fd_sc_hd__nor4_1)
0.48 0.50 8.21 ^ _12140_/Y (sky130_fd_sc_hd__nor4_1)
2 0.01 _06540_ (net)
0.48 0.00 8.21 ^ _12163_/A2 (sky130_fd_sc_hd__a21oi_2)
0.11 0.16 8.37 v _12163_/Y (sky130_fd_sc_hd__a21oi_2)
3 0.01 _06561_ (net)
0.11 0.00 8.37 v _12212_/A2 (sky130_fd_sc_hd__o31a_1)
0.06 0.32 8.69 v _12212_/X (sky130_fd_sc_hd__o31a_1)
2 0.00 _06606_ (net)
0.06 0.00 8.69 v _12213_/B (sky130_fd_sc_hd__nor2_1)
0.17 0.16 8.85 ^ _12213_/Y (sky130_fd_sc_hd__nor2_1)
3 0.01 _06607_ (net)
0.17 0.00 8.85 ^ _12262_/A1 (sky130_fd_sc_hd__a21o_2)
0.11 0.22 9.07 ^ _12262_/X (sky130_fd_sc_hd__a21o_2)
2 0.02 _06652_ (net)
0.11 0.00 9.07 ^ _12282_/B1_N (sky130_fd_sc_hd__a21boi_1)
0.20 0.24 9.31 ^ _12282_/Y (sky130_fd_sc_hd__a21boi_1)
2 0.01 _06670_ (net)
0.20 0.00 9.31 ^ _12306_/B1_N (sky130_fd_sc_hd__a21bo_1)
0.06 0.27 9.59 v _12306_/X (sky130_fd_sc_hd__a21bo_1)
2 0.01 _06692_ (net)
0.06 0.00 9.59 v _12331_/B1 (sky130_fd_sc_hd__a21oi_2)
0.26 0.24 9.83 ^ _12331_/Y (sky130_fd_sc_hd__a21oi_2)
2 0.02 _06715_ (net)
0.26 0.00 9.83 ^ _12332_/B (sky130_fd_sc_hd__xnor2_4)
0.25 0.20 10.03 ^ _12332_/Y (sky130_fd_sc_hd__xnor2_4)
3 0.03 _06716_ (net)
0.25 0.00 10.03 ^ _12334_/A (sky130_fd_sc_hd__xor2_4)
0.20 0.25 10.28 ^ _12334_/X (sky130_fd_sc_hd__xor2_4)
2 0.02 _06718_ (net)
0.20 0.00 10.28 ^ _12347_/B (sky130_fd_sc_hd__nand2_1)
0.06 0.10 10.38 v _12347_/Y (sky130_fd_sc_hd__nand2_1)
2 0.00 _06729_ (net)
0.06 0.00 10.38 v _12348_/B (sky130_fd_sc_hd__or4bb_1)
0.10 0.53 10.91 v _12348_/X (sky130_fd_sc_hd__or4bb_1)
2 0.01 _06730_ (net)
0.10 0.00 10.91 v _12362_/A1 (sky130_fd_sc_hd__a21o_1)
0.08 0.23 11.14 v _12362_/X (sky130_fd_sc_hd__a21o_1)
4 0.02 _06744_ (net)
0.08 0.00 11.14 v _12407_/A2 (sky130_fd_sc_hd__a31o_1)
0.09 0.29 11.43 v _12407_/X (sky130_fd_sc_hd__a31o_1)
1 0.02 _06785_ (net)
0.09 0.00 11.43 v _12408_/B (sky130_fd_sc_hd__xor2_4)
0.45 0.43 11.87 ^ _12408_/X (sky130_fd_sc_hd__xor2_4)
3 0.06 net94 (net)
0.45 0.01 11.87 ^ _12412_/A2 (sky130_fd_sc_hd__a21bo_1)
0.08 0.21 12.09 ^ _12412_/X (sky130_fd_sc_hd__a21bo_1)
1 0.01 _06789_ (net)
0.08 0.00 12.09 ^ _12413_/B1 (sky130_fd_sc_hd__o211a_1)
0.11 0.21 12.30 ^ _12413_/X (sky130_fd_sc_hd__o211a_1)
2 0.01 _06790_ (net)
0.11 0.00 12.30 ^ _12418_/B1 (sky130_fd_sc_hd__o22a_4)
0.12 0.23 12.52 ^ _12418_/X (sky130_fd_sc_hd__o22a_4)
1 0.04 net165 (net)
0.12 0.00 12.53 ^ output165/A (sky130_fd_sc_hd__buf_2)
0.18 0.24 12.77 ^ output165/X (sky130_fd_sc_hd__buf_2)
1 0.03 io_ibus_addr[30] (net)
0.18 0.00 12.77 ^ io_ibus_addr[30] (out)
12.77 data arrival time
20.00 20.00 clock clock (rise edge)
0.00 20.00 clock network delay (propagated)
-0.25 19.75 clock uncertainty
0.00 19.75 clock reconvergence pessimism
-4.00 15.75 output external delay
15.75 data required time
-----------------------------------------------------------------------------
15.75 data required time
-12.77 data arrival time
-----------------------------------------------------------------------------
2.98 slack (MET)
Startpoint: _19838_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: io_ibus_addr[29] (output port clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.28 0.21 0.21 ^ clock (in)
1 0.06 clock (net)
0.28 0.00 0.21 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.21 0.43 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_clock (net)
0.05 0.00 0.43 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.11 0.54 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.05 0.00 0.54 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.17 0.70 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 clknet_1_1_1_clock (net)
0.12 0.00 0.70 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.24 0.94 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 clknet_2_3_0_clock (net)
0.18 0.00 0.94 ^ clkbuf_3_7_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.21 1.16 ^ clkbuf_3_7_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 clknet_3_7_0_clock (net)
0.12 0.00 1.16 ^ clkbuf_4_14_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.92 0.77 1.93 ^ clkbuf_4_14_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
13 0.17 clknet_4_14_0_clock (net)
0.92 0.00 1.93 ^ clkbuf_leaf_116_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.31 2.24 ^ clkbuf_leaf_116_clock/X (sky130_fd_sc_hd__clkbuf_16)
7 0.02 clknet_leaf_116_clock (net)
0.07 0.00 2.24 ^ _19838_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.04 0.32 2.56 v _19838_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 ctrl._T_135[3] (net)
0.04 0.00 2.56 v _09078_/A (sky130_fd_sc_hd__or4bb_2)
0.14 0.73 3.29 v _09078_/X (sky130_fd_sc_hd__or4bb_2)
2 0.02 _03527_ (net)
0.14 0.00 3.30 v _09079_/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.09 0.24 3.53 v _09079_/X (sky130_fd_sc_hd__dlymetal6s2s_1)
5 0.02 _03528_ (net)
0.09 0.00 3.53 v _09180_/B (sky130_fd_sc_hd__or4_2)
0.14 0.75 4.28 v _09180_/X (sky130_fd_sc_hd__or4_2)
3 0.01 _03623_ (net)
0.14 0.00 4.28 v _09181_/B (sky130_fd_sc_hd__and2b_1)
0.05 0.23 4.51 v _09181_/X (sky130_fd_sc_hd__and2b_1)
3 0.01 _03624_ (net)
0.05 0.00 4.51 v _11505_/B (sky130_fd_sc_hd__and2b_2)
0.08 0.26 4.77 v _11505_/X (sky130_fd_sc_hd__and2b_2)
5 0.02 _05945_ (net)
0.08 0.00 4.77 v _11673_/S (sky130_fd_sc_hd__mux2_1)
0.06 0.34 5.11 v _11673_/X (sky130_fd_sc_hd__mux2_1)
1 0.00 _06105_ (net)
0.06 0.00 5.11 v _11674_/A2 (sky130_fd_sc_hd__a21o_2)
0.09 0.29 5.40 v _11674_/X (sky130_fd_sc_hd__a21o_2)
2 0.03 _06106_ (net)
0.09 0.00 5.40 v _11715_/B1 (sky130_fd_sc_hd__o21ai_2)
0.20 0.12 5.52 ^ _11715_/Y (sky130_fd_sc_hd__o21ai_2)
3 0.01 _06145_ (net)
0.20 0.00 5.52 ^ _11716_/C (sky130_fd_sc_hd__and4b_1)
0.11 0.27 5.79 ^ _11716_/X (sky130_fd_sc_hd__and4b_1)
2 0.01 _06146_ (net)
0.11 0.00 5.79 ^ _11717_/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.21 6.01 ^ _11717_/X (sky130_fd_sc_hd__clkbuf_2)
5 0.03 _06147_ (net)
0.15 0.00 6.01 ^ _11842_/A (sky130_fd_sc_hd__nand4_4)
0.14 0.15 6.16 v _11842_/Y (sky130_fd_sc_hd__nand4_4)
5 0.02 _06264_ (net)
0.14 0.00 6.16 v _11950_/A (sky130_fd_sc_hd__or4_2)
0.14 0.78 6.95 v _11950_/X (sky130_fd_sc_hd__or4_2)
4 0.01 _06364_ (net)
0.14 0.00 6.95 v _12025_/B (sky130_fd_sc_hd__or4_2)
0.14 0.76 7.71 v _12025_/X (sky130_fd_sc_hd__or4_2)
4 0.01 _06433_ (net)
0.14 0.00 7.71 v _12140_/B (sky130_fd_sc_hd__nor4_1)
0.48 0.50 8.21 ^ _12140_/Y (sky130_fd_sc_hd__nor4_1)
2 0.01 _06540_ (net)
0.48 0.00 8.21 ^ _12163_/A2 (sky130_fd_sc_hd__a21oi_2)
0.11 0.16 8.37 v _12163_/Y (sky130_fd_sc_hd__a21oi_2)
3 0.01 _06561_ (net)
0.11 0.00 8.37 v _12212_/A2 (sky130_fd_sc_hd__o31a_1)
0.06 0.32 8.69 v _12212_/X (sky130_fd_sc_hd__o31a_1)
2 0.00 _06606_ (net)
0.06 0.00 8.69 v _12213_/B (sky130_fd_sc_hd__nor2_1)
0.17 0.16 8.85 ^ _12213_/Y (sky130_fd_sc_hd__nor2_1)
3 0.01 _06607_ (net)
0.17 0.00 8.85 ^ _12262_/A1 (sky130_fd_sc_hd__a21o_2)
0.11 0.22 9.07 ^ _12262_/X (sky130_fd_sc_hd__a21o_2)
2 0.02 _06652_ (net)
0.11 0.00 9.07 ^ _12282_/B1_N (sky130_fd_sc_hd__a21boi_1)
0.20 0.24 9.31 ^ _12282_/Y (sky130_fd_sc_hd__a21boi_1)
2 0.01 _06670_ (net)
0.20 0.00 9.31 ^ _12306_/B1_N (sky130_fd_sc_hd__a21bo_1)
0.06 0.27 9.59 v _12306_/X (sky130_fd_sc_hd__a21bo_1)
2 0.01 _06692_ (net)
0.06 0.00 9.59 v _12331_/B1 (sky130_fd_sc_hd__a21oi_2)
0.26 0.24 9.83 ^ _12331_/Y (sky130_fd_sc_hd__a21oi_2)
2 0.02 _06715_ (net)
0.26 0.00 9.83 ^ _12332_/B (sky130_fd_sc_hd__xnor2_4)
0.25 0.20 10.03 ^ _12332_/Y (sky130_fd_sc_hd__xnor2_4)
3 0.03 _06716_ (net)
0.25 0.00 10.03 ^ _12334_/A (sky130_fd_sc_hd__xor2_4)
0.20 0.25 10.28 ^ _12334_/X (sky130_fd_sc_hd__xor2_4)
2 0.02 _06718_ (net)
0.20 0.00 10.28 ^ _12347_/B (sky130_fd_sc_hd__nand2_1)
0.06 0.10 10.38 v _12347_/Y (sky130_fd_sc_hd__nand2_1)
2 0.00 _06729_ (net)
0.06 0.00 10.38 v _12348_/B (sky130_fd_sc_hd__or4bb_1)
0.10 0.53 10.91 v _12348_/X (sky130_fd_sc_hd__or4bb_1)
2 0.01 _06730_ (net)
0.10 0.00 10.91 v _12362_/A1 (sky130_fd_sc_hd__a21o_1)
0.08 0.23 11.14 v _12362_/X (sky130_fd_sc_hd__a21o_1)
4 0.02 _06744_ (net)
0.08 0.00 11.14 v _12388_/B (sky130_fd_sc_hd__nand2_2)
0.10 0.13 11.27 ^ _12388_/Y (sky130_fd_sc_hd__nand2_2)
1 0.02 _06768_ (net)
0.10 0.00 11.27 ^ _12389_/B (sky130_fd_sc_hd__xnor2_4)
0.17 0.17 11.44 v _12389_/Y (sky130_fd_sc_hd__xnor2_4)
3 0.06 net92 (net)
0.17 0.00 11.45 v _12392_/A1 (sky130_fd_sc_hd__mux2_1)
0.07 0.38 11.83 v _12392_/X (sky130_fd_sc_hd__mux2_1)
1 0.01 _06771_ (net)
0.07 0.00 11.83 v _12393_/B2 (sky130_fd_sc_hd__o221a_1)
0.08 0.26 12.09 v _12393_/X (sky130_fd_sc_hd__o221a_1)
2 0.01 _06772_ (net)
0.08 0.00 12.09 v _12397_/B1 (sky130_fd_sc_hd__o22a_4)
0.09 0.29 12.38 v _12397_/X (sky130_fd_sc_hd__o22a_4)
1 0.04 net163 (net)
0.09 0.01 12.39 v output163/A (sky130_fd_sc_hd__buf_2)
0.09 0.21 12.60 v output163/X (sky130_fd_sc_hd__buf_2)
1 0.03 io_ibus_addr[29] (net)
0.09 0.00 12.60 v io_ibus_addr[29] (out)
12.60 data arrival time
20.00 20.00 clock clock (rise edge)
0.00 20.00 clock network delay (propagated)
-0.25 19.75 clock uncertainty
0.00 19.75 clock reconvergence pessimism
-4.00 15.75 output external delay
15.75 data required time
-----------------------------------------------------------------------------
15.75 data required time
-12.60 data arrival time
-----------------------------------------------------------------------------
3.15 slack (MET)
Startpoint: _18779_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: io_ibus_addr[22] (output port clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.28 0.21 0.21 ^ clock (in)
1 0.06 clock (net)
0.28 0.00 0.21 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.21 0.43 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_clock (net)
0.05 0.00 0.43 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.11 0.54 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.05 0.00 0.54 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.17 0.70 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 clknet_1_1_1_clock (net)
0.12 0.00 0.70 ^ clkbuf_2_2_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.19 0.24 0.95 ^ clkbuf_2_2_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 clknet_2_2_0_clock (net)
0.19 0.00 0.95 ^ clkbuf_3_5_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.21 1.15 ^ clkbuf_3_5_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 clknet_3_5_0_clock (net)
0.11 0.00 1.16 ^ clkbuf_4_10_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.50 0.47 1.62 ^ clkbuf_4_10_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
8 0.09 clknet_4_10_0_clock (net)
0.50 0.00 1.63 ^ clkbuf_leaf_146_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.06 0.26 1.89 ^ clkbuf_leaf_146_clock/X (sky130_fd_sc_hd__clkbuf_16)
8 0.03 clknet_leaf_146_clock (net)
0.06 0.00 1.89 ^ _18779_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.21 0.45 2.34 ^ _18779_/Q (sky130_fd_sc_hd__dfxtp_1)
4 0.02 _00005_ (net)
0.21 0.00 2.34 ^ _09382_/A (sky130_fd_sc_hd__clkbuf_2)
0.14 0.24 2.57 ^ _09382_/X (sky130_fd_sc_hd__clkbuf_2)
5 0.03 _03824_ (net)
0.14 0.00 2.57 ^ _09383_/A (sky130_fd_sc_hd__buf_4)
0.17 0.25 2.83 ^ _09383_/X (sky130_fd_sc_hd__buf_4)
5 0.06 _03825_ (net)
0.17 0.00 2.83 ^ _09384_/A (sky130_fd_sc_hd__buf_2)
0.14 0.23 3.06 ^ _09384_/X (sky130_fd_sc_hd__buf_2)
5 0.03 _03826_ (net)
0.14 0.00 3.06 ^ _09385_/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.24 3.30 ^ _09385_/X (sky130_fd_sc_hd__clkbuf_4)
5 0.04 _03827_ (net)
0.12 0.00 3.30 ^ _09598_/A (sky130_fd_sc_hd__clkbuf_4)
0.14 0.25 3.54 ^ _09598_/X (sky130_fd_sc_hd__clkbuf_4)
5 0.04 _04040_ (net)
0.14 0.00 3.55 ^ _10654_/A (sky130_fd_sc_hd__buf_4)
0.15 0.24 3.78 ^ _10654_/X (sky130_fd_sc_hd__buf_4)
5 0.05 _05096_ (net)
0.15 0.01 3.79 ^ _10752_/S0 (sky130_fd_sc_hd__mux4_1)
0.12 0.65 4.44 v _10752_/X (sky130_fd_sc_hd__mux4_1)
1 0.01 _05194_ (net)
0.12 0.00 4.44 v _10753_/B (sky130_fd_sc_hd__or2_1)
0.06 0.26 4.70 v _10753_/X (sky130_fd_sc_hd__or2_1)
1 0.01 _05195_ (net)
0.06 0.00 4.70 v _10760_/A2 (sky130_fd_sc_hd__a311o_4)
0.09 0.44 5.14 v _10760_/X (sky130_fd_sc_hd__a311o_4)
1 0.03 _05202_ (net)
0.09 0.00 5.14 v _10772_/A2 (sky130_fd_sc_hd__a32o_2)
0.09 0.35 5.50 v _10772_/X (sky130_fd_sc_hd__a32o_2)
4 0.02 _05214_ (net)
0.09 0.00 5.50 v _10797_/A (sky130_fd_sc_hd__or2_1)
0.09 0.30 5.80 v _10797_/X (sky130_fd_sc_hd__or2_1)
4 0.01 _05239_ (net)
0.09 0.00 5.80 v _11296_/A2 (sky130_fd_sc_hd__a311o_1)
0.09 0.39 6.18 v _11296_/X (sky130_fd_sc_hd__a311o_1)
3 0.01 _05738_ (net)
0.09 0.00 6.18 v _11346_/A3 (sky130_fd_sc_hd__a41o_1)
0.08 0.30 6.48 v _11346_/X (sky130_fd_sc_hd__a41o_1)
3 0.01 _05788_ (net)
0.08 0.00 6.48 v _11348_/A2 (sky130_fd_sc_hd__a211o_1)
0.09 0.36 6.85 v _11348_/X (sky130_fd_sc_hd__a211o_1)
4 0.01 _05790_ (net)
0.09 0.00 6.85 v _11350_/A3 (sky130_fd_sc_hd__a311o_1)
0.10 0.43 7.28 v _11350_/X (sky130_fd_sc_hd__a311o_1)
4 0.01 _05792_ (net)
0.10 0.00 7.28 v _11353_/A3 (sky130_fd_sc_hd__a311o_1)
0.10 0.44 7.72 v _11353_/X (sky130_fd_sc_hd__a311o_1)
4 0.01 _05795_ (net)
0.10 0.00 7.72 v _11356_/A3 (sky130_fd_sc_hd__a311o_1)
0.10 0.45 8.17 v _11356_/X (sky130_fd_sc_hd__a311o_1)
4 0.01 _05798_ (net)
0.10 0.00 8.17 v _11359_/A3 (sky130_fd_sc_hd__a311o_1)
0.11 0.45 8.62 v _11359_/X (sky130_fd_sc_hd__a311o_1)
5 0.01 _05801_ (net)
0.11 0.00 8.62 v _11429_/A3 (sky130_fd_sc_hd__a32o_1)
0.04 0.29 8.91 v _11429_/X (sky130_fd_sc_hd__a32o_1)
1 0.00 _05871_ (net)
0.04 0.00 8.91 v _11478_/A2 (sky130_fd_sc_hd__a221o_1)
0.06 0.36 9.27 v _11478_/X (sky130_fd_sc_hd__a221o_1)
1 0.00 _05920_ (net)
0.06 0.00 9.27 v _11480_/C1 (sky130_fd_sc_hd__a2111o_1)
0.06 0.36 9.63 v _11480_/X (sky130_fd_sc_hd__a2111o_1)
1 0.00 _05922_ (net)
0.06 0.00 9.63 v _11481_/C1 (sky130_fd_sc_hd__a221o_2)
0.11 0.40 10.03 v _11481_/X (sky130_fd_sc_hd__a221o_2)
2 0.03 _05923_ (net)
0.11 0.00 10.03 v _11482_/C_N (sky130_fd_sc_hd__or3b_1)
0.03 0.19 10.22 ^ _11482_/X (sky130_fd_sc_hd__or3b_1)
1 0.00 _05924_ (net)
0.03 0.00 10.22 ^ _11495_/A (sky130_fd_sc_hd__and3_2)
0.15 0.26 10.48 ^ _11495_/X (sky130_fd_sc_hd__and3_2)
5 0.03 _05937_ (net)
0.15 0.00 10.48 ^ _11548_/A (sky130_fd_sc_hd__nand2_1)
0.08 0.10 10.58 v _11548_/Y (sky130_fd_sc_hd__nand2_1)
3 0.01 _05985_ (net)
0.08 0.00 10.58 v _11641_/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.10 0.21 10.80 v _11641_/X (sky130_fd_sc_hd__dlymetal6s2s_1)
5 0.02 _06074_ (net)
0.10 0.00 10.80 v _11642_/A (sky130_fd_sc_hd__buf_2)
0.07 0.20 10.99 v _11642_/X (sky130_fd_sc_hd__buf_2)
5 0.03 _06075_ (net)
0.07 0.00 10.99 v _11643_/A (sky130_fd_sc_hd__clkbuf_2)
0.07 0.16 11.15 v _11643_/X (sky130_fd_sc_hd__clkbuf_2)
5 0.02 _06076_ (net)
0.07 0.00 11.15 v _12229_/A (sky130_fd_sc_hd__or3_1)
0.08 0.41 11.56 v _12229_/X (sky130_fd_sc_hd__or3_1)
1 0.00 _06622_ (net)
0.08 0.00 11.56 v _12232_/A3 (sky130_fd_sc_hd__a31o_1)
0.08 0.28 11.84 v _12232_/X (sky130_fd_sc_hd__a31o_1)
2 0.01 _06625_ (net)
0.08 0.00 11.85 v _12237_/A1_N (sky130_fd_sc_hd__o2bb2a_2)
0.18 0.38 12.23 ^ _12237_/X (sky130_fd_sc_hd__o2bb2a_2)
1 0.03 net156 (net)
0.18 0.00 12.23 ^ output156/A (sky130_fd_sc_hd__buf_2)
0.18 0.26 12.49 ^ output156/X (sky130_fd_sc_hd__buf_2)
1 0.03 io_ibus_addr[22] (net)
0.18 0.00 12.49 ^ io_ibus_addr[22] (out)
12.49 data arrival time
20.00 20.00 clock clock (rise edge)
0.00 20.00 clock network delay (propagated)
-0.25 19.75 clock uncertainty
0.00 19.75 clock reconvergence pessimism
-4.00 15.75 output external delay
15.75 data required time
-----------------------------------------------------------------------------
15.75 data required time
-12.49 data arrival time
-----------------------------------------------------------------------------
3.26 slack (MET)
Startpoint: _19838_ (rising edge-triggered flip-flop clocked by clock)
Endpoint: io_ibus_addr[28] (output port clocked by clock)
Path Group: clock
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clock (rise edge)
0.00 0.00 clock source latency
0.28 0.21 0.21 ^ clock (in)
1 0.06 clock (net)
0.28 0.00 0.21 ^ clkbuf_0_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.05 0.21 0.43 ^ clkbuf_0_clock/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_clock (net)
0.05 0.00 0.43 ^ clkbuf_1_1_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.05 0.11 0.54 ^ clkbuf_1_1_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
1 0.01 clknet_1_1_0_clock (net)
0.05 0.00 0.54 ^ clkbuf_1_1_1_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.17 0.70 ^ clkbuf_1_1_1_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 clknet_1_1_1_clock (net)
0.12 0.00 0.70 ^ clkbuf_2_3_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.18 0.24 0.94 ^ clkbuf_2_3_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.03 clknet_2_3_0_clock (net)
0.18 0.00 0.94 ^ clkbuf_3_7_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.21 1.16 ^ clkbuf_3_7_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 clknet_3_7_0_clock (net)
0.12 0.00 1.16 ^ clkbuf_4_14_0_clock/A (sky130_fd_sc_hd__clkbuf_2)
0.92 0.77 1.93 ^ clkbuf_4_14_0_clock/X (sky130_fd_sc_hd__clkbuf_2)
13 0.17 clknet_4_14_0_clock (net)
0.92 0.00 1.93 ^ clkbuf_leaf_116_clock/A (sky130_fd_sc_hd__clkbuf_16)
0.07 0.31 2.24 ^ clkbuf_leaf_116_clock/X (sky130_fd_sc_hd__clkbuf_16)
7 0.02 clknet_leaf_116_clock (net)
0.07 0.00 2.24 ^ _19838_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.04 0.32 2.56 v _19838_/Q (sky130_fd_sc_hd__dfxtp_1)
2 0.01 ctrl._T_135[3] (net)
0.04 0.00 2.56 v _09078_/A (sky130_fd_sc_hd__or4bb_2)
0.14 0.73 3.29 v _09078_/X (sky130_fd_sc_hd__or4bb_2)
2 0.02 _03527_ (net)
0.14 0.00 3.30 v _09079_/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.09 0.24 3.53 v _09079_/X (sky130_fd_sc_hd__dlymetal6s2s_1)
5 0.02 _03528_ (net)
0.09 0.00 3.53 v _09180_/B (sky130_fd_sc_hd__or4_2)
0.14 0.75 4.28 v _09180_/X (sky130_fd_sc_hd__or4_2)
3 0.01 _03623_ (net)
0.14 0.00 4.28 v _09181_/B (sky130_fd_sc_hd__and2b_1)
0.05 0.23 4.51 v _09181_/X (sky130_fd_sc_hd__and2b_1)
3 0.01 _03624_ (net)
0.05 0.00 4.51 v _11505_/B (sky130_fd_sc_hd__and2b_2)
0.08 0.26 4.77 v _11505_/X (sky130_fd_sc_hd__and2b_2)
5 0.02 _05945_ (net)
0.08 0.00 4.77 v _11673_/S (sky130_fd_sc_hd__mux2_1)
0.06 0.34 5.11 v _11673_/X (sky130_fd_sc_hd__mux2_1)
1 0.00 _06105_ (net)
0.06 0.00 5.11 v _11674_/A2 (sky130_fd_sc_hd__a21o_2)
0.09 0.29 5.40 v _11674_/X (sky130_fd_sc_hd__a21o_2)
2 0.03 _06106_ (net)
0.09 0.00 5.40 v _11715_/B1 (sky130_fd_sc_hd__o21ai_2)
0.20 0.12 5.52 ^ _11715_/Y (sky130_fd_sc_hd__o21ai_2)
3 0.01 _06145_ (net)
0.20 0.00 5.52 ^ _11716_/C (sky130_fd_sc_hd__and4b_1)
0.11 0.27 5.79 ^ _11716_/X (sky130_fd_sc_hd__and4b_1)
2 0.01 _06146_ (net)
0.11 0.00 5.79 ^ _11717_/A (sky130_fd_sc_hd__clkbuf_2)
0.15 0.21 6.01 ^ _11717_/X (sky130_fd_sc_hd__clkbuf_2)
5 0.03 _06147_ (net)
0.15 0.00 6.01 ^ _11842_/A (sky130_fd_sc_hd__nand4_4)
0.14 0.15 6.16 v _11842_/Y (sky130_fd_sc_hd__nand4_4)
5 0.02 _06264_ (net)
0.14 0.00 6.16 v _11950_/A (sky130_fd_sc_hd__or4_2)
0.14 0.78 6.95 v _11950_/X (sky130_fd_sc_hd__or4_2)
4 0.01 _06364_ (net)
0.14 0.00 6.95 v _12025_/B (sky130_fd_sc_hd__or4_2)
0.14 0.76 7.71 v _12025_/X (sky130_fd_sc_hd__or4_2)
4 0.01 _06433_ (net)
0.14 0.00 7.71 v _12140_/B (sky130_fd_sc_hd__nor4_1)
0.48 0.50 8.21 ^ _12140_/Y (sky130_fd_sc_hd__nor4_1)
2 0.01 _06540_ (net)
0.48 0.00 8.21 ^ _12163_/A2 (sky130_fd_sc_hd__a21oi_2)
0.11 0.16 8.37 v _12163_/Y (sky130_fd_sc_hd__a21oi_2)
3 0.01 _06561_ (net)
0.11 0.00 8.37 v _12212_/A2 (sky130_fd_sc_hd__o31a_1)
0.06 0.32 8.69 v _12212_/X (sky130_fd_sc_hd__o31a_1)
2 0.00 _06606_ (net)
0.06 0.00 8.69 v _12213_/B (sky130_fd_sc_hd__nor2_1)
0.17 0.16 8.85 ^ _12213_/Y (sky130_fd_sc_hd__nor2_1)
3 0.01 _06607_ (net)
0.17 0.00 8.85 ^ _12262_/A1 (sky130_fd_sc_hd__a21o_2)
0.11 0.22 9.07 ^ _12262_/X (sky130_fd_sc_hd__a21o_2)
2 0.02 _06652_ (net)
0.11 0.00 9.07 ^ _12282_/B1_N (sky130_fd_sc_hd__a21boi_1)
0.20 0.24 9.31 ^ _12282_/Y (sky130_fd_sc_hd__a21boi_1)
2 0.01 _06670_ (net)
0.20 0.00 9.31 ^ _12306_/B1_N (sky130_fd_sc_hd__a21bo_1)
0.06 0.27 9.59 v _12306_/X (sky130_fd_sc_hd__a21bo_1)
2 0.01 _06692_ (net)
0.06 0.00 9.59 v _12331_/B1 (sky130_fd_sc_hd__a21oi_2)
0.26 0.24 9.83 ^ _12331_/Y (sky130_fd_sc_hd__a21oi_2)
2 0.02 _06715_ (net)
0.26 0.00 9.83 ^ _12332_/B (sky130_fd_sc_hd__xnor2_4)
0.25 0.20 10.03 ^ _12332_/Y (sky130_fd_sc_hd__xnor2_4)
3 0.03 _06716_ (net)
0.25 0.00 10.03 ^ _12334_/A (sky130_fd_sc_hd__xor2_4)
0.20 0.25 10.28 ^ _12334_/X (sky130_fd_sc_hd__xor2_4)
2 0.02 _06718_ (net)
0.20 0.00 10.28 ^ _12347_/B (sky130_fd_sc_hd__nand2_1)
0.06 0.10 10.38 v _12347_/Y (sky130_fd_sc_hd__nand2_1)
2 0.00 _06729_ (net)
0.06 0.00 10.38 v _12348_/B (sky130_fd_sc_hd__or4bb_1)
0.10 0.53 10.91 v _12348_/X (sky130_fd_sc_hd__or4bb_1)
2 0.01 _06730_ (net)
0.10 0.00 10.91 v _12363_/A (sky130_fd_sc_hd__nand3_1)
0.06 0.09 11.00 ^ _12363_/Y (sky130_fd_sc_hd__nand3_1)
1 0.00 _06745_ (net)
0.06 0.00 11.00 ^ _12364_/B (sky130_fd_sc_hd__and2_1)
0.06 0.14 11.14 ^ _12364_/X (sky130_fd_sc_hd__and2_1)
1 0.00 _06746_ (net)
0.06 0.00 11.14 ^ _12365_/A (sky130_fd_sc_hd__buf_4)
0.14 0.20 11.34 ^ _12365_/X (sky130_fd_sc_hd__buf_4)
3 0.05 net91 (net)
0.14 0.00 11.34 ^ _12369_/B (sky130_fd_sc_hd__nand2_1)
0.06 0.09 11.43 v _12369_/Y (sky130_fd_sc_hd__nand2_1)
1 0.00 _06750_ (net)
0.06 0.00 11.43 v _12374_/A2 (sky130_fd_sc_hd__a31o_1)
0.07 0.25 11.68 v _12374_/X (sky130_fd_sc_hd__a31o_1)
1 0.01 _06755_ (net)
0.07 0.00 11.68 v _12375_/C1 (sky130_fd_sc_hd__o311a_1)
0.10 0.17 11.85 v _12375_/X (sky130_fd_sc_hd__o311a_1)
2 0.01 _06756_ (net)
0.10 0.00 11.85 v _12376_/B1 (sky130_fd_sc_hd__a21oi_4)
0.28 0.28 12.13 ^ _12376_/Y (sky130_fd_sc_hd__a21oi_4)
1 0.04 net162 (net)
0.28 0.00 12.14 ^ output162/A (sky130_fd_sc_hd__buf_2)
0.17 0.28 12.42 ^ output162/X (sky130_fd_sc_hd__buf_2)
1 0.03 io_ibus_addr[28] (net)
0.17 0.00 12.42 ^ io_ibus_addr[28] (out)
12.42 data arrival time
20.00 20.00 clock clock (rise edge)
0.00 20.00 clock network delay (propagated)
-0.25 19.75 clock uncertainty
0.00 19.75 clock reconvergence pessimism
-4.00 15.75 output external delay
15.75 data required time
-----------------------------------------------------------------------------
15.75 data required time
-12.42 data arrival time
-----------------------------------------------------------------------------
3.33 slack (MET)