blob: 670f66c6f353e8cb9343d33d0a6a54963e8466de [file] [log] [blame]
VERSION 5.8 ;
DIVIDERCHAR "/" ;
BUSBITCHARS "[]" ;
DESIGN WBM_DBus ;
UNITS DISTANCE MICRONS 1000 ;
DIEAREA ( 0 0 ) ( 180000 180000 ) ;
ROW ROW_0 unithd 5520 10880 N DO 367 BY 1 STEP 460 0 ;
ROW ROW_1 unithd 5520 13600 FS DO 367 BY 1 STEP 460 0 ;
ROW ROW_2 unithd 5520 16320 N DO 367 BY 1 STEP 460 0 ;
ROW ROW_3 unithd 5520 19040 FS DO 367 BY 1 STEP 460 0 ;
ROW ROW_4 unithd 5520 21760 N DO 367 BY 1 STEP 460 0 ;
ROW ROW_5 unithd 5520 24480 FS DO 367 BY 1 STEP 460 0 ;
ROW ROW_6 unithd 5520 27200 N DO 367 BY 1 STEP 460 0 ;
ROW ROW_7 unithd 5520 29920 FS DO 367 BY 1 STEP 460 0 ;
ROW ROW_8 unithd 5520 32640 N DO 367 BY 1 STEP 460 0 ;
ROW ROW_9 unithd 5520 35360 FS DO 367 BY 1 STEP 460 0 ;
ROW ROW_10 unithd 5520 38080 N DO 367 BY 1 STEP 460 0 ;
ROW ROW_11 unithd 5520 40800 FS DO 367 BY 1 STEP 460 0 ;
ROW ROW_12 unithd 5520 43520 N DO 367 BY 1 STEP 460 0 ;
ROW ROW_13 unithd 5520 46240 FS DO 367 BY 1 STEP 460 0 ;
ROW ROW_14 unithd 5520 48960 N DO 367 BY 1 STEP 460 0 ;
ROW ROW_15 unithd 5520 51680 FS DO 367 BY 1 STEP 460 0 ;
ROW ROW_16 unithd 5520 54400 N DO 367 BY 1 STEP 460 0 ;
ROW ROW_17 unithd 5520 57120 FS DO 367 BY 1 STEP 460 0 ;
ROW ROW_18 unithd 5520 59840 N DO 367 BY 1 STEP 460 0 ;
ROW ROW_19 unithd 5520 62560 FS DO 367 BY 1 STEP 460 0 ;
ROW ROW_20 unithd 5520 65280 N DO 367 BY 1 STEP 460 0 ;
ROW ROW_21 unithd 5520 68000 FS DO 367 BY 1 STEP 460 0 ;
ROW ROW_22 unithd 5520 70720 N DO 367 BY 1 STEP 460 0 ;
ROW ROW_23 unithd 5520 73440 FS DO 367 BY 1 STEP 460 0 ;
ROW ROW_24 unithd 5520 76160 N DO 367 BY 1 STEP 460 0 ;
ROW ROW_25 unithd 5520 78880 FS DO 367 BY 1 STEP 460 0 ;
ROW ROW_26 unithd 5520 81600 N DO 367 BY 1 STEP 460 0 ;
ROW ROW_27 unithd 5520 84320 FS DO 367 BY 1 STEP 460 0 ;
ROW ROW_28 unithd 5520 87040 N DO 367 BY 1 STEP 460 0 ;
ROW ROW_29 unithd 5520 89760 FS DO 367 BY 1 STEP 460 0 ;
ROW ROW_30 unithd 5520 92480 N DO 367 BY 1 STEP 460 0 ;
ROW ROW_31 unithd 5520 95200 FS DO 367 BY 1 STEP 460 0 ;
ROW ROW_32 unithd 5520 97920 N DO 367 BY 1 STEP 460 0 ;
ROW ROW_33 unithd 5520 100640 FS DO 367 BY 1 STEP 460 0 ;
ROW ROW_34 unithd 5520 103360 N DO 367 BY 1 STEP 460 0 ;
ROW ROW_35 unithd 5520 106080 FS DO 367 BY 1 STEP 460 0 ;
ROW ROW_36 unithd 5520 108800 N DO 367 BY 1 STEP 460 0 ;
ROW ROW_37 unithd 5520 111520 FS DO 367 BY 1 STEP 460 0 ;
ROW ROW_38 unithd 5520 114240 N DO 367 BY 1 STEP 460 0 ;
ROW ROW_39 unithd 5520 116960 FS DO 367 BY 1 STEP 460 0 ;
ROW ROW_40 unithd 5520 119680 N DO 367 BY 1 STEP 460 0 ;
ROW ROW_41 unithd 5520 122400 FS DO 367 BY 1 STEP 460 0 ;
ROW ROW_42 unithd 5520 125120 N DO 367 BY 1 STEP 460 0 ;
ROW ROW_43 unithd 5520 127840 FS DO 367 BY 1 STEP 460 0 ;
ROW ROW_44 unithd 5520 130560 N DO 367 BY 1 STEP 460 0 ;
ROW ROW_45 unithd 5520 133280 FS DO 367 BY 1 STEP 460 0 ;
ROW ROW_46 unithd 5520 136000 N DO 367 BY 1 STEP 460 0 ;
ROW ROW_47 unithd 5520 138720 FS DO 367 BY 1 STEP 460 0 ;
ROW ROW_48 unithd 5520 141440 N DO 367 BY 1 STEP 460 0 ;
ROW ROW_49 unithd 5520 144160 FS DO 367 BY 1 STEP 460 0 ;
ROW ROW_50 unithd 5520 146880 N DO 367 BY 1 STEP 460 0 ;
ROW ROW_51 unithd 5520 149600 FS DO 367 BY 1 STEP 460 0 ;
ROW ROW_52 unithd 5520 152320 N DO 367 BY 1 STEP 460 0 ;
ROW ROW_53 unithd 5520 155040 FS DO 367 BY 1 STEP 460 0 ;
ROW ROW_54 unithd 5520 157760 N DO 367 BY 1 STEP 460 0 ;
ROW ROW_55 unithd 5520 160480 FS DO 367 BY 1 STEP 460 0 ;
ROW ROW_56 unithd 5520 163200 N DO 367 BY 1 STEP 460 0 ;
ROW ROW_57 unithd 5520 165920 FS DO 367 BY 1 STEP 460 0 ;
TRACKS X 230 DO 391 STEP 460 LAYER li1 ;
TRACKS Y 170 DO 529 STEP 340 LAYER li1 ;
TRACKS X 170 DO 529 STEP 340 LAYER met1 ;
TRACKS Y 170 DO 529 STEP 340 LAYER met1 ;
TRACKS X 230 DO 391 STEP 460 LAYER met2 ;
TRACKS Y 230 DO 391 STEP 460 LAYER met2 ;
TRACKS X 340 DO 265 STEP 680 LAYER met3 ;
TRACKS Y 340 DO 265 STEP 680 LAYER met3 ;
TRACKS X 460 DO 196 STEP 920 LAYER met4 ;
TRACKS Y 460 DO 196 STEP 920 LAYER met4 ;
TRACKS X 1700 DO 53 STEP 3400 LAYER met5 ;
TRACKS Y 1700 DO 53 STEP 3400 LAYER met5 ;
GCELLGRID X 0 DO 26 STEP 6900 ;
GCELLGRID Y 0 DO 26 STEP 6900 ;
VIAS 3 ;
- via_1600x480 + VIARULE M1M2_PR + CUTSIZE 150 150 + LAYERS met1 via met2 + CUTSPACING 170 170 + ENCLOSURE 85 165 55 165 + ROWCOL 1 5 ;
- via2_1600x480 + VIARULE M2M3_PR + CUTSIZE 200 200 + LAYERS met2 via2 met3 + CUTSPACING 200 200 + ENCLOSURE 40 140 100 65 + ROWCOL 1 4 ;
- via3_1600x480 + VIARULE M3M4_PR + CUTSIZE 200 200 + LAYERS met3 via3 met4 + CUTSPACING 200 200 + ENCLOSURE 100 60 100 140 + ROWCOL 1 4 ;
END VIAS
COMPONENTS 3309 ;
- ANTENNA__011__A sky130_fd_sc_hd__diode_2 + PLACED ( 94760 133280 ) FS ;
- ANTENNA__012__A sky130_fd_sc_hd__diode_2 + PLACED ( 104420 136000 ) FN ;
- ANTENNA__012__B sky130_fd_sc_hd__diode_2 + PLACED ( 109020 133280 ) S ;
- ANTENNA__013__B sky130_fd_sc_hd__diode_2 + PLACED ( 104880 125120 ) N ;
- ANTENNA__014__A sky130_fd_sc_hd__diode_2 + PLACED ( 101200 138720 ) FS ;
- ANTENNA__014__B sky130_fd_sc_hd__diode_2 + PLACED ( 106260 136000 ) N ;
- ANTENNA__015__B1 sky130_fd_sc_hd__diode_2 + PLACED ( 109480 130560 ) N ;
- ANTENNA__016__C1 sky130_fd_sc_hd__diode_2 + PLACED ( 94300 127840 ) FS ;
- ANTENNA__017__A sky130_fd_sc_hd__diode_2 + PLACED ( 109020 127840 ) S ;
- ANTENNA__019__A sky130_fd_sc_hd__diode_2 + PLACED ( 108100 136000 ) FN ;
- ANTENNA__019__B sky130_fd_sc_hd__diode_2 + PLACED ( 110860 133280 ) FS ;
- ANTENNA__020__A1 sky130_fd_sc_hd__diode_2 + PLACED ( 96140 136000 ) N ;
- ANTENNA__021__A sky130_fd_sc_hd__diode_2 + PLACED ( 101660 122400 ) FS ;
- ANTENNA__022__B1_N sky130_fd_sc_hd__diode_2 + PLACED ( 98440 122400 ) S ;
- ANTENNA__023__A sky130_fd_sc_hd__diode_2 + PLACED ( 92460 127840 ) S ;
- ANTENNA__024__B1_N sky130_fd_sc_hd__diode_2 + PLACED ( 92920 133280 ) FS ;
- ANTENNA__027__A sky130_fd_sc_hd__diode_2 + PLACED ( 84640 87040 ) N ;
- ANTENNA__029__A sky130_fd_sc_hd__diode_2 + PLACED ( 96140 92480 ) FN ;
- ANTENNA__030__A sky130_fd_sc_hd__diode_2 + PLACED ( 86940 84320 ) S ;
- ANTENNA__032__A sky130_fd_sc_hd__diode_2 + PLACED ( 84640 92480 ) N ;
- ANTENNA__035__A sky130_fd_sc_hd__diode_2 + PLACED ( 80960 89760 ) S ;
- ANTENNA__039__A sky130_fd_sc_hd__diode_2 + PLACED ( 99820 89760 ) S ;
- ANTENNA__040__A sky130_fd_sc_hd__diode_2 + PLACED ( 94300 84320 ) FS ;
- ANTENNA__043__A sky130_fd_sc_hd__diode_2 + PLACED ( 92000 46240 ) FS ;
- ANTENNA__049__A sky130_fd_sc_hd__diode_2 + PLACED ( 151800 89760 ) FS ;
- ANTENNA__050__A sky130_fd_sc_hd__diode_2 + PLACED ( 82800 87040 ) FN ;
- ANTENNA__060__A sky130_fd_sc_hd__diode_2 + PLACED ( 105800 92480 ) N ;
- ANTENNA__061__A sky130_fd_sc_hd__diode_2 + PLACED ( 167900 119680 ) N ;
- ANTENNA__076__A sky130_fd_sc_hd__diode_2 + PLACED ( 94760 73440 ) FS ;
- ANTENNA__078__A sky130_fd_sc_hd__diode_2 + PLACED ( 151340 87040 ) FN ;
- ANTENNA__083__A sky130_fd_sc_hd__diode_2 + PLACED ( 85560 95200 ) FS ;
- ANTENNA__084__A sky130_fd_sc_hd__diode_2 + PLACED ( 87860 81600 ) N ;
- ANTENNA__091__A sky130_fd_sc_hd__diode_2 + PLACED ( 94760 160480 ) S ;
- ANTENNA__093__A sky130_fd_sc_hd__diode_2 + PLACED ( 90620 130560 ) FN ;
- ANTENNA__105__A sky130_fd_sc_hd__diode_2 + PLACED ( 94760 95200 ) S ;
- ANTENNA__106__A sky130_fd_sc_hd__diode_2 + PLACED ( 80040 87040 ) N ;
- ANTENNA_input10_A sky130_fd_sc_hd__diode_2 + PLACED ( 168820 130560 ) FN ;
- ANTENNA_input11_A sky130_fd_sc_hd__diode_2 + PLACED ( 155940 10880 ) FN ;
- ANTENNA_input12_A sky130_fd_sc_hd__diode_2 + PLACED ( 6900 21760 ) FN ;
- ANTENNA_input13_A sky130_fd_sc_hd__diode_2 + PLACED ( 168820 155040 ) S ;
- ANTENNA_input14_A sky130_fd_sc_hd__diode_2 + PLACED ( 96140 16320 ) FN ;
- ANTENNA_input15_A sky130_fd_sc_hd__diode_2 + PLACED ( 57500 163200 ) FN ;
- ANTENNA_input16_A sky130_fd_sc_hd__diode_2 + PLACED ( 150880 163200 ) FN ;
- ANTENNA_input17_A sky130_fd_sc_hd__diode_2 + PLACED ( 168360 116960 ) S ;
- ANTENNA_input18_A sky130_fd_sc_hd__diode_2 + PLACED ( 163300 160480 ) S ;
- ANTENNA_input19_A sky130_fd_sc_hd__diode_2 + PLACED ( 16100 165920 ) S ;
- ANTENNA_input1_A sky130_fd_sc_hd__diode_2 + PLACED ( 9660 95200 ) S ;
- ANTENNA_input20_A sky130_fd_sc_hd__diode_2 + PLACED ( 168820 92480 ) FN ;
- ANTENNA_input21_A sky130_fd_sc_hd__diode_2 + PLACED ( 158240 165920 ) S ;
- ANTENNA_input22_A sky130_fd_sc_hd__diode_2 + PLACED ( 27600 163200 ) FN ;
- ANTENNA_input23_A sky130_fd_sc_hd__diode_2 + PLACED ( 168360 40800 ) S ;
- ANTENNA_input24_A sky130_fd_sc_hd__diode_2 + PLACED ( 78660 10880 ) FN ;
- ANTENNA_input25_A sky130_fd_sc_hd__diode_2 + PLACED ( 11500 19040 ) S ;
- ANTENNA_input26_A sky130_fd_sc_hd__diode_2 + PLACED ( 140300 165920 ) S ;
- ANTENNA_input27_A sky130_fd_sc_hd__diode_2 + PLACED ( 168820 146880 ) FN ;
- ANTENNA_input28_A sky130_fd_sc_hd__diode_2 + PLACED ( 60260 13600 ) S ;
- ANTENNA_input29_A sky130_fd_sc_hd__diode_2 + PLACED ( 9660 146880 ) FN ;
- ANTENNA_input2_A sky130_fd_sc_hd__diode_2 + PLACED ( 118680 10880 ) FN ;
- ANTENNA_input30_A sky130_fd_sc_hd__diode_2 + PLACED ( 47840 163200 ) FN ;
- ANTENNA_input31_A sky130_fd_sc_hd__diode_2 + PLACED ( 153180 163200 ) FN ;
- ANTENNA_input32_A sky130_fd_sc_hd__diode_2 + PLACED ( 168820 95200 ) S ;
- ANTENNA_input33_A sky130_fd_sc_hd__diode_2 + PLACED ( 6900 155040 ) S ;
- ANTENNA_input34_A sky130_fd_sc_hd__diode_2 + PLACED ( 119600 165920 ) S ;
- ANTENNA_input35_A sky130_fd_sc_hd__diode_2 + PLACED ( 171120 108800 ) FN ;
- ANTENNA_input36_A sky130_fd_sc_hd__diode_2 + PLACED ( 9200 76160 ) FN ;
- ANTENNA_input37_A sky130_fd_sc_hd__diode_2 + PLACED ( 13340 163200 ) FN ;
- ANTENNA_input38_A sky130_fd_sc_hd__diode_2 + PLACED ( 9200 51680 ) S ;
- ANTENNA_input39_A sky130_fd_sc_hd__diode_2 + PLACED ( 145360 10880 ) FN ;
- ANTENNA_input3_A sky130_fd_sc_hd__diode_2 + PLACED ( 60720 165920 ) S ;
- ANTENNA_input40_A sky130_fd_sc_hd__diode_2 + PLACED ( 11960 92480 ) FN ;
- ANTENNA_input41_A sky130_fd_sc_hd__diode_2 + PLACED ( 166060 157760 ) FN ;
- ANTENNA_input42_A sky130_fd_sc_hd__diode_2 + PLACED ( 53360 163200 ) FN ;
- ANTENNA_input43_A sky130_fd_sc_hd__diode_2 + PLACED ( 15180 13600 ) S ;
- ANTENNA_input44_A sky130_fd_sc_hd__diode_2 + PLACED ( 17020 13600 ) S ;
- ANTENNA_input45_A sky130_fd_sc_hd__diode_2 + PLACED ( 132480 165920 ) S ;
- ANTENNA_input46_A sky130_fd_sc_hd__diode_2 + PLACED ( 165600 16320 ) FN ;
- ANTENNA_input47_A sky130_fd_sc_hd__diode_2 + PLACED ( 66240 13600 ) S ;
- ANTENNA_input48_A sky130_fd_sc_hd__diode_2 + PLACED ( 168360 19040 ) S ;
- ANTENNA_input49_A sky130_fd_sc_hd__diode_2 + PLACED ( 150880 13600 ) S ;
- ANTENNA_input4_A sky130_fd_sc_hd__diode_2 + PLACED ( 171120 27200 ) FN ;
- ANTENNA_input50_A sky130_fd_sc_hd__diode_2 + PLACED ( 112240 13600 ) S ;
- ANTENNA_input51_A sky130_fd_sc_hd__diode_2 + PLACED ( 168820 97920 ) FN ;
- ANTENNA_input52_A sky130_fd_sc_hd__diode_2 + PLACED ( 10580 144160 ) S ;
- ANTENNA_input53_A sky130_fd_sc_hd__diode_2 + PLACED ( 10580 65280 ) FN ;
- ANTENNA_input54_A sky130_fd_sc_hd__diode_2 + PLACED ( 111320 165920 ) S ;
- ANTENNA_input55_A sky130_fd_sc_hd__diode_2 + PLACED ( 146740 13600 ) S ;
- ANTENNA_input56_A sky130_fd_sc_hd__diode_2 + PLACED ( 164220 157760 ) FN ;
- ANTENNA_input57_A sky130_fd_sc_hd__diode_2 + PLACED ( 73140 13600 ) S ;
- ANTENNA_input58_A sky130_fd_sc_hd__diode_2 + PLACED ( 6900 54400 ) FN ;
- ANTENNA_input59_A sky130_fd_sc_hd__diode_2 + PLACED ( 168820 149600 ) S ;
- ANTENNA_input5_A sky130_fd_sc_hd__diode_2 + PLACED ( 9200 130560 ) FN ;
- ANTENNA_input60_A sky130_fd_sc_hd__diode_2 + PLACED ( 15180 160480 ) S ;
- ANTENNA_input61_A sky130_fd_sc_hd__diode_2 + PLACED ( 168820 70720 ) FN ;
- ANTENNA_input62_A sky130_fd_sc_hd__diode_2 + PLACED ( 45540 13600 ) S ;
- ANTENNA_input63_A sky130_fd_sc_hd__diode_2 + PLACED ( 165600 103360 ) FN ;
- ANTENNA_input64_A sky130_fd_sc_hd__diode_2 + PLACED ( 163760 16320 ) FN ;
- ANTENNA_input65_A sky130_fd_sc_hd__diode_2 + PLACED ( 22080 163200 ) FN ;
- ANTENNA_input66_A sky130_fd_sc_hd__diode_2 + PLACED ( 169280 108800 ) FN ;
- ANTENNA_input67_A sky130_fd_sc_hd__diode_2 + PLACED ( 97980 16320 ) FN ;
- ANTENNA_input68_A sky130_fd_sc_hd__diode_2 + PLACED ( 41400 13600 ) S ;
- ANTENNA_input69_A sky130_fd_sc_hd__diode_2 + PLACED ( 75440 165920 ) S ;
- ANTENNA_input6_A sky130_fd_sc_hd__diode_2 + PLACED ( 86940 165920 ) S ;
- ANTENNA_input70_A sky130_fd_sc_hd__diode_2 + PLACED ( 132480 10880 ) FN ;
- ANTENNA_input71_A sky130_fd_sc_hd__diode_2 + PLACED ( 158240 13600 ) S ;
- ANTENNA_input72_A sky130_fd_sc_hd__diode_2 + PLACED ( 161460 160480 ) S ;
- ANTENNA_input73_A sky130_fd_sc_hd__diode_2 + PLACED ( 166980 70720 ) FN ;
- ANTENNA_input74_A sky130_fd_sc_hd__diode_2 + PLACED ( 72680 163200 ) FN ;
- ANTENNA_input75_A sky130_fd_sc_hd__diode_2 + PLACED ( 107640 163200 ) FN ;
- ANTENNA_input76_A sky130_fd_sc_hd__diode_2 + PLACED ( 93840 165920 ) S ;
- ANTENNA_input77_A sky130_fd_sc_hd__diode_2 + PLACED ( 6900 100640 ) S ;
- ANTENNA_input78_A sky130_fd_sc_hd__diode_2 + PLACED ( 29440 13600 ) S ;
- ANTENNA_input79_A sky130_fd_sc_hd__diode_2 + PLACED ( 168360 29920 ) S ;
- ANTENNA_input7_A sky130_fd_sc_hd__diode_2 + PLACED ( 18860 16320 ) FN ;
- ANTENNA_input80_A sky130_fd_sc_hd__diode_2 + PLACED ( 76820 10880 ) FN ;
- ANTENNA_input81_A sky130_fd_sc_hd__diode_2 + PLACED ( 166980 149600 ) S ;
- ANTENNA_input82_A sky130_fd_sc_hd__diode_2 + PLACED ( 41860 165920 ) S ;
- ANTENNA_input83_A sky130_fd_sc_hd__diode_2 + PLACED ( 114540 165920 ) S ;
- ANTENNA_input84_A sky130_fd_sc_hd__diode_2 + PLACED ( 12420 157760 ) FN ;
- ANTENNA_input85_A sky130_fd_sc_hd__diode_2 + PLACED ( 168820 48960 ) FN ;
- ANTENNA_input86_A sky130_fd_sc_hd__diode_2 + PLACED ( 14720 16320 ) FN ;
- ANTENNA_input87_A sky130_fd_sc_hd__diode_2 + PLACED ( 42320 163200 ) FN ;
- ANTENNA_input88_A sky130_fd_sc_hd__diode_2 + PLACED ( 171120 81600 ) FN ;
- ANTENNA_input8_A sky130_fd_sc_hd__diode_2 + PLACED ( 168360 133280 ) S ;
- ANTENNA_input9_A sky130_fd_sc_hd__diode_2 + PLACED ( 23460 13600 ) S ;
- ANTENNA_output107_A sky130_fd_sc_hd__diode_2 + PLACED ( 20700 16320 ) N ;
- ANTENNA_output109_A sky130_fd_sc_hd__diode_2 + PLACED ( 161920 16320 ) N ;
- ANTENNA_output111_A sky130_fd_sc_hd__diode_2 + PLACED ( 68080 165920 ) S ;
- ANTENNA_output114_A sky130_fd_sc_hd__diode_2 + PLACED ( 138460 13600 ) S ;
- ANTENNA_output116_A sky130_fd_sc_hd__diode_2 + PLACED ( 168360 43520 ) N ;
- ANTENNA_output119_A sky130_fd_sc_hd__diode_2 + PLACED ( 168360 21760 ) N ;
- ANTENNA_output122_A sky130_fd_sc_hd__diode_2 + PLACED ( 156400 13600 ) FS ;
- ANTENNA_output124_A sky130_fd_sc_hd__diode_2 + PLACED ( 169280 141440 ) FN ;
- ANTENNA_output126_A sky130_fd_sc_hd__diode_2 + PLACED ( 40480 16320 ) N ;
- ANTENNA_output127_A sky130_fd_sc_hd__diode_2 + PLACED ( 168360 62560 ) S ;
- ANTENNA_output129_A sky130_fd_sc_hd__diode_2 + PLACED ( 166520 19040 ) S ;
- ANTENNA_output135_A sky130_fd_sc_hd__diode_2 + PLACED ( 162380 157760 ) N ;
- ANTENNA_output136_A sky130_fd_sc_hd__diode_2 + PLACED ( 168360 114240 ) N ;
- ANTENNA_output139_A sky130_fd_sc_hd__diode_2 + PLACED ( 168360 65280 ) FN ;
- ANTENNA_output146_A sky130_fd_sc_hd__diode_2 + PLACED ( 9660 119680 ) N ;
- ANTENNA_output150_A sky130_fd_sc_hd__diode_2 + PLACED ( 9660 138720 ) FS ;
- ANTENNA_output159_A sky130_fd_sc_hd__diode_2 + PLACED ( 143520 163200 ) N ;
- ANTENNA_output161_A sky130_fd_sc_hd__diode_2 + PLACED ( 11500 146880 ) FN ;
- ANTENNA_output165_A sky130_fd_sc_hd__diode_2 + PLACED ( 9660 35360 ) FS ;
- ANTENNA_output167_A sky130_fd_sc_hd__diode_2 + PLACED ( 104420 10880 ) FN ;
- ANTENNA_output168_A sky130_fd_sc_hd__diode_2 + PLACED ( 127420 165920 ) FS ;
- ANTENNA_output170_A sky130_fd_sc_hd__diode_2 + PLACED ( 14260 157760 ) FN ;
- ANTENNA_output171_A sky130_fd_sc_hd__diode_2 + PLACED ( 32200 163200 ) FN ;
- ANTENNA_output172_A sky130_fd_sc_hd__diode_2 + PLACED ( 125580 13600 ) S ;
- ANTENNA_output173_A sky130_fd_sc_hd__diode_2 + PLACED ( 9660 43520 ) N ;
- ANTENNA_output174_A sky130_fd_sc_hd__diode_2 + PLACED ( 9660 152320 ) FN ;
- ANTENNA_output89_A sky130_fd_sc_hd__diode_2 + PLACED ( 166980 155040 ) FS ;
- ANTENNA_output92_A sky130_fd_sc_hd__diode_2 + PLACED ( 114080 13600 ) S ;
- ANTENNA_output95_A sky130_fd_sc_hd__diode_2 + PLACED ( 9660 122400 ) FS ;
- ANTENNA_output96_A sky130_fd_sc_hd__diode_2 + PLACED ( 9660 62560 ) FS ;
- ANTENNA_output99_A sky130_fd_sc_hd__diode_2 + PLACED ( 158240 160480 ) FS ;
- FILLER_0_103 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 52900 10880 ) N ;
- FILLER_0_110 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 56120 10880 ) N ;
- FILLER_0_113 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 57500 10880 ) N ;
- FILLER_0_119 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 60260 10880 ) N ;
- FILLER_0_126 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 63480 10880 ) N ;
- FILLER_0_13 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 11500 10880 ) N ;
- FILLER_0_138 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 69000 10880 ) N ;
- FILLER_0_141 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 70380 10880 ) N ;
- FILLER_0_147 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 73140 10880 ) N ;
- FILLER_0_153 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 75900 10880 ) N ;
- FILLER_0_157 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 77740 10880 ) N ;
- FILLER_0_161 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 79580 10880 ) N ;
- FILLER_0_166 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 81880 10880 ) N ;
- FILLER_0_173 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 85100 10880 ) N ;
- FILLER_0_177 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 86940 10880 ) N ;
- FILLER_0_182 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 89240 10880 ) N ;
- FILLER_0_19 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 14260 10880 ) N ;
- FILLER_0_194 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 94760 10880 ) N ;
- FILLER_0_207 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 100740 10880 ) N ;
- FILLER_0_213 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 103500 10880 ) N ;
- FILLER_0_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 10880 ) N ;
- FILLER_0_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 10880 ) N ;
- FILLER_0_229 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 110860 10880 ) N ;
- FILLER_0_233 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 112700 10880 ) N ;
- FILLER_0_238 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 115000 10880 ) N ;
- FILLER_0_244 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 117760 10880 ) N ;
- FILLER_0_248 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 119600 10880 ) N ;
- FILLER_0_25 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 17020 10880 ) N ;
- FILLER_0_257 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 123740 10880 ) N ;
- FILLER_0_261 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 125580 10880 ) N ;
- FILLER_0_266 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 127880 10880 ) N ;
- FILLER_0_274 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 131560 10880 ) N ;
- FILLER_0_278 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 133400 10880 ) N ;
- FILLER_0_291 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 139380 10880 ) N ;
- FILLER_0_297 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 142140 10880 ) N ;
- FILLER_0_302 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 144440 10880 ) N ;
- FILLER_0_306 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 146280 10880 ) N ;
- FILLER_0_319 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 152260 10880 ) N ;
- FILLER_0_325 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 155020 10880 ) N ;
- FILLER_0_329 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 156860 10880 ) N ;
- FILLER_0_334 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 159160 10880 ) N ;
- FILLER_0_337 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 160540 10880 ) N ;
- FILLER_0_344 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 163760 10880 ) N ;
- FILLER_0_350 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 166520 10880 ) N ;
- FILLER_0_362 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 172040 10880 ) N ;
- FILLER_0_39 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 23460 10880 ) N ;
- FILLER_0_51 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 28980 10880 ) N ;
- FILLER_0_55 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 30820 10880 ) N ;
- FILLER_0_67 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 36340 10880 ) N ;
- FILLER_0_73 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 39100 10880 ) N ;
- FILLER_0_77 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 40940 10880 ) N ;
- FILLER_0_82 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 43240 10880 ) N ;
- FILLER_0_85 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 44620 10880 ) N ;
- FILLER_0_97 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 50140 10880 ) N ;
- FILLER_10_109 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 38080 ) N ;
- FILLER_10_121 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 38080 ) N ;
- FILLER_10_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 38080 ) N ;
- FILLER_10_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 38080 ) N ;
- FILLER_10_141 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 38080 ) N ;
- FILLER_10_153 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 38080 ) N ;
- FILLER_10_165 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 38080 ) N ;
- FILLER_10_177 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 38080 ) N ;
- FILLER_10_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 38080 ) N ;
- FILLER_10_19 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 14260 38080 ) N ;
- FILLER_10_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 38080 ) N ;
- FILLER_10_197 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 38080 ) N ;
- FILLER_10_209 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 38080 ) N ;
- FILLER_10_221 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 107180 38080 ) N ;
- FILLER_10_233 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112700 38080 ) N ;
- FILLER_10_245 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 118220 38080 ) N ;
- FILLER_10_251 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 120980 38080 ) N ;
- FILLER_10_253 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 38080 ) N ;
- FILLER_10_265 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 38080 ) N ;
- FILLER_10_27 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 17940 38080 ) N ;
- FILLER_10_277 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 38080 ) N ;
- FILLER_10_289 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 138460 38080 ) N ;
- FILLER_10_29 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 38080 ) N ;
- FILLER_10_301 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 143980 38080 ) N ;
- FILLER_10_307 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 146740 38080 ) N ;
- FILLER_10_309 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 38080 ) N ;
- FILLER_10_321 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 153180 38080 ) N ;
- FILLER_10_333 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 158700 38080 ) N ;
- FILLER_10_345 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 164220 38080 ) N ;
- FILLER_10_357 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 169740 38080 ) N ;
- FILLER_10_362 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 172040 38080 ) N ;
- FILLER_10_41 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 38080 ) N ;
- FILLER_10_53 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 38080 ) N ;
- FILLER_10_65 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 38080 ) N ;
- FILLER_10_7 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 8740 38080 ) N ;
- FILLER_10_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 38080 ) N ;
- FILLER_10_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 38080 ) N ;
- FILLER_10_85 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 38080 ) N ;
- FILLER_10_97 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 38080 ) N ;
- FILLER_11_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 40800 ) FS ;
- FILLER_11_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 40800 ) FS ;
- FILLER_11_113 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 40800 ) FS ;
- FILLER_11_125 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 40800 ) FS ;
- FILLER_11_137 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 40800 ) FS ;
- FILLER_11_149 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 40800 ) FS ;
- FILLER_11_15 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 40800 ) FS ;
- FILLER_11_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 40800 ) FS ;
- FILLER_11_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 40800 ) FS ;
- FILLER_11_169 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 40800 ) FS ;
- FILLER_11_181 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 40800 ) FS ;
- FILLER_11_193 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 40800 ) FS ;
- FILLER_11_205 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 40800 ) FS ;
- FILLER_11_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 40800 ) FS ;
- FILLER_11_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 40800 ) FS ;
- FILLER_11_225 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 40800 ) FS ;
- FILLER_11_237 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 40800 ) FS ;
- FILLER_11_249 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 40800 ) FS ;
- FILLER_11_261 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 40800 ) FS ;
- FILLER_11_27 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 17940 40800 ) FS ;
- FILLER_11_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 40800 ) FS ;
- FILLER_11_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 40800 ) FS ;
- FILLER_11_281 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 40800 ) FS ;
- FILLER_11_293 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 40800 ) FS ;
- FILLER_11_3 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 40800 ) FS ;
- FILLER_11_305 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 40800 ) FS ;
- FILLER_11_317 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 40800 ) FS ;
- FILLER_11_329 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 156860 40800 ) FS ;
- FILLER_11_335 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 159620 40800 ) FS ;
- FILLER_11_337 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 160540 40800 ) FS ;
- FILLER_11_349 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 166060 40800 ) FS ;
- FILLER_11_353 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 167900 40800 ) FS ;
- FILLER_11_356 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 169280 40800 ) FS ;
- FILLER_11_362 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 172040 40800 ) FS ;
- FILLER_11_39 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 23460 40800 ) FS ;
- FILLER_11_51 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 28980 40800 ) FS ;
- FILLER_11_55 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 30820 40800 ) FS ;
- FILLER_11_57 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 40800 ) FS ;
- FILLER_11_69 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 40800 ) FS ;
- FILLER_11_81 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 40800 ) FS ;
- FILLER_11_93 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 40800 ) FS ;
- FILLER_12_109 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 43520 ) N ;
- FILLER_12_11 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 10580 43520 ) N ;
- FILLER_12_121 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 43520 ) N ;
- FILLER_12_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 43520 ) N ;
- FILLER_12_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 43520 ) N ;
- FILLER_12_141 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 43520 ) N ;
- FILLER_12_153 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 43520 ) N ;
- FILLER_12_165 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 43520 ) N ;
- FILLER_12_177 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 43520 ) N ;
- FILLER_12_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 43520 ) N ;
- FILLER_12_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 43520 ) N ;
- FILLER_12_197 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 43520 ) N ;
- FILLER_12_209 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 43520 ) N ;
- FILLER_12_221 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 107180 43520 ) N ;
- FILLER_12_23 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 16100 43520 ) N ;
- FILLER_12_233 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112700 43520 ) N ;
- FILLER_12_245 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 118220 43520 ) N ;
- FILLER_12_251 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 120980 43520 ) N ;
- FILLER_12_253 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 43520 ) N ;
- FILLER_12_265 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 43520 ) N ;
- FILLER_12_27 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 17940 43520 ) N ;
- FILLER_12_277 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 43520 ) N ;
- FILLER_12_289 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 138460 43520 ) N ;
- FILLER_12_29 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 43520 ) N ;
- FILLER_12_301 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 143980 43520 ) N ;
- FILLER_12_307 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 146740 43520 ) N ;
- FILLER_12_309 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 43520 ) N ;
- FILLER_12_321 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 153180 43520 ) N ;
- FILLER_12_333 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 158700 43520 ) N ;
- FILLER_12_345 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 164220 43520 ) N ;
- FILLER_12_353 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 167900 43520 ) N ;
- FILLER_12_356 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 169280 43520 ) N ;
- FILLER_12_362 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 172040 43520 ) N ;
- FILLER_12_41 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 43520 ) N ;
- FILLER_12_53 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 43520 ) N ;
- FILLER_12_65 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 43520 ) N ;
- FILLER_12_7 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 8740 43520 ) N ;
- FILLER_12_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 43520 ) N ;
- FILLER_12_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 43520 ) N ;
- FILLER_12_85 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 43520 ) N ;
- FILLER_12_97 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 43520 ) N ;
- FILLER_13_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 46240 ) FS ;
- FILLER_13_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 46240 ) FS ;
- FILLER_13_113 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 46240 ) FS ;
- FILLER_13_125 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 46240 ) FS ;
- FILLER_13_137 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 46240 ) FS ;
- FILLER_13_149 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 46240 ) FS ;
- FILLER_13_15 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 46240 ) FS ;
- FILLER_13_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 46240 ) FS ;
- FILLER_13_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 46240 ) FS ;
- FILLER_13_169 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 46240 ) FS ;
- FILLER_13_181 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 88780 46240 ) FS ;
- FILLER_13_186 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 91080 46240 ) FS ;
- FILLER_13_190 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 92920 46240 ) FS ;
- FILLER_13_202 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 98440 46240 ) FS ;
- FILLER_13_214 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 103960 46240 ) FS ;
- FILLER_13_222 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 107640 46240 ) FS ;
- FILLER_13_225 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 46240 ) FS ;
- FILLER_13_237 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 46240 ) FS ;
- FILLER_13_249 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 46240 ) FS ;
- FILLER_13_261 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 46240 ) FS ;
- FILLER_13_27 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 17940 46240 ) FS ;
- FILLER_13_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 46240 ) FS ;
- FILLER_13_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 46240 ) FS ;
- FILLER_13_281 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 46240 ) FS ;
- FILLER_13_293 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 46240 ) FS ;
- FILLER_13_3 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 46240 ) FS ;
- FILLER_13_305 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 46240 ) FS ;
- FILLER_13_317 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 46240 ) FS ;
- FILLER_13_329 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 156860 46240 ) FS ;
- FILLER_13_335 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 159620 46240 ) FS ;
- FILLER_13_337 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 160540 46240 ) FS ;
- FILLER_13_349 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166060 46240 ) FS ;
- FILLER_13_361 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 171580 46240 ) FS ;
- FILLER_13_39 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 23460 46240 ) FS ;
- FILLER_13_51 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 28980 46240 ) FS ;
- FILLER_13_55 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 30820 46240 ) FS ;
- FILLER_13_57 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 46240 ) FS ;
- FILLER_13_69 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 46240 ) FS ;
- FILLER_13_81 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 46240 ) FS ;
- FILLER_13_93 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 46240 ) FS ;
- FILLER_14_109 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 48960 ) N ;
- FILLER_14_121 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 48960 ) N ;
- FILLER_14_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 48960 ) N ;
- FILLER_14_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 48960 ) N ;
- FILLER_14_141 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 48960 ) N ;
- FILLER_14_15 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 48960 ) N ;
- FILLER_14_153 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 48960 ) N ;
- FILLER_14_165 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 81420 48960 ) N ;
- FILLER_14_173 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 85100 48960 ) N ;
- FILLER_14_180 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88320 48960 ) N ;
- FILLER_14_192 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 93840 48960 ) N ;
- FILLER_14_197 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 48960 ) N ;
- FILLER_14_209 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 48960 ) N ;
- FILLER_14_221 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 107180 48960 ) N ;
- FILLER_14_233 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112700 48960 ) N ;
- FILLER_14_245 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 118220 48960 ) N ;
- FILLER_14_251 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 120980 48960 ) N ;
- FILLER_14_253 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 48960 ) N ;
- FILLER_14_265 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 48960 ) N ;
- FILLER_14_27 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 17940 48960 ) N ;
- FILLER_14_277 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 48960 ) N ;
- FILLER_14_289 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 138460 48960 ) N ;
- FILLER_14_29 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 48960 ) N ;
- FILLER_14_3 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 48960 ) N ;
- FILLER_14_301 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 143980 48960 ) N ;
- FILLER_14_307 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 146740 48960 ) N ;
- FILLER_14_309 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 48960 ) N ;
- FILLER_14_321 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 153180 48960 ) N ;
- FILLER_14_333 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 158700 48960 ) N ;
- FILLER_14_345 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 164220 48960 ) N ;
- FILLER_14_353 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 167900 48960 ) N ;
- FILLER_14_357 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 169740 48960 ) N ;
- FILLER_14_362 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 172040 48960 ) N ;
- FILLER_14_41 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 48960 ) N ;
- FILLER_14_53 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 48960 ) N ;
- FILLER_14_65 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 48960 ) N ;
- FILLER_14_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 48960 ) N ;
- FILLER_14_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 48960 ) N ;
- FILLER_14_85 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 48960 ) N ;
- FILLER_14_97 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 48960 ) N ;
- FILLER_15_10 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 10120 51680 ) FS ;
- FILLER_15_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 51680 ) FS ;
- FILLER_15_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 51680 ) FS ;
- FILLER_15_113 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 51680 ) FS ;
- FILLER_15_125 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 51680 ) FS ;
- FILLER_15_137 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 51680 ) FS ;
- FILLER_15_149 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 51680 ) FS ;
- FILLER_15_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 51680 ) FS ;
- FILLER_15_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 51680 ) FS ;
- FILLER_15_169 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 51680 ) FS ;
- FILLER_15_181 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 51680 ) FS ;
- FILLER_15_193 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 51680 ) FS ;
- FILLER_15_205 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 51680 ) FS ;
- FILLER_15_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 51680 ) FS ;
- FILLER_15_22 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 15640 51680 ) FS ;
- FILLER_15_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 51680 ) FS ;
- FILLER_15_225 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 51680 ) FS ;
- FILLER_15_237 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 51680 ) FS ;
- FILLER_15_249 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 51680 ) FS ;
- FILLER_15_261 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 51680 ) FS ;
- FILLER_15_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 51680 ) FS ;
- FILLER_15_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 51680 ) FS ;
- FILLER_15_281 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 51680 ) FS ;
- FILLER_15_293 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 51680 ) FS ;
- FILLER_15_305 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 51680 ) FS ;
- FILLER_15_317 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 51680 ) FS ;
- FILLER_15_329 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 156860 51680 ) FS ;
- FILLER_15_335 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 159620 51680 ) FS ;
- FILLER_15_337 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 160540 51680 ) FS ;
- FILLER_15_34 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 21160 51680 ) FS ;
- FILLER_15_349 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166060 51680 ) FS ;
- FILLER_15_361 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 171580 51680 ) FS ;
- FILLER_15_46 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 26680 51680 ) FS ;
- FILLER_15_54 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 30360 51680 ) FS ;
- FILLER_15_57 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 51680 ) FS ;
- FILLER_15_6 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 8280 51680 ) FS ;
- FILLER_15_69 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 51680 ) FS ;
- FILLER_15_81 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 51680 ) FS ;
- FILLER_15_93 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 51680 ) FS ;
- FILLER_16_109 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 54400 ) N ;
- FILLER_16_121 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 54400 ) N ;
- FILLER_16_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 54400 ) N ;
- FILLER_16_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 54400 ) N ;
- FILLER_16_141 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 54400 ) N ;
- FILLER_16_153 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 54400 ) N ;
- FILLER_16_165 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 54400 ) N ;
- FILLER_16_17 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 13340 54400 ) N ;
- FILLER_16_177 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 54400 ) N ;
- FILLER_16_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 54400 ) N ;
- FILLER_16_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 54400 ) N ;
- FILLER_16_197 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 54400 ) N ;
- FILLER_16_209 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 54400 ) N ;
- FILLER_16_221 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 107180 54400 ) N ;
- FILLER_16_233 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112700 54400 ) N ;
- FILLER_16_245 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 118220 54400 ) N ;
- FILLER_16_25 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 17020 54400 ) N ;
- FILLER_16_251 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 120980 54400 ) N ;
- FILLER_16_253 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 54400 ) N ;
- FILLER_16_265 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 54400 ) N ;
- FILLER_16_277 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 54400 ) N ;
- FILLER_16_289 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 138460 54400 ) N ;
- FILLER_16_29 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 54400 ) N ;
- FILLER_16_301 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 143980 54400 ) N ;
- FILLER_16_307 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 146740 54400 ) N ;
- FILLER_16_309 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 54400 ) N ;
- FILLER_16_321 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 153180 54400 ) N ;
- FILLER_16_333 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 158700 54400 ) N ;
- FILLER_16_345 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 164220 54400 ) N ;
- FILLER_16_357 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 169740 54400 ) N ;
- FILLER_16_363 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 172500 54400 ) N ;
- FILLER_16_41 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 54400 ) N ;
- FILLER_16_5 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 7820 54400 ) N ;
- FILLER_16_53 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 54400 ) N ;
- FILLER_16_65 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 54400 ) N ;
- FILLER_16_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 54400 ) N ;
- FILLER_16_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 54400 ) N ;
- FILLER_16_85 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 54400 ) N ;
- FILLER_16_97 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 54400 ) N ;
- FILLER_17_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 57120 ) FS ;
- FILLER_17_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 57120 ) FS ;
- FILLER_17_113 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 57120 ) FS ;
- FILLER_17_125 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 57120 ) FS ;
- FILLER_17_13 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 11500 57120 ) FS ;
- FILLER_17_137 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 57120 ) FS ;
- FILLER_17_149 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 57120 ) FS ;
- FILLER_17_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 57120 ) FS ;
- FILLER_17_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 57120 ) FS ;
- FILLER_17_169 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 57120 ) FS ;
- FILLER_17_181 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 88780 57120 ) FS ;
- FILLER_17_186 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 91080 57120 ) FS ;
- FILLER_17_198 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96600 57120 ) FS ;
- FILLER_17_210 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 102120 57120 ) FS ;
- FILLER_17_222 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 107640 57120 ) FS ;
- FILLER_17_225 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 57120 ) FS ;
- FILLER_17_237 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 57120 ) FS ;
- FILLER_17_249 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 57120 ) FS ;
- FILLER_17_25 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 17020 57120 ) FS ;
- FILLER_17_261 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 57120 ) FS ;
- FILLER_17_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 57120 ) FS ;
- FILLER_17_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 57120 ) FS ;
- FILLER_17_281 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 57120 ) FS ;
- FILLER_17_293 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 57120 ) FS ;
- FILLER_17_305 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 57120 ) FS ;
- FILLER_17_317 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 57120 ) FS ;
- FILLER_17_329 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 156860 57120 ) FS ;
- FILLER_17_335 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 159620 57120 ) FS ;
- FILLER_17_337 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 160540 57120 ) FS ;
- FILLER_17_349 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 166060 57120 ) FS ;
- FILLER_17_357 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 169740 57120 ) FS ;
- FILLER_17_362 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 172040 57120 ) FS ;
- FILLER_17_37 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 22540 57120 ) FS ;
- FILLER_17_49 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 28060 57120 ) FS ;
- FILLER_17_55 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 30820 57120 ) FS ;
- FILLER_17_57 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 57120 ) FS ;
- FILLER_17_69 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 57120 ) FS ;
- FILLER_17_81 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 57120 ) FS ;
- FILLER_17_93 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 57120 ) FS ;
- FILLER_18_109 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 59840 ) N ;
- FILLER_18_121 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 59840 ) N ;
- FILLER_18_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 59840 ) N ;
- FILLER_18_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 59840 ) N ;
- FILLER_18_141 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 59840 ) N ;
- FILLER_18_15 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 59840 ) N ;
- FILLER_18_153 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 59840 ) N ;
- FILLER_18_165 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 59840 ) N ;
- FILLER_18_177 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 59840 ) N ;
- FILLER_18_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 59840 ) N ;
- FILLER_18_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 59840 ) N ;
- FILLER_18_197 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 59840 ) N ;
- FILLER_18_209 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 59840 ) N ;
- FILLER_18_221 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 107180 59840 ) N ;
- FILLER_18_233 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112700 59840 ) N ;
- FILLER_18_245 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 118220 59840 ) N ;
- FILLER_18_251 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 120980 59840 ) N ;
- FILLER_18_253 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 59840 ) N ;
- FILLER_18_265 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 59840 ) N ;
- FILLER_18_27 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 17940 59840 ) N ;
- FILLER_18_277 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 59840 ) N ;
- FILLER_18_289 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 138460 59840 ) N ;
- FILLER_18_29 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 59840 ) N ;
- FILLER_18_3 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 59840 ) N ;
- FILLER_18_301 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 143980 59840 ) N ;
- FILLER_18_307 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 146740 59840 ) N ;
- FILLER_18_309 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 59840 ) N ;
- FILLER_18_321 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 153180 59840 ) N ;
- FILLER_18_333 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 158700 59840 ) N ;
- FILLER_18_345 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 164220 59840 ) N ;
- FILLER_18_357 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 169740 59840 ) N ;
- FILLER_18_363 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 172500 59840 ) N ;
- FILLER_18_41 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 59840 ) N ;
- FILLER_18_53 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 59840 ) N ;
- FILLER_18_65 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 59840 ) N ;
- FILLER_18_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 59840 ) N ;
- FILLER_18_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 59840 ) N ;
- FILLER_18_85 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 59840 ) N ;
- FILLER_18_97 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 59840 ) N ;
- FILLER_19_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 62560 ) FS ;
- FILLER_19_11 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 10580 62560 ) FS ;
- FILLER_19_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 62560 ) FS ;
- FILLER_19_113 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 62560 ) FS ;
- FILLER_19_125 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 62560 ) FS ;
- FILLER_19_137 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 62560 ) FS ;
- FILLER_19_149 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 62560 ) FS ;
- FILLER_19_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 62560 ) FS ;
- FILLER_19_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 62560 ) FS ;
- FILLER_19_169 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 62560 ) FS ;
- FILLER_19_181 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 62560 ) FS ;
- FILLER_19_193 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 62560 ) FS ;
- FILLER_19_205 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 62560 ) FS ;
- FILLER_19_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 62560 ) FS ;
- FILLER_19_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 62560 ) FS ;
- FILLER_19_225 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 62560 ) FS ;
- FILLER_19_23 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 16100 62560 ) FS ;
- FILLER_19_237 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 62560 ) FS ;
- FILLER_19_249 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 62560 ) FS ;
- FILLER_19_261 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 62560 ) FS ;
- FILLER_19_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 62560 ) FS ;
- FILLER_19_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 62560 ) FS ;
- FILLER_19_281 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 62560 ) FS ;
- FILLER_19_293 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 62560 ) FS ;
- FILLER_19_305 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 62560 ) FS ;
- FILLER_19_317 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 62560 ) FS ;
- FILLER_19_329 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 156860 62560 ) FS ;
- FILLER_19_335 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 159620 62560 ) FS ;
- FILLER_19_337 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 160540 62560 ) FS ;
- FILLER_19_349 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 166060 62560 ) FS ;
- FILLER_19_35 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 21620 62560 ) FS ;
- FILLER_19_353 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 167900 62560 ) FS ;
- FILLER_19_356 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 169280 62560 ) FS ;
- FILLER_19_362 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 172040 62560 ) FS ;
- FILLER_19_47 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 27140 62560 ) FS ;
- FILLER_19_55 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 30820 62560 ) FS ;
- FILLER_19_57 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 62560 ) FS ;
- FILLER_19_69 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 62560 ) FS ;
- FILLER_19_7 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 8740 62560 ) FS ;
- FILLER_19_81 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 62560 ) FS ;
- FILLER_19_93 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 62560 ) FS ;
- FILLER_1_101 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 51980 13600 ) FS ;
- FILLER_1_109 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 55660 13600 ) FS ;
- FILLER_1_113 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 57500 13600 ) FS ;
- FILLER_1_117 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 59340 13600 ) FS ;
- FILLER_1_121 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 61180 13600 ) FS ;
- FILLER_1_129 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 64860 13600 ) FS ;
- FILLER_1_13 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 11500 13600 ) FS ;
- FILLER_1_134 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 67160 13600 ) FS ;
- FILLER_1_139 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 69460 13600 ) FS ;
- FILLER_1_145 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 72220 13600 ) FS ;
- FILLER_1_149 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 13600 ) FS ;
- FILLER_1_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 13600 ) FS ;
- FILLER_1_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 13600 ) FS ;
- FILLER_1_169 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 83260 13600 ) FS ;
- FILLER_1_175 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 86020 13600 ) FS ;
- FILLER_1_180 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 88320 13600 ) FS ;
- FILLER_1_186 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 91080 13600 ) FS ;
- FILLER_1_19 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 14260 13600 ) FS ;
- FILLER_1_192 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 93840 13600 ) FS ;
- FILLER_1_197 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 96140 13600 ) FS ;
- FILLER_1_203 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 98900 13600 ) FS ;
- FILLER_1_208 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101200 13600 ) FS ;
- FILLER_1_220 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 106720 13600 ) FS ;
- FILLER_1_225 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 109020 13600 ) FS ;
- FILLER_1_23 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 16100 13600 ) FS ;
- FILLER_1_230 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 111320 13600 ) FS ;
- FILLER_1_234 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 113160 13600 ) FS ;
- FILLER_1_238 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 115000 13600 ) FS ;
- FILLER_1_250 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 120520 13600 ) FS ;
- FILLER_1_254 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 122360 13600 ) FS ;
- FILLER_1_259 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 124660 13600 ) FS ;
- FILLER_1_263 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 126500 13600 ) FS ;
- FILLER_1_27 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 17940 13600 ) FS ;
- FILLER_1_275 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 132020 13600 ) FS ;
- FILLER_1_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 13600 ) FS ;
- FILLER_1_281 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 134780 13600 ) FS ;
- FILLER_1_287 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 137540 13600 ) FS ;
- FILLER_1_291 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 139380 13600 ) FS ;
- FILLER_1_303 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 144900 13600 ) FS ;
- FILLER_1_309 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 147660 13600 ) FS ;
- FILLER_1_314 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 149960 13600 ) FS ;
- FILLER_1_318 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 151800 13600 ) FS ;
- FILLER_1_326 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 155480 13600 ) FS ;
- FILLER_1_330 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 157320 13600 ) FS ;
- FILLER_1_334 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 159160 13600 ) FS ;
- FILLER_1_337 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 160540 13600 ) FS ;
- FILLER_1_343 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 163300 13600 ) FS ;
- FILLER_1_35 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 21620 13600 ) FS ;
- FILLER_1_350 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 166520 13600 ) FS ;
- FILLER_1_362 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 172040 13600 ) FS ;
- FILLER_1_41 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 24380 13600 ) FS ;
- FILLER_1_49 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 28060 13600 ) FS ;
- FILLER_1_54 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 30360 13600 ) FS ;
- FILLER_1_57 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 31740 13600 ) FS ;
- FILLER_1_63 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 34500 13600 ) FS ;
- FILLER_1_71 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 38180 13600 ) FS ;
- FILLER_1_76 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 40480 13600 ) FS ;
- FILLER_1_80 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 42320 13600 ) FS ;
- FILLER_1_85 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 44620 13600 ) FS ;
- FILLER_1_89 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 46460 13600 ) FS ;
- FILLER_20_109 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 65280 ) N ;
- FILLER_20_121 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 65280 ) N ;
- FILLER_20_13 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 11500 65280 ) N ;
- FILLER_20_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 65280 ) N ;
- FILLER_20_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 65280 ) N ;
- FILLER_20_141 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 65280 ) N ;
- FILLER_20_153 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 65280 ) N ;
- FILLER_20_165 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 65280 ) N ;
- FILLER_20_177 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 65280 ) N ;
- FILLER_20_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 65280 ) N ;
- FILLER_20_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 65280 ) N ;
- FILLER_20_197 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 65280 ) N ;
- FILLER_20_209 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 65280 ) N ;
- FILLER_20_221 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 107180 65280 ) N ;
- FILLER_20_233 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112700 65280 ) N ;
- FILLER_20_245 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 118220 65280 ) N ;
- FILLER_20_25 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 17020 65280 ) N ;
- FILLER_20_251 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 120980 65280 ) N ;
- FILLER_20_253 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 65280 ) N ;
- FILLER_20_265 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 65280 ) N ;
- FILLER_20_277 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 65280 ) N ;
- FILLER_20_289 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 138460 65280 ) N ;
- FILLER_20_29 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 65280 ) N ;
- FILLER_20_3 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 6900 65280 ) N ;
- FILLER_20_301 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 143980 65280 ) N ;
- FILLER_20_307 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 146740 65280 ) N ;
- FILLER_20_309 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 65280 ) N ;
- FILLER_20_321 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 153180 65280 ) N ;
- FILLER_20_333 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 158700 65280 ) N ;
- FILLER_20_345 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 164220 65280 ) N ;
- FILLER_20_353 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 167900 65280 ) N ;
- FILLER_20_356 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 169280 65280 ) N ;
- FILLER_20_362 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 172040 65280 ) N ;
- FILLER_20_41 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 65280 ) N ;
- FILLER_20_53 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 65280 ) N ;
- FILLER_20_65 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 65280 ) N ;
- FILLER_20_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 65280 ) N ;
- FILLER_20_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 65280 ) N ;
- FILLER_20_85 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 65280 ) N ;
- FILLER_20_9 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 9660 65280 ) N ;
- FILLER_20_97 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 65280 ) N ;
- FILLER_21_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 68000 ) FS ;
- FILLER_21_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 68000 ) FS ;
- FILLER_21_113 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 68000 ) FS ;
- FILLER_21_125 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 68000 ) FS ;
- FILLER_21_137 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 68000 ) FS ;
- FILLER_21_149 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 68000 ) FS ;
- FILLER_21_15 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 68000 ) FS ;
- FILLER_21_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 68000 ) FS ;
- FILLER_21_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 68000 ) FS ;
- FILLER_21_169 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 68000 ) FS ;
- FILLER_21_181 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 68000 ) FS ;
- FILLER_21_193 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 68000 ) FS ;
- FILLER_21_205 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 68000 ) FS ;
- FILLER_21_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 68000 ) FS ;
- FILLER_21_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 68000 ) FS ;
- FILLER_21_225 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 68000 ) FS ;
- FILLER_21_237 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 68000 ) FS ;
- FILLER_21_249 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 68000 ) FS ;
- FILLER_21_261 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 68000 ) FS ;
- FILLER_21_27 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 17940 68000 ) FS ;
- FILLER_21_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 68000 ) FS ;
- FILLER_21_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 68000 ) FS ;
- FILLER_21_281 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 68000 ) FS ;
- FILLER_21_293 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 68000 ) FS ;
- FILLER_21_3 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 68000 ) FS ;
- FILLER_21_305 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 68000 ) FS ;
- FILLER_21_317 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 68000 ) FS ;
- FILLER_21_329 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 156860 68000 ) FS ;
- FILLER_21_335 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 159620 68000 ) FS ;
- FILLER_21_337 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 160540 68000 ) FS ;
- FILLER_21_349 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 166060 68000 ) FS ;
- FILLER_21_362 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 172040 68000 ) FS ;
- FILLER_21_39 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 23460 68000 ) FS ;
- FILLER_21_51 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 28980 68000 ) FS ;
- FILLER_21_55 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 30820 68000 ) FS ;
- FILLER_21_57 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 68000 ) FS ;
- FILLER_21_69 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 68000 ) FS ;
- FILLER_21_81 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 68000 ) FS ;
- FILLER_21_93 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 68000 ) FS ;
- FILLER_22_109 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 70720 ) N ;
- FILLER_22_121 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 70720 ) N ;
- FILLER_22_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 70720 ) N ;
- FILLER_22_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 70720 ) N ;
- FILLER_22_141 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 70720 ) N ;
- FILLER_22_153 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 70720 ) N ;
- FILLER_22_165 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 70720 ) N ;
- FILLER_22_177 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 70720 ) N ;
- FILLER_22_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 70720 ) N ;
- FILLER_22_19 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 14260 70720 ) N ;
- FILLER_22_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 70720 ) N ;
- FILLER_22_197 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 70720 ) N ;
- FILLER_22_209 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 70720 ) N ;
- FILLER_22_221 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 107180 70720 ) N ;
- FILLER_22_233 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112700 70720 ) N ;
- FILLER_22_245 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 118220 70720 ) N ;
- FILLER_22_251 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 120980 70720 ) N ;
- FILLER_22_253 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 70720 ) N ;
- FILLER_22_265 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 70720 ) N ;
- FILLER_22_27 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 17940 70720 ) N ;
- FILLER_22_277 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 70720 ) N ;
- FILLER_22_289 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 138460 70720 ) N ;
- FILLER_22_29 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 70720 ) N ;
- FILLER_22_301 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 143980 70720 ) N ;
- FILLER_22_307 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 146740 70720 ) N ;
- FILLER_22_309 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 70720 ) N ;
- FILLER_22_321 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 153180 70720 ) N ;
- FILLER_22_333 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 158700 70720 ) N ;
- FILLER_22_345 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 164220 70720 ) N ;
- FILLER_22_353 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 167900 70720 ) N ;
- FILLER_22_357 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 169740 70720 ) N ;
- FILLER_22_362 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 172040 70720 ) N ;
- FILLER_22_41 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 70720 ) N ;
- FILLER_22_53 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 70720 ) N ;
- FILLER_22_65 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 70720 ) N ;
- FILLER_22_7 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 8740 70720 ) N ;
- FILLER_22_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 70720 ) N ;
- FILLER_22_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 70720 ) N ;
- FILLER_22_85 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 70720 ) N ;
- FILLER_22_97 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 70720 ) N ;
- FILLER_23_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 73440 ) FS ;
- FILLER_23_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 73440 ) FS ;
- FILLER_23_113 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 73440 ) FS ;
- FILLER_23_125 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 73440 ) FS ;
- FILLER_23_137 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 73440 ) FS ;
- FILLER_23_149 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 73440 ) FS ;
- FILLER_23_15 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 73440 ) FS ;
- FILLER_23_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 73440 ) FS ;
- FILLER_23_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 73440 ) FS ;
- FILLER_23_169 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 73440 ) FS ;
- FILLER_23_181 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 88780 73440 ) FS ;
- FILLER_23_186 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 91080 73440 ) FS ;
- FILLER_23_192 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 93840 73440 ) FS ;
- FILLER_23_196 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 95680 73440 ) FS ;
- FILLER_23_208 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101200 73440 ) FS ;
- FILLER_23_220 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 106720 73440 ) FS ;
- FILLER_23_225 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 73440 ) FS ;
- FILLER_23_237 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 73440 ) FS ;
- FILLER_23_249 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 73440 ) FS ;
- FILLER_23_261 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 73440 ) FS ;
- FILLER_23_27 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 17940 73440 ) FS ;
- FILLER_23_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 73440 ) FS ;
- FILLER_23_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 73440 ) FS ;
- FILLER_23_281 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 73440 ) FS ;
- FILLER_23_293 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 73440 ) FS ;
- FILLER_23_3 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 73440 ) FS ;
- FILLER_23_305 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 73440 ) FS ;
- FILLER_23_317 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 73440 ) FS ;
- FILLER_23_329 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 156860 73440 ) FS ;
- FILLER_23_335 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 159620 73440 ) FS ;
- FILLER_23_337 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 160540 73440 ) FS ;
- FILLER_23_349 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 166060 73440 ) FS ;
- FILLER_23_360 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 171120 73440 ) FS ;
- FILLER_23_39 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 23460 73440 ) FS ;
- FILLER_23_51 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 28980 73440 ) FS ;
- FILLER_23_55 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 30820 73440 ) FS ;
- FILLER_23_57 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 73440 ) FS ;
- FILLER_23_69 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 73440 ) FS ;
- FILLER_23_81 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 73440 ) FS ;
- FILLER_23_93 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 73440 ) FS ;
- FILLER_24_10 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 10120 76160 ) N ;
- FILLER_24_109 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 76160 ) N ;
- FILLER_24_121 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 76160 ) N ;
- FILLER_24_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 76160 ) N ;
- FILLER_24_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 76160 ) N ;
- FILLER_24_141 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 76160 ) N ;
- FILLER_24_153 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 76160 ) N ;
- FILLER_24_165 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 76160 ) N ;
- FILLER_24_177 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 76160 ) N ;
- FILLER_24_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 76160 ) N ;
- FILLER_24_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 76160 ) N ;
- FILLER_24_197 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 76160 ) N ;
- FILLER_24_209 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 76160 ) N ;
- FILLER_24_22 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 15640 76160 ) N ;
- FILLER_24_221 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 107180 76160 ) N ;
- FILLER_24_233 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112700 76160 ) N ;
- FILLER_24_245 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 118220 76160 ) N ;
- FILLER_24_251 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 120980 76160 ) N ;
- FILLER_24_253 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 76160 ) N ;
- FILLER_24_265 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 76160 ) N ;
- FILLER_24_277 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 76160 ) N ;
- FILLER_24_289 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 138460 76160 ) N ;
- FILLER_24_29 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 76160 ) N ;
- FILLER_24_301 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 143980 76160 ) N ;
- FILLER_24_307 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 146740 76160 ) N ;
- FILLER_24_309 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 76160 ) N ;
- FILLER_24_321 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 153180 76160 ) N ;
- FILLER_24_333 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 158700 76160 ) N ;
- FILLER_24_345 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 164220 76160 ) N ;
- FILLER_24_357 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 169740 76160 ) N ;
- FILLER_24_362 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 172040 76160 ) N ;
- FILLER_24_41 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 76160 ) N ;
- FILLER_24_53 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 76160 ) N ;
- FILLER_24_6 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 8280 76160 ) N ;
- FILLER_24_65 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 76160 ) N ;
- FILLER_24_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 76160 ) N ;
- FILLER_24_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 76160 ) N ;
- FILLER_24_85 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 76160 ) N ;
- FILLER_24_97 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 76160 ) N ;
- FILLER_25_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 78880 ) FS ;
- FILLER_25_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 78880 ) FS ;
- FILLER_25_113 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 78880 ) FS ;
- FILLER_25_125 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 78880 ) FS ;
- FILLER_25_137 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 78880 ) FS ;
- FILLER_25_149 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 78880 ) FS ;
- FILLER_25_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 78880 ) FS ;
- FILLER_25_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 78880 ) FS ;
- FILLER_25_169 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 78880 ) FS ;
- FILLER_25_181 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 78880 ) FS ;
- FILLER_25_19 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 14260 78880 ) FS ;
- FILLER_25_193 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 78880 ) FS ;
- FILLER_25_205 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 78880 ) FS ;
- FILLER_25_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 78880 ) FS ;
- FILLER_25_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 78880 ) FS ;
- FILLER_25_225 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 78880 ) FS ;
- FILLER_25_237 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 78880 ) FS ;
- FILLER_25_249 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 78880 ) FS ;
- FILLER_25_261 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 78880 ) FS ;
- FILLER_25_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 78880 ) FS ;
- FILLER_25_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 78880 ) FS ;
- FILLER_25_281 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 78880 ) FS ;
- FILLER_25_293 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 78880 ) FS ;
- FILLER_25_305 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 78880 ) FS ;
- FILLER_25_31 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 19780 78880 ) FS ;
- FILLER_25_317 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 78880 ) FS ;
- FILLER_25_329 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 156860 78880 ) FS ;
- FILLER_25_335 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 159620 78880 ) FS ;
- FILLER_25_337 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 160540 78880 ) FS ;
- FILLER_25_349 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 166060 78880 ) FS ;
- FILLER_25_362 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 172040 78880 ) FS ;
- FILLER_25_43 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 25300 78880 ) FS ;
- FILLER_25_55 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 30820 78880 ) FS ;
- FILLER_25_57 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 78880 ) FS ;
- FILLER_25_69 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 78880 ) FS ;
- FILLER_25_7 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 8740 78880 ) FS ;
- FILLER_25_81 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 78880 ) FS ;
- FILLER_25_93 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 78880 ) FS ;
- FILLER_26_109 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 81600 ) N ;
- FILLER_26_121 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 81600 ) N ;
- FILLER_26_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 81600 ) N ;
- FILLER_26_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 81600 ) N ;
- FILLER_26_141 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 81600 ) N ;
- FILLER_26_15 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 81600 ) N ;
- FILLER_26_153 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 81600 ) N ;
- FILLER_26_165 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 81600 ) N ;
- FILLER_26_177 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 86940 81600 ) N ;
- FILLER_26_181 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 81600 ) N ;
- FILLER_26_193 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 94300 81600 ) N ;
- FILLER_26_197 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 81600 ) N ;
- FILLER_26_209 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 81600 ) N ;
- FILLER_26_221 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 107180 81600 ) N ;
- FILLER_26_233 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112700 81600 ) N ;
- FILLER_26_245 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 118220 81600 ) N ;
- FILLER_26_251 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 120980 81600 ) N ;
- FILLER_26_253 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 81600 ) N ;
- FILLER_26_265 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 81600 ) N ;
- FILLER_26_27 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 17940 81600 ) N ;
- FILLER_26_277 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 81600 ) N ;
- FILLER_26_289 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 138460 81600 ) N ;
- FILLER_26_29 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 81600 ) N ;
- FILLER_26_3 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 81600 ) N ;
- FILLER_26_301 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 143980 81600 ) N ;
- FILLER_26_307 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 146740 81600 ) N ;
- FILLER_26_309 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 81600 ) N ;
- FILLER_26_321 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 153180 81600 ) N ;
- FILLER_26_333 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 158700 81600 ) N ;
- FILLER_26_345 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 164220 81600 ) N ;
- FILLER_26_357 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 169740 81600 ) N ;
- FILLER_26_362 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 172040 81600 ) N ;
- FILLER_26_41 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 81600 ) N ;
- FILLER_26_53 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 81600 ) N ;
- FILLER_26_65 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 81600 ) N ;
- FILLER_26_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 81600 ) N ;
- FILLER_26_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 81600 ) N ;
- FILLER_26_85 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 81600 ) N ;
- FILLER_26_97 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 81600 ) N ;
- FILLER_27_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 84320 ) FS ;
- FILLER_27_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 84320 ) FS ;
- FILLER_27_113 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 84320 ) FS ;
- FILLER_27_125 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 84320 ) FS ;
- FILLER_27_137 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 84320 ) FS ;
- FILLER_27_149 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 84320 ) FS ;
- FILLER_27_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 84320 ) FS ;
- FILLER_27_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 84320 ) FS ;
- FILLER_27_169 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 83260 84320 ) FS ;
- FILLER_27_179 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 87860 84320 ) FS ;
- FILLER_27_185 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 90620 84320 ) FS ;
- FILLER_27_19 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 14260 84320 ) FS ;
- FILLER_27_191 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 93380 84320 ) FS ;
- FILLER_27_195 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 95220 84320 ) FS ;
- FILLER_27_207 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 100740 84320 ) FS ;
- FILLER_27_219 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 106260 84320 ) FS ;
- FILLER_27_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 84320 ) FS ;
- FILLER_27_225 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 84320 ) FS ;
- FILLER_27_237 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 84320 ) FS ;
- FILLER_27_249 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 84320 ) FS ;
- FILLER_27_261 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 84320 ) FS ;
- FILLER_27_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 84320 ) FS ;
- FILLER_27_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 84320 ) FS ;
- FILLER_27_281 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 84320 ) FS ;
- FILLER_27_293 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 84320 ) FS ;
- FILLER_27_305 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 145820 84320 ) FS ;
- FILLER_27_31 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 19780 84320 ) FS ;
- FILLER_27_311 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 148580 84320 ) FS ;
- FILLER_27_315 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 150420 84320 ) FS ;
- FILLER_27_327 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 155940 84320 ) FS ;
- FILLER_27_335 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 159620 84320 ) FS ;
- FILLER_27_337 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 160540 84320 ) FS ;
- FILLER_27_349 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 166060 84320 ) FS ;
- FILLER_27_356 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 169280 84320 ) FS ;
- FILLER_27_362 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 172040 84320 ) FS ;
- FILLER_27_43 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 25300 84320 ) FS ;
- FILLER_27_55 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 30820 84320 ) FS ;
- FILLER_27_57 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 84320 ) FS ;
- FILLER_27_69 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 84320 ) FS ;
- FILLER_27_7 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 8740 84320 ) FS ;
- FILLER_27_81 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 84320 ) FS ;
- FILLER_27_93 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 84320 ) FS ;
- FILLER_28_10 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 10120 87040 ) N ;
- FILLER_28_109 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 87040 ) N ;
- FILLER_28_121 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 87040 ) N ;
- FILLER_28_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 87040 ) N ;
- FILLER_28_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 87040 ) N ;
- FILLER_28_141 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 87040 ) N ;
- FILLER_28_153 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 75900 87040 ) N ;
- FILLER_28_161 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 79580 87040 ) N ;
- FILLER_28_164 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 80960 87040 ) N ;
- FILLER_28_170 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 83720 87040 ) N ;
- FILLER_28_174 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 85560 87040 ) N ;
- FILLER_28_18 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 13800 87040 ) N ;
- FILLER_28_180 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 88320 87040 ) N ;
- FILLER_28_186 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 91080 87040 ) N ;
- FILLER_28_192 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 93840 87040 ) N ;
- FILLER_28_197 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 96140 87040 ) N ;
- FILLER_28_203 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 98900 87040 ) N ;
- FILLER_28_215 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 104420 87040 ) N ;
- FILLER_28_227 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109940 87040 ) N ;
- FILLER_28_239 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 115460 87040 ) N ;
- FILLER_28_251 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 120980 87040 ) N ;
- FILLER_28_253 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 87040 ) N ;
- FILLER_28_26 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 17480 87040 ) N ;
- FILLER_28_265 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 87040 ) N ;
- FILLER_28_277 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 87040 ) N ;
- FILLER_28_289 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 138460 87040 ) N ;
- FILLER_28_29 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 87040 ) N ;
- FILLER_28_3 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 6900 87040 ) N ;
- FILLER_28_301 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 143980 87040 ) N ;
- FILLER_28_307 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 146740 87040 ) N ;
- FILLER_28_309 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 147660 87040 ) N ;
- FILLER_28_315 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 150420 87040 ) N ;
- FILLER_28_319 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 152260 87040 ) N ;
- FILLER_28_331 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 157780 87040 ) N ;
- FILLER_28_343 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 163300 87040 ) N ;
- FILLER_28_355 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 168820 87040 ) N ;
- FILLER_28_363 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 172500 87040 ) N ;
- FILLER_28_41 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 87040 ) N ;
- FILLER_28_53 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 87040 ) N ;
- FILLER_28_65 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 87040 ) N ;
- FILLER_28_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 87040 ) N ;
- FILLER_28_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 87040 ) N ;
- FILLER_28_85 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 87040 ) N ;
- FILLER_28_97 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 87040 ) N ;
- FILLER_29_10 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 10120 89760 ) FS ;
- FILLER_29_104 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 53360 89760 ) FS ;
- FILLER_29_113 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 89760 ) FS ;
- FILLER_29_125 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 63020 89760 ) FS ;
- FILLER_29_131 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 65780 89760 ) FS ;
- FILLER_29_143 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 71300 89760 ) FS ;
- FILLER_29_15 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 12420 89760 ) FS ;
- FILLER_29_155 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 76820 89760 ) FS ;
- FILLER_29_162 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 80040 89760 ) FS ;
- FILLER_29_166 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 81880 89760 ) FS ;
- FILLER_29_173 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 85100 89760 ) FS ;
- FILLER_29_179 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 87860 89760 ) FS ;
- FILLER_29_185 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 90620 89760 ) FS ;
- FILLER_29_191 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 93380 89760 ) FS ;
- FILLER_29_197 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 96140 89760 ) FS ;
- FILLER_29_203 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 98900 89760 ) FS ;
- FILLER_29_207 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 100740 89760 ) FS ;
- FILLER_29_219 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 106260 89760 ) FS ;
- FILLER_29_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 89760 ) FS ;
- FILLER_29_225 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 89760 ) FS ;
- FILLER_29_23 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 16100 89760 ) FS ;
- FILLER_29_237 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 89760 ) FS ;
- FILLER_29_249 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 120060 89760 ) FS ;
- FILLER_29_257 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 123740 89760 ) FS ;
- FILLER_29_269 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 129260 89760 ) FS ;
- FILLER_29_277 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 132940 89760 ) FS ;
- FILLER_29_281 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 134780 89760 ) FS ;
- FILLER_29_289 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 138460 89760 ) FS ;
- FILLER_29_29 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 89760 ) FS ;
- FILLER_29_3 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 6900 89760 ) FS ;
- FILLER_29_301 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 143980 89760 ) FS ;
- FILLER_29_310 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 148120 89760 ) FS ;
- FILLER_29_316 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 150880 89760 ) FS ;
- FILLER_29_320 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 152720 89760 ) FS ;
- FILLER_29_330 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 157320 89760 ) FS ;
- FILLER_29_337 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 160540 89760 ) FS ;
- FILLER_29_343 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 163300 89760 ) FS ;
- FILLER_29_351 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 166980 89760 ) FS ;
- FILLER_29_356 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 169280 89760 ) FS ;
- FILLER_29_362 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 172040 89760 ) FS ;
- FILLER_29_41 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 24380 89760 ) FS ;
- FILLER_29_51 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 28980 89760 ) FS ;
- FILLER_29_55 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 30820 89760 ) FS ;
- FILLER_29_57 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 31740 89760 ) FS ;
- FILLER_29_63 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 34500 89760 ) FS ;
- FILLER_29_68 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 36800 89760 ) FS ;
- FILLER_29_80 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42320 89760 ) FS ;
- FILLER_29_92 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 47840 89760 ) FS ;
- FILLER_2_109 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 16320 ) N ;
- FILLER_2_121 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 16320 ) N ;
- FILLER_2_13 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 11500 16320 ) N ;
- FILLER_2_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 16320 ) N ;
- FILLER_2_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 16320 ) N ;
- FILLER_2_141 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 16320 ) N ;
- FILLER_2_153 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 16320 ) N ;
- FILLER_2_165 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 81420 16320 ) N ;
- FILLER_2_172 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 84640 16320 ) N ;
- FILLER_2_18 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 13800 16320 ) N ;
- FILLER_2_180 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 88320 16320 ) N ;
- FILLER_2_185 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 90620 16320 ) N ;
- FILLER_2_190 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92920 16320 ) N ;
- FILLER_2_199 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 97060 16320 ) N ;
- FILLER_2_203 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 98900 16320 ) N ;
- FILLER_2_215 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 104420 16320 ) N ;
- FILLER_2_22 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 15640 16320 ) N ;
- FILLER_2_227 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109940 16320 ) N ;
- FILLER_2_239 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 115460 16320 ) N ;
- FILLER_2_251 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 120980 16320 ) N ;
- FILLER_2_253 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 16320 ) N ;
- FILLER_2_265 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 16320 ) N ;
- FILLER_2_277 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 16320 ) N ;
- FILLER_2_289 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 138460 16320 ) N ;
- FILLER_2_301 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 143980 16320 ) N ;
- FILLER_2_307 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 146740 16320 ) N ;
- FILLER_2_309 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 16320 ) N ;
- FILLER_2_31 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 19780 16320 ) N ;
- FILLER_2_321 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 153180 16320 ) N ;
- FILLER_2_333 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 158700 16320 ) N ;
- FILLER_2_339 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 161460 16320 ) N ;
- FILLER_2_342 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 162840 16320 ) N ;
- FILLER_2_346 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 164680 16320 ) N ;
- FILLER_2_35 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 21620 16320 ) N ;
- FILLER_2_350 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 166520 16320 ) N ;
- FILLER_2_356 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 169280 16320 ) N ;
- FILLER_2_362 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 172040 16320 ) N ;
- FILLER_2_47 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 27140 16320 ) N ;
- FILLER_2_59 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 32660 16320 ) N ;
- FILLER_2_7 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 8740 16320 ) N ;
- FILLER_2_71 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 38180 16320 ) N ;
- FILLER_2_75 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 40020 16320 ) N ;
- FILLER_2_78 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 41400 16320 ) N ;
- FILLER_2_85 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 16320 ) N ;
- FILLER_2_97 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 16320 ) N ;
- FILLER_30_109 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 92480 ) N ;
- FILLER_30_12 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 11040 92480 ) N ;
- FILLER_30_121 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 92480 ) N ;
- FILLER_30_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 92480 ) N ;
- FILLER_30_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 92480 ) N ;
- FILLER_30_141 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 92480 ) N ;
- FILLER_30_153 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 92480 ) N ;
- FILLER_30_16 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12880 92480 ) N ;
- FILLER_30_165 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 81420 92480 ) N ;
- FILLER_30_171 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 84180 92480 ) N ;
- FILLER_30_174 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 85560 92480 ) N ;
- FILLER_30_180 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 88320 92480 ) N ;
- FILLER_30_186 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 91080 92480 ) N ;
- FILLER_30_192 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 93840 92480 ) N ;
- FILLER_30_199 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 97060 92480 ) N ;
- FILLER_30_211 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 102580 92480 ) N ;
- FILLER_30_216 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 104880 92480 ) N ;
- FILLER_30_220 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 106720 92480 ) N ;
- FILLER_30_232 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 112240 92480 ) N ;
- FILLER_30_244 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 117760 92480 ) N ;
- FILLER_30_253 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 92480 ) N ;
- FILLER_30_265 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 92480 ) N ;
- FILLER_30_277 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 92480 ) N ;
- FILLER_30_289 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 138460 92480 ) N ;
- FILLER_30_29 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 92480 ) N ;
- FILLER_30_301 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 143980 92480 ) N ;
- FILLER_30_307 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 146740 92480 ) N ;
- FILLER_30_313 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 149500 92480 ) N ;
- FILLER_30_325 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 155020 92480 ) N ;
- FILLER_30_337 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 160540 92480 ) N ;
- FILLER_30_349 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 166060 92480 ) N ;
- FILLER_30_357 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 169740 92480 ) N ;
- FILLER_30_362 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 172040 92480 ) N ;
- FILLER_30_41 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 92480 ) N ;
- FILLER_30_53 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 92480 ) N ;
- FILLER_30_65 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 92480 ) N ;
- FILLER_30_7 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 8740 92480 ) N ;
- FILLER_30_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 92480 ) N ;
- FILLER_30_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 92480 ) N ;
- FILLER_30_85 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 92480 ) N ;
- FILLER_30_97 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 92480 ) N ;
- FILLER_31_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 95200 ) FS ;
- FILLER_31_11 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 10580 95200 ) FS ;
- FILLER_31_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 95200 ) FS ;
- FILLER_31_113 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 95200 ) FS ;
- FILLER_31_125 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 95200 ) FS ;
- FILLER_31_137 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 95200 ) FS ;
- FILLER_31_149 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 95200 ) FS ;
- FILLER_31_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 95200 ) FS ;
- FILLER_31_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 95200 ) FS ;
- FILLER_31_169 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 83260 95200 ) FS ;
- FILLER_31_173 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 85100 95200 ) FS ;
- FILLER_31_176 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 86480 95200 ) FS ;
- FILLER_31_186 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 91080 95200 ) FS ;
- FILLER_31_192 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 93840 95200 ) FS ;
- FILLER_31_196 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 95680 95200 ) FS ;
- FILLER_31_208 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101200 95200 ) FS ;
- FILLER_31_220 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 106720 95200 ) FS ;
- FILLER_31_225 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 95200 ) FS ;
- FILLER_31_23 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 16100 95200 ) FS ;
- FILLER_31_237 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 95200 ) FS ;
- FILLER_31_249 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 95200 ) FS ;
- FILLER_31_261 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 95200 ) FS ;
- FILLER_31_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 95200 ) FS ;
- FILLER_31_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 95200 ) FS ;
- FILLER_31_281 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 95200 ) FS ;
- FILLER_31_293 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 95200 ) FS ;
- FILLER_31_305 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 95200 ) FS ;
- FILLER_31_317 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 95200 ) FS ;
- FILLER_31_329 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 156860 95200 ) FS ;
- FILLER_31_335 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 159620 95200 ) FS ;
- FILLER_31_337 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 160540 95200 ) FS ;
- FILLER_31_349 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 166060 95200 ) FS ;
- FILLER_31_35 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 21620 95200 ) FS ;
- FILLER_31_357 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 169740 95200 ) FS ;
- FILLER_31_362 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 172040 95200 ) FS ;
- FILLER_31_47 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 27140 95200 ) FS ;
- FILLER_31_55 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 30820 95200 ) FS ;
- FILLER_31_57 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 95200 ) FS ;
- FILLER_31_69 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 95200 ) FS ;
- FILLER_31_7 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 8740 95200 ) FS ;
- FILLER_31_81 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 95200 ) FS ;
- FILLER_31_93 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 95200 ) FS ;
- FILLER_32_109 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 97920 ) N ;
- FILLER_32_121 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 97920 ) N ;
- FILLER_32_13 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 11500 97920 ) N ;
- FILLER_32_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 97920 ) N ;
- FILLER_32_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 97920 ) N ;
- FILLER_32_141 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 97920 ) N ;
- FILLER_32_153 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 97920 ) N ;
- FILLER_32_165 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 97920 ) N ;
- FILLER_32_177 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 97920 ) N ;
- FILLER_32_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 97920 ) N ;
- FILLER_32_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 97920 ) N ;
- FILLER_32_197 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 97920 ) N ;
- FILLER_32_209 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 97920 ) N ;
- FILLER_32_221 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 107180 97920 ) N ;
- FILLER_32_233 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112700 97920 ) N ;
- FILLER_32_245 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 118220 97920 ) N ;
- FILLER_32_25 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 17020 97920 ) N ;
- FILLER_32_251 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 120980 97920 ) N ;
- FILLER_32_253 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 97920 ) N ;
- FILLER_32_265 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 97920 ) N ;
- FILLER_32_277 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 97920 ) N ;
- FILLER_32_289 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 138460 97920 ) N ;
- FILLER_32_29 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 97920 ) N ;
- FILLER_32_301 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 143980 97920 ) N ;
- FILLER_32_307 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 146740 97920 ) N ;
- FILLER_32_309 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 97920 ) N ;
- FILLER_32_321 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 153180 97920 ) N ;
- FILLER_32_333 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 158700 97920 ) N ;
- FILLER_32_345 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 164220 97920 ) N ;
- FILLER_32_353 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 167900 97920 ) N ;
- FILLER_32_357 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 169740 97920 ) N ;
- FILLER_32_362 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 172040 97920 ) N ;
- FILLER_32_41 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 97920 ) N ;
- FILLER_32_53 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 97920 ) N ;
- FILLER_32_65 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 97920 ) N ;
- FILLER_32_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 97920 ) N ;
- FILLER_32_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 97920 ) N ;
- FILLER_32_85 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 97920 ) N ;
- FILLER_32_97 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 97920 ) N ;
- FILLER_33_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 100640 ) FS ;
- FILLER_33_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 100640 ) FS ;
- FILLER_33_113 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 100640 ) FS ;
- FILLER_33_125 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 100640 ) FS ;
- FILLER_33_137 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 100640 ) FS ;
- FILLER_33_149 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 100640 ) FS ;
- FILLER_33_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 100640 ) FS ;
- FILLER_33_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 100640 ) FS ;
- FILLER_33_169 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 100640 ) FS ;
- FILLER_33_17 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 13340 100640 ) FS ;
- FILLER_33_181 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 100640 ) FS ;
- FILLER_33_193 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 100640 ) FS ;
- FILLER_33_205 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 100640 ) FS ;
- FILLER_33_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 100640 ) FS ;
- FILLER_33_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 100640 ) FS ;
- FILLER_33_225 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 100640 ) FS ;
- FILLER_33_237 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 100640 ) FS ;
- FILLER_33_249 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 100640 ) FS ;
- FILLER_33_261 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 100640 ) FS ;
- FILLER_33_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 100640 ) FS ;
- FILLER_33_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 100640 ) FS ;
- FILLER_33_281 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 100640 ) FS ;
- FILLER_33_29 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 100640 ) FS ;
- FILLER_33_293 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 100640 ) FS ;
- FILLER_33_305 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 100640 ) FS ;
- FILLER_33_317 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 100640 ) FS ;
- FILLER_33_329 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 156860 100640 ) FS ;
- FILLER_33_335 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 159620 100640 ) FS ;
- FILLER_33_337 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 160540 100640 ) FS ;
- FILLER_33_349 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 166060 100640 ) FS ;
- FILLER_33_360 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 171120 100640 ) FS ;
- FILLER_33_41 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 100640 ) FS ;
- FILLER_33_5 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 7820 100640 ) FS ;
- FILLER_33_53 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 29900 100640 ) FS ;
- FILLER_33_57 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 100640 ) FS ;
- FILLER_33_69 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 100640 ) FS ;
- FILLER_33_81 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 100640 ) FS ;
- FILLER_33_93 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 100640 ) FS ;
- FILLER_34_109 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 103360 ) N ;
- FILLER_34_121 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 103360 ) N ;
- FILLER_34_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 103360 ) N ;
- FILLER_34_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 103360 ) N ;
- FILLER_34_141 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 103360 ) N ;
- FILLER_34_15 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 103360 ) N ;
- FILLER_34_153 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 103360 ) N ;
- FILLER_34_165 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 103360 ) N ;
- FILLER_34_177 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 86940 103360 ) N ;
- FILLER_34_185 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 90620 103360 ) N ;
- FILLER_34_193 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 94300 103360 ) N ;
- FILLER_34_197 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 103360 ) N ;
- FILLER_34_209 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 103360 ) N ;
- FILLER_34_221 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 107180 103360 ) N ;
- FILLER_34_233 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112700 103360 ) N ;
- FILLER_34_245 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 118220 103360 ) N ;
- FILLER_34_251 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 120980 103360 ) N ;
- FILLER_34_253 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 103360 ) N ;
- FILLER_34_265 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 103360 ) N ;
- FILLER_34_27 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 17940 103360 ) N ;
- FILLER_34_277 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 103360 ) N ;
- FILLER_34_289 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 138460 103360 ) N ;
- FILLER_34_29 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 103360 ) N ;
- FILLER_34_3 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 103360 ) N ;
- FILLER_34_301 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 143980 103360 ) N ;
- FILLER_34_307 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 146740 103360 ) N ;
- FILLER_34_309 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 103360 ) N ;
- FILLER_34_321 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 153180 103360 ) N ;
- FILLER_34_333 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 158700 103360 ) N ;
- FILLER_34_345 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 164220 103360 ) N ;
- FILLER_34_350 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 166520 103360 ) N ;
- FILLER_34_362 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 172040 103360 ) N ;
- FILLER_34_41 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 103360 ) N ;
- FILLER_34_53 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 103360 ) N ;
- FILLER_34_65 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 103360 ) N ;
- FILLER_34_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 103360 ) N ;
- FILLER_34_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 103360 ) N ;
- FILLER_34_85 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 103360 ) N ;
- FILLER_34_97 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 103360 ) N ;
- FILLER_35_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 106080 ) FS ;
- FILLER_35_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 106080 ) FS ;
- FILLER_35_113 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 106080 ) FS ;
- FILLER_35_125 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 106080 ) FS ;
- FILLER_35_137 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 106080 ) FS ;
- FILLER_35_149 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 106080 ) FS ;
- FILLER_35_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 106080 ) FS ;
- FILLER_35_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 106080 ) FS ;
- FILLER_35_169 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 106080 ) FS ;
- FILLER_35_181 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 106080 ) FS ;
- FILLER_35_19 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 14260 106080 ) FS ;
- FILLER_35_193 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 106080 ) FS ;
- FILLER_35_205 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 106080 ) FS ;
- FILLER_35_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 106080 ) FS ;
- FILLER_35_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 106080 ) FS ;
- FILLER_35_225 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 106080 ) FS ;
- FILLER_35_237 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 106080 ) FS ;
- FILLER_35_249 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 106080 ) FS ;
- FILLER_35_261 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 106080 ) FS ;
- FILLER_35_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 106080 ) FS ;
- FILLER_35_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 106080 ) FS ;
- FILLER_35_281 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 106080 ) FS ;
- FILLER_35_293 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 106080 ) FS ;
- FILLER_35_305 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 106080 ) FS ;
- FILLER_35_31 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 19780 106080 ) FS ;
- FILLER_35_317 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 106080 ) FS ;
- FILLER_35_329 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 156860 106080 ) FS ;
- FILLER_35_335 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 159620 106080 ) FS ;
- FILLER_35_337 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 160540 106080 ) FS ;
- FILLER_35_349 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 166060 106080 ) FS ;
- FILLER_35_362 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 172040 106080 ) FS ;
- FILLER_35_43 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 25300 106080 ) FS ;
- FILLER_35_55 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 30820 106080 ) FS ;
- FILLER_35_57 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 106080 ) FS ;
- FILLER_35_69 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 106080 ) FS ;
- FILLER_35_7 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 8740 106080 ) FS ;
- FILLER_35_81 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 106080 ) FS ;
- FILLER_35_93 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 106080 ) FS ;
- FILLER_36_109 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 108800 ) N ;
- FILLER_36_121 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 108800 ) N ;
- FILLER_36_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 108800 ) N ;
- FILLER_36_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 108800 ) N ;
- FILLER_36_141 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 108800 ) N ;
- FILLER_36_15 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 108800 ) N ;
- FILLER_36_153 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 108800 ) N ;
- FILLER_36_165 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 108800 ) N ;
- FILLER_36_177 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 108800 ) N ;
- FILLER_36_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 108800 ) N ;
- FILLER_36_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 108800 ) N ;
- FILLER_36_197 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 108800 ) N ;
- FILLER_36_209 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 108800 ) N ;
- FILLER_36_221 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 107180 108800 ) N ;
- FILLER_36_233 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112700 108800 ) N ;
- FILLER_36_245 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 118220 108800 ) N ;
- FILLER_36_251 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 120980 108800 ) N ;
- FILLER_36_253 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 108800 ) N ;
- FILLER_36_265 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 108800 ) N ;
- FILLER_36_27 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 17940 108800 ) N ;
- FILLER_36_277 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 108800 ) N ;
- FILLER_36_289 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 138460 108800 ) N ;
- FILLER_36_29 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 108800 ) N ;
- FILLER_36_3 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 108800 ) N ;
- FILLER_36_301 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 143980 108800 ) N ;
- FILLER_36_307 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 146740 108800 ) N ;
- FILLER_36_309 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 108800 ) N ;
- FILLER_36_321 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 153180 108800 ) N ;
- FILLER_36_333 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 158700 108800 ) N ;
- FILLER_36_345 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 164220 108800 ) N ;
- FILLER_36_353 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 167900 108800 ) N ;
- FILLER_36_358 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 170200 108800 ) N ;
- FILLER_36_362 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 172040 108800 ) N ;
- FILLER_36_41 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 108800 ) N ;
- FILLER_36_53 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 108800 ) N ;
- FILLER_36_65 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 108800 ) N ;
- FILLER_36_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 108800 ) N ;
- FILLER_36_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 108800 ) N ;
- FILLER_36_85 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 108800 ) N ;
- FILLER_36_97 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 108800 ) N ;
- FILLER_37_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 111520 ) FS ;
- FILLER_37_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 111520 ) FS ;
- FILLER_37_113 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 111520 ) FS ;
- FILLER_37_125 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 111520 ) FS ;
- FILLER_37_137 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 111520 ) FS ;
- FILLER_37_149 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 111520 ) FS ;
- FILLER_37_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 111520 ) FS ;
- FILLER_37_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 111520 ) FS ;
- FILLER_37_169 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 111520 ) FS ;
- FILLER_37_181 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 88780 111520 ) FS ;
- FILLER_37_186 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 91080 111520 ) FS ;
- FILLER_37_19 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 14260 111520 ) FS ;
- FILLER_37_198 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96600 111520 ) FS ;
- FILLER_37_210 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 102120 111520 ) FS ;
- FILLER_37_222 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 107640 111520 ) FS ;
- FILLER_37_225 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 111520 ) FS ;
- FILLER_37_237 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 111520 ) FS ;
- FILLER_37_249 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 111520 ) FS ;
- FILLER_37_261 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 111520 ) FS ;
- FILLER_37_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 111520 ) FS ;
- FILLER_37_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 111520 ) FS ;
- FILLER_37_281 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 111520 ) FS ;
- FILLER_37_293 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 111520 ) FS ;
- FILLER_37_305 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 111520 ) FS ;
- FILLER_37_31 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 19780 111520 ) FS ;
- FILLER_37_317 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 111520 ) FS ;
- FILLER_37_329 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 156860 111520 ) FS ;
- FILLER_37_335 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 159620 111520 ) FS ;
- FILLER_37_337 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 160540 111520 ) FS ;
- FILLER_37_349 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 166060 111520 ) FS ;
- FILLER_37_362 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 172040 111520 ) FS ;
- FILLER_37_43 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 25300 111520 ) FS ;
- FILLER_37_55 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 30820 111520 ) FS ;
- FILLER_37_57 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 111520 ) FS ;
- FILLER_37_69 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 111520 ) FS ;
- FILLER_37_7 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 8740 111520 ) FS ;
- FILLER_37_81 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 111520 ) FS ;
- FILLER_37_93 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 111520 ) FS ;
- FILLER_38_109 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 114240 ) N ;
- FILLER_38_121 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 114240 ) N ;
- FILLER_38_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 114240 ) N ;
- FILLER_38_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 114240 ) N ;
- FILLER_38_141 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 114240 ) N ;
- FILLER_38_15 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 114240 ) N ;
- FILLER_38_153 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 114240 ) N ;
- FILLER_38_165 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 114240 ) N ;
- FILLER_38_177 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 114240 ) N ;
- FILLER_38_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 114240 ) N ;
- FILLER_38_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 114240 ) N ;
- FILLER_38_197 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 114240 ) N ;
- FILLER_38_209 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 114240 ) N ;
- FILLER_38_221 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 107180 114240 ) N ;
- FILLER_38_233 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112700 114240 ) N ;
- FILLER_38_245 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 118220 114240 ) N ;
- FILLER_38_251 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 120980 114240 ) N ;
- FILLER_38_253 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 114240 ) N ;
- FILLER_38_265 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 114240 ) N ;
- FILLER_38_27 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 17940 114240 ) N ;
- FILLER_38_277 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 114240 ) N ;
- FILLER_38_289 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 138460 114240 ) N ;
- FILLER_38_29 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 114240 ) N ;
- FILLER_38_3 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 114240 ) N ;
- FILLER_38_301 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 143980 114240 ) N ;
- FILLER_38_307 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 146740 114240 ) N ;
- FILLER_38_309 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 114240 ) N ;
- FILLER_38_321 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 153180 114240 ) N ;
- FILLER_38_333 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 158700 114240 ) N ;
- FILLER_38_345 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 164220 114240 ) N ;
- FILLER_38_353 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 167900 114240 ) N ;
- FILLER_38_356 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 169280 114240 ) N ;
- FILLER_38_362 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 172040 114240 ) N ;
- FILLER_38_41 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 114240 ) N ;
- FILLER_38_53 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 114240 ) N ;
- FILLER_38_65 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 114240 ) N ;
- FILLER_38_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 114240 ) N ;
- FILLER_38_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 114240 ) N ;
- FILLER_38_85 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 114240 ) N ;
- FILLER_38_97 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 114240 ) N ;
- FILLER_39_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 116960 ) FS ;
- FILLER_39_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 116960 ) FS ;
- FILLER_39_113 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 116960 ) FS ;
- FILLER_39_125 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 116960 ) FS ;
- FILLER_39_137 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 116960 ) FS ;
- FILLER_39_149 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 116960 ) FS ;
- FILLER_39_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 116960 ) FS ;
- FILLER_39_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 116960 ) FS ;
- FILLER_39_169 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 116960 ) FS ;
- FILLER_39_181 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 116960 ) FS ;
- FILLER_39_19 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 14260 116960 ) FS ;
- FILLER_39_193 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 116960 ) FS ;
- FILLER_39_205 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 116960 ) FS ;
- FILLER_39_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 116960 ) FS ;
- FILLER_39_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 116960 ) FS ;
- FILLER_39_225 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 116960 ) FS ;
- FILLER_39_237 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 116960 ) FS ;
- FILLER_39_249 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 116960 ) FS ;
- FILLER_39_261 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 116960 ) FS ;
- FILLER_39_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 116960 ) FS ;
- FILLER_39_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 116960 ) FS ;
- FILLER_39_281 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 116960 ) FS ;
- FILLER_39_293 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 116960 ) FS ;
- FILLER_39_305 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 116960 ) FS ;
- FILLER_39_31 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 19780 116960 ) FS ;
- FILLER_39_317 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 116960 ) FS ;
- FILLER_39_329 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 156860 116960 ) FS ;
- FILLER_39_335 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 159620 116960 ) FS ;
- FILLER_39_337 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 160540 116960 ) FS ;
- FILLER_39_349 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 166060 116960 ) FS ;
- FILLER_39_353 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 167900 116960 ) FS ;
- FILLER_39_356 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 169280 116960 ) FS ;
- FILLER_39_362 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 172040 116960 ) FS ;
- FILLER_39_43 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 25300 116960 ) FS ;
- FILLER_39_55 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 30820 116960 ) FS ;
- FILLER_39_57 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 116960 ) FS ;
- FILLER_39_69 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 116960 ) FS ;
- FILLER_39_7 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 8740 116960 ) FS ;
- FILLER_39_81 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 116960 ) FS ;
- FILLER_39_93 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 116960 ) FS ;
- FILLER_3_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 19040 ) FS ;
- FILLER_3_11 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 10580 19040 ) FS ;
- FILLER_3_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 19040 ) FS ;
- FILLER_3_113 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 19040 ) FS ;
- FILLER_3_125 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 19040 ) FS ;
- FILLER_3_137 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 19040 ) FS ;
- FILLER_3_149 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 19040 ) FS ;
- FILLER_3_15 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 19040 ) FS ;
- FILLER_3_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 19040 ) FS ;
- FILLER_3_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 19040 ) FS ;
- FILLER_3_169 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 19040 ) FS ;
- FILLER_3_181 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 19040 ) FS ;
- FILLER_3_193 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 19040 ) FS ;
- FILLER_3_205 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 19040 ) FS ;
- FILLER_3_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 19040 ) FS ;
- FILLER_3_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 19040 ) FS ;
- FILLER_3_225 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 19040 ) FS ;
- FILLER_3_237 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 19040 ) FS ;
- FILLER_3_249 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 19040 ) FS ;
- FILLER_3_261 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 19040 ) FS ;
- FILLER_3_27 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 17940 19040 ) FS ;
- FILLER_3_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 19040 ) FS ;
- FILLER_3_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 19040 ) FS ;
- FILLER_3_281 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 19040 ) FS ;
- FILLER_3_293 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 19040 ) FS ;
- FILLER_3_305 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 19040 ) FS ;
- FILLER_3_317 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 19040 ) FS ;
- FILLER_3_329 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 156860 19040 ) FS ;
- FILLER_3_335 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 159620 19040 ) FS ;
- FILLER_3_337 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 160540 19040 ) FS ;
- FILLER_3_349 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 166060 19040 ) FS ;
- FILLER_3_352 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 167440 19040 ) FS ;
- FILLER_3_356 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 169280 19040 ) FS ;
- FILLER_3_362 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 172040 19040 ) FS ;
- FILLER_3_39 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 23460 19040 ) FS ;
- FILLER_3_51 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 28980 19040 ) FS ;
- FILLER_3_55 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 30820 19040 ) FS ;
- FILLER_3_57 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 19040 ) FS ;
- FILLER_3_6 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 8280 19040 ) FS ;
- FILLER_3_69 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 19040 ) FS ;
- FILLER_3_81 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 19040 ) FS ;
- FILLER_3_93 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 19040 ) FS ;
- FILLER_40_109 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 119680 ) N ;
- FILLER_40_11 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 10580 119680 ) N ;
- FILLER_40_121 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 119680 ) N ;
- FILLER_40_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 119680 ) N ;
- FILLER_40_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 119680 ) N ;
- FILLER_40_141 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 119680 ) N ;
- FILLER_40_153 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 119680 ) N ;
- FILLER_40_165 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 119680 ) N ;
- FILLER_40_177 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 119680 ) N ;
- FILLER_40_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 119680 ) N ;
- FILLER_40_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 119680 ) N ;
- FILLER_40_197 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 119680 ) N ;
- FILLER_40_209 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 119680 ) N ;
- FILLER_40_221 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 107180 119680 ) N ;
- FILLER_40_23 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 16100 119680 ) N ;
- FILLER_40_233 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112700 119680 ) N ;
- FILLER_40_245 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 118220 119680 ) N ;
- FILLER_40_251 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 120980 119680 ) N ;
- FILLER_40_253 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 119680 ) N ;
- FILLER_40_265 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 119680 ) N ;
- FILLER_40_27 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 17940 119680 ) N ;
- FILLER_40_277 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 119680 ) N ;
- FILLER_40_289 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 138460 119680 ) N ;
- FILLER_40_29 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 119680 ) N ;
- FILLER_40_301 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 143980 119680 ) N ;
- FILLER_40_307 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 146740 119680 ) N ;
- FILLER_40_309 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 119680 ) N ;
- FILLER_40_321 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 153180 119680 ) N ;
- FILLER_40_333 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 158700 119680 ) N ;
- FILLER_40_345 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 164220 119680 ) N ;
- FILLER_40_355 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 168820 119680 ) N ;
- FILLER_40_361 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 171580 119680 ) N ;
- FILLER_40_41 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 119680 ) N ;
- FILLER_40_53 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 119680 ) N ;
- FILLER_40_65 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 119680 ) N ;
- FILLER_40_7 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 8740 119680 ) N ;
- FILLER_40_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 119680 ) N ;
- FILLER_40_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 119680 ) N ;
- FILLER_40_85 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 119680 ) N ;
- FILLER_40_97 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 119680 ) N ;
- FILLER_41_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 122400 ) FS ;
- FILLER_41_11 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 10580 122400 ) FS ;
- FILLER_41_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 122400 ) FS ;
- FILLER_41_113 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 122400 ) FS ;
- FILLER_41_125 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 122400 ) FS ;
- FILLER_41_137 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 122400 ) FS ;
- FILLER_41_149 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 122400 ) FS ;
- FILLER_41_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 122400 ) FS ;
- FILLER_41_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 122400 ) FS ;
- FILLER_41_169 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 122400 ) FS ;
- FILLER_41_181 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 122400 ) FS ;
- FILLER_41_193 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 94300 122400 ) FS ;
- FILLER_41_201 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 97980 122400 ) FS ;
- FILLER_41_204 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 99360 122400 ) FS ;
- FILLER_41_208 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 101200 122400 ) FS ;
- FILLER_41_211 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 102580 122400 ) FS ;
- FILLER_41_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 122400 ) FS ;
- FILLER_41_225 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 122400 ) FS ;
- FILLER_41_23 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 16100 122400 ) FS ;
- FILLER_41_237 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 122400 ) FS ;
- FILLER_41_249 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 122400 ) FS ;
- FILLER_41_261 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 122400 ) FS ;
- FILLER_41_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 122400 ) FS ;
- FILLER_41_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 122400 ) FS ;
- FILLER_41_281 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 122400 ) FS ;
- FILLER_41_293 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 122400 ) FS ;
- FILLER_41_305 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 122400 ) FS ;
- FILLER_41_317 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 122400 ) FS ;
- FILLER_41_329 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 156860 122400 ) FS ;
- FILLER_41_335 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 159620 122400 ) FS ;
- FILLER_41_337 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 160540 122400 ) FS ;
- FILLER_41_349 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 166060 122400 ) FS ;
- FILLER_41_35 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 21620 122400 ) FS ;
- FILLER_41_357 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 169740 122400 ) FS ;
- FILLER_41_362 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 172040 122400 ) FS ;
- FILLER_41_47 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 27140 122400 ) FS ;
- FILLER_41_55 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 30820 122400 ) FS ;
- FILLER_41_57 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 122400 ) FS ;
- FILLER_41_69 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 122400 ) FS ;
- FILLER_41_7 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 8740 122400 ) FS ;
- FILLER_41_81 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 122400 ) FS ;
- FILLER_41_93 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 122400 ) FS ;
- FILLER_42_109 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 125120 ) N ;
- FILLER_42_121 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 125120 ) N ;
- FILLER_42_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 125120 ) N ;
- FILLER_42_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 125120 ) N ;
- FILLER_42_141 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 125120 ) N ;
- FILLER_42_15 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 125120 ) N ;
- FILLER_42_153 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 125120 ) N ;
- FILLER_42_165 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 125120 ) N ;
- FILLER_42_177 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 125120 ) N ;
- FILLER_42_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 125120 ) N ;
- FILLER_42_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 125120 ) N ;
- FILLER_42_200 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 97520 125120 ) N ;
- FILLER_42_209 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 101660 125120 ) N ;
- FILLER_42_214 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 103960 125120 ) N ;
- FILLER_42_218 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 105800 125120 ) N ;
- FILLER_42_230 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 111320 125120 ) N ;
- FILLER_42_242 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 116840 125120 ) N ;
- FILLER_42_250 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 120520 125120 ) N ;
- FILLER_42_253 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 125120 ) N ;
- FILLER_42_265 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 125120 ) N ;
- FILLER_42_27 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 17940 125120 ) N ;
- FILLER_42_277 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 125120 ) N ;
- FILLER_42_289 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 138460 125120 ) N ;
- FILLER_42_29 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 125120 ) N ;
- FILLER_42_3 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 125120 ) N ;
- FILLER_42_301 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 143980 125120 ) N ;
- FILLER_42_307 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 146740 125120 ) N ;
- FILLER_42_309 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 125120 ) N ;
- FILLER_42_321 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 153180 125120 ) N ;
- FILLER_42_333 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 158700 125120 ) N ;
- FILLER_42_345 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 164220 125120 ) N ;
- FILLER_42_357 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 169740 125120 ) N ;
- FILLER_42_362 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 172040 125120 ) N ;
- FILLER_42_41 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 125120 ) N ;
- FILLER_42_53 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 29900 125120 ) N ;
- FILLER_42_57 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 125120 ) N ;
- FILLER_42_69 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 125120 ) N ;
- FILLER_42_81 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 42780 125120 ) N ;
- FILLER_42_85 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 125120 ) N ;
- FILLER_42_97 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 125120 ) N ;
- FILLER_43_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 127840 ) FS ;
- FILLER_43_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 127840 ) FS ;
- FILLER_43_113 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 127840 ) FS ;
- FILLER_43_125 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 127840 ) FS ;
- FILLER_43_137 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 127840 ) FS ;
- FILLER_43_149 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 127840 ) FS ;
- FILLER_43_15 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 127840 ) FS ;
- FILLER_43_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 127840 ) FS ;
- FILLER_43_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 127840 ) FS ;
- FILLER_43_169 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 127840 ) FS ;
- FILLER_43_185 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 90620 127840 ) FS ;
- FILLER_43_191 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 93380 127840 ) FS ;
- FILLER_43_195 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 95220 127840 ) FS ;
- FILLER_43_202 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 98440 127840 ) FS ;
- FILLER_43_212 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 103040 127840 ) FS ;
- FILLER_43_220 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 106720 127840 ) FS ;
- FILLER_43_227 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109940 127840 ) FS ;
- FILLER_43_239 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 115460 127840 ) FS ;
- FILLER_43_251 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120980 127840 ) FS ;
- FILLER_43_263 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 126500 127840 ) FS ;
- FILLER_43_27 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 17940 127840 ) FS ;
- FILLER_43_275 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 132020 127840 ) FS ;
- FILLER_43_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 127840 ) FS ;
- FILLER_43_281 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 127840 ) FS ;
- FILLER_43_293 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 127840 ) FS ;
- FILLER_43_3 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 127840 ) FS ;
- FILLER_43_305 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 127840 ) FS ;
- FILLER_43_317 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 127840 ) FS ;
- FILLER_43_329 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 156860 127840 ) FS ;
- FILLER_43_335 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 159620 127840 ) FS ;
- FILLER_43_337 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 160540 127840 ) FS ;
- FILLER_43_349 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166060 127840 ) FS ;
- FILLER_43_361 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 171580 127840 ) FS ;
- FILLER_43_39 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 23460 127840 ) FS ;
- FILLER_43_51 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 28980 127840 ) FS ;
- FILLER_43_55 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 30820 127840 ) FS ;
- FILLER_43_57 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 127840 ) FS ;
- FILLER_43_69 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 127840 ) FS ;
- FILLER_43_81 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 127840 ) FS ;
- FILLER_43_93 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 127840 ) FS ;
- FILLER_44_10 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 10120 130560 ) N ;
- FILLER_44_109 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 130560 ) N ;
- FILLER_44_121 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 130560 ) N ;
- FILLER_44_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 130560 ) N ;
- FILLER_44_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 130560 ) N ;
- FILLER_44_141 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 130560 ) N ;
- FILLER_44_153 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 130560 ) N ;
- FILLER_44_165 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 130560 ) N ;
- FILLER_44_177 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 86940 130560 ) N ;
- FILLER_44_187 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 91540 130560 ) N ;
- FILLER_44_194 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 94760 130560 ) N ;
- FILLER_44_197 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 96140 130560 ) N ;
- FILLER_44_209 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 101660 130560 ) N ;
- FILLER_44_217 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 105340 130560 ) N ;
- FILLER_44_22 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 15640 130560 ) N ;
- FILLER_44_224 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 108560 130560 ) N ;
- FILLER_44_228 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 110400 130560 ) N ;
- FILLER_44_240 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 115920 130560 ) N ;
- FILLER_44_253 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 130560 ) N ;
- FILLER_44_265 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 130560 ) N ;
- FILLER_44_277 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 130560 ) N ;
- FILLER_44_289 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 138460 130560 ) N ;
- FILLER_44_29 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 130560 ) N ;
- FILLER_44_301 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 143980 130560 ) N ;
- FILLER_44_307 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 146740 130560 ) N ;
- FILLER_44_309 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 130560 ) N ;
- FILLER_44_321 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 153180 130560 ) N ;
- FILLER_44_333 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 158700 130560 ) N ;
- FILLER_44_345 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 164220 130560 ) N ;
- FILLER_44_353 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 167900 130560 ) N ;
- FILLER_44_357 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 169740 130560 ) N ;
- FILLER_44_362 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 172040 130560 ) N ;
- FILLER_44_41 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 130560 ) N ;
- FILLER_44_53 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 130560 ) N ;
- FILLER_44_6 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 8280 130560 ) N ;
- FILLER_44_65 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 130560 ) N ;
- FILLER_44_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 130560 ) N ;
- FILLER_44_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 130560 ) N ;
- FILLER_44_85 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 130560 ) N ;
- FILLER_44_97 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 130560 ) N ;
- FILLER_45_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 133280 ) FS ;
- FILLER_45_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 133280 ) FS ;
- FILLER_45_113 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 133280 ) FS ;
- FILLER_45_125 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 133280 ) FS ;
- FILLER_45_137 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 133280 ) FS ;
- FILLER_45_149 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 133280 ) FS ;
- FILLER_45_15 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 133280 ) FS ;
- FILLER_45_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 133280 ) FS ;
- FILLER_45_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 133280 ) FS ;
- FILLER_45_169 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 133280 ) FS ;
- FILLER_45_185 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 90620 133280 ) FS ;
- FILLER_45_189 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 92460 133280 ) FS ;
- FILLER_45_192 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 93840 133280 ) FS ;
- FILLER_45_196 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 95680 133280 ) FS ;
- FILLER_45_207 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 100740 133280 ) FS ;
- FILLER_45_216 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 104880 133280 ) FS ;
- FILLER_45_221 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 107180 133280 ) FS ;
- FILLER_45_227 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 109940 133280 ) FS ;
- FILLER_45_231 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 111780 133280 ) FS ;
- FILLER_45_243 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 117300 133280 ) FS ;
- FILLER_45_255 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 122820 133280 ) FS ;
- FILLER_45_267 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 128340 133280 ) FS ;
- FILLER_45_27 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 17940 133280 ) FS ;
- FILLER_45_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 133280 ) FS ;
- FILLER_45_281 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 133280 ) FS ;
- FILLER_45_293 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 133280 ) FS ;
- FILLER_45_3 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 133280 ) FS ;
- FILLER_45_305 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 133280 ) FS ;
- FILLER_45_317 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 133280 ) FS ;
- FILLER_45_329 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 156860 133280 ) FS ;
- FILLER_45_335 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 159620 133280 ) FS ;
- FILLER_45_337 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 160540 133280 ) FS ;
- FILLER_45_349 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 166060 133280 ) FS ;
- FILLER_45_353 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 167900 133280 ) FS ;
- FILLER_45_356 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 169280 133280 ) FS ;
- FILLER_45_362 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 172040 133280 ) FS ;
- FILLER_45_39 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 23460 133280 ) FS ;
- FILLER_45_51 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 28980 133280 ) FS ;
- FILLER_45_55 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 30820 133280 ) FS ;
- FILLER_45_57 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 133280 ) FS ;
- FILLER_45_69 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 133280 ) FS ;
- FILLER_45_81 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 133280 ) FS ;
- FILLER_45_93 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 133280 ) FS ;
- FILLER_46_109 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 136000 ) N ;
- FILLER_46_121 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 136000 ) N ;
- FILLER_46_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 136000 ) N ;
- FILLER_46_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 136000 ) N ;
- FILLER_46_141 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 136000 ) N ;
- FILLER_46_15 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 136000 ) N ;
- FILLER_46_153 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 136000 ) N ;
- FILLER_46_165 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 136000 ) N ;
- FILLER_46_177 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 136000 ) N ;
- FILLER_46_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 136000 ) N ;
- FILLER_46_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 136000 ) N ;
- FILLER_46_199 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 97060 136000 ) N ;
- FILLER_46_208 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 101200 136000 ) N ;
- FILLER_46_213 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 103500 136000 ) N ;
- FILLER_46_217 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 105340 136000 ) N ;
- FILLER_46_221 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 107180 136000 ) N ;
- FILLER_46_225 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 136000 ) N ;
- FILLER_46_237 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 136000 ) N ;
- FILLER_46_249 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 120060 136000 ) N ;
- FILLER_46_253 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 136000 ) N ;
- FILLER_46_265 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 136000 ) N ;
- FILLER_46_27 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 17940 136000 ) N ;
- FILLER_46_277 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 136000 ) N ;
- FILLER_46_289 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 138460 136000 ) N ;
- FILLER_46_29 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 136000 ) N ;
- FILLER_46_3 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 136000 ) N ;
- FILLER_46_301 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 143980 136000 ) N ;
- FILLER_46_307 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 146740 136000 ) N ;
- FILLER_46_309 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 136000 ) N ;
- FILLER_46_321 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 153180 136000 ) N ;
- FILLER_46_333 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 158700 136000 ) N ;
- FILLER_46_345 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 164220 136000 ) N ;
- FILLER_46_357 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 169740 136000 ) N ;
- FILLER_46_363 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 172500 136000 ) N ;
- FILLER_46_41 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 136000 ) N ;
- FILLER_46_53 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 136000 ) N ;
- FILLER_46_65 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 136000 ) N ;
- FILLER_46_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 136000 ) N ;
- FILLER_46_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 136000 ) N ;
- FILLER_46_85 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 136000 ) N ;
- FILLER_46_97 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 136000 ) N ;
- FILLER_47_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 138720 ) FS ;
- FILLER_47_11 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 10580 138720 ) FS ;
- FILLER_47_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 138720 ) FS ;
- FILLER_47_113 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 138720 ) FS ;
- FILLER_47_125 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 138720 ) FS ;
- FILLER_47_137 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 138720 ) FS ;
- FILLER_47_149 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 138720 ) FS ;
- FILLER_47_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 138720 ) FS ;
- FILLER_47_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 138720 ) FS ;
- FILLER_47_169 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 138720 ) FS ;
- FILLER_47_181 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 138720 ) FS ;
- FILLER_47_193 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 138720 ) FS ;
- FILLER_47_205 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 99820 138720 ) FS ;
- FILLER_47_210 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 102120 138720 ) FS ;
- FILLER_47_222 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 107640 138720 ) FS ;
- FILLER_47_225 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 138720 ) FS ;
- FILLER_47_23 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 16100 138720 ) FS ;
- FILLER_47_237 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 138720 ) FS ;
- FILLER_47_249 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 138720 ) FS ;
- FILLER_47_261 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 138720 ) FS ;
- FILLER_47_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 138720 ) FS ;
- FILLER_47_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 138720 ) FS ;
- FILLER_47_281 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 138720 ) FS ;
- FILLER_47_293 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 138720 ) FS ;
- FILLER_47_305 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 138720 ) FS ;
- FILLER_47_317 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 151340 138720 ) FS ;
- FILLER_47_323 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 154100 138720 ) FS ;
- FILLER_47_327 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 155940 138720 ) FS ;
- FILLER_47_335 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 159620 138720 ) FS ;
- FILLER_47_337 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 160540 138720 ) FS ;
- FILLER_47_349 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 166060 138720 ) FS ;
- FILLER_47_35 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 21620 138720 ) FS ;
- FILLER_47_357 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 169740 138720 ) FS ;
- FILLER_47_362 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 172040 138720 ) FS ;
- FILLER_47_47 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 27140 138720 ) FS ;
- FILLER_47_55 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 30820 138720 ) FS ;
- FILLER_47_57 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 138720 ) FS ;
- FILLER_47_69 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 138720 ) FS ;
- FILLER_47_7 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 8740 138720 ) FS ;
- FILLER_47_81 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 138720 ) FS ;
- FILLER_47_93 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 138720 ) FS ;
- FILLER_48_109 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 141440 ) N ;
- FILLER_48_121 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 141440 ) N ;
- FILLER_48_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 141440 ) N ;
- FILLER_48_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 141440 ) N ;
- FILLER_48_141 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 141440 ) N ;
- FILLER_48_15 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 141440 ) N ;
- FILLER_48_153 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 141440 ) N ;
- FILLER_48_165 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 141440 ) N ;
- FILLER_48_177 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 141440 ) N ;
- FILLER_48_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 141440 ) N ;
- FILLER_48_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 141440 ) N ;
- FILLER_48_197 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 141440 ) N ;
- FILLER_48_209 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 141440 ) N ;
- FILLER_48_221 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 107180 141440 ) N ;
- FILLER_48_233 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112700 141440 ) N ;
- FILLER_48_245 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 118220 141440 ) N ;
- FILLER_48_251 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 120980 141440 ) N ;
- FILLER_48_253 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 141440 ) N ;
- FILLER_48_265 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 141440 ) N ;
- FILLER_48_27 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 17940 141440 ) N ;
- FILLER_48_277 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 141440 ) N ;
- FILLER_48_289 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 138460 141440 ) N ;
- FILLER_48_29 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 141440 ) N ;
- FILLER_48_3 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 141440 ) N ;
- FILLER_48_301 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 143980 141440 ) N ;
- FILLER_48_307 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 146740 141440 ) N ;
- FILLER_48_309 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 141440 ) N ;
- FILLER_48_321 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 153180 141440 ) N ;
- FILLER_48_333 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 158700 141440 ) N ;
- FILLER_48_345 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 164220 141440 ) N ;
- FILLER_48_353 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 167900 141440 ) N ;
- FILLER_48_358 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 170200 141440 ) N ;
- FILLER_48_41 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 141440 ) N ;
- FILLER_48_53 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 141440 ) N ;
- FILLER_48_65 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 141440 ) N ;
- FILLER_48_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 141440 ) N ;
- FILLER_48_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 141440 ) N ;
- FILLER_48_85 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 141440 ) N ;
- FILLER_48_97 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 141440 ) N ;
- FILLER_49_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 144160 ) FS ;
- FILLER_49_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 144160 ) FS ;
- FILLER_49_113 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 144160 ) FS ;
- FILLER_49_125 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 144160 ) FS ;
- FILLER_49_13 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 11500 144160 ) FS ;
- FILLER_49_137 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 144160 ) FS ;
- FILLER_49_149 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 144160 ) FS ;
- FILLER_49_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 144160 ) FS ;
- FILLER_49_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 144160 ) FS ;
- FILLER_49_169 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 144160 ) FS ;
- FILLER_49_181 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 144160 ) FS ;
- FILLER_49_193 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 144160 ) FS ;
- FILLER_49_205 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 144160 ) FS ;
- FILLER_49_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 144160 ) FS ;
- FILLER_49_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 144160 ) FS ;
- FILLER_49_225 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 144160 ) FS ;
- FILLER_49_237 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 144160 ) FS ;
- FILLER_49_249 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 144160 ) FS ;
- FILLER_49_25 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 17020 144160 ) FS ;
- FILLER_49_261 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 144160 ) FS ;
- FILLER_49_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 144160 ) FS ;
- FILLER_49_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 144160 ) FS ;
- FILLER_49_281 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 144160 ) FS ;
- FILLER_49_293 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 144160 ) FS ;
- FILLER_49_3 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 6900 144160 ) FS ;
- FILLER_49_305 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 144160 ) FS ;
- FILLER_49_317 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 144160 ) FS ;
- FILLER_49_329 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 156860 144160 ) FS ;
- FILLER_49_335 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 159620 144160 ) FS ;
- FILLER_49_337 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 160540 144160 ) FS ;
- FILLER_49_349 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 166060 144160 ) FS ;
- FILLER_49_356 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 169280 144160 ) FS ;
- FILLER_49_362 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 172040 144160 ) FS ;
- FILLER_49_37 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 22540 144160 ) FS ;
- FILLER_49_49 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 28060 144160 ) FS ;
- FILLER_49_55 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 30820 144160 ) FS ;
- FILLER_49_57 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 144160 ) FS ;
- FILLER_49_69 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 144160 ) FS ;
- FILLER_49_81 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 144160 ) FS ;
- FILLER_49_9 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 9660 144160 ) FS ;
- FILLER_49_93 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 144160 ) FS ;
- FILLER_4_109 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 21760 ) N ;
- FILLER_4_121 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 21760 ) N ;
- FILLER_4_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 21760 ) N ;
- FILLER_4_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 21760 ) N ;
- FILLER_4_141 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 21760 ) N ;
- FILLER_4_153 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 21760 ) N ;
- FILLER_4_165 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 21760 ) N ;
- FILLER_4_17 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 13340 21760 ) N ;
- FILLER_4_177 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 86940 21760 ) N ;
- FILLER_4_181 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 88780 21760 ) N ;
- FILLER_4_186 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 91080 21760 ) N ;
- FILLER_4_192 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 93840 21760 ) N ;
- FILLER_4_197 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 21760 ) N ;
- FILLER_4_209 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 21760 ) N ;
- FILLER_4_221 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 107180 21760 ) N ;
- FILLER_4_233 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112700 21760 ) N ;
- FILLER_4_245 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 118220 21760 ) N ;
- FILLER_4_25 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 17020 21760 ) N ;
- FILLER_4_251 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 120980 21760 ) N ;
- FILLER_4_253 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 21760 ) N ;
- FILLER_4_265 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 21760 ) N ;
- FILLER_4_277 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 21760 ) N ;
- FILLER_4_289 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 138460 21760 ) N ;
- FILLER_4_29 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 21760 ) N ;
- FILLER_4_301 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 143980 21760 ) N ;
- FILLER_4_307 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 146740 21760 ) N ;
- FILLER_4_309 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 21760 ) N ;
- FILLER_4_321 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 153180 21760 ) N ;
- FILLER_4_333 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 158700 21760 ) N ;
- FILLER_4_345 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 164220 21760 ) N ;
- FILLER_4_353 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 167900 21760 ) N ;
- FILLER_4_356 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 169280 21760 ) N ;
- FILLER_4_362 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 172040 21760 ) N ;
- FILLER_4_41 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 21760 ) N ;
- FILLER_4_5 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 7820 21760 ) N ;
- FILLER_4_53 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 21760 ) N ;
- FILLER_4_65 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 21760 ) N ;
- FILLER_4_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 21760 ) N ;
- FILLER_4_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 21760 ) N ;
- FILLER_4_85 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 21760 ) N ;
- FILLER_4_97 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 21760 ) N ;
- FILLER_50_109 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 146880 ) N ;
- FILLER_50_11 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 10580 146880 ) N ;
- FILLER_50_121 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 146880 ) N ;
- FILLER_50_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 146880 ) N ;
- FILLER_50_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 146880 ) N ;
- FILLER_50_141 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 146880 ) N ;
- FILLER_50_15 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 146880 ) N ;
- FILLER_50_153 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 146880 ) N ;
- FILLER_50_165 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 146880 ) N ;
- FILLER_50_177 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 146880 ) N ;
- FILLER_50_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 146880 ) N ;
- FILLER_50_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 146880 ) N ;
- FILLER_50_197 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 146880 ) N ;
- FILLER_50_209 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 146880 ) N ;
- FILLER_50_221 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 107180 146880 ) N ;
- FILLER_50_233 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112700 146880 ) N ;
- FILLER_50_245 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 118220 146880 ) N ;
- FILLER_50_251 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 120980 146880 ) N ;
- FILLER_50_253 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 146880 ) N ;
- FILLER_50_265 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 146880 ) N ;
- FILLER_50_27 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 17940 146880 ) N ;
- FILLER_50_277 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 146880 ) N ;
- FILLER_50_289 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 138460 146880 ) N ;
- FILLER_50_29 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 146880 ) N ;
- FILLER_50_301 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 143980 146880 ) N ;
- FILLER_50_307 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 146740 146880 ) N ;
- FILLER_50_309 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 146880 ) N ;
- FILLER_50_321 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 153180 146880 ) N ;
- FILLER_50_333 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 158700 146880 ) N ;
- FILLER_50_345 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 164220 146880 ) N ;
- FILLER_50_353 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 167900 146880 ) N ;
- FILLER_50_357 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 169740 146880 ) N ;
- FILLER_50_362 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 172040 146880 ) N ;
- FILLER_50_41 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 146880 ) N ;
- FILLER_50_53 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 146880 ) N ;
- FILLER_50_65 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 146880 ) N ;
- FILLER_50_7 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 8740 146880 ) N ;
- FILLER_50_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 146880 ) N ;
- FILLER_50_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 146880 ) N ;
- FILLER_50_85 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 146880 ) N ;
- FILLER_50_97 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 146880 ) N ;
- FILLER_51_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 149600 ) FS ;
- FILLER_51_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 149600 ) FS ;
- FILLER_51_113 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 149600 ) FS ;
- FILLER_51_125 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 149600 ) FS ;
- FILLER_51_13 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 11500 149600 ) FS ;
- FILLER_51_137 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 149600 ) FS ;
- FILLER_51_149 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 149600 ) FS ;
- FILLER_51_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 149600 ) FS ;
- FILLER_51_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 149600 ) FS ;
- FILLER_51_169 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 149600 ) FS ;
- FILLER_51_181 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 149600 ) FS ;
- FILLER_51_193 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 149600 ) FS ;
- FILLER_51_205 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 149600 ) FS ;
- FILLER_51_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 149600 ) FS ;
- FILLER_51_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 149600 ) FS ;
- FILLER_51_225 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 149600 ) FS ;
- FILLER_51_237 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 149600 ) FS ;
- FILLER_51_249 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 149600 ) FS ;
- FILLER_51_25 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 17020 149600 ) FS ;
- FILLER_51_261 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 149600 ) FS ;
- FILLER_51_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 149600 ) FS ;
- FILLER_51_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 149600 ) FS ;
- FILLER_51_281 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 149600 ) FS ;
- FILLER_51_293 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 149600 ) FS ;
- FILLER_51_305 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 149600 ) FS ;
- FILLER_51_317 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 149600 ) FS ;
- FILLER_51_329 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 156860 149600 ) FS ;
- FILLER_51_335 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 159620 149600 ) FS ;
- FILLER_51_337 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 160540 149600 ) FS ;
- FILLER_51_349 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 166060 149600 ) FS ;
- FILLER_51_353 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 167900 149600 ) FS ;
- FILLER_51_357 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 169740 149600 ) FS ;
- FILLER_51_362 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 172040 149600 ) FS ;
- FILLER_51_37 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 22540 149600 ) FS ;
- FILLER_51_49 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 28060 149600 ) FS ;
- FILLER_51_55 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 30820 149600 ) FS ;
- FILLER_51_57 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 149600 ) FS ;
- FILLER_51_69 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 149600 ) FS ;
- FILLER_51_81 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 149600 ) FS ;
- FILLER_51_93 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 149600 ) FS ;
- FILLER_52_109 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 152320 ) N ;
- FILLER_52_11 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 10580 152320 ) N ;
- FILLER_52_121 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 152320 ) N ;
- FILLER_52_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 152320 ) N ;
- FILLER_52_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 152320 ) N ;
- FILLER_52_141 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 152320 ) N ;
- FILLER_52_153 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 152320 ) N ;
- FILLER_52_165 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 152320 ) N ;
- FILLER_52_177 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 152320 ) N ;
- FILLER_52_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 152320 ) N ;
- FILLER_52_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 152320 ) N ;
- FILLER_52_197 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 152320 ) N ;
- FILLER_52_209 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 152320 ) N ;
- FILLER_52_221 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 107180 152320 ) N ;
- FILLER_52_23 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 16100 152320 ) N ;
- FILLER_52_233 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112700 152320 ) N ;
- FILLER_52_245 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 118220 152320 ) N ;
- FILLER_52_251 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 120980 152320 ) N ;
- FILLER_52_253 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 152320 ) N ;
- FILLER_52_265 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 152320 ) N ;
- FILLER_52_27 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 17940 152320 ) N ;
- FILLER_52_277 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 152320 ) N ;
- FILLER_52_289 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 138460 152320 ) N ;
- FILLER_52_29 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 152320 ) N ;
- FILLER_52_301 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 143980 152320 ) N ;
- FILLER_52_307 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 146740 152320 ) N ;
- FILLER_52_309 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 152320 ) N ;
- FILLER_52_321 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 153180 152320 ) N ;
- FILLER_52_333 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 158700 152320 ) N ;
- FILLER_52_345 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 164220 152320 ) N ;
- FILLER_52_351 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 166980 152320 ) N ;
- FILLER_52_362 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 172040 152320 ) N ;
- FILLER_52_41 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 152320 ) N ;
- FILLER_52_53 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 152320 ) N ;
- FILLER_52_65 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 152320 ) N ;
- FILLER_52_7 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 8740 152320 ) N ;
- FILLER_52_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 152320 ) N ;
- FILLER_52_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 152320 ) N ;
- FILLER_52_85 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 152320 ) N ;
- FILLER_52_97 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 152320 ) N ;
- FILLER_53_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 155040 ) FS ;
- FILLER_53_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 155040 ) FS ;
- FILLER_53_113 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 155040 ) FS ;
- FILLER_53_125 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 155040 ) FS ;
- FILLER_53_137 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 155040 ) FS ;
- FILLER_53_149 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 155040 ) FS ;
- FILLER_53_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 155040 ) FS ;
- FILLER_53_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 155040 ) FS ;
- FILLER_53_169 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 155040 ) FS ;
- FILLER_53_17 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 13340 155040 ) FS ;
- FILLER_53_185 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 90620 155040 ) FS ;
- FILLER_53_197 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 155040 ) FS ;
- FILLER_53_209 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 155040 ) FS ;
- FILLER_53_221 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 107180 155040 ) FS ;
- FILLER_53_225 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 155040 ) FS ;
- FILLER_53_237 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 155040 ) FS ;
- FILLER_53_249 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 155040 ) FS ;
- FILLER_53_261 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 155040 ) FS ;
- FILLER_53_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 155040 ) FS ;
- FILLER_53_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 155040 ) FS ;
- FILLER_53_281 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 155040 ) FS ;
- FILLER_53_29 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 155040 ) FS ;
- FILLER_53_293 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 155040 ) FS ;
- FILLER_53_305 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 155040 ) FS ;
- FILLER_53_317 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 155040 ) FS ;
- FILLER_53_329 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 156860 155040 ) FS ;
- FILLER_53_335 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 159620 155040 ) FS ;
- FILLER_53_337 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 160540 155040 ) FS ;
- FILLER_53_349 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 166060 155040 ) FS ;
- FILLER_53_353 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 167900 155040 ) FS ;
- FILLER_53_357 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 169740 155040 ) FS ;
- FILLER_53_362 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 172040 155040 ) FS ;
- FILLER_53_41 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 155040 ) FS ;
- FILLER_53_5 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 7820 155040 ) FS ;
- FILLER_53_53 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 29900 155040 ) FS ;
- FILLER_53_57 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 155040 ) FS ;
- FILLER_53_69 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 155040 ) FS ;
- FILLER_53_81 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 155040 ) FS ;
- FILLER_53_93 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 155040 ) FS ;
- FILLER_54_109 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 157760 ) N ;
- FILLER_54_121 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 157760 ) N ;
- FILLER_54_13 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 11500 157760 ) N ;
- FILLER_54_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 157760 ) N ;
- FILLER_54_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 157760 ) N ;
- FILLER_54_141 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 157760 ) N ;
- FILLER_54_153 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 157760 ) N ;
- FILLER_54_165 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 157760 ) N ;
- FILLER_54_17 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 13340 157760 ) N ;
- FILLER_54_177 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 157760 ) N ;
- FILLER_54_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 157760 ) N ;
- FILLER_54_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 157760 ) N ;
- FILLER_54_197 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 157760 ) N ;
- FILLER_54_209 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 157760 ) N ;
- FILLER_54_21 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 15180 157760 ) N ;
- FILLER_54_221 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 107180 157760 ) N ;
- FILLER_54_233 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112700 157760 ) N ;
- FILLER_54_245 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 118220 157760 ) N ;
- FILLER_54_251 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 120980 157760 ) N ;
- FILLER_54_253 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 157760 ) N ;
- FILLER_54_265 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 157760 ) N ;
- FILLER_54_27 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 17940 157760 ) N ;
- FILLER_54_277 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 157760 ) N ;
- FILLER_54_289 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 138460 157760 ) N ;
- FILLER_54_29 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 157760 ) N ;
- FILLER_54_301 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 143980 157760 ) N ;
- FILLER_54_307 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 146740 157760 ) N ;
- FILLER_54_309 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 157760 ) N ;
- FILLER_54_321 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 153180 157760 ) N ;
- FILLER_54_333 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 158700 157760 ) N ;
- FILLER_54_343 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 163300 157760 ) N ;
- FILLER_54_347 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 165140 157760 ) N ;
- FILLER_54_351 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 166980 157760 ) N ;
- FILLER_54_356 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 169280 157760 ) N ;
- FILLER_54_362 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 172040 157760 ) N ;
- FILLER_54_41 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 157760 ) N ;
- FILLER_54_53 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 157760 ) N ;
- FILLER_54_65 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 157760 ) N ;
- FILLER_54_7 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 8740 157760 ) N ;
- FILLER_54_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 157760 ) N ;
- FILLER_54_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 157760 ) N ;
- FILLER_54_85 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 157760 ) N ;
- FILLER_54_97 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 157760 ) N ;
- FILLER_55_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 160480 ) FS ;
- FILLER_55_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 160480 ) FS ;
- FILLER_55_113 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 160480 ) FS ;
- FILLER_55_125 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 160480 ) FS ;
- FILLER_55_13 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 11500 160480 ) FS ;
- FILLER_55_137 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 160480 ) FS ;
- FILLER_55_149 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 74060 160480 ) FS ;
- FILLER_55_158 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 78200 160480 ) FS ;
- FILLER_55_166 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 81880 160480 ) FS ;
- FILLER_55_169 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 160480 ) FS ;
- FILLER_55_181 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 88780 160480 ) FS ;
- FILLER_55_186 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 91080 160480 ) FS ;
- FILLER_55_19 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 14260 160480 ) FS ;
- FILLER_55_192 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 93840 160480 ) FS ;
- FILLER_55_196 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 95680 160480 ) FS ;
- FILLER_55_208 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101200 160480 ) FS ;
- FILLER_55_220 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 106720 160480 ) FS ;
- FILLER_55_225 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 160480 ) FS ;
- FILLER_55_23 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 16100 160480 ) FS ;
- FILLER_55_237 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 160480 ) FS ;
- FILLER_55_249 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 160480 ) FS ;
- FILLER_55_261 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 160480 ) FS ;
- FILLER_55_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 160480 ) FS ;
- FILLER_55_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 160480 ) FS ;
- FILLER_55_281 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 160480 ) FS ;
- FILLER_55_293 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 160480 ) FS ;
- FILLER_55_305 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 160480 ) FS ;
- FILLER_55_317 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 160480 ) FS ;
- FILLER_55_329 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 156860 160480 ) FS ;
- FILLER_55_334 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 159160 160480 ) FS ;
- FILLER_55_337 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 160540 160480 ) FS ;
- FILLER_55_341 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 162380 160480 ) FS ;
- FILLER_55_345 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 164220 160480 ) FS ;
- FILLER_55_35 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 21620 160480 ) FS ;
- FILLER_55_350 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 166520 160480 ) FS ;
- FILLER_55_356 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 169280 160480 ) FS ;
- FILLER_55_362 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 172040 160480 ) FS ;
- FILLER_55_47 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 27140 160480 ) FS ;
- FILLER_55_55 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 30820 160480 ) FS ;
- FILLER_55_57 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 160480 ) FS ;
- FILLER_55_69 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 160480 ) FS ;
- FILLER_55_81 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 160480 ) FS ;
- FILLER_55_93 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 160480 ) FS ;
- FILLER_56_102 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 52440 163200 ) N ;
- FILLER_56_106 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 54280 163200 ) N ;
- FILLER_56_111 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 56580 163200 ) N ;
- FILLER_56_115 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 58420 163200 ) N ;
- FILLER_56_127 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63940 163200 ) N ;
- FILLER_56_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 163200 ) N ;
- FILLER_56_141 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 70380 163200 ) N ;
- FILLER_56_145 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 72220 163200 ) N ;
- FILLER_56_148 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 73600 163200 ) N ;
- FILLER_56_15 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 12420 163200 ) N ;
- FILLER_56_160 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 79120 163200 ) N ;
- FILLER_56_168 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 82800 163200 ) N ;
- FILLER_56_173 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 85100 163200 ) N ;
- FILLER_56_180 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 88320 163200 ) N ;
- FILLER_56_186 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 91080 163200 ) N ;
- FILLER_56_19 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 14260 163200 ) N ;
- FILLER_56_192 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 93840 163200 ) N ;
- FILLER_56_201 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 97980 163200 ) N ;
- FILLER_56_213 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 103500 163200 ) N ;
- FILLER_56_221 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 107180 163200 ) N ;
- FILLER_56_224 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 108560 163200 ) N ;
- FILLER_56_229 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 110860 163200 ) N ;
- FILLER_56_241 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 116380 163200 ) N ;
- FILLER_56_249 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 120060 163200 ) N ;
- FILLER_56_25 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 17020 163200 ) N ;
- FILLER_56_253 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 121900 163200 ) N ;
- FILLER_56_259 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 124660 163200 ) N ;
- FILLER_56_266 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127880 163200 ) N ;
- FILLER_56_278 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 133400 163200 ) N ;
- FILLER_56_282 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 135240 163200 ) N ;
- FILLER_56_287 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 137540 163200 ) N ;
- FILLER_56_29 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 18860 163200 ) N ;
- FILLER_56_292 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 139840 163200 ) N ;
- FILLER_56_3 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 6900 163200 ) N ;
- FILLER_56_302 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 144440 163200 ) N ;
- FILLER_56_309 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 147660 163200 ) N ;
- FILLER_56_315 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 150420 163200 ) N ;
- FILLER_56_318 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 151800 163200 ) N ;
- FILLER_56_323 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 154100 163200 ) N ;
- FILLER_56_335 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 159620 163200 ) N ;
- FILLER_56_343 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 163300 163200 ) N ;
- FILLER_56_35 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 21620 163200 ) N ;
- FILLER_56_350 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 166520 163200 ) N ;
- FILLER_56_356 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 169280 163200 ) N ;
- FILLER_56_362 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 172040 163200 ) N ;
- FILLER_56_38 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 23000 163200 ) N ;
- FILLER_56_46 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 26680 163200 ) N ;
- FILLER_56_50 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 28520 163200 ) N ;
- FILLER_56_56 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 31280 163200 ) N ;
- FILLER_56_60 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 33120 163200 ) N ;
- FILLER_56_72 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 38640 163200 ) N ;
- FILLER_56_82 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 43240 163200 ) N ;
- FILLER_56_85 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 44620 163200 ) N ;
- FILLER_56_9 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 9660 163200 ) N ;
- FILLER_56_90 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 46920 163200 ) N ;
- FILLER_56_94 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 48760 163200 ) N ;
- FILLER_57_110 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 56120 165920 ) FS ;
- FILLER_57_113 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 57500 165920 ) FS ;
- FILLER_57_118 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 59800 165920 ) FS ;
- FILLER_57_122 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61640 165920 ) FS ;
- FILLER_57_13 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 11500 165920 ) FS ;
- FILLER_57_134 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 67160 165920 ) FS ;
- FILLER_57_138 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 69000 165920 ) FS ;
- FILLER_57_145 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 72220 165920 ) FS ;
- FILLER_57_150 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 74520 165920 ) FS ;
- FILLER_57_154 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 76360 165920 ) FS ;
- FILLER_57_166 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 81880 165920 ) FS ;
- FILLER_57_169 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 83260 165920 ) FS ;
- FILLER_57_175 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 86020 165920 ) FS ;
- FILLER_57_179 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 87860 165920 ) FS ;
- FILLER_57_189 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 92460 165920 ) FS ;
- FILLER_57_194 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 94760 165920 ) FS ;
- FILLER_57_197 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 96140 165920 ) FS ;
- FILLER_57_209 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 101660 165920 ) FS ;
- FILLER_57_21 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 15180 165920 ) FS ;
- FILLER_57_222 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 107640 165920 ) FS ;
- FILLER_57_228 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 110400 165920 ) FS ;
- FILLER_57_232 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 112240 165920 ) FS ;
- FILLER_57_236 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 114080 165920 ) FS ;
- FILLER_57_239 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 115460 165920 ) FS ;
- FILLER_57_244 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 117760 165920 ) FS ;
- FILLER_57_25 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 17020 165920 ) FS ;
- FILLER_57_250 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 120520 165920 ) FS ;
- FILLER_57_263 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 126500 165920 ) FS ;
- FILLER_57_267 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 128340 165920 ) FS ;
- FILLER_57_273 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 131100 165920 ) FS ;
- FILLER_57_278 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 133400 165920 ) FS ;
- FILLER_57_29 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 18860 165920 ) FS ;
- FILLER_57_291 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 139380 165920 ) FS ;
- FILLER_57_295 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 141220 165920 ) FS ;
- FILLER_57_300 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 143520 165920 ) FS ;
- FILLER_57_306 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 146280 165920 ) FS ;
- FILLER_57_309 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 147660 165920 ) FS ;
- FILLER_57_315 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 150420 165920 ) FS ;
- FILLER_57_328 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 156400 165920 ) FS ;
- FILLER_57_334 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 159160 165920 ) FS ;
- FILLER_57_337 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 160540 165920 ) FS ;
- FILLER_57_343 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 163300 165920 ) FS ;
- FILLER_57_35 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 21620 165920 ) FS ;
- FILLER_57_350 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 166520 165920 ) FS ;
- FILLER_57_362 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 172040 165920 ) FS ;
- FILLER_57_48 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 27600 165920 ) FS ;
- FILLER_57_54 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 30360 165920 ) FS ;
- FILLER_57_57 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 31740 165920 ) FS ;
- FILLER_57_63 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 34500 165920 ) FS ;
- FILLER_57_71 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 38180 165920 ) FS ;
- FILLER_57_77 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 40940 165920 ) FS ;
- FILLER_57_81 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 42780 165920 ) FS ;
- FILLER_57_85 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 44620 165920 ) FS ;
- FILLER_57_91 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 47380 165920 ) FS ;
- FILLER_57_98 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 50600 165920 ) FS ;
- FILLER_5_105 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 53820 24480 ) FS ;
- FILLER_5_110 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 56120 24480 ) FS ;
- FILLER_5_113 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 24480 ) FS ;
- FILLER_5_125 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 24480 ) FS ;
- FILLER_5_137 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 24480 ) FS ;
- FILLER_5_149 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 24480 ) FS ;
- FILLER_5_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 24480 ) FS ;
- FILLER_5_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 24480 ) FS ;
- FILLER_5_169 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 24480 ) FS ;
- FILLER_5_181 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 24480 ) FS ;
- FILLER_5_19 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 14260 24480 ) FS ;
- FILLER_5_193 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 24480 ) FS ;
- FILLER_5_205 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 24480 ) FS ;
- FILLER_5_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 24480 ) FS ;
- FILLER_5_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 24480 ) FS ;
- FILLER_5_225 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 24480 ) FS ;
- FILLER_5_237 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 24480 ) FS ;
- FILLER_5_249 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 24480 ) FS ;
- FILLER_5_261 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 24480 ) FS ;
- FILLER_5_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 24480 ) FS ;
- FILLER_5_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 24480 ) FS ;
- FILLER_5_281 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 24480 ) FS ;
- FILLER_5_293 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 24480 ) FS ;
- FILLER_5_305 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 24480 ) FS ;
- FILLER_5_31 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 19780 24480 ) FS ;
- FILLER_5_317 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 24480 ) FS ;
- FILLER_5_329 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 156860 24480 ) FS ;
- FILLER_5_335 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 159620 24480 ) FS ;
- FILLER_5_337 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 160540 24480 ) FS ;
- FILLER_5_349 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 166060 24480 ) FS ;
- FILLER_5_362 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 172040 24480 ) FS ;
- FILLER_5_43 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 25300 24480 ) FS ;
- FILLER_5_55 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 30820 24480 ) FS ;
- FILLER_5_57 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 24480 ) FS ;
- FILLER_5_69 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 24480 ) FS ;
- FILLER_5_7 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 8740 24480 ) FS ;
- FILLER_5_81 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 24480 ) FS ;
- FILLER_5_93 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 24480 ) FS ;
- FILLER_6_109 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 27200 ) N ;
- FILLER_6_121 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 27200 ) N ;
- FILLER_6_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 27200 ) N ;
- FILLER_6_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 27200 ) N ;
- FILLER_6_141 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 27200 ) N ;
- FILLER_6_15 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 27200 ) N ;
- FILLER_6_153 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 27200 ) N ;
- FILLER_6_165 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 27200 ) N ;
- FILLER_6_177 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 27200 ) N ;
- FILLER_6_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 27200 ) N ;
- FILLER_6_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 27200 ) N ;
- FILLER_6_197 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 27200 ) N ;
- FILLER_6_209 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 27200 ) N ;
- FILLER_6_221 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 107180 27200 ) N ;
- FILLER_6_233 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112700 27200 ) N ;
- FILLER_6_245 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 118220 27200 ) N ;
- FILLER_6_251 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 120980 27200 ) N ;
- FILLER_6_253 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 27200 ) N ;
- FILLER_6_265 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 27200 ) N ;
- FILLER_6_27 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 17940 27200 ) N ;
- FILLER_6_277 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 27200 ) N ;
- FILLER_6_289 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 138460 27200 ) N ;
- FILLER_6_29 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 27200 ) N ;
- FILLER_6_3 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 27200 ) N ;
- FILLER_6_301 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 143980 27200 ) N ;
- FILLER_6_307 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 146740 27200 ) N ;
- FILLER_6_309 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 27200 ) N ;
- FILLER_6_321 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 153180 27200 ) N ;
- FILLER_6_333 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 158700 27200 ) N ;
- FILLER_6_345 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 164220 27200 ) N ;
- FILLER_6_357 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 169740 27200 ) N ;
- FILLER_6_362 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 172040 27200 ) N ;
- FILLER_6_41 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 27200 ) N ;
- FILLER_6_53 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 27200 ) N ;
- FILLER_6_65 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 27200 ) N ;
- FILLER_6_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 27200 ) N ;
- FILLER_6_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 27200 ) N ;
- FILLER_6_85 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 27200 ) N ;
- FILLER_6_97 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 27200 ) N ;
- FILLER_7_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 29920 ) FS ;
- FILLER_7_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 29920 ) FS ;
- FILLER_7_113 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 29920 ) FS ;
- FILLER_7_125 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 29920 ) FS ;
- FILLER_7_137 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 29920 ) FS ;
- FILLER_7_149 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 29920 ) FS ;
- FILLER_7_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 29920 ) FS ;
- FILLER_7_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 29920 ) FS ;
- FILLER_7_169 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 29920 ) FS ;
- FILLER_7_181 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 29920 ) FS ;
- FILLER_7_19 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 14260 29920 ) FS ;
- FILLER_7_193 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 29920 ) FS ;
- FILLER_7_205 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 29920 ) FS ;
- FILLER_7_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 29920 ) FS ;
- FILLER_7_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 29920 ) FS ;
- FILLER_7_225 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 29920 ) FS ;
- FILLER_7_237 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 29920 ) FS ;
- FILLER_7_249 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 29920 ) FS ;
- FILLER_7_261 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 29920 ) FS ;
- FILLER_7_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 29920 ) FS ;
- FILLER_7_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 29920 ) FS ;
- FILLER_7_281 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 29920 ) FS ;
- FILLER_7_293 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 29920 ) FS ;
- FILLER_7_305 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 29920 ) FS ;
- FILLER_7_31 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 19780 29920 ) FS ;
- FILLER_7_317 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 29920 ) FS ;
- FILLER_7_329 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 156860 29920 ) FS ;
- FILLER_7_335 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 159620 29920 ) FS ;
- FILLER_7_337 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 160540 29920 ) FS ;
- FILLER_7_349 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 166060 29920 ) FS ;
- FILLER_7_353 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 167900 29920 ) FS ;
- FILLER_7_356 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 169280 29920 ) FS ;
- FILLER_7_362 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 172040 29920 ) FS ;
- FILLER_7_43 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 25300 29920 ) FS ;
- FILLER_7_55 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 30820 29920 ) FS ;
- FILLER_7_57 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 29920 ) FS ;
- FILLER_7_69 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 29920 ) FS ;
- FILLER_7_7 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 8740 29920 ) FS ;
- FILLER_7_81 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 29920 ) FS ;
- FILLER_7_93 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 29920 ) FS ;
- FILLER_8_109 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 32640 ) N ;
- FILLER_8_121 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 32640 ) N ;
- FILLER_8_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 32640 ) N ;
- FILLER_8_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 32640 ) N ;
- FILLER_8_141 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 32640 ) N ;
- FILLER_8_15 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 32640 ) N ;
- FILLER_8_153 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 32640 ) N ;
- FILLER_8_165 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 32640 ) N ;
- FILLER_8_177 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 32640 ) N ;
- FILLER_8_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 32640 ) N ;
- FILLER_8_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 32640 ) N ;
- FILLER_8_197 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 32640 ) N ;
- FILLER_8_209 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 32640 ) N ;
- FILLER_8_221 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 107180 32640 ) N ;
- FILLER_8_233 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112700 32640 ) N ;
- FILLER_8_245 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 118220 32640 ) N ;
- FILLER_8_251 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 120980 32640 ) N ;
- FILLER_8_253 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 32640 ) N ;
- FILLER_8_265 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 32640 ) N ;
- FILLER_8_27 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 17940 32640 ) N ;
- FILLER_8_277 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 32640 ) N ;
- FILLER_8_289 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 138460 32640 ) N ;
- FILLER_8_29 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 32640 ) N ;
- FILLER_8_3 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 32640 ) N ;
- FILLER_8_301 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 143980 32640 ) N ;
- FILLER_8_307 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 146740 32640 ) N ;
- FILLER_8_309 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 32640 ) N ;
- FILLER_8_321 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 153180 32640 ) N ;
- FILLER_8_333 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 158700 32640 ) N ;
- FILLER_8_345 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 164220 32640 ) N ;
- FILLER_8_357 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 169740 32640 ) N ;
- FILLER_8_363 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 172500 32640 ) N ;
- FILLER_8_41 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 32640 ) N ;
- FILLER_8_53 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 32640 ) N ;
- FILLER_8_65 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 32640 ) N ;
- FILLER_8_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 32640 ) N ;
- FILLER_8_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 32640 ) N ;
- FILLER_8_85 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 32640 ) N ;
- FILLER_8_97 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 32640 ) N ;
- FILLER_9_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 35360 ) FS ;
- FILLER_9_11 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 10580 35360 ) FS ;
- FILLER_9_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 35360 ) FS ;
- FILLER_9_113 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 35360 ) FS ;
- FILLER_9_125 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 35360 ) FS ;
- FILLER_9_137 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 35360 ) FS ;
- FILLER_9_149 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 35360 ) FS ;
- FILLER_9_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 35360 ) FS ;
- FILLER_9_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 35360 ) FS ;
- FILLER_9_169 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 35360 ) FS ;
- FILLER_9_181 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 35360 ) FS ;
- FILLER_9_193 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 35360 ) FS ;
- FILLER_9_205 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 35360 ) FS ;
- FILLER_9_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 35360 ) FS ;
- FILLER_9_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 35360 ) FS ;
- FILLER_9_225 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 35360 ) FS ;
- FILLER_9_23 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 16100 35360 ) FS ;
- FILLER_9_237 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 35360 ) FS ;
- FILLER_9_249 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 35360 ) FS ;
- FILLER_9_261 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 35360 ) FS ;
- FILLER_9_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 35360 ) FS ;
- FILLER_9_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 35360 ) FS ;
- FILLER_9_281 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 35360 ) FS ;
- FILLER_9_293 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 35360 ) FS ;
- FILLER_9_305 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 35360 ) FS ;
- FILLER_9_317 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 35360 ) FS ;
- FILLER_9_329 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 156860 35360 ) FS ;
- FILLER_9_335 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 159620 35360 ) FS ;
- FILLER_9_337 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 160540 35360 ) FS ;
- FILLER_9_349 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 166060 35360 ) FS ;
- FILLER_9_35 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 21620 35360 ) FS ;
- FILLER_9_357 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 169740 35360 ) FS ;
- FILLER_9_362 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 172040 35360 ) FS ;
- FILLER_9_47 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 27140 35360 ) FS ;
- FILLER_9_55 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 30820 35360 ) FS ;
- FILLER_9_57 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 35360 ) FS ;
- FILLER_9_69 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 35360 ) FS ;
- FILLER_9_7 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 8740 35360 ) FS ;
- FILLER_9_81 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 35360 ) FS ;
- FILLER_9_93 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 35360 ) FS ;
- PHY_0 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 10880 ) N ;
- PHY_1 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 172960 10880 ) FN ;
- PHY_10 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 24480 ) FS ;
- PHY_100 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 146880 ) N ;
- PHY_101 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 172960 146880 ) FN ;
- PHY_102 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 149600 ) FS ;
- PHY_103 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 172960 149600 ) S ;
- PHY_104 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 152320 ) N ;
- PHY_105 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 172960 152320 ) FN ;
- PHY_106 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 155040 ) FS ;
- PHY_107 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 172960 155040 ) S ;
- PHY_108 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 157760 ) N ;
- PHY_109 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 172960 157760 ) FN ;
- PHY_11 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 172960 24480 ) S ;
- PHY_110 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 160480 ) FS ;
- PHY_111 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 172960 160480 ) S ;
- PHY_112 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 163200 ) N ;
- PHY_113 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 172960 163200 ) FN ;
- PHY_114 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 165920 ) FS ;
- PHY_115 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 172960 165920 ) S ;
- PHY_12 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 27200 ) N ;
- PHY_13 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 172960 27200 ) FN ;
- PHY_14 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 29920 ) FS ;
- PHY_15 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 172960 29920 ) S ;
- PHY_16 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 32640 ) N ;
- PHY_17 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 172960 32640 ) FN ;
- PHY_18 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 35360 ) FS ;
- PHY_19 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 172960 35360 ) S ;
- PHY_2 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 13600 ) FS ;
- PHY_20 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 38080 ) N ;
- PHY_21 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 172960 38080 ) FN ;
- PHY_22 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 40800 ) FS ;
- PHY_23 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 172960 40800 ) S ;
- PHY_24 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 43520 ) N ;
- PHY_25 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 172960 43520 ) FN ;
- PHY_26 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 46240 ) FS ;
- PHY_27 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 172960 46240 ) S ;
- PHY_28 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 48960 ) N ;
- PHY_29 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 172960 48960 ) FN ;
- PHY_3 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 172960 13600 ) S ;
- PHY_30 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 51680 ) FS ;
- PHY_31 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 172960 51680 ) S ;
- PHY_32 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 54400 ) N ;
- PHY_33 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 172960 54400 ) FN ;
- PHY_34 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 57120 ) FS ;
- PHY_35 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 172960 57120 ) S ;
- PHY_36 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 59840 ) N ;
- PHY_37 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 172960 59840 ) FN ;
- PHY_38 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 62560 ) FS ;
- PHY_39 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 172960 62560 ) S ;
- PHY_4 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 16320 ) N ;
- PHY_40 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 65280 ) N ;
- PHY_41 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 172960 65280 ) FN ;
- PHY_42 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 68000 ) FS ;
- PHY_43 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 172960 68000 ) S ;
- PHY_44 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 70720 ) N ;
- PHY_45 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 172960 70720 ) FN ;
- PHY_46 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 73440 ) FS ;
- PHY_47 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 172960 73440 ) S ;
- PHY_48 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 76160 ) N ;
- PHY_49 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 172960 76160 ) FN ;
- PHY_5 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 172960 16320 ) FN ;
- PHY_50 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 78880 ) FS ;
- PHY_51 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 172960 78880 ) S ;
- PHY_52 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 81600 ) N ;
- PHY_53 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 172960 81600 ) FN ;
- PHY_54 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 84320 ) FS ;
- PHY_55 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 172960 84320 ) S ;
- PHY_56 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 87040 ) N ;
- PHY_57 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 172960 87040 ) FN ;
- PHY_58 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 89760 ) FS ;
- PHY_59 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 172960 89760 ) S ;
- PHY_6 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 19040 ) FS ;
- PHY_60 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 92480 ) N ;
- PHY_61 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 172960 92480 ) FN ;
- PHY_62 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 95200 ) FS ;
- PHY_63 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 172960 95200 ) S ;
- PHY_64 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 97920 ) N ;
- PHY_65 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 172960 97920 ) FN ;
- PHY_66 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 100640 ) FS ;
- PHY_67 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 172960 100640 ) S ;
- PHY_68 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 103360 ) N ;
- PHY_69 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 172960 103360 ) FN ;
- PHY_7 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 172960 19040 ) S ;
- PHY_70 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 106080 ) FS ;
- PHY_71 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 172960 106080 ) S ;
- PHY_72 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 108800 ) N ;
- PHY_73 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 172960 108800 ) FN ;
- PHY_74 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 111520 ) FS ;
- PHY_75 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 172960 111520 ) S ;
- PHY_76 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 114240 ) N ;
- PHY_77 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 172960 114240 ) FN ;
- PHY_78 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 116960 ) FS ;
- PHY_79 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 172960 116960 ) S ;
- PHY_8 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 21760 ) N ;
- PHY_80 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 119680 ) N ;
- PHY_81 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 172960 119680 ) FN ;
- PHY_82 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 122400 ) FS ;
- PHY_83 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 172960 122400 ) S ;
- PHY_84 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 125120 ) N ;
- PHY_85 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 172960 125120 ) FN ;
- PHY_86 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 127840 ) FS ;
- PHY_87 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 172960 127840 ) S ;
- PHY_88 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 130560 ) N ;
- PHY_89 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 172960 130560 ) FN ;
- PHY_9 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 172960 21760 ) FN ;
- PHY_90 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 133280 ) FS ;
- PHY_91 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 172960 133280 ) S ;
- PHY_92 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 136000 ) N ;
- PHY_93 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 172960 136000 ) FN ;
- PHY_94 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 138720 ) FS ;
- PHY_95 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 172960 138720 ) S ;
- PHY_96 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 141440 ) N ;
- PHY_97 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 172960 141440 ) FN ;
- PHY_98 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 144160 ) FS ;
- PHY_99 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 172960 144160 ) S ;
- TAP_116 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 10880 ) N ;
- TAP_117 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 10880 ) N ;
- TAP_118 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 10880 ) N ;
- TAP_119 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 10880 ) N ;
- TAP_120 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 10880 ) N ;
- TAP_121 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 10880 ) N ;
- TAP_122 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 10880 ) N ;
- TAP_123 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 10880 ) N ;
- TAP_124 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 10880 ) N ;
- TAP_125 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 10880 ) N ;
- TAP_126 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 10880 ) N ;
- TAP_127 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 10880 ) N ;
- TAP_128 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 13600 ) FS ;
- TAP_129 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 13600 ) FS ;
- TAP_130 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 13600 ) FS ;
- TAP_131 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 13600 ) FS ;
- TAP_132 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 13600 ) FS ;
- TAP_133 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 13600 ) FS ;
- TAP_134 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 16320 ) N ;
- TAP_135 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 16320 ) N ;
- TAP_136 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 16320 ) N ;
- TAP_137 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 16320 ) N ;
- TAP_138 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 16320 ) N ;
- TAP_139 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 16320 ) N ;
- TAP_140 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 19040 ) FS ;
- TAP_141 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 19040 ) FS ;
- TAP_142 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 19040 ) FS ;
- TAP_143 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 19040 ) FS ;
- TAP_144 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 19040 ) FS ;
- TAP_145 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 19040 ) FS ;
- TAP_146 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 21760 ) N ;
- TAP_147 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 21760 ) N ;
- TAP_148 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 21760 ) N ;
- TAP_149 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 21760 ) N ;
- TAP_150 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 21760 ) N ;
- TAP_151 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 21760 ) N ;
- TAP_152 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 24480 ) FS ;
- TAP_153 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 24480 ) FS ;
- TAP_154 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 24480 ) FS ;
- TAP_155 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 24480 ) FS ;
- TAP_156 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 24480 ) FS ;
- TAP_157 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 24480 ) FS ;
- TAP_158 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 27200 ) N ;
- TAP_159 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 27200 ) N ;
- TAP_160 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 27200 ) N ;
- TAP_161 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 27200 ) N ;
- TAP_162 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 27200 ) N ;
- TAP_163 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 27200 ) N ;
- TAP_164 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 29920 ) FS ;
- TAP_165 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 29920 ) FS ;
- TAP_166 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 29920 ) FS ;
- TAP_167 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 29920 ) FS ;
- TAP_168 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 29920 ) FS ;
- TAP_169 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 29920 ) FS ;
- TAP_170 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 32640 ) N ;
- TAP_171 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 32640 ) N ;
- TAP_172 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 32640 ) N ;
- TAP_173 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 32640 ) N ;
- TAP_174 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 32640 ) N ;
- TAP_175 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 32640 ) N ;
- TAP_176 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 35360 ) FS ;
- TAP_177 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 35360 ) FS ;
- TAP_178 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 35360 ) FS ;
- TAP_179 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 35360 ) FS ;
- TAP_180 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 35360 ) FS ;
- TAP_181 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 35360 ) FS ;
- TAP_182 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 38080 ) N ;
- TAP_183 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 38080 ) N ;
- TAP_184 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 38080 ) N ;
- TAP_185 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 38080 ) N ;
- TAP_186 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 38080 ) N ;
- TAP_187 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 38080 ) N ;
- TAP_188 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 40800 ) FS ;
- TAP_189 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 40800 ) FS ;
- TAP_190 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 40800 ) FS ;
- TAP_191 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 40800 ) FS ;
- TAP_192 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 40800 ) FS ;
- TAP_193 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 40800 ) FS ;
- TAP_194 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 43520 ) N ;
- TAP_195 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 43520 ) N ;
- TAP_196 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 43520 ) N ;
- TAP_197 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 43520 ) N ;
- TAP_198 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 43520 ) N ;
- TAP_199 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 43520 ) N ;
- TAP_200 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 46240 ) FS ;
- TAP_201 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 46240 ) FS ;
- TAP_202 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 46240 ) FS ;
- TAP_203 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 46240 ) FS ;
- TAP_204 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 46240 ) FS ;
- TAP_205 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 46240 ) FS ;
- TAP_206 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 48960 ) N ;
- TAP_207 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 48960 ) N ;
- TAP_208 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 48960 ) N ;
- TAP_209 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 48960 ) N ;
- TAP_210 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 48960 ) N ;
- TAP_211 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 48960 ) N ;
- TAP_212 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 51680 ) FS ;
- TAP_213 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 51680 ) FS ;
- TAP_214 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 51680 ) FS ;
- TAP_215 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 51680 ) FS ;
- TAP_216 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 51680 ) FS ;
- TAP_217 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 51680 ) FS ;
- TAP_218 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 54400 ) N ;
- TAP_219 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 54400 ) N ;
- TAP_220 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 54400 ) N ;
- TAP_221 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 54400 ) N ;
- TAP_222 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 54400 ) N ;
- TAP_223 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 54400 ) N ;
- TAP_224 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 57120 ) FS ;
- TAP_225 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 57120 ) FS ;
- TAP_226 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 57120 ) FS ;
- TAP_227 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 57120 ) FS ;
- TAP_228 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 57120 ) FS ;
- TAP_229 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 57120 ) FS ;
- TAP_230 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 59840 ) N ;
- TAP_231 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 59840 ) N ;
- TAP_232 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 59840 ) N ;
- TAP_233 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 59840 ) N ;
- TAP_234 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 59840 ) N ;
- TAP_235 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 59840 ) N ;
- TAP_236 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 62560 ) FS ;
- TAP_237 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 62560 ) FS ;
- TAP_238 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 62560 ) FS ;
- TAP_239 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 62560 ) FS ;
- TAP_240 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 62560 ) FS ;
- TAP_241 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 62560 ) FS ;
- TAP_242 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 65280 ) N ;
- TAP_243 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 65280 ) N ;
- TAP_244 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 65280 ) N ;
- TAP_245 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 65280 ) N ;
- TAP_246 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 65280 ) N ;
- TAP_247 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 65280 ) N ;
- TAP_248 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 68000 ) FS ;
- TAP_249 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 68000 ) FS ;
- TAP_250 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 68000 ) FS ;
- TAP_251 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 68000 ) FS ;
- TAP_252 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 68000 ) FS ;
- TAP_253 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 68000 ) FS ;
- TAP_254 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 70720 ) N ;
- TAP_255 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 70720 ) N ;
- TAP_256 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 70720 ) N ;
- TAP_257 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 70720 ) N ;
- TAP_258 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 70720 ) N ;
- TAP_259 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 70720 ) N ;
- TAP_260 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 73440 ) FS ;
- TAP_261 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 73440 ) FS ;
- TAP_262 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 73440 ) FS ;
- TAP_263 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 73440 ) FS ;
- TAP_264 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 73440 ) FS ;
- TAP_265 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 73440 ) FS ;
- TAP_266 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 76160 ) N ;
- TAP_267 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 76160 ) N ;
- TAP_268 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 76160 ) N ;
- TAP_269 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 76160 ) N ;
- TAP_270 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 76160 ) N ;
- TAP_271 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 76160 ) N ;
- TAP_272 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 78880 ) FS ;
- TAP_273 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 78880 ) FS ;
- TAP_274 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 78880 ) FS ;
- TAP_275 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 78880 ) FS ;
- TAP_276 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 78880 ) FS ;
- TAP_277 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 78880 ) FS ;
- TAP_278 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 81600 ) N ;
- TAP_279 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 81600 ) N ;
- TAP_280 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 81600 ) N ;
- TAP_281 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 81600 ) N ;
- TAP_282 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 81600 ) N ;
- TAP_283 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 81600 ) N ;
- TAP_284 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 84320 ) FS ;
- TAP_285 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 84320 ) FS ;
- TAP_286 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 84320 ) FS ;
- TAP_287 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 84320 ) FS ;
- TAP_288 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 84320 ) FS ;
- TAP_289 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 84320 ) FS ;
- TAP_290 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 87040 ) N ;
- TAP_291 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 87040 ) N ;
- TAP_292 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 87040 ) N ;
- TAP_293 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 87040 ) N ;
- TAP_294 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 87040 ) N ;
- TAP_295 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 87040 ) N ;
- TAP_296 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 89760 ) FS ;
- TAP_297 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 89760 ) FS ;
- TAP_298 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 89760 ) FS ;
- TAP_299 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 89760 ) FS ;
- TAP_300 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 89760 ) FS ;
- TAP_301 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 89760 ) FS ;
- TAP_302 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 92480 ) N ;
- TAP_303 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 92480 ) N ;
- TAP_304 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 92480 ) N ;
- TAP_305 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 92480 ) N ;
- TAP_306 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 92480 ) N ;
- TAP_307 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 92480 ) N ;
- TAP_308 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 95200 ) FS ;
- TAP_309 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 95200 ) FS ;
- TAP_310 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 95200 ) FS ;
- TAP_311 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 95200 ) FS ;
- TAP_312 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 95200 ) FS ;
- TAP_313 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 95200 ) FS ;
- TAP_314 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 97920 ) N ;
- TAP_315 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 97920 ) N ;
- TAP_316 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 97920 ) N ;
- TAP_317 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 97920 ) N ;
- TAP_318 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 97920 ) N ;
- TAP_319 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 97920 ) N ;
- TAP_320 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 100640 ) FS ;
- TAP_321 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 100640 ) FS ;
- TAP_322 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 100640 ) FS ;
- TAP_323 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 100640 ) FS ;
- TAP_324 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 100640 ) FS ;
- TAP_325 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 100640 ) FS ;
- TAP_326 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 103360 ) N ;
- TAP_327 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 103360 ) N ;
- TAP_328 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 103360 ) N ;
- TAP_329 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 103360 ) N ;
- TAP_330 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 103360 ) N ;
- TAP_331 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 103360 ) N ;
- TAP_332 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 106080 ) FS ;
- TAP_333 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 106080 ) FS ;
- TAP_334 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 106080 ) FS ;
- TAP_335 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 106080 ) FS ;
- TAP_336 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 106080 ) FS ;
- TAP_337 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 106080 ) FS ;
- TAP_338 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 108800 ) N ;
- TAP_339 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 108800 ) N ;
- TAP_340 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 108800 ) N ;
- TAP_341 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 108800 ) N ;
- TAP_342 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 108800 ) N ;
- TAP_343 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 108800 ) N ;
- TAP_344 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 111520 ) FS ;
- TAP_345 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 111520 ) FS ;
- TAP_346 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 111520 ) FS ;
- TAP_347 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 111520 ) FS ;
- TAP_348 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 111520 ) FS ;
- TAP_349 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 111520 ) FS ;
- TAP_350 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 114240 ) N ;
- TAP_351 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 114240 ) N ;
- TAP_352 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 114240 ) N ;
- TAP_353 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 114240 ) N ;
- TAP_354 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 114240 ) N ;
- TAP_355 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 114240 ) N ;
- TAP_356 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 116960 ) FS ;
- TAP_357 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 116960 ) FS ;
- TAP_358 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 116960 ) FS ;
- TAP_359 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 116960 ) FS ;
- TAP_360 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 116960 ) FS ;
- TAP_361 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 116960 ) FS ;
- TAP_362 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 119680 ) N ;
- TAP_363 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 119680 ) N ;
- TAP_364 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 119680 ) N ;
- TAP_365 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 119680 ) N ;
- TAP_366 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 119680 ) N ;
- TAP_367 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 119680 ) N ;
- TAP_368 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 122400 ) FS ;
- TAP_369 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 122400 ) FS ;
- TAP_370 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 122400 ) FS ;
- TAP_371 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 122400 ) FS ;
- TAP_372 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 122400 ) FS ;
- TAP_373 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 122400 ) FS ;
- TAP_374 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 125120 ) N ;
- TAP_375 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 125120 ) N ;
- TAP_376 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 125120 ) N ;
- TAP_377 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 125120 ) N ;
- TAP_378 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 125120 ) N ;
- TAP_379 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 125120 ) N ;
- TAP_380 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 127840 ) FS ;
- TAP_381 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 127840 ) FS ;
- TAP_382 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 127840 ) FS ;
- TAP_383 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 127840 ) FS ;
- TAP_384 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 127840 ) FS ;
- TAP_385 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 127840 ) FS ;
- TAP_386 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 130560 ) N ;
- TAP_387 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 130560 ) N ;
- TAP_388 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 130560 ) N ;
- TAP_389 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 130560 ) N ;
- TAP_390 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 130560 ) N ;
- TAP_391 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 130560 ) N ;
- TAP_392 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 133280 ) FS ;
- TAP_393 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 133280 ) FS ;
- TAP_394 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 133280 ) FS ;
- TAP_395 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 133280 ) FS ;
- TAP_396 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 133280 ) FS ;
- TAP_397 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 133280 ) FS ;
- TAP_398 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 136000 ) N ;
- TAP_399 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 136000 ) N ;
- TAP_400 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 136000 ) N ;
- TAP_401 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 136000 ) N ;
- TAP_402 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 136000 ) N ;
- TAP_403 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 136000 ) N ;
- TAP_404 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 138720 ) FS ;
- TAP_405 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 138720 ) FS ;
- TAP_406 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 138720 ) FS ;
- TAP_407 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 138720 ) FS ;
- TAP_408 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 138720 ) FS ;
- TAP_409 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 138720 ) FS ;
- TAP_410 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 141440 ) N ;
- TAP_411 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 141440 ) N ;
- TAP_412 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 141440 ) N ;
- TAP_413 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 141440 ) N ;
- TAP_414 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 141440 ) N ;
- TAP_415 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 141440 ) N ;
- TAP_416 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 144160 ) FS ;
- TAP_417 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 144160 ) FS ;
- TAP_418 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 144160 ) FS ;
- TAP_419 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 144160 ) FS ;
- TAP_420 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 144160 ) FS ;
- TAP_421 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 144160 ) FS ;
- TAP_422 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 146880 ) N ;
- TAP_423 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 146880 ) N ;
- TAP_424 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 146880 ) N ;
- TAP_425 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 146880 ) N ;
- TAP_426 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 146880 ) N ;
- TAP_427 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 146880 ) N ;
- TAP_428 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 149600 ) FS ;
- TAP_429 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 149600 ) FS ;
- TAP_430 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 149600 ) FS ;
- TAP_431 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 149600 ) FS ;
- TAP_432 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 149600 ) FS ;
- TAP_433 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 149600 ) FS ;
- TAP_434 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 152320 ) N ;
- TAP_435 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 152320 ) N ;
- TAP_436 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 152320 ) N ;
- TAP_437 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 152320 ) N ;
- TAP_438 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 152320 ) N ;
- TAP_439 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 152320 ) N ;
- TAP_440 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 155040 ) FS ;
- TAP_441 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 155040 ) FS ;
- TAP_442 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 155040 ) FS ;
- TAP_443 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 155040 ) FS ;
- TAP_444 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 155040 ) FS ;
- TAP_445 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 155040 ) FS ;
- TAP_446 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 157760 ) N ;
- TAP_447 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 157760 ) N ;
- TAP_448 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 157760 ) N ;
- TAP_449 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 157760 ) N ;
- TAP_450 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 157760 ) N ;
- TAP_451 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 157760 ) N ;
- TAP_452 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 160480 ) FS ;
- TAP_453 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 160480 ) FS ;
- TAP_454 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 160480 ) FS ;
- TAP_455 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 160480 ) FS ;
- TAP_456 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 160480 ) FS ;
- TAP_457 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 160480 ) FS ;
- TAP_458 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 163200 ) N ;
- TAP_459 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 163200 ) N ;
- TAP_460 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 163200 ) N ;
- TAP_461 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 163200 ) N ;
- TAP_462 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 163200 ) N ;
- TAP_463 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 163200 ) N ;
- TAP_464 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 165920 ) FS ;
- TAP_465 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 165920 ) FS ;
- TAP_466 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 165920 ) FS ;
- TAP_467 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 165920 ) FS ;
- TAP_468 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 165920 ) FS ;
- TAP_469 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 165920 ) FS ;
- TAP_470 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 165920 ) FS ;
- TAP_471 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 165920 ) FS ;
- TAP_472 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 165920 ) FS ;
- TAP_473 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 165920 ) FS ;
- TAP_474 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 165920 ) FS ;
- TAP_475 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 165920 ) FS ;
- _011_ sky130_fd_sc_hd__inv_2 + PLACED ( 93380 130560 ) N ;
- _012_ sky130_fd_sc_hd__xor2_1 + PLACED ( 101660 133280 ) S ;
- _013_ sky130_fd_sc_hd__nand2_1 + PLACED ( 102580 125120 ) N ;
- _014_ sky130_fd_sc_hd__nor2_1 + PLACED ( 102120 136000 ) FN ;
- _015_ sky130_fd_sc_hd__a21o_1 + PLACED ( 102580 130560 ) N ;
- _016_ sky130_fd_sc_hd__a311o_2 + PLACED ( 97520 130560 ) N ;
- _017_ sky130_fd_sc_hd__or2b_1 + PLACED ( 103960 127840 ) S ;
- _018_ sky130_fd_sc_hd__and3_1 + PLACED ( 106260 130560 ) FN ;
- _019_ sky130_fd_sc_hd__nand2_1 + PLACED ( 105800 133280 ) S ;
- _020_ sky130_fd_sc_hd__o31a_1 + PLACED ( 97980 136000 ) N ;
- _021_ sky130_fd_sc_hd__and3_1 + PLACED ( 99360 125120 ) FN ;
- _022_ sky130_fd_sc_hd__o21ba_2 + PLACED ( 99360 127840 ) S ;
- _023_ sky130_fd_sc_hd__and3_1 + PLACED ( 96140 127840 ) FS ;
- _024_ sky130_fd_sc_hd__o21ba_2 + PLACED ( 97060 133280 ) FS ;
- _025_ sky130_fd_sc_hd__or2_1 + PLACED ( 145820 89760 ) S ;
- _026_ sky130_fd_sc_hd__buf_2 + PLACED ( 147660 92480 ) N ;
- _027_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 88780 89760 ) FS ;
- _028_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 43240 13600 ) S ;
- _029_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 91540 89760 ) S ;
- _030_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 89240 87040 ) N ;
- _031_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 89240 163200 ) FN ;
- _032_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 89240 92480 ) N ;
- _033_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 167900 84320 ) S ;
- _034_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 86940 13600 ) S ;
- _035_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 86020 89760 ) FS ;
- _036_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 89240 73440 ) S ;
- _037_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 89240 57120 ) FS ;
- _038_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 89240 160480 ) S ;
- _039_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 94300 89760 ) FS ;
- _040_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 92000 87040 ) FN ;
- _041_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 35420 89760 ) FS ;
- _042_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 88780 103360 ) FN ;
- _043_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 89240 46240 ) S ;
- _044_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 14260 89760 ) S ;
- _045_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 96140 125120 ) N ;
- _046_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 97060 89760 ) FS ;
- _047_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 92000 163200 ) N ;
- _048_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 136620 89760 ) FS ;
- _049_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 149040 89760 ) S ;
- _050_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 83260 89760 ) FS ;
- _051_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 169740 73440 ) FS ;
- _052_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 64400 89760 ) FS ;
- _053_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 92000 92480 ) FN ;
- _054_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 91540 84320 ) S ;
- _055_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 89240 95200 ) FS ;
- _056_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 32660 89760 ) S ;
- _057_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 70840 13600 ) FS ;
- _058_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 167900 144160 ) S ;
- _059_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 86940 163200 ) N ;
- _060_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 103040 92480 ) N ;
- _061_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 169740 119680 ) FN ;
- _062_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 17020 89760 ) S ;
- _063_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 161460 89760 ) S ;
- _064_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 89240 13600 ) S ;
- _065_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 57960 13600 ) S ;
- _066_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 167900 89760 ) S ;
- _067_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 97060 87040 ) N ;
- _068_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 88780 127840 ) FS ;
- _069_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 155480 89760 ) FS ;
- _070_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 99820 13600 ) FS ;
- _071_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 88780 155040 ) FS ;
- _072_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 89240 21760 ) FN ;
- _073_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 27140 89760 ) FS ;
- _074_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 86480 87040 ) N ;
- _075_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 89240 16320 ) FN ;
- _076_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 92000 73440 ) S ;
- _077_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 121900 89760 ) FS ;
- _078_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 148580 87040 ) N ;
- _079_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 84640 13600 ) FS ;
- _080_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 91540 16320 ) N ;
- _081_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 92000 21760 ) FN ;
- _082_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 169740 100640 ) FS ;
- _083_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 86480 92480 ) N ;
- _084_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 88780 84320 ) FS ;
- _085_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 109480 163200 ) FN ;
- _086_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 86480 48960 ) N ;
- _087_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 12420 16320 ) N ;
- _088_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 154560 138720 ) S ;
- _089_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 167900 157760 ) FN ;
- _090_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 92000 13600 ) FS ;
- _091_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 92000 160480 ) FS ;
- _092_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 30360 125120 ) N ;
- _093_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 88780 133280 ) S ;
- _094_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 115920 92480 ) FN ;
- _095_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 8280 89760 ) FS ;
- _096_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 89240 111520 ) S ;
- _097_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 11960 87040 ) N ;
- _098_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 83720 163200 ) FN ;
- _099_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 11040 89760 ) FS ;
- _100_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 94760 13600 ) FS ;
- _101_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 8280 87040 ) N ;
- _102_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 138460 163200 ) N ;
- _103_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 76820 160480 ) S ;
- _104_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 83260 16320 ) FN ;
- _105_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 92000 95200 ) FS ;
- _106_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 78200 89760 ) S ;
- _107_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 54740 24480 ) FS ;
- _108_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 149040 84320 ) S ;
- input1 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 6900 95200 ) FS ;
- input10 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 170660 130560 ) N ;
- input11 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 157780 10880 ) N ;
- input12 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 6900 19040 ) S ;
- input13 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 170660 155040 ) FS ;
- input14 sky130_fd_sc_hd__dlymetal6s2s_1 + SOURCE TIMING + PLACED ( 90160 10880 ) FN ;
- input15 sky130_fd_sc_hd__dlymetal6s2s_1 + SOURCE TIMING + PLACED ( 51520 165920 ) S ;
- input16 sky130_fd_sc_hd__dlymetal6s2s_1 + SOURCE TIMING + PLACED ( 151800 165920 ) FS ;
- input17 sky130_fd_sc_hd__clkbuf_2 + SOURCE TIMING + PLACED ( 170200 116960 ) S ;
- input18 sky130_fd_sc_hd__clkbuf_2 + SOURCE TIMING + PLACED ( 170200 163200 ) FN ;
- input19 sky130_fd_sc_hd__clkbuf_2 + SOURCE TIMING + PLACED ( 13340 165920 ) FS ;
- input2 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 116380 10880 ) N ;
- input20 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 170660 92480 ) N ;
- input21 sky130_fd_sc_hd__clkbuf_2 + SOURCE TIMING + PLACED ( 161460 165920 ) S ;
- input22 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 28520 165920 ) FS ;
- input23 sky130_fd_sc_hd__clkbuf_2 + SOURCE TIMING + PLACED ( 170200 40800 ) S ;
- input24 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 80500 10880 ) FN ;
- input25 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 9200 19040 ) S ;
- input26 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 142140 165920 ) S ;
- input27 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 170660 146880 ) N ;
- input28 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 54740 10880 ) FN ;
- input29 sky130_fd_sc_hd__dlymetal6s2s_1 + SOURCE TIMING + PLACED ( 6900 149600 ) S ;
- input3 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 58420 165920 ) S ;
- input30 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 45540 163200 ) N ;
- input31 sky130_fd_sc_hd__dlymetal6s2s_1 + SOURCE TIMING + PLACED ( 155020 163200 ) N ;
- input32 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 170660 95200 ) FS ;
- input33 sky130_fd_sc_hd__dlymetal6s2s_1 + SOURCE TIMING + PLACED ( 6900 160480 ) FS ;
- input34 sky130_fd_sc_hd__dlymetal6s2s_1 + SOURCE TIMING + PLACED ( 121900 165920 ) FS ;
- input35 sky130_fd_sc_hd__dlymetal6s2s_1 + SOURCE TIMING + PLACED ( 167440 106080 ) S ;
- input36 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 6900 76160 ) FN ;
- input37 sky130_fd_sc_hd__dlymetal6s2s_1 + SOURCE TIMING + PLACED ( 6900 165920 ) S ;
- input38 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 6900 51680 ) S ;
- input39 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 143060 10880 ) N ;
- input4 sky130_fd_sc_hd__dlymetal6s2s_1 + SOURCE TIMING + PLACED ( 167440 24480 ) S ;
- input40 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 9660 92480 ) N ;
- input41 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 165140 160480 ) FS ;
- input42 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 55200 163200 ) FN ;
- input43 sky130_fd_sc_hd__dlymetal6s2s_1 + SOURCE TIMING + PLACED ( 6900 10880 ) FN ;
- input44 sky130_fd_sc_hd__clkbuf_2 + SOURCE TIMING + PLACED ( 12420 10880 ) N ;
- input45 sky130_fd_sc_hd__dlymetal6s2s_1 + SOURCE TIMING + PLACED ( 134780 165920 ) FS ;
- input46 sky130_fd_sc_hd__clkbuf_2 + SOURCE TIMING + PLACED ( 164680 10880 ) FN ;
- input47 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 68080 13600 ) FS ;
- input48 sky130_fd_sc_hd__dlymetal6s2s_1 + SOURCE TIMING + PLACED ( 167440 10880 ) N ;
- input49 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 148580 13600 ) FS ;
- input5 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 6900 130560 ) FN ;
- input50 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 109940 13600 ) FS ;
- input51 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 170660 97920 ) N ;
- input52 sky130_fd_sc_hd__clkbuf_2 + SOURCE TIMING + PLACED ( 7820 144160 ) FS ;
- input53 sky130_fd_sc_hd__clkbuf_2 + SOURCE TIMING + PLACED ( 7820 65280 ) N ;
- input54 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 109020 165920 ) S ;
- input55 sky130_fd_sc_hd__dlymetal6s2s_1 + SOURCE TIMING + PLACED ( 147660 10880 ) N ;
- input56 sky130_fd_sc_hd__dlymetal6s2s_1 + SOURCE TIMING + PLACED ( 167440 165920 ) FS ;
- input57 sky130_fd_sc_hd__dlymetal6s2s_1 + SOURCE TIMING + PLACED ( 64400 10880 ) FN ;
- input58 sky130_fd_sc_hd__dlymetal6s2s_1 + SOURCE TIMING + PLACED ( 6900 57120 ) FS ;
- input59 sky130_fd_sc_hd__dlymetal6s2s_1 + SOURCE TIMING + PLACED ( 167440 152320 ) FN ;
- input6 sky130_fd_sc_hd__dlymetal6s2s_1 + SOURCE TIMING + PLACED ( 77280 165920 ) S ;
- input60 sky130_fd_sc_hd__clkbuf_2 + SOURCE TIMING + PLACED ( 7820 163200 ) N ;
- input61 sky130_fd_sc_hd__dlymetal6s2s_1 + SOURCE TIMING + PLACED ( 167440 68000 ) S ;
- input62 sky130_fd_sc_hd__dlymetal6s2s_1 + SOURCE TIMING + PLACED ( 45540 10880 ) N ;
- input63 sky130_fd_sc_hd__dlymetal6s2s_1 + SOURCE TIMING + PLACED ( 167440 103360 ) N ;
- input64 sky130_fd_sc_hd__clkbuf_2 + SOURCE TIMING + PLACED ( 170200 16320 ) FN ;
- input65 sky130_fd_sc_hd__dlymetal6s2s_1 + SOURCE TIMING + PLACED ( 23000 165920 ) FS ;
- input66 sky130_fd_sc_hd__dlymetal6s2s_1 + SOURCE TIMING + PLACED ( 167440 111520 ) S ;
- input67 sky130_fd_sc_hd__dlymetal6s2s_1 + SOURCE TIMING + PLACED ( 96140 10880 ) N ;
- input68 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 39100 13600 ) S ;
- input69 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 73140 165920 ) S ;
- input7 sky130_fd_sc_hd__dlymetal6s2s_1 + SOURCE TIMING + PLACED ( 18860 10880 ) N ;
- input70 sky130_fd_sc_hd__dlymetal6s2s_1 + SOURCE TIMING + PLACED ( 134780 10880 ) N ;
- input71 sky130_fd_sc_hd__dlymetal6s2s_1 + SOURCE TIMING + PLACED ( 167440 13600 ) FS ;
- input72 sky130_fd_sc_hd__clkbuf_2 + SOURCE TIMING + PLACED ( 164680 165920 ) S ;
- input73 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 170660 70720 ) N ;
- input74 sky130_fd_sc_hd__dlymetal6s2s_1 + SOURCE TIMING + PLACED ( 74520 163200 ) N ;
- input75 sky130_fd_sc_hd__dlymetal6s2s_1 + SOURCE TIMING + PLACED ( 103040 165920 ) FS ;
- input76 sky130_fd_sc_hd__dlymetal6s2s_1 + SOURCE TIMING + PLACED ( 97060 165920 ) FS ;
- input77 sky130_fd_sc_hd__dlymetal6s2s_1 + SOURCE TIMING + PLACED ( 6900 97920 ) N ;
- input78 sky130_fd_sc_hd__dlymetal6s2s_1 + SOURCE TIMING + PLACED ( 31740 10880 ) N ;
- input79 sky130_fd_sc_hd__clkbuf_2 + SOURCE TIMING + PLACED ( 170200 29920 ) S ;
- input8 sky130_fd_sc_hd__clkbuf_2 + SOURCE TIMING + PLACED ( 170200 133280 ) S ;
- input80 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 74520 10880 ) N ;
- input81 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 170660 149600 ) FS ;
- input82 sky130_fd_sc_hd__clkbuf_2 + SOURCE TIMING + PLACED ( 39100 165920 ) FS ;
- input83 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 116380 165920 ) FS ;
- input84 sky130_fd_sc_hd__clkbuf_2 + SOURCE TIMING + PLACED ( 10580 163200 ) N ;
- input85 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 170660 48960 ) N ;
- input86 sky130_fd_sc_hd__dlymetal6s2s_1 + SOURCE TIMING + PLACED ( 6900 13600 ) S ;
- input87 sky130_fd_sc_hd__clkbuf_2 + SOURCE TIMING + PLACED ( 45540 165920 ) FS ;
- input88 sky130_fd_sc_hd__dlymetal6s2s_1 + SOURCE TIMING + PLACED ( 167440 78880 ) S ;
- input9 sky130_fd_sc_hd__dlymetal6s2s_1 + SOURCE TIMING + PLACED ( 24380 10880 ) N ;
- output100 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 51060 10880 ) N ;
- output101 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 161460 163200 ) N ;
- output102 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 148580 165920 ) FS ;
- output103 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 135700 163200 ) FN ;
- output104 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 83260 10880 ) FN ;
- output105 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 170200 76160 ) N ;
- output106 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 6900 92480 ) FN ;
- output107 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 15180 10880 ) FN ;
- output108 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 6900 84320 ) S ;
- output109 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 164680 13600 ) FS ;
- output110 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 32660 165920 ) FS ;
- output111 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 70380 165920 ) S ;
- output112 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 58420 10880 ) FN ;
- output113 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 170200 138720 ) FS ;
- output114 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 135700 13600 ) S ;
- output115 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 6900 157760 ) FN ;
- output116 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 170200 43520 ) N ;
- output117 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 170200 89760 ) FS ;
- output118 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 140300 10880 ) N ;
- output119 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 170200 21760 ) N ;
- output120 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 6900 70720 ) FN ;
- output121 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 48760 165920 ) S ;
- output122 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 161920 10880 ) N ;
- output123 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 97060 13600 ) S ;
- output124 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 170200 144160 ) FS ;
- output125 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 6900 24480 ) S ;
- output126 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 41400 10880 ) N ;
- output127 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 170200 62560 ) FS ;
- output128 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 121900 10880 ) N ;
- output129 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 170200 19040 ) FS ;
- output130 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 15180 163200 ) FN ;
- output131 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 153180 10880 ) FN ;
- output132 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 19780 13600 ) S ;
- output133 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 71300 10880 ) N ;
- output134 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 170200 38080 ) N ;
- output135 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 164680 163200 ) N ;
- output136 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 170200 114240 ) N ;
- output137 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 161460 13600 ) FS ;
- output138 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 6900 78880 ) S ;
- output139 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 170200 65280 ) N ;
- output140 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 6900 16320 ) FN ;
- output141 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 170200 125120 ) N ;
- output142 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 170200 157760 ) N ;
- output143 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 167440 16320 ) N ;
- output144 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 167440 160480 ) FS ;
- output145 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 6900 111520 ) S ;
- output146 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 6900 119680 ) FN ;
- output147 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 109020 10880 ) FN ;
- output148 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 9660 16320 ) N ;
- output149 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 126040 10880 ) N ;
- output150 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 6900 138720 ) S ;
- output151 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 19780 165920 ) FS ;
- output152 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 84180 165920 ) S ;
- output153 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 6900 106080 ) S ;
- output154 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 32660 13600 ) S ;
- output155 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 12420 13600 ) FS ;
- output156 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 122820 163200 ) FN ;
- output157 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 80960 163200 ) N ;
- output158 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 87400 10880 ) N ;
- output159 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 144440 165920 ) FS ;
- output160 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 170200 35360 ) FS ;
- output161 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 6900 146880 ) FN ;
- output162 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 6900 38080 ) FN ;
- output163 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 37260 10880 ) FN ;
- output164 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 61640 10880 ) FN ;
- output165 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 6900 35360 ) S ;
- output166 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 170200 122400 ) FS ;
- output167 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 101660 10880 ) FN ;
- output168 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 129260 165920 ) FS ;
- output169 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 126040 163200 ) N ;
- output170 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 9660 157760 ) FN ;
- output171 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 29440 163200 ) FN ;
- output172 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 122820 13600 ) S ;
- output173 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 6900 43520 ) FN ;
- output174 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 6900 152320 ) FN ;
- output175 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 170200 84320 ) FS ;
- output89 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 170200 160480 ) FS ;
- output90 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 170200 57120 ) FS ;
- output91 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 12420 160480 ) S ;
- output92 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 113160 10880 ) FN ;
- output93 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 90620 165920 ) S ;
- output94 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 6900 116960 ) S ;
- output95 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 6900 122400 ) S ;
- output96 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 6900 62560 ) S ;
- output97 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 6900 29920 ) S ;
- output98 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 96140 163200 ) N ;
- output99 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 167440 163200 ) N ;
END COMPONENTS
PINS 193 ;
- io_dbus_addr[0] + NET io_dbus_addr[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 95540 ) N ;
- io_dbus_addr[10] + NET io_dbus_addr[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 116150 2000 ) N ;
- io_dbus_addr[11] + NET io_dbus_addr[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 58190 178000 ) N ;
- io_dbus_addr[12] + NET io_dbus_addr[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 178000 24140 ) N ;
- io_dbus_addr[13] + NET io_dbus_addr[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 129540 ) N ;
- io_dbus_addr[14] + NET io_dbus_addr[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 77510 178000 ) N ;
- io_dbus_addr[15] + NET io_dbus_addr[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 16330 2000 ) N ;
- io_dbus_addr[16] + NET io_dbus_addr[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 178000 51340 ) N ;
- io_dbus_addr[17] + NET io_dbus_addr[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 17340 ) N ;
- io_dbus_addr[18] + NET io_dbus_addr[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 126140 ) N ;
- io_dbus_addr[19] + NET io_dbus_addr[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 68340 ) N ;
- io_dbus_addr[1] + NET io_dbus_addr[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 178000 132940 ) N ;
- io_dbus_addr[20] + NET io_dbus_addr[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 166940 ) N ;
- io_dbus_addr[21] + NET io_dbus_addr[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 102340 ) N ;
- io_dbus_addr[22] + NET io_dbus_addr[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 41140 ) N ;
- io_dbus_addr[23] + NET io_dbus_addr[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 178000 17340 ) N ;
- io_dbus_addr[24] + NET io_dbus_addr[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 64630 178000 ) N ;
- io_dbus_addr[25] + NET io_dbus_addr[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 47940 ) N ;
- io_dbus_addr[26] + NET io_dbus_addr[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 132940 ) N ;
- io_dbus_addr[27] + NET io_dbus_addr[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 16330 178000 ) N ;
- io_dbus_addr[28] + NET io_dbus_addr[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 109710 178000 ) N ;
- io_dbus_addr[29] + NET io_dbus_addr[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 170890 2000 ) N ;
- io_dbus_addr[2] + NET io_dbus_addr[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 22770 2000 ) N ;
- io_dbus_addr[30] + NET io_dbus_addr[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 20740 ) N ;
- io_dbus_addr[31] + NET io_dbus_addr[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 100050 178000 ) N ;
- io_dbus_addr[3] + NET io_dbus_addr[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 178000 129540 ) N ;
- io_dbus_addr[4] + NET io_dbus_addr[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 158010 2000 ) N ;
- io_dbus_addr[5] + NET io_dbus_addr[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 13940 ) N ;
- io_dbus_addr[6] + NET io_dbus_addr[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 178000 156740 ) N ;
- io_dbus_addr[7] + NET io_dbus_addr[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 90390 2000 ) N ;
- io_dbus_addr[8] + NET io_dbus_addr[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 51750 178000 ) N ;
- io_dbus_addr[9] + NET io_dbus_addr[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 151570 178000 ) N ;
- io_dbus_ld_type[0] + NET io_dbus_ld_type[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 178000 119340 ) N ;
- io_dbus_ld_type[1] + NET io_dbus_ld_type[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 178000 173740 ) N ;
- io_dbus_ld_type[2] + NET io_dbus_ld_type[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 13110 178000 ) N ;
- io_dbus_rd_en + NET io_dbus_rd_en + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 178000 92140 ) N ;
- io_dbus_rdata[0] + NET io_dbus_rdata[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 178000 160140 ) N ;
- io_dbus_rdata[10] + NET io_dbus_rdata[10] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 178000 58140 ) N ;
- io_dbus_rdata[11] + NET io_dbus_rdata[11] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 173740 ) N ;
- io_dbus_rdata[12] + NET io_dbus_rdata[12] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 112930 2000 ) N ;
- io_dbus_rdata[13] + NET io_dbus_rdata[13] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 90390 178000 ) N ;
- io_dbus_rdata[14] + NET io_dbus_rdata[14] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 115940 ) N ;
- io_dbus_rdata[15] + NET io_dbus_rdata[15] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 122740 ) N ;
- io_dbus_rdata[16] + NET io_dbus_rdata[16] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 61540 ) N ;
- io_dbus_rdata[17] + NET io_dbus_rdata[17] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 30940 ) N ;
- io_dbus_rdata[18] + NET io_dbus_rdata[18] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 93610 178000 ) N ;
- io_dbus_rdata[19] + NET io_dbus_rdata[19] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 178000 170340 ) N ;
- io_dbus_rdata[1] + NET io_dbus_rdata[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 48530 2000 ) N ;
- io_dbus_rdata[20] + NET io_dbus_rdata[20] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 161230 178000 ) N ;
- io_dbus_rdata[21] + NET io_dbus_rdata[21] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 148350 178000 ) N ;
- io_dbus_rdata[22] + NET io_dbus_rdata[22] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 135470 178000 ) N ;
- io_dbus_rdata[23] + NET io_dbus_rdata[23] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 80730 2000 ) N ;
- io_dbus_rdata[24] + NET io_dbus_rdata[24] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 178000 75140 ) N ;
- io_dbus_rdata[25] + NET io_dbus_rdata[25] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 88740 ) N ;
- io_dbus_rdata[26] + NET io_dbus_rdata[26] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 13110 2000 ) N ;
- io_dbus_rdata[27] + NET io_dbus_rdata[27] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 85340 ) N ;
- io_dbus_rdata[28] + NET io_dbus_rdata[28] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 164450 2000 ) N ;
- io_dbus_rdata[29] + NET io_dbus_rdata[29] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 32430 178000 ) N ;
- io_dbus_rdata[2] + NET io_dbus_rdata[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 67850 178000 ) N ;
- io_dbus_rdata[30] + NET io_dbus_rdata[30] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 58190 2000 ) N ;
- io_dbus_rdata[31] + NET io_dbus_rdata[31] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 178000 139740 ) N ;
- io_dbus_rdata[3] + NET io_dbus_rdata[3] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 135470 2000 ) N ;
- io_dbus_rdata[4] + NET io_dbus_rdata[4] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 156740 ) N ;
- io_dbus_rdata[5] + NET io_dbus_rdata[5] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 178000 44540 ) N ;
- io_dbus_rdata[6] + NET io_dbus_rdata[6] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 178000 88740 ) N ;
- io_dbus_rdata[7] + NET io_dbus_rdata[7] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 138690 2000 ) N ;
- io_dbus_rdata[8] + NET io_dbus_rdata[8] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 178000 20740 ) N ;
- io_dbus_rdata[9] + NET io_dbus_rdata[9] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 71740 ) N ;
- io_dbus_st_type[0] + NET io_dbus_st_type[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 158010 178000 ) N ;
- io_dbus_st_type[1] + NET io_dbus_st_type[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 25990 178000 ) N ;
- io_dbus_valid + NET io_dbus_valid + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 48530 178000 ) N ;
- io_dbus_wdata[0] + NET io_dbus_wdata[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 178000 41140 ) N ;
- io_dbus_wdata[10] + NET io_dbus_wdata[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 83950 2000 ) N ;
- io_dbus_wdata[11] + NET io_dbus_wdata[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 3740 ) N ;
- io_dbus_wdata[12] + NET io_dbus_wdata[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 141910 178000 ) N ;
- io_dbus_wdata[13] + NET io_dbus_wdata[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 178000 146540 ) N ;
- io_dbus_wdata[14] + NET io_dbus_wdata[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 54970 2000 ) N ;
- io_dbus_wdata[15] + NET io_dbus_wdata[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 149940 ) N ;
- io_dbus_wdata[16] + NET io_dbus_wdata[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 45310 178000 ) N ;
- io_dbus_wdata[17] + NET io_dbus_wdata[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 154790 178000 ) N ;
- io_dbus_wdata[18] + NET io_dbus_wdata[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 178000 95540 ) N ;
- io_dbus_wdata[19] + NET io_dbus_wdata[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 160140 ) N ;
- io_dbus_wdata[1] + NET io_dbus_wdata[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 119370 178000 ) N ;
- io_dbus_wdata[20] + NET io_dbus_wdata[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 178000 105740 ) N ;
- io_dbus_wdata[21] + NET io_dbus_wdata[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 75140 ) N ;
- io_dbus_wdata[22] + NET io_dbus_wdata[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 3450 178000 ) N ;
- io_dbus_wdata[23] + NET io_dbus_wdata[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 51340 ) N ;
- io_dbus_wdata[24] + NET io_dbus_wdata[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 141910 2000 ) N ;
- io_dbus_wdata[25] + NET io_dbus_wdata[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 92140 ) N ;
- io_dbus_wdata[26] + NET io_dbus_wdata[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 167670 178000 ) N ;
- io_dbus_wdata[27] + NET io_dbus_wdata[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 54970 178000 ) N ;
- io_dbus_wdata[28] + NET io_dbus_wdata[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 6670 2000 ) N ;
- io_dbus_wdata[29] + NET io_dbus_wdata[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 10540 ) N ;
- io_dbus_wdata[2] + NET io_dbus_wdata[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 132250 178000 ) N ;
- io_dbus_wdata[30] + NET io_dbus_wdata[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 178000 7140 ) N ;
- io_dbus_wdata[31] + NET io_dbus_wdata[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 67850 2000 ) N ;
- io_dbus_wdata[3] + NET io_dbus_wdata[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 178000 3740 ) N ;
- io_dbus_wdata[4] + NET io_dbus_wdata[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 148350 2000 ) N ;
- io_dbus_wdata[5] + NET io_dbus_wdata[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 109710 2000 ) N ;
- io_dbus_wdata[6] + NET io_dbus_wdata[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 178000 98940 ) N ;
- io_dbus_wdata[7] + NET io_dbus_wdata[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 143140 ) N ;
- io_dbus_wdata[8] + NET io_dbus_wdata[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 64940 ) N ;
- io_dbus_wdata[9] + NET io_dbus_wdata[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 106490 178000 ) N ;
- io_dbus_wr_en + NET io_dbus_wr_en + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 145130 2000 ) N ;
- io_wbm_ack_i + NET io_wbm_ack_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 178000 177140 ) N ;
- io_wbm_data_i[0] + NET io_wbm_data_i[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 64630 2000 ) N ;
- io_wbm_data_i[10] + NET io_wbm_data_i[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 58140 ) N ;
- io_wbm_data_i[11] + NET io_wbm_data_i[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 178000 153340 ) N ;
- io_wbm_data_i[12] + NET io_wbm_data_i[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 230 178000 ) N ;
- io_wbm_data_i[13] + NET io_wbm_data_i[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 178000 68340 ) N ;
- io_wbm_data_i[14] + NET io_wbm_data_i[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 45310 2000 ) N ;
- io_wbm_data_i[15] + NET io_wbm_data_i[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 178000 102340 ) N ;
- io_wbm_data_i[16] + NET io_wbm_data_i[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 177330 2000 ) N ;
- io_wbm_data_i[17] + NET io_wbm_data_i[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 22770 178000 ) N ;
- io_wbm_data_i[18] + NET io_wbm_data_i[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 178000 112540 ) N ;
- io_wbm_data_i[19] + NET io_wbm_data_i[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 93610 2000 ) N ;
- io_wbm_data_i[1] + NET io_wbm_data_i[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 38870 2000 ) N ;
- io_wbm_data_i[20] + NET io_wbm_data_i[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 71070 178000 ) N ;
- io_wbm_data_i[21] + NET io_wbm_data_i[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 132250 2000 ) N ;
- io_wbm_data_i[22] + NET io_wbm_data_i[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 167670 2000 ) N ;
- io_wbm_data_i[23] + NET io_wbm_data_i[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 174110 178000 ) N ;
- io_wbm_data_i[24] + NET io_wbm_data_i[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 178000 71740 ) N ;
- io_wbm_data_i[25] + NET io_wbm_data_i[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 74290 178000 ) N ;
- io_wbm_data_i[26] + NET io_wbm_data_i[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 103270 178000 ) N ;
- io_wbm_data_i[27] + NET io_wbm_data_i[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 96830 178000 ) N ;
- io_wbm_data_i[28] + NET io_wbm_data_i[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 98940 ) N ;
- io_wbm_data_i[29] + NET io_wbm_data_i[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 29210 2000 ) N ;
- io_wbm_data_i[2] + NET io_wbm_data_i[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 178000 30940 ) N ;
- io_wbm_data_i[30] + NET io_wbm_data_i[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 74290 2000 ) N ;
- io_wbm_data_i[31] + NET io_wbm_data_i[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 178000 149940 ) N ;
- io_wbm_data_i[3] + NET io_wbm_data_i[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 38870 178000 ) N ;
- io_wbm_data_i[4] + NET io_wbm_data_i[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 116150 178000 ) N ;
- io_wbm_data_i[5] + NET io_wbm_data_i[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 170340 ) N ;
- io_wbm_data_i[6] + NET io_wbm_data_i[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 178000 47940 ) N ;
- io_wbm_data_i[7] + NET io_wbm_data_i[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 7140 ) N ;
- io_wbm_data_i[8] + NET io_wbm_data_i[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 42090 178000 ) N ;
- io_wbm_data_i[9] + NET io_wbm_data_i[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 178000 78540 ) N ;
- io_wbm_m2s_addr[0] + NET io_wbm_m2s_addr[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 178000 10540 ) N ;
- io_wbm_m2s_addr[10] + NET io_wbm_m2s_addr[10] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 96830 2000 ) N ;
- io_wbm_m2s_addr[11] + NET io_wbm_m2s_addr[11] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 178000 143140 ) N ;
- io_wbm_m2s_addr[12] + NET io_wbm_m2s_addr[12] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 24140 ) N ;
- io_wbm_m2s_addr[13] + NET io_wbm_m2s_addr[13] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 42090 2000 ) N ;
- io_wbm_m2s_addr[14] + NET io_wbm_m2s_addr[14] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 178000 61540 ) N ;
- io_wbm_m2s_addr[15] + NET io_wbm_m2s_addr[15] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 119370 2000 ) N ;
- io_wbm_m2s_addr[1] + NET io_wbm_m2s_addr[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 178000 13940 ) N ;
- io_wbm_m2s_addr[2] + NET io_wbm_m2s_addr[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 6670 178000 ) N ;
- io_wbm_m2s_addr[3] + NET io_wbm_m2s_addr[3] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 151570 2000 ) N ;
- io_wbm_m2s_addr[4] + NET io_wbm_m2s_addr[4] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 19550 2000 ) N ;
- io_wbm_m2s_addr[5] + NET io_wbm_m2s_addr[5] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 71070 2000 ) N ;
- io_wbm_m2s_addr[6] + NET io_wbm_m2s_addr[6] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 178000 37740 ) N ;
- io_wbm_m2s_addr[7] + NET io_wbm_m2s_addr[7] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 178000 166940 ) N ;
- io_wbm_m2s_addr[8] + NET io_wbm_m2s_addr[8] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 178000 115940 ) N ;
- io_wbm_m2s_addr[9] + NET io_wbm_m2s_addr[9] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 161230 2000 ) N ;
- io_wbm_m2s_data[0] + NET io_wbm_m2s_data[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 78540 ) N ;
- io_wbm_m2s_data[10] + NET io_wbm_m2s_data[10] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 178000 64940 ) N ;
- io_wbm_m2s_data[11] + NET io_wbm_m2s_data[11] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 3450 2000 ) N ;
- io_wbm_m2s_data[12] + NET io_wbm_m2s_data[12] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 178000 126140 ) N ;
- io_wbm_m2s_data[13] + NET io_wbm_m2s_data[13] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 177330 178000 ) N ;
- io_wbm_m2s_data[14] + NET io_wbm_m2s_data[14] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 174110 2000 ) N ;
- io_wbm_m2s_data[15] + NET io_wbm_m2s_data[15] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 170890 178000 ) N ;
- io_wbm_m2s_data[16] + NET io_wbm_m2s_data[16] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 112540 ) N ;
- io_wbm_m2s_data[17] + NET io_wbm_m2s_data[17] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 119340 ) N ;
- io_wbm_m2s_data[18] + NET io_wbm_m2s_data[18] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 106490 2000 ) N ;
- io_wbm_m2s_data[19] + NET io_wbm_m2s_data[19] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 230 2000 ) N ;
- io_wbm_m2s_data[1] + NET io_wbm_m2s_data[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 125810 2000 ) N ;
- io_wbm_m2s_data[20] + NET io_wbm_m2s_data[20] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 139740 ) N ;
- io_wbm_m2s_data[21] + NET io_wbm_m2s_data[21] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 19550 178000 ) N ;
- io_wbm_m2s_data[22] + NET io_wbm_m2s_data[22] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 83950 178000 ) N ;
- io_wbm_m2s_data[23] + NET io_wbm_m2s_data[23] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 105740 ) N ;
- io_wbm_m2s_data[24] + NET io_wbm_m2s_data[24] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 32430 2000 ) N ;
- io_wbm_m2s_data[25] + NET io_wbm_m2s_data[25] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 9890 2000 ) N ;
- io_wbm_m2s_data[26] + NET io_wbm_m2s_data[26] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 122590 178000 ) N ;
- io_wbm_m2s_data[27] + NET io_wbm_m2s_data[27] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 80730 178000 ) N ;
- io_wbm_m2s_data[28] + NET io_wbm_m2s_data[28] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 87170 2000 ) N ;
- io_wbm_m2s_data[29] + NET io_wbm_m2s_data[29] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 145130 178000 ) N ;
- io_wbm_m2s_data[2] + NET io_wbm_m2s_data[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 178000 34340 ) N ;
- io_wbm_m2s_data[30] + NET io_wbm_m2s_data[30] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 146540 ) N ;
- io_wbm_m2s_data[31] + NET io_wbm_m2s_data[31] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 37740 ) N ;
- io_wbm_m2s_data[3] + NET io_wbm_m2s_data[3] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 35650 2000 ) N ;
- io_wbm_m2s_data[4] + NET io_wbm_m2s_data[4] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 61410 2000 ) N ;
- io_wbm_m2s_data[5] + NET io_wbm_m2s_data[5] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 34340 ) N ;
- io_wbm_m2s_data[6] + NET io_wbm_m2s_data[6] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 178000 122740 ) N ;
- io_wbm_m2s_data[7] + NET io_wbm_m2s_data[7] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 100050 2000 ) N ;
- io_wbm_m2s_data[8] + NET io_wbm_m2s_data[8] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 129030 178000 ) N ;
- io_wbm_m2s_data[9] + NET io_wbm_m2s_data[9] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 125810 178000 ) N ;
- io_wbm_m2s_sel[0] + NET io_wbm_m2s_sel[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 177140 ) N ;
- io_wbm_m2s_sel[1] + NET io_wbm_m2s_sel[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 29210 178000 ) N ;
- io_wbm_m2s_sel[2] + NET io_wbm_m2s_sel[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 122590 2000 ) N ;
- io_wbm_m2s_sel[3] + NET io_wbm_m2s_sel[3] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 44540 ) N ;
- io_wbm_m2s_stb + NET io_wbm_m2s_stb + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 153340 ) N ;
- io_wbm_m2s_we + NET io_wbm_m2s_we + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 178000 85340 ) N ;
- vccd1 + NET vccd1 + SPECIAL + DIRECTION INPUT + USE POWER
+ PORT
+ LAYER met4 ( -800 -79120 ) ( 800 79120 )
+ LAYER met4 ( -57120 -79120 ) ( -55520 79120 )
+ LAYER met4 ( -113440 -79120 ) ( -111840 79120 )
+ FIXED ( 146320 89760 ) N ;
- vssd1 + NET vssd1 + SPECIAL + DIRECTION INPUT + USE GROUND
+ PORT
+ LAYER met4 ( -800 -79120 ) ( 800 79120 )
+ LAYER met4 ( -57120 -79120 ) ( -55520 79120 )
+ FIXED ( 118160 89760 ) N ;
END PINS
SPECIALNETS 2 ;
- vccd1 ( PIN vccd1 ) ( * VPB ) ( * VPWR ) + USE POWER
+ ROUTED met3 0 + SHAPE STRIPE ( 146320 165920 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 146320 165920 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 146320 165920 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 90000 165920 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 90000 165920 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 90000 165920 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 33680 165920 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 33680 165920 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 33680 165920 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 146320 160480 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 146320 160480 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 146320 160480 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 90000 160480 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 90000 160480 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 90000 160480 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 33680 160480 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 33680 160480 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 33680 160480 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 146320 155040 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 146320 155040 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 146320 155040 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 90000 155040 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 90000 155040 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 90000 155040 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 33680 155040 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 33680 155040 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 33680 155040 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 146320 149600 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 146320 149600 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 146320 149600 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 90000 149600 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 90000 149600 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 90000 149600 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 33680 149600 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 33680 149600 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 33680 149600 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 146320 144160 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 146320 144160 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 146320 144160 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 90000 144160 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 90000 144160 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 90000 144160 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 33680 144160 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 33680 144160 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 33680 144160 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 146320 138720 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 146320 138720 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 146320 138720 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 90000 138720 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 90000 138720 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 90000 138720 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 33680 138720 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 33680 138720 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 33680 138720 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 146320 133280 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 146320 133280 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 146320 133280 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 90000 133280 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 90000 133280 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 90000 133280 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 33680 133280 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 33680 133280 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 33680 133280 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 146320 127840 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 146320 127840 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 146320 127840 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 90000 127840 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 90000 127840 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 90000 127840 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 33680 127840 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 33680 127840 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 33680 127840 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 146320 122400 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 146320 122400 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 146320 122400 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 90000 122400 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 90000 122400 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 90000 122400 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 33680 122400 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 33680 122400 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 33680 122400 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 146320 116960 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 146320 116960 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 146320 116960 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 90000 116960 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 90000 116960 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 90000 116960 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 33680 116960 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 33680 116960 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 33680 116960 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 146320 111520 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 146320 111520 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 146320 111520 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 90000 111520 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 90000 111520 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 90000 111520 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 33680 111520 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 33680 111520 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 33680 111520 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 146320 106080 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 146320 106080 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 146320 106080 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 90000 106080 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 90000 106080 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 90000 106080 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 33680 106080 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 33680 106080 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 33680 106080 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 146320 100640 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 146320 100640 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 146320 100640 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 90000 100640 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 90000 100640 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 90000 100640 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 33680 100640 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 33680 100640 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 33680 100640 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 146320 95200 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 146320 95200 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 146320 95200 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 90000 95200 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 90000 95200 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 90000 95200 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 33680 95200 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 33680 95200 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 33680 95200 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 146320 89760 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 146320 89760 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 146320 89760 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 90000 89760 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 90000 89760 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 90000 89760 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 33680 89760 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 33680 89760 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 33680 89760 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 146320 84320 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 146320 84320 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 146320 84320 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 90000 84320 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 90000 84320 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 90000 84320 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 33680 84320 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 33680 84320 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 33680 84320 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 146320 78880 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 146320 78880 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 146320 78880 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 90000 78880 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 90000 78880 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 90000 78880 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 33680 78880 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 33680 78880 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 33680 78880 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 146320 73440 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 146320 73440 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 146320 73440 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 90000 73440 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 90000 73440 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 90000 73440 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 33680 73440 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 33680 73440 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 33680 73440 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 146320 68000 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 146320 68000 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 146320 68000 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 90000 68000 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 90000 68000 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 90000 68000 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 33680 68000 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 33680 68000 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 33680 68000 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 146320 62560 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 146320 62560 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 146320 62560 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 90000 62560 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 90000 62560 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 90000 62560 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 33680 62560 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 33680 62560 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 33680 62560 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 146320 57120 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 146320 57120 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 146320 57120 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 90000 57120 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 90000 57120 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 90000 57120 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 33680 57120 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 33680 57120 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 33680 57120 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 146320 51680 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 146320 51680 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 146320 51680 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 90000 51680 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 90000 51680 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 90000 51680 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 33680 51680 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 33680 51680 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 33680 51680 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 146320 46240 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 146320 46240 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 146320 46240 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 90000 46240 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 90000 46240 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 90000 46240 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 33680 46240 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 33680 46240 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 33680 46240 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 146320 40800 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 146320 40800 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 146320 40800 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 90000 40800 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 90000 40800 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 90000 40800 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 33680 40800 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 33680 40800 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 33680 40800 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 146320 35360 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 146320 35360 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 146320 35360 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 90000 35360 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 90000 35360 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 90000 35360 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 33680 35360 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 33680 35360 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 33680 35360 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 146320 29920 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 146320 29920 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 146320 29920 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 90000 29920 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 90000 29920 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 90000 29920 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 33680 29920 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 33680 29920 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 33680 29920 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 146320 24480 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 146320 24480 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 146320 24480 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 90000 24480 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 90000 24480 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 90000 24480 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 33680 24480 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 33680 24480 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 33680 24480 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 146320 19040 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 146320 19040 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 146320 19040 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 90000 19040 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 90000 19040 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 90000 19040 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 33680 19040 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 33680 19040 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 33680 19040 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 146320 13600 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 146320 13600 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 146320 13600 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 90000 13600 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 90000 13600 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 90000 13600 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 33680 13600 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 33680 13600 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 33680 13600 ) via_1600x480
NEW met4 1600 + SHAPE STRIPE ( 146320 10640 ) ( 146320 168880 )
NEW met4 1600 + SHAPE STRIPE ( 90000 10640 ) ( 90000 168880 )
NEW met4 1600 + SHAPE STRIPE ( 33680 10640 ) ( 33680 168880 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 165920 ) ( 174340 165920 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 160480 ) ( 174340 160480 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 155040 ) ( 174340 155040 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 149600 ) ( 174340 149600 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 144160 ) ( 174340 144160 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 138720 ) ( 174340 138720 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 133280 ) ( 174340 133280 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 127840 ) ( 174340 127840 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 122400 ) ( 174340 122400 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 116960 ) ( 174340 116960 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 111520 ) ( 174340 111520 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 106080 ) ( 174340 106080 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 100640 ) ( 174340 100640 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 95200 ) ( 174340 95200 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 89760 ) ( 174340 89760 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 84320 ) ( 174340 84320 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 78880 ) ( 174340 78880 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 73440 ) ( 174340 73440 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 68000 ) ( 174340 68000 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 62560 ) ( 174340 62560 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 57120 ) ( 174340 57120 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 51680 ) ( 174340 51680 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 46240 ) ( 174340 46240 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 40800 ) ( 174340 40800 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 35360 ) ( 174340 35360 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 29920 ) ( 174340 29920 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 24480 ) ( 174340 24480 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 19040 ) ( 174340 19040 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 13600 ) ( 174340 13600 ) ;
- vssd1 ( PIN vssd1 ) ( * VNB ) ( * VGND ) + USE GROUND
+ ROUTED met3 0 + SHAPE STRIPE ( 118160 168640 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 118160 168640 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 118160 168640 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 61840 168640 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 61840 168640 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 61840 168640 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 118160 163200 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 118160 163200 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 118160 163200 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 61840 163200 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 61840 163200 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 61840 163200 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 118160 157760 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 118160 157760 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 118160 157760 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 61840 157760 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 61840 157760 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 61840 157760 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 118160 152320 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 118160 152320 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 118160 152320 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 61840 152320 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 61840 152320 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 61840 152320 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 118160 146880 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 118160 146880 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 118160 146880 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 61840 146880 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 61840 146880 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 61840 146880 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 118160 141440 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 118160 141440 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 118160 141440 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 61840 141440 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 61840 141440 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 61840 141440 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 118160 136000 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 118160 136000 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 118160 136000 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 61840 136000 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 61840 136000 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 61840 136000 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 118160 130560 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 118160 130560 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 118160 130560 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 61840 130560 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 61840 130560 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 61840 130560 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 118160 125120 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 118160 125120 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 118160 125120 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 61840 125120 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 61840 125120 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 61840 125120 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 118160 119680 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 118160 119680 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 118160 119680 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 61840 119680 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 61840 119680 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 61840 119680 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 118160 114240 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 118160 114240 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 118160 114240 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 61840 114240 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 61840 114240 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 61840 114240 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 118160 108800 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 118160 108800 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 118160 108800 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 61840 108800 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 61840 108800 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 61840 108800 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 118160 103360 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 118160 103360 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 118160 103360 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 61840 103360 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 61840 103360 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 61840 103360 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 118160 97920 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 118160 97920 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 118160 97920 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 61840 97920 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 61840 97920 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 61840 97920 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 118160 92480 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 118160 92480 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 118160 92480 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 61840 92480 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 61840 92480 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 61840 92480 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 118160 87040 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 118160 87040 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 118160 87040 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 61840 87040 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 61840 87040 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 61840 87040 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 118160 81600 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 118160 81600 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 118160 81600 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 61840 81600 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 61840 81600 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 61840 81600 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 118160 76160 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 118160 76160 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 118160 76160 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 61840 76160 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 61840 76160 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 61840 76160 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 118160 70720 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 118160 70720 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 118160 70720 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 61840 70720 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 61840 70720 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 61840 70720 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 118160 65280 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 118160 65280 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 118160 65280 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 61840 65280 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 61840 65280 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 61840 65280 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 118160 59840 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 118160 59840 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 118160 59840 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 61840 59840 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 61840 59840 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 61840 59840 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 118160 54400 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 118160 54400 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 118160 54400 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 61840 54400 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 61840 54400 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 61840 54400 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 118160 48960 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 118160 48960 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 118160 48960 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 61840 48960 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 61840 48960 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 61840 48960 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 118160 43520 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 118160 43520 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 118160 43520 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 61840 43520 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 61840 43520 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 61840 43520 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 118160 38080 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 118160 38080 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 118160 38080 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 61840 38080 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 61840 38080 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 61840 38080 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 118160 32640 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 118160 32640 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 118160 32640 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 61840 32640 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 61840 32640 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 61840 32640 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 118160 27200 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 118160 27200 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 118160 27200 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 61840 27200 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 61840 27200 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 61840 27200 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 118160 21760 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 118160 21760 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 118160 21760 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 61840 21760 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 61840 21760 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 61840 21760 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 118160 16320 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 118160 16320 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 118160 16320 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 61840 16320 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 61840 16320 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 61840 16320 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 118160 10880 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 118160 10880 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 118160 10880 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 61840 10880 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 61840 10880 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 61840 10880 ) via_1600x480
NEW met4 1600 + SHAPE STRIPE ( 118160 10640 ) ( 118160 168880 )
NEW met4 1600 + SHAPE STRIPE ( 61840 10640 ) ( 61840 168880 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 168640 ) ( 174340 168640 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 163200 ) ( 174340 163200 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 157760 ) ( 174340 157760 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 152320 ) ( 174340 152320 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 146880 ) ( 174340 146880 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 141440 ) ( 174340 141440 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 136000 ) ( 174340 136000 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 130560 ) ( 174340 130560 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 125120 ) ( 174340 125120 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 119680 ) ( 174340 119680 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 114240 ) ( 174340 114240 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 108800 ) ( 174340 108800 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 103360 ) ( 174340 103360 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 97920 ) ( 174340 97920 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 92480 ) ( 174340 92480 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 87040 ) ( 174340 87040 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 81600 ) ( 174340 81600 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 76160 ) ( 174340 76160 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 70720 ) ( 174340 70720 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 65280 ) ( 174340 65280 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 59840 ) ( 174340 59840 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 54400 ) ( 174340 54400 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 48960 ) ( 174340 48960 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 43520 ) ( 174340 43520 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 38080 ) ( 174340 38080 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 32640 ) ( 174340 32640 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 27200 ) ( 174340 27200 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 21760 ) ( 174340 21760 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 16320 ) ( 174340 16320 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 10880 ) ( 174340 10880 ) ;
END SPECIALNETS
NETS 377 ;
- _000_ ( _018_ A ) ( _016_ A1 ) ( _011_ Y ) + USE SIGNAL
+ ROUTED met1 ( 100050 131750 ) ( * 132430 )
NEW met1 ( 94070 132430 ) ( 100050 * )
NEW met1 ( 100050 131410 ) ( 108330 * )
NEW met1 ( 100050 131410 ) ( * 131750 )
NEW li1 ( 100050 131750 ) L1M1_PR_MR
NEW li1 ( 94070 132430 ) L1M1_PR_MR
NEW li1 ( 108330 131410 ) L1M1_PR_MR ;
- _001_ ( _023_ B ) ( _021_ B ) ( _018_ B ) ( _016_ A2 ) ( _012_ X ) + USE SIGNAL
+ ROUTED met2 ( 101890 132430 ) ( * 133790 )
NEW met1 ( 101890 132430 ) ( 107410 * )
NEW met1 ( 107410 132430 ) ( * 132770 )
NEW met1 ( 99590 131070 ) ( * 131410 )
NEW met1 ( 99590 131070 ) ( 101890 * )
NEW met2 ( 101890 131070 ) ( * 132430 )
NEW met1 ( 100510 127330 ) ( 101890 * )
NEW met2 ( 101890 127330 ) ( * 131070 )
NEW met1 ( 97290 128350 ) ( 101890 * )
NEW li1 ( 101890 133790 ) L1M1_PR_MR
NEW met1 ( 101890 133790 ) M1M2_PR
NEW met1 ( 101890 132430 ) M1M2_PR
NEW li1 ( 107410 132770 ) L1M1_PR_MR
NEW li1 ( 99590 131410 ) L1M1_PR_MR
NEW met1 ( 101890 131070 ) M1M2_PR
NEW li1 ( 100510 127330 ) L1M1_PR_MR
NEW met1 ( 101890 127330 ) M1M2_PR
NEW li1 ( 97290 128350 ) L1M1_PR_MR
NEW met1 ( 101890 128350 ) M1M2_PR
NEW met1 ( 101890 133790 ) RECT ( -355 -70 0 70 )
NEW met2 ( 101890 128350 ) RECT ( -70 -485 70 0 ) ;
- _002_ ( _021_ C ) ( _016_ A3 ) ( _013_ Y ) + USE SIGNAL
+ ROUTED met1 ( 98670 125970 ) ( 100350 * )
NEW met2 ( 98670 125970 ) ( * 131750 )
NEW met1 ( 98670 131750 ) ( 99130 * )
NEW met1 ( 100970 125630 ) ( 103270 * )
NEW met1 ( 100970 125630 ) ( * 125970 )
NEW met1 ( 100350 125970 ) ( 100970 * )
NEW li1 ( 100350 125970 ) L1M1_PR_MR
NEW met1 ( 98670 125970 ) M1M2_PR
NEW met1 ( 98670 131750 ) M1M2_PR
NEW li1 ( 99130 131750 ) L1M1_PR_MR
NEW li1 ( 103270 125630 ) L1M1_PR_MR ;
- _003_ ( _015_ A2 ) ( _014_ Y ) + USE SIGNAL
+ ROUTED met1 ( 104190 131750 ) ( 105110 * )
NEW met2 ( 104190 131750 ) ( * 136510 )
NEW met1 ( 102810 136510 ) ( 104190 * )
NEW li1 ( 105110 131750 ) L1M1_PR_MR
NEW met1 ( 104190 131750 ) M1M2_PR
NEW met1 ( 104190 136510 ) M1M2_PR
NEW li1 ( 102810 136510 ) L1M1_PR_MR ;
- _004_ ( _024_ A1 ) ( _022_ A1 ) ( _020_ A2 ) ( _016_ B1 ) ( _015_ X ) + USE SIGNAL
+ ROUTED met1 ( 100050 134810 ) ( 100510 * )
NEW met2 ( 100050 134810 ) ( * 137870 )
NEW met1 ( 99590 137870 ) ( 100050 * )
NEW met2 ( 100510 131750 ) ( * 132940 )
NEW met2 ( 100050 132940 ) ( 100510 * )
NEW met2 ( 100050 132940 ) ( * 134810 )
NEW met1 ( 100510 132090 ) ( 102810 * )
NEW met1 ( 100510 131750 ) ( * 132090 )
NEW met1 ( 99590 129030 ) ( 100510 * )
NEW met1 ( 100510 128690 ) ( * 129030 )
NEW met2 ( 100510 128690 ) ( * 131750 )
NEW li1 ( 100510 134810 ) L1M1_PR_MR
NEW met1 ( 100050 134810 ) M1M2_PR
NEW met1 ( 100050 137870 ) M1M2_PR
NEW li1 ( 99590 137870 ) L1M1_PR_MR
NEW li1 ( 100510 131750 ) L1M1_PR_MR
NEW met1 ( 100510 131750 ) M1M2_PR
NEW li1 ( 102810 132090 ) L1M1_PR_MR
NEW li1 ( 99590 129030 ) L1M1_PR_MR
NEW met1 ( 100510 128690 ) M1M2_PR
NEW met1 ( 100510 131750 ) RECT ( 0 -70 355 70 ) ;
- _005_ ( _023_ C ) ( _018_ C ) ( _017_ X ) + USE SIGNAL
+ ROUTED met1 ( 104190 129030 ) ( 107410 * )
NEW met2 ( 107410 129030 ) ( * 131070 )
NEW met1 ( 107330 131070 ) ( 107410 * )
NEW met1 ( 97450 129710 ) ( 104190 * )
NEW met1 ( 104190 129030 ) ( * 129710 )
NEW li1 ( 104190 129030 ) L1M1_PR_MR
NEW met1 ( 107410 129030 ) M1M2_PR
NEW met1 ( 107410 131070 ) M1M2_PR
NEW li1 ( 107330 131070 ) L1M1_PR_MR
NEW li1 ( 97450 129710 ) L1M1_PR_MR ;
- _006_ ( _020_ A3 ) ( _018_ X ) + USE SIGNAL
+ ROUTED met1 ( 106030 132770 ) ( 106490 * )
NEW met2 ( 106030 132770 ) ( * 136850 )
NEW met1 ( 100050 136850 ) ( 106030 * )
NEW met1 ( 100050 136850 ) ( * 137190 )
NEW li1 ( 106490 132770 ) L1M1_PR_MR
NEW met1 ( 106030 132770 ) M1M2_PR
NEW met1 ( 106030 136850 ) M1M2_PR
NEW li1 ( 100050 137190 ) L1M1_PR_MR ;
- _007_ ( _020_ B1 ) ( _019_ Y ) + USE SIGNAL
+ ROUTED met1 ( 100510 135490 ) ( 106030 * )
NEW met2 ( 100510 135490 ) ( * 137190 )
NEW li1 ( 106030 135490 ) L1M1_PR_MR
NEW met1 ( 100510 135490 ) M1M2_PR
NEW li1 ( 100510 137190 ) L1M1_PR_MR
NEW met1 ( 100510 137190 ) M1M2_PR
NEW met1 ( 100510 137190 ) RECT ( 0 -70 355 70 ) ;
- _008_ ( _022_ A2 ) ( _021_ X ) + USE SIGNAL
+ ROUTED met1 ( 99590 127330 ) ( 100050 * )
NEW met2 ( 100050 127330 ) ( * 129370 )
NEW met1 ( 100050 129370 ) ( 100510 * )
NEW li1 ( 99590 127330 ) L1M1_PR_MR
NEW met1 ( 100050 127330 ) M1M2_PR
NEW met1 ( 100050 129370 ) M1M2_PR
NEW li1 ( 100510 129370 ) L1M1_PR_MR ;
- _009_ ( _024_ A2 ) ( _023_ X ) + USE SIGNAL
+ ROUTED met1 ( 98210 130050 ) ( 99590 * )
NEW met2 ( 99590 130050 ) ( * 134810 )
NEW li1 ( 98210 130050 ) L1M1_PR_MR
NEW met1 ( 99590 130050 ) M1M2_PR
NEW li1 ( 99590 134810 ) L1M1_PR_MR
NEW met1 ( 99590 134810 ) M1M2_PR
NEW met1 ( 99590 134810 ) RECT ( -355 -70 0 70 ) ;
- _010_ ( _026_ A ) ( _025_ X ) + USE SIGNAL
+ ROUTED met2 ( 146050 91970 ) ( * 93670 )
NEW met1 ( 146050 93670 ) ( 147890 * )
NEW li1 ( 146050 91970 ) L1M1_PR_MR
NEW met1 ( 146050 91970 ) M1M2_PR
NEW met1 ( 146050 93670 ) M1M2_PR
NEW li1 ( 147890 93670 ) L1M1_PR_MR
NEW met1 ( 146050 91970 ) RECT ( -355 -70 0 70 ) ;
- io_dbus_addr[0] ( PIN io_dbus_addr[0] ) ( ANTENNA_input1_A DIODE ) ( input1 A ) + USE SIGNAL
+ ROUTED met2 ( 7130 95540 ) ( * 96730 )
NEW met3 ( 3220 95540 0 ) ( 7130 * )
NEW met1 ( 7130 96730 ) ( 9890 * )
NEW li1 ( 7130 96730 ) L1M1_PR_MR
NEW met1 ( 7130 96730 ) M1M2_PR
NEW met2 ( 7130 95540 ) M2M3_PR
NEW li1 ( 9890 96730 ) L1M1_PR_MR
NEW met1 ( 7130 96730 ) RECT ( -355 -70 0 70 ) ;
- io_dbus_addr[10] ( PIN io_dbus_addr[10] ) ( ANTENNA_input2_A DIODE ) ( input2 A ) + USE SIGNAL
+ ROUTED met2 ( 117530 11900 ) ( * 12070 )
NEW met2 ( 116150 11900 ) ( 117530 * )
NEW met2 ( 116150 3740 0 ) ( * 11900 )
NEW met1 ( 117530 12070 ) ( 118910 * )
NEW li1 ( 117530 12070 ) L1M1_PR_MR
NEW met1 ( 117530 12070 ) M1M2_PR
NEW li1 ( 118910 12070 ) L1M1_PR_MR
NEW met1 ( 117530 12070 ) RECT ( -355 -70 0 70 ) ;
- io_dbus_addr[11] ( PIN io_dbus_addr[11] ) ( ANTENNA_input3_A DIODE ) ( input3 A ) + USE SIGNAL
+ ROUTED met2 ( 58650 167450 ) ( * 176460 )
NEW met2 ( 58190 176460 0 ) ( 58650 * )
NEW met1 ( 58650 167450 ) ( 60950 * )
NEW li1 ( 58650 167450 ) L1M1_PR_MR
NEW met1 ( 58650 167450 ) M1M2_PR
NEW li1 ( 60950 167450 ) L1M1_PR_MR
NEW met1 ( 58650 167450 ) RECT ( -355 -70 0 70 ) ;
- io_dbus_addr[12] ( PIN io_dbus_addr[12] ) ( ANTENNA_input4_A DIODE ) ( input4 A ) + USE SIGNAL
+ ROUTED met2 ( 171810 24140 ) ( * 25670 )
NEW met3 ( 171810 24140 ) ( 176180 * 0 )
NEW met2 ( 171810 25670 ) ( * 27710 )
NEW li1 ( 171810 25670 ) L1M1_PR_MR
NEW met1 ( 171810 25670 ) M1M2_PR
NEW met2 ( 171810 24140 ) M2M3_PR
NEW li1 ( 171810 27710 ) L1M1_PR_MR
NEW met1 ( 171810 27710 ) M1M2_PR
NEW met1 ( 171810 25670 ) RECT ( -355 -70 0 70 )
NEW met1 ( 171810 27710 ) RECT ( -355 -70 0 70 ) ;
- io_dbus_addr[13] ( PIN io_dbus_addr[13] ) ( ANTENNA_input5_A DIODE ) ( input5 A ) + USE SIGNAL
+ ROUTED met3 ( 3220 129540 0 ) ( 7130 * )
NEW met1 ( 7130 131750 ) ( 9430 * )
NEW met2 ( 7130 129540 ) ( * 131750 )
NEW met2 ( 7130 129540 ) M2M3_PR
NEW li1 ( 7130 131750 ) L1M1_PR_MR
NEW li1 ( 9430 131750 ) L1M1_PR_MR
NEW met1 ( 7130 131750 ) M1M2_PR
NEW met1 ( 7130 131750 ) RECT ( -595 -70 0 70 ) ;
- io_dbus_addr[14] ( PIN io_dbus_addr[14] ) ( ANTENNA_input6_A DIODE ) ( input6 A ) + USE SIGNAL
+ ROUTED met2 ( 77510 167790 ) ( * 176460 0 )
NEW met1 ( 79810 167110 ) ( 81650 * )
NEW met1 ( 79810 167110 ) ( * 167790 )
NEW met1 ( 77510 167790 ) ( 87170 * )
NEW li1 ( 87170 167790 ) L1M1_PR_MR
NEW met1 ( 77510 167790 ) M1M2_PR
NEW li1 ( 81650 167110 ) L1M1_PR_MR ;
- io_dbus_addr[15] ( PIN io_dbus_addr[15] ) ( ANTENNA_input7_A DIODE ) ( input7 A ) + USE SIGNAL
+ ROUTED met1 ( 17250 12070 ) ( 19090 * )
NEW met2 ( 17250 9180 ) ( * 12070 )
NEW met2 ( 16330 9180 ) ( 17250 * )
NEW met2 ( 16330 3740 0 ) ( * 9180 )
NEW met2 ( 19090 12070 ) ( * 16830 )
NEW li1 ( 19090 12070 ) L1M1_PR_MR
NEW met1 ( 17250 12070 ) M1M2_PR
NEW li1 ( 19090 16830 ) L1M1_PR_MR
NEW met1 ( 19090 16830 ) M1M2_PR
NEW met1 ( 19090 12070 ) M1M2_PR
NEW met1 ( 19090 16830 ) RECT ( -355 -70 0 70 )
NEW met1 ( 19090 12070 ) RECT ( -595 -70 0 70 ) ;
- io_dbus_addr[16] ( PIN io_dbus_addr[16] ) + USE SIGNAL ;
- io_dbus_addr[17] ( PIN io_dbus_addr[17] ) + USE SIGNAL ;
- io_dbus_addr[18] ( PIN io_dbus_addr[18] ) + USE SIGNAL ;
- io_dbus_addr[19] ( PIN io_dbus_addr[19] ) + USE SIGNAL ;
- io_dbus_addr[1] ( PIN io_dbus_addr[1] ) ( ANTENNA_input8_A DIODE ) ( input8 A ) + USE SIGNAL
+ ROUTED met2 ( 171350 132940 ) ( * 134810 )
NEW met3 ( 171350 132940 ) ( 176180 * 0 )
NEW met1 ( 169050 134810 ) ( 171350 * )
NEW li1 ( 171350 134810 ) L1M1_PR_MR
NEW met1 ( 171350 134810 ) M1M2_PR
NEW met2 ( 171350 132940 ) M2M3_PR
NEW li1 ( 169050 134810 ) L1M1_PR_MR
NEW met1 ( 171350 134810 ) RECT ( -355 -70 0 70 ) ;
- io_dbus_addr[20] ( PIN io_dbus_addr[20] ) + USE SIGNAL ;
- io_dbus_addr[21] ( PIN io_dbus_addr[21] ) + USE SIGNAL ;
- io_dbus_addr[22] ( PIN io_dbus_addr[22] ) + USE SIGNAL ;
- io_dbus_addr[23] ( PIN io_dbus_addr[23] ) + USE SIGNAL ;
- io_dbus_addr[24] ( PIN io_dbus_addr[24] ) + USE SIGNAL ;
- io_dbus_addr[25] ( PIN io_dbus_addr[25] ) + USE SIGNAL ;
- io_dbus_addr[26] ( PIN io_dbus_addr[26] ) + USE SIGNAL ;
- io_dbus_addr[27] ( PIN io_dbus_addr[27] ) + USE SIGNAL ;
- io_dbus_addr[28] ( PIN io_dbus_addr[28] ) + USE SIGNAL ;
- io_dbus_addr[29] ( PIN io_dbus_addr[29] ) + USE SIGNAL ;
- io_dbus_addr[2] ( PIN io_dbus_addr[2] ) ( ANTENNA_input9_A DIODE ) ( input9 A ) + USE SIGNAL
+ ROUTED met1 ( 22770 12070 ) ( 24610 * )
NEW met2 ( 22770 3740 0 ) ( * 12070 )
NEW met1 ( 22770 14110 ) ( 23690 * )
NEW met2 ( 22770 12070 ) ( * 14110 )
NEW li1 ( 24610 12070 ) L1M1_PR_MR
NEW met1 ( 22770 12070 ) M1M2_PR
NEW li1 ( 23690 14110 ) L1M1_PR_MR
NEW met1 ( 22770 14110 ) M1M2_PR ;
- io_dbus_addr[30] ( PIN io_dbus_addr[30] ) + USE SIGNAL ;
- io_dbus_addr[31] ( PIN io_dbus_addr[31] ) + USE SIGNAL ;
- io_dbus_addr[3] ( PIN io_dbus_addr[3] ) ( ANTENNA_input10_A DIODE ) ( input10 A ) + USE SIGNAL
+ ROUTED met3 ( 171810 129540 ) ( 176180 * 0 )
NEW met1 ( 169510 131750 ) ( 171810 * )
NEW met2 ( 171810 129540 ) ( * 131750 )
NEW met2 ( 171810 129540 ) M2M3_PR
NEW li1 ( 171810 131750 ) L1M1_PR_MR
NEW li1 ( 169510 131750 ) L1M1_PR_MR
NEW met1 ( 171810 131750 ) M1M2_PR
NEW met1 ( 171810 131750 ) RECT ( -595 -70 0 70 ) ;
- io_dbus_addr[4] ( PIN io_dbus_addr[4] ) ( ANTENNA_input11_A DIODE ) ( input11 A ) + USE SIGNAL
+ ROUTED met1 ( 156630 12070 ) ( 158010 * )
NEW met2 ( 158010 3740 0 ) ( * 12070 )
NEW met1 ( 158010 12070 ) ( 158930 * )
NEW li1 ( 156630 12070 ) L1M1_PR_MR
NEW met1 ( 158010 12070 ) M1M2_PR
NEW li1 ( 158930 12070 ) L1M1_PR_MR ;
- io_dbus_addr[5] ( PIN io_dbus_addr[5] ) ( ANTENNA_input12_A DIODE ) ( input12 A ) + USE SIGNAL
+ ROUTED met2 ( 7130 13940 ) ( * 20570 )
NEW met3 ( 3220 13940 0 ) ( 7130 * )
NEW met2 ( 7130 20570 ) ( * 22270 )
NEW li1 ( 7130 20570 ) L1M1_PR_MR
NEW met1 ( 7130 20570 ) M1M2_PR
NEW met2 ( 7130 13940 ) M2M3_PR
NEW li1 ( 7130 22270 ) L1M1_PR_MR
NEW met1 ( 7130 22270 ) M1M2_PR
NEW met1 ( 7130 20570 ) RECT ( -355 -70 0 70 )
NEW met1 ( 7130 22270 ) RECT ( -355 -70 0 70 ) ;
- io_dbus_addr[6] ( PIN io_dbus_addr[6] ) ( ANTENNA_input13_A DIODE ) ( input13 A ) + USE SIGNAL
+ ROUTED met2 ( 171810 156570 ) ( * 156740 )
NEW met3 ( 171810 156740 ) ( 176180 * 0 )
NEW met1 ( 169510 156570 ) ( 171810 * )
NEW li1 ( 171810 156570 ) L1M1_PR_MR
NEW met1 ( 171810 156570 ) M1M2_PR
NEW met2 ( 171810 156740 ) M2M3_PR
NEW li1 ( 169510 156570 ) L1M1_PR_MR
NEW met1 ( 171810 156570 ) RECT ( -355 -70 0 70 ) ;
- io_dbus_addr[7] ( PIN io_dbus_addr[7] ) ( ANTENNA_input14_A DIODE ) ( input14 A ) + USE SIGNAL
+ ROUTED met1 ( 90390 12070 ) ( 94530 * )
NEW met2 ( 90390 3740 0 ) ( * 12070 )
NEW met1 ( 94530 16830 ) ( 96830 * )
NEW met2 ( 94530 12070 ) ( * 16830 )
NEW li1 ( 94530 12070 ) L1M1_PR_MR
NEW met1 ( 90390 12070 ) M1M2_PR
NEW li1 ( 96830 16830 ) L1M1_PR_MR
NEW met1 ( 94530 16830 ) M1M2_PR
NEW met1 ( 94530 12070 ) M1M2_PR
NEW met1 ( 94530 12070 ) RECT ( -595 -70 0 70 ) ;
- io_dbus_addr[8] ( PIN io_dbus_addr[8] ) ( ANTENNA_input15_A DIODE ) ( input15 A ) + USE SIGNAL
+ ROUTED met1 ( 53130 167110 ) ( 55890 * )
NEW met2 ( 53130 167110 ) ( * 176460 )
NEW met2 ( 51750 176460 0 ) ( 53130 * )
NEW met1 ( 55890 165410 ) ( 57730 * )
NEW met2 ( 55890 165410 ) ( * 167110 )
NEW li1 ( 55890 167110 ) L1M1_PR_MR
NEW met1 ( 53130 167110 ) M1M2_PR
NEW li1 ( 57730 165410 ) L1M1_PR_MR
NEW met1 ( 55890 165410 ) M1M2_PR
NEW met1 ( 55890 167110 ) M1M2_PR
NEW met1 ( 55890 167110 ) RECT ( -595 -70 0 70 ) ;
- io_dbus_addr[9] ( PIN io_dbus_addr[9] ) ( ANTENNA_input16_A DIODE ) ( input16 A ) + USE SIGNAL
+ ROUTED met2 ( 152030 167450 ) ( * 168130 )
NEW met2 ( 151570 168130 ) ( 152030 * )
NEW met2 ( 151570 168130 ) ( * 176460 0 )
NEW met1 ( 151570 165410 ) ( 152030 * )
NEW met2 ( 152030 165410 ) ( * 167450 )
NEW li1 ( 152030 167450 ) L1M1_PR_MR
NEW met1 ( 152030 167450 ) M1M2_PR
NEW li1 ( 151570 165410 ) L1M1_PR_MR
NEW met1 ( 152030 165410 ) M1M2_PR
NEW met1 ( 152030 167450 ) RECT ( -355 -70 0 70 ) ;
- io_dbus_ld_type[0] ( PIN io_dbus_ld_type[0] ) ( ANTENNA_input17_A DIODE ) ( input17 A ) + USE SIGNAL
+ ROUTED met2 ( 171350 118830 ) ( * 119340 )
NEW met3 ( 171350 119340 ) ( 176180 * 0 )
NEW met1 ( 169050 118830 ) ( 171350 * )
NEW li1 ( 171350 118830 ) L1M1_PR_MR
NEW met1 ( 171350 118830 ) M1M2_PR
NEW met2 ( 171350 119340 ) M2M3_PR
NEW li1 ( 169050 118830 ) L1M1_PR_MR
NEW met1 ( 171350 118830 ) RECT ( -355 -70 0 70 ) ;
- io_dbus_ld_type[1] ( PIN io_dbus_ld_type[1] ) ( ANTENNA_input18_A DIODE ) ( input18 A ) + USE SIGNAL
+ ROUTED met2 ( 171350 164390 ) ( * 173740 )
NEW met3 ( 171350 173740 ) ( 176180 * 0 )
NEW met2 ( 163990 162690 ) ( * 164050 )
NEW met1 ( 163990 164050 ) ( 171350 * )
NEW met1 ( 171350 164050 ) ( * 164390 )
NEW li1 ( 171350 164390 ) L1M1_PR_MR
NEW met1 ( 171350 164390 ) M1M2_PR
NEW met2 ( 171350 173740 ) M2M3_PR
NEW li1 ( 163990 162690 ) L1M1_PR_MR
NEW met1 ( 163990 162690 ) M1M2_PR
NEW met1 ( 163990 164050 ) M1M2_PR
NEW met1 ( 171350 164390 ) RECT ( -355 -70 0 70 )
NEW met1 ( 163990 162690 ) RECT ( -355 -70 0 70 ) ;
- io_dbus_ld_type[2] ( PIN io_dbus_ld_type[2] ) ( ANTENNA_input19_A DIODE ) ( input19 A ) + USE SIGNAL
+ ROUTED met2 ( 14030 167790 ) ( * 170340 )
NEW met2 ( 13570 170340 ) ( 14030 * )
NEW met2 ( 13570 170340 ) ( * 176460 )
NEW met2 ( 13110 176460 0 ) ( 13570 * )
NEW met1 ( 14030 167790 ) ( 16330 * )
NEW li1 ( 14030 167790 ) L1M1_PR_MR
NEW met1 ( 14030 167790 ) M1M2_PR
NEW li1 ( 16330 167790 ) L1M1_PR_MR
NEW met1 ( 14030 167790 ) RECT ( -355 -70 0 70 ) ;
- io_dbus_rd_en ( PIN io_dbus_rd_en ) ( ANTENNA_input20_A DIODE ) ( input20 A ) + USE SIGNAL
+ ROUTED met2 ( 171810 92140 ) ( * 93670 )
NEW met3 ( 171810 92140 ) ( 176180 * 0 )
NEW met1 ( 169510 93670 ) ( 171810 * )
NEW li1 ( 171810 93670 ) L1M1_PR_MR
NEW met1 ( 171810 93670 ) M1M2_PR
NEW met2 ( 171810 92140 ) M2M3_PR
NEW li1 ( 169510 93670 ) L1M1_PR_MR
NEW met1 ( 171810 93670 ) RECT ( -355 -70 0 70 ) ;
- io_dbus_rdata[0] ( PIN io_dbus_rdata[0] ) ( output89 X ) + USE SIGNAL
+ ROUTED met2 ( 171350 160140 ) ( * 160990 )
NEW met3 ( 171350 160140 ) ( 176180 * 0 )
NEW li1 ( 171350 160990 ) L1M1_PR_MR
NEW met1 ( 171350 160990 ) M1M2_PR
NEW met2 ( 171350 160140 ) M2M3_PR
NEW met1 ( 171350 160990 ) RECT ( -355 -70 0 70 ) ;
- io_dbus_rdata[10] ( PIN io_dbus_rdata[10] ) ( output90 X ) + USE SIGNAL
+ ROUTED met2 ( 171350 57970 ) ( * 58140 )
NEW met3 ( 171350 58140 ) ( 176180 * 0 )
NEW li1 ( 171350 57970 ) L1M1_PR_MR
NEW met1 ( 171350 57970 ) M1M2_PR
NEW met2 ( 171350 58140 ) M2M3_PR
NEW met1 ( 171350 57970 ) RECT ( -355 -70 0 70 ) ;
- io_dbus_rdata[11] ( PIN io_dbus_rdata[11] ) ( output91 X ) + USE SIGNAL
+ ROUTED met1 ( 13110 162690 ) ( 14490 * )
NEW met2 ( 14490 162690 ) ( * 173740 )
NEW met3 ( 3220 173740 0 ) ( 14490 * )
NEW li1 ( 13110 162690 ) L1M1_PR_MR
NEW met1 ( 14490 162690 ) M1M2_PR
NEW met2 ( 14490 173740 ) M2M3_PR ;
- io_dbus_rdata[12] ( PIN io_dbus_rdata[12] ) ( output92 X ) + USE SIGNAL
+ ROUTED met2 ( 112930 3740 0 ) ( * 11390 )
NEW met1 ( 112930 11390 ) ( 113850 * )
NEW met1 ( 112930 11390 ) M1M2_PR
NEW li1 ( 113850 11390 ) L1M1_PR_MR ;
- io_dbus_rdata[13] ( PIN io_dbus_rdata[13] ) ( output93 X ) + USE SIGNAL
+ ROUTED met1 ( 90390 168130 ) ( 91310 * )
NEW met2 ( 90390 168130 ) ( * 176460 0 )
NEW li1 ( 91310 168130 ) L1M1_PR_MR
NEW met1 ( 90390 168130 ) M1M2_PR ;
- io_dbus_rdata[14] ( PIN io_dbus_rdata[14] ) ( output94 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 115940 0 ) ( 7590 * )
NEW met2 ( 7590 115940 ) ( * 117470 )
NEW met2 ( 7590 115940 ) M2M3_PR
NEW li1 ( 7590 117470 ) L1M1_PR_MR
NEW met1 ( 7590 117470 ) M1M2_PR
NEW met1 ( 7590 117470 ) RECT ( -355 -70 0 70 ) ;
- io_dbus_rdata[15] ( PIN io_dbus_rdata[15] ) ( output95 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 122740 0 ) ( 7590 * )
NEW met2 ( 7590 122740 ) ( * 122910 )
NEW met2 ( 7590 122740 ) M2M3_PR
NEW li1 ( 7590 122910 ) L1M1_PR_MR
NEW met1 ( 7590 122910 ) M1M2_PR
NEW met1 ( 7590 122910 ) RECT ( -355 -70 0 70 ) ;
- io_dbus_rdata[16] ( PIN io_dbus_rdata[16] ) ( output96 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 61540 0 ) ( 7590 * )
NEW met2 ( 7590 61540 ) ( * 63070 )
NEW met2 ( 7590 61540 ) M2M3_PR
NEW li1 ( 7590 63070 ) L1M1_PR_MR
NEW met1 ( 7590 63070 ) M1M2_PR
NEW met1 ( 7590 63070 ) RECT ( -355 -70 0 70 ) ;
- io_dbus_rdata[17] ( PIN io_dbus_rdata[17] ) ( output97 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 30940 0 ) ( 7590 * )
NEW met2 ( 7590 30770 ) ( * 30940 )
NEW met2 ( 7590 30940 ) M2M3_PR
NEW li1 ( 7590 30770 ) L1M1_PR_MR
NEW met1 ( 7590 30770 ) M1M2_PR
NEW met1 ( 7590 30770 ) RECT ( -355 -70 0 70 ) ;
- io_dbus_rdata[18] ( PIN io_dbus_rdata[18] ) ( output98 X ) + USE SIGNAL
+ ROUTED met1 ( 93610 165410 ) ( 97290 * )
NEW met2 ( 93610 165410 ) ( * 176460 0 )
NEW li1 ( 97290 165410 ) L1M1_PR_MR
NEW met1 ( 93610 165410 ) M1M2_PR ;
- io_dbus_rdata[19] ( PIN io_dbus_rdata[19] ) ( output99 X ) + USE SIGNAL
+ ROUTED met2 ( 168590 165410 ) ( * 170340 )
NEW met3 ( 168590 170340 ) ( 176180 * 0 )
NEW li1 ( 168590 165410 ) L1M1_PR_MR
NEW met1 ( 168590 165410 ) M1M2_PR
NEW met2 ( 168590 170340 ) M2M3_PR
NEW met1 ( 168590 165410 ) RECT ( -355 -70 0 70 ) ;
- io_dbus_rdata[1] ( PIN io_dbus_rdata[1] ) ( output100 X ) + USE SIGNAL
+ ROUTED met2 ( 48530 3740 0 ) ( * 11390 )
NEW met1 ( 48530 11390 ) ( 52210 * )
NEW met1 ( 48530 11390 ) M1M2_PR
NEW li1 ( 52210 11390 ) L1M1_PR_MR ;
- io_dbus_rdata[20] ( PIN io_dbus_rdata[20] ) ( output101 X ) + USE SIGNAL
+ ROUTED met2 ( 162610 165410 ) ( * 176460 )
NEW met2 ( 161230 176460 0 ) ( 162610 * )
NEW li1 ( 162610 165410 ) L1M1_PR_MR
NEW met1 ( 162610 165410 ) M1M2_PR
NEW met1 ( 162610 165410 ) RECT ( -355 -70 0 70 ) ;
- io_dbus_rdata[21] ( PIN io_dbus_rdata[21] ) ( output102 X ) + USE SIGNAL
+ ROUTED met2 ( 149730 168130 ) ( * 176460 )
NEW met2 ( 148350 176460 0 ) ( 149730 * )
NEW li1 ( 149730 168130 ) L1M1_PR_MR
NEW met1 ( 149730 168130 ) M1M2_PR
NEW met1 ( 149730 168130 ) RECT ( -355 -70 0 70 ) ;
- io_dbus_rdata[22] ( PIN io_dbus_rdata[22] ) ( output103 X ) + USE SIGNAL
+ ROUTED met2 ( 136390 165410 ) ( * 176460 )
NEW met2 ( 135470 176460 0 ) ( 136390 * )
NEW li1 ( 136390 165410 ) L1M1_PR_MR
NEW met1 ( 136390 165410 ) M1M2_PR
NEW met1 ( 136390 165410 ) RECT ( -355 -70 0 70 ) ;
- io_dbus_rdata[23] ( PIN io_dbus_rdata[23] ) ( output104 X ) + USE SIGNAL
+ ROUTED met2 ( 80730 3740 0 ) ( * 11390 )
NEW met1 ( 80730 11390 ) ( 83950 * )
NEW li1 ( 83950 11390 ) L1M1_PR_MR
NEW met1 ( 80730 11390 ) M1M2_PR ;
- io_dbus_rdata[24] ( PIN io_dbus_rdata[24] ) ( output105 X ) + USE SIGNAL
+ ROUTED met2 ( 171350 75140 ) ( * 76670 )
NEW met3 ( 171350 75140 ) ( 176180 * 0 )
NEW li1 ( 171350 76670 ) L1M1_PR_MR
NEW met1 ( 171350 76670 ) M1M2_PR
NEW met2 ( 171350 75140 ) M2M3_PR
NEW met1 ( 171350 76670 ) RECT ( -355 -70 0 70 ) ;
- io_dbus_rdata[25] ( PIN io_dbus_rdata[25] ) ( output106 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 88740 0 ) ( 7590 * )
NEW met2 ( 7590 88740 ) ( * 92990 )
NEW met2 ( 7590 88740 ) M2M3_PR
NEW li1 ( 7590 92990 ) L1M1_PR_MR
NEW met1 ( 7590 92990 ) M1M2_PR
NEW met1 ( 7590 92990 ) RECT ( -355 -70 0 70 ) ;
- io_dbus_rdata[26] ( PIN io_dbus_rdata[26] ) ( output107 X ) + USE SIGNAL
+ ROUTED met2 ( 13110 3740 0 ) ( * 11390 )
NEW met2 ( 13110 11390 ) ( 14030 * )
NEW met1 ( 14030 11390 ) ( 15870 * )
NEW met1 ( 14030 11390 ) M1M2_PR
NEW li1 ( 15870 11390 ) L1M1_PR_MR ;
- io_dbus_rdata[27] ( PIN io_dbus_rdata[27] ) ( output108 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 85340 0 ) ( 7590 * )
NEW met2 ( 7590 85170 ) ( * 85340 )
NEW met2 ( 7590 85340 ) M2M3_PR
NEW li1 ( 7590 85170 ) L1M1_PR_MR
NEW met1 ( 7590 85170 ) M1M2_PR
NEW met1 ( 7590 85170 ) RECT ( -355 -70 0 70 ) ;
- io_dbus_rdata[28] ( PIN io_dbus_rdata[28] ) ( output109 X ) + USE SIGNAL
+ ROUTED met2 ( 164450 3740 0 ) ( * 14110 )
NEW met1 ( 164450 14110 ) ( 165830 * )
NEW met1 ( 164450 14110 ) M1M2_PR
NEW li1 ( 165830 14110 ) L1M1_PR_MR ;
- io_dbus_rdata[29] ( PIN io_dbus_rdata[29] ) ( output110 X ) + USE SIGNAL
+ ROUTED met2 ( 33810 168130 ) ( * 176460 )
NEW met2 ( 32430 176460 0 ) ( 33810 * )
NEW li1 ( 33810 168130 ) L1M1_PR_MR
NEW met1 ( 33810 168130 ) M1M2_PR
NEW met1 ( 33810 168130 ) RECT ( -355 -70 0 70 ) ;
- io_dbus_rdata[2] ( PIN io_dbus_rdata[2] ) ( output111 X ) + USE SIGNAL
+ ROUTED met1 ( 69230 166770 ) ( 71070 * )
NEW met2 ( 69230 166770 ) ( * 166940 )
NEW met2 ( 68770 166940 ) ( 69230 * )
NEW met2 ( 68770 166940 ) ( * 176460 )
NEW met2 ( 67850 176460 0 ) ( 68770 * )
NEW li1 ( 71070 166770 ) L1M1_PR_MR
NEW met1 ( 69230 166770 ) M1M2_PR ;
- io_dbus_rdata[30] ( PIN io_dbus_rdata[30] ) ( output112 X ) + USE SIGNAL
+ ROUTED met2 ( 58190 3740 0 ) ( * 11390 )
NEW met1 ( 58190 11390 ) ( 59110 * )
NEW met1 ( 58190 11390 ) M1M2_PR
NEW li1 ( 59110 11390 ) L1M1_PR_MR ;
- io_dbus_rdata[31] ( PIN io_dbus_rdata[31] ) ( output113 X ) + USE SIGNAL
+ ROUTED met2 ( 171350 139570 ) ( * 139740 )
NEW met3 ( 171350 139740 ) ( 176180 * 0 )
NEW li1 ( 171350 139570 ) L1M1_PR_MR
NEW met1 ( 171350 139570 ) M1M2_PR
NEW met2 ( 171350 139740 ) M2M3_PR
NEW met1 ( 171350 139570 ) RECT ( -355 -70 0 70 ) ;
- io_dbus_rdata[3] ( PIN io_dbus_rdata[3] ) ( output114 X ) + USE SIGNAL
+ ROUTED met2 ( 135470 3740 0 ) ( * 14110 )
NEW met1 ( 135470 14110 ) ( 136390 * )
NEW met1 ( 135470 14110 ) M1M2_PR
NEW li1 ( 136390 14110 ) L1M1_PR_MR ;
- io_dbus_rdata[4] ( PIN io_dbus_rdata[4] ) ( output115 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 156740 0 ) ( 7590 * )
NEW met2 ( 7590 156740 ) ( * 158270 )
NEW met2 ( 7590 156740 ) M2M3_PR
NEW li1 ( 7590 158270 ) L1M1_PR_MR
NEW met1 ( 7590 158270 ) M1M2_PR
NEW met1 ( 7590 158270 ) RECT ( -355 -70 0 70 ) ;
- io_dbus_rdata[5] ( PIN io_dbus_rdata[5] ) ( output116 X ) + USE SIGNAL
+ ROUTED met2 ( 171350 44030 ) ( * 44540 )
NEW met3 ( 171350 44540 ) ( 176180 * 0 )
NEW li1 ( 171350 44030 ) L1M1_PR_MR
NEW met1 ( 171350 44030 ) M1M2_PR
NEW met2 ( 171350 44540 ) M2M3_PR
NEW met1 ( 171350 44030 ) RECT ( -355 -70 0 70 ) ;
- io_dbus_rdata[6] ( PIN io_dbus_rdata[6] ) ( output117 X ) + USE SIGNAL
+ ROUTED met2 ( 171350 88740 ) ( * 90270 )
NEW met3 ( 171350 88740 ) ( 176180 * 0 )
NEW li1 ( 171350 90270 ) L1M1_PR_MR
NEW met1 ( 171350 90270 ) M1M2_PR
NEW met2 ( 171350 88740 ) M2M3_PR
NEW met1 ( 171350 90270 ) RECT ( -355 -70 0 70 ) ;
- io_dbus_rdata[7] ( PIN io_dbus_rdata[7] ) ( output118 X ) + USE SIGNAL
+ ROUTED met2 ( 138690 3740 0 ) ( * 11390 )
NEW met1 ( 138690 11390 ) ( 141450 * )
NEW met1 ( 138690 11390 ) M1M2_PR
NEW li1 ( 141450 11390 ) L1M1_PR_MR ;
- io_dbus_rdata[8] ( PIN io_dbus_rdata[8] ) ( output119 X ) + USE SIGNAL
+ ROUTED met2 ( 171350 20740 ) ( * 22270 )
NEW met3 ( 171350 20740 ) ( 176180 * 0 )
NEW li1 ( 171350 22270 ) L1M1_PR_MR
NEW met1 ( 171350 22270 ) M1M2_PR
NEW met2 ( 171350 20740 ) M2M3_PR
NEW met1 ( 171350 22270 ) RECT ( -355 -70 0 70 ) ;
- io_dbus_rdata[9] ( PIN io_dbus_rdata[9] ) ( output120 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 71740 0 ) ( 7590 * )
NEW met2 ( 7590 71230 ) ( * 71740 )
NEW met2 ( 7590 71740 ) M2M3_PR
NEW li1 ( 7590 71230 ) L1M1_PR_MR
NEW met1 ( 7590 71230 ) M1M2_PR
NEW met1 ( 7590 71230 ) RECT ( -355 -70 0 70 ) ;
- io_dbus_st_type[0] ( PIN io_dbus_st_type[0] ) ( ANTENNA_input21_A DIODE ) ( input21 A ) + USE SIGNAL
+ ROUTED met1 ( 158930 167790 ) ( 162610 * )
NEW met1 ( 158930 167790 ) ( * 168130 )
NEW met2 ( 158470 168130 ) ( * 176460 )
NEW met2 ( 158010 176460 0 ) ( 158470 * )
NEW met2 ( 158470 168130 ) ( 158930 * )
NEW li1 ( 158930 168130 ) L1M1_PR_MR
NEW met1 ( 158930 168130 ) M1M2_PR
NEW li1 ( 162610 167790 ) L1M1_PR_MR
NEW met1 ( 158930 168130 ) RECT ( -355 -70 0 70 ) ;
- io_dbus_st_type[1] ( PIN io_dbus_st_type[1] ) ( ANTENNA_input22_A DIODE ) ( input22 A ) + USE SIGNAL
+ ROUTED met1 ( 27830 167450 ) ( 28750 * )
NEW met2 ( 27830 167450 ) ( * 167620 )
NEW met2 ( 27370 167620 ) ( 27830 * )
NEW met2 ( 27370 167620 ) ( * 176460 )
NEW met2 ( 25990 176460 0 ) ( 27370 * )
NEW met2 ( 27830 165410 ) ( * 167450 )
NEW li1 ( 28750 167450 ) L1M1_PR_MR
NEW met1 ( 27830 167450 ) M1M2_PR
NEW li1 ( 27830 165410 ) L1M1_PR_MR
NEW met1 ( 27830 165410 ) M1M2_PR
NEW met1 ( 27830 165410 ) RECT ( -355 -70 0 70 ) ;
- io_dbus_valid ( PIN io_dbus_valid ) ( output121 X ) + USE SIGNAL
+ ROUTED met2 ( 49450 168130 ) ( * 176460 )
NEW met2 ( 48530 176460 0 ) ( 49450 * )
NEW li1 ( 49450 168130 ) L1M1_PR_MR
NEW met1 ( 49450 168130 ) M1M2_PR
NEW met1 ( 49450 168130 ) RECT ( -355 -70 0 70 ) ;
- io_dbus_wdata[0] ( PIN io_dbus_wdata[0] ) ( ANTENNA_input23_A DIODE ) ( input23 A ) + USE SIGNAL
+ ROUTED met2 ( 171350 41140 ) ( * 42330 )
NEW met3 ( 171350 41140 ) ( 176180 * 0 )
NEW met1 ( 169050 42330 ) ( 171350 * )
NEW li1 ( 171350 42330 ) L1M1_PR_MR
NEW met1 ( 171350 42330 ) M1M2_PR
NEW met2 ( 171350 41140 ) M2M3_PR
NEW li1 ( 169050 42330 ) L1M1_PR_MR
NEW met1 ( 171350 42330 ) RECT ( -355 -70 0 70 ) ;
- io_dbus_wdata[10] ( PIN io_dbus_wdata[10] ) ( ANTENNA_input24_A DIODE ) ( input24 A ) + USE SIGNAL
+ ROUTED met2 ( 83950 3740 0 ) ( * 12070 )
NEW met1 ( 79350 12070 ) ( 80730 * )
NEW met1 ( 80730 12070 ) ( 83950 * )
NEW met1 ( 83950 12070 ) M1M2_PR
NEW li1 ( 80730 12070 ) L1M1_PR_MR
NEW li1 ( 79350 12070 ) L1M1_PR_MR ;
- io_dbus_wdata[11] ( PIN io_dbus_wdata[11] ) ( ANTENNA_input25_A DIODE ) ( input25 A ) + USE SIGNAL
+ ROUTED met1 ( 11730 19550 ) ( 15410 * )
NEW met2 ( 15410 3740 ) ( * 19550 )
NEW met2 ( 14950 3740 ) ( 15410 * )
NEW met3 ( 3220 3740 0 ) ( 14950 * )
NEW met1 ( 9430 20570 ) ( 15410 * )
NEW met2 ( 15410 19550 ) ( * 20570 )
NEW li1 ( 11730 19550 ) L1M1_PR_MR
NEW met1 ( 15410 19550 ) M1M2_PR
NEW met2 ( 14950 3740 ) M2M3_PR
NEW li1 ( 9430 20570 ) L1M1_PR_MR
NEW met1 ( 15410 20570 ) M1M2_PR ;
- io_dbus_wdata[12] ( PIN io_dbus_wdata[12] ) ( ANTENNA_input26_A DIODE ) ( input26 A ) + USE SIGNAL
+ ROUTED met2 ( 140990 168130 ) ( * 176460 )
NEW met2 ( 140990 176460 ) ( 141910 * 0 )
NEW met1 ( 140990 167450 ) ( 142370 * )
NEW met1 ( 140990 167450 ) ( * 168130 )
NEW li1 ( 140990 168130 ) L1M1_PR_MR
NEW met1 ( 140990 168130 ) M1M2_PR
NEW li1 ( 142370 167450 ) L1M1_PR_MR
NEW met1 ( 140990 168130 ) RECT ( -355 -70 0 70 ) ;
- io_dbus_wdata[13] ( PIN io_dbus_wdata[13] ) ( ANTENNA_input27_A DIODE ) ( input27 A ) + USE SIGNAL
+ ROUTED met2 ( 171810 146540 ) ( * 148070 )
NEW met3 ( 171810 146540 ) ( 176180 * 0 )
NEW met1 ( 169510 148070 ) ( 171810 * )
NEW li1 ( 171810 148070 ) L1M1_PR_MR
NEW met1 ( 171810 148070 ) M1M2_PR
NEW met2 ( 171810 146540 ) M2M3_PR
NEW li1 ( 169510 148070 ) L1M1_PR_MR
NEW met1 ( 171810 148070 ) RECT ( -355 -70 0 70 ) ;
- io_dbus_wdata[14] ( PIN io_dbus_wdata[14] ) ( ANTENNA_input28_A DIODE ) ( input28 A ) + USE SIGNAL
+ ROUTED met2 ( 54970 3740 0 ) ( * 12070 )
NEW met1 ( 54970 14450 ) ( 60490 * )
NEW met2 ( 54970 12070 ) ( * 14450 )
NEW li1 ( 54970 12070 ) L1M1_PR_MR
NEW met1 ( 54970 12070 ) M1M2_PR
NEW li1 ( 60490 14450 ) L1M1_PR_MR
NEW met1 ( 54970 14450 ) M1M2_PR
NEW met1 ( 54970 12070 ) RECT ( -355 -70 0 70 ) ;
- io_dbus_wdata[15] ( PIN io_dbus_wdata[15] ) ( ANTENNA_input29_A DIODE ) ( input29 A ) + USE SIGNAL
+ ROUTED met2 ( 9890 149090 ) ( * 149940 )
NEW met3 ( 3220 149940 0 ) ( 9890 * )
NEW met1 ( 11270 150450 ) ( * 150790 )
NEW met1 ( 9890 150450 ) ( 11270 * )
NEW met2 ( 9890 149940 ) ( * 150450 )
NEW li1 ( 9890 149090 ) L1M1_PR_MR
NEW met1 ( 9890 149090 ) M1M2_PR
NEW met2 ( 9890 149940 ) M2M3_PR
NEW li1 ( 11270 150790 ) L1M1_PR_MR
NEW met1 ( 9890 150450 ) M1M2_PR
NEW met1 ( 9890 149090 ) RECT ( -355 -70 0 70 ) ;
- io_dbus_wdata[16] ( PIN io_dbus_wdata[16] ) ( ANTENNA_input30_A DIODE ) ( input30 A ) + USE SIGNAL
+ ROUTED met2 ( 46690 164390 ) ( * 176460 )
NEW met2 ( 45310 176460 0 ) ( 46690 * )
NEW met1 ( 46690 164390 ) ( 48070 * )
NEW li1 ( 46690 164390 ) L1M1_PR_MR
NEW met1 ( 46690 164390 ) M1M2_PR
NEW li1 ( 48070 164390 ) L1M1_PR_MR
NEW met1 ( 46690 164390 ) RECT ( -355 -70 0 70 ) ;
- io_dbus_wdata[17] ( PIN io_dbus_wdata[17] ) ( ANTENNA_input31_A DIODE ) ( input31 A ) + USE SIGNAL
+ ROUTED met2 ( 153870 165410 ) ( * 176460 )
NEW met2 ( 153870 176460 ) ( 154790 * 0 )
NEW met1 ( 153870 164730 ) ( 155250 * )
NEW met2 ( 153870 164730 ) ( * 165410 )
NEW li1 ( 153870 165410 ) L1M1_PR_MR
NEW met1 ( 153870 165410 ) M1M2_PR
NEW li1 ( 155250 164730 ) L1M1_PR_MR
NEW met1 ( 153870 164730 ) M1M2_PR
NEW met1 ( 153870 165410 ) RECT ( -355 -70 0 70 ) ;
- io_dbus_wdata[18] ( PIN io_dbus_wdata[18] ) ( ANTENNA_input32_A DIODE ) ( input32 A ) + USE SIGNAL
+ ROUTED met2 ( 171810 95540 ) ( * 96730 )
NEW met3 ( 171810 95540 ) ( 176180 * 0 )
NEW met1 ( 169510 96730 ) ( 171810 * )
NEW li1 ( 171810 96730 ) L1M1_PR_MR
NEW met1 ( 171810 96730 ) M1M2_PR
NEW met2 ( 171810 95540 ) M2M3_PR
NEW li1 ( 169510 96730 ) L1M1_PR_MR
NEW met1 ( 171810 96730 ) RECT ( -355 -70 0 70 ) ;
- io_dbus_wdata[19] ( PIN io_dbus_wdata[19] ) ( ANTENNA_input33_A DIODE ) ( input33 A ) + USE SIGNAL
+ ROUTED met2 ( 7130 160140 ) ( * 161670 )
NEW met3 ( 3220 160140 0 ) ( 7130 * )
NEW met2 ( 7130 157250 ) ( * 160140 )
NEW li1 ( 7130 161670 ) L1M1_PR_MR
NEW met1 ( 7130 161670 ) M1M2_PR
NEW met2 ( 7130 160140 ) M2M3_PR
NEW li1 ( 7130 157250 ) L1M1_PR_MR
NEW met1 ( 7130 157250 ) M1M2_PR
NEW met1 ( 7130 161670 ) RECT ( -355 -70 0 70 )
NEW met1 ( 7130 157250 ) RECT ( -355 -70 0 70 ) ;
- io_dbus_wdata[1] ( PIN io_dbus_wdata[1] ) ( ANTENNA_input34_A DIODE ) ( input34 A ) + USE SIGNAL
+ ROUTED met2 ( 119830 168130 ) ( * 176460 )
NEW met2 ( 119370 176460 0 ) ( 119830 * )
NEW met1 ( 119830 167450 ) ( 122130 * )
NEW met1 ( 119830 167450 ) ( * 168130 )
NEW li1 ( 119830 168130 ) L1M1_PR_MR
NEW met1 ( 119830 168130 ) M1M2_PR
NEW li1 ( 122130 167450 ) L1M1_PR_MR
NEW met1 ( 119830 168130 ) RECT ( -355 -70 0 70 ) ;
- io_dbus_wdata[20] ( PIN io_dbus_wdata[20] ) ( ANTENNA_input35_A DIODE ) ( input35 A ) + USE SIGNAL
+ ROUTED met2 ( 171810 105740 ) ( * 107270 )
NEW met3 ( 171810 105740 ) ( 176180 * 0 )
NEW met2 ( 171810 107270 ) ( * 109310 )
NEW li1 ( 171810 107270 ) L1M1_PR_MR
NEW met1 ( 171810 107270 ) M1M2_PR
NEW met2 ( 171810 105740 ) M2M3_PR
NEW li1 ( 171810 109310 ) L1M1_PR_MR
NEW met1 ( 171810 109310 ) M1M2_PR
NEW met1 ( 171810 107270 ) RECT ( -355 -70 0 70 )
NEW met1 ( 171810 109310 ) RECT ( -355 -70 0 70 ) ;
- io_dbus_wdata[21] ( PIN io_dbus_wdata[21] ) ( ANTENNA_input36_A DIODE ) ( input36 A ) + USE SIGNAL
+ ROUTED met2 ( 7130 75140 ) ( * 77350 )
NEW met3 ( 3220 75140 0 ) ( 7130 * )
NEW met1 ( 7130 77350 ) ( 9430 * )
NEW li1 ( 7130 77350 ) L1M1_PR_MR
NEW met1 ( 7130 77350 ) M1M2_PR
NEW met2 ( 7130 75140 ) M2M3_PR
NEW li1 ( 9430 77350 ) L1M1_PR_MR
NEW met1 ( 7130 77350 ) RECT ( -355 -70 0 70 ) ;
- io_dbus_wdata[22] ( PIN io_dbus_wdata[22] ) ( ANTENNA_input37_A DIODE ) ( input37 A ) + USE SIGNAL
+ ROUTED met1 ( 5290 167450 ) ( 11270 * )
NEW met2 ( 5290 167450 ) ( * 176460 )
NEW met2 ( 3450 176460 0 ) ( 5290 * )
NEW met1 ( 11270 165410 ) ( 14030 * )
NEW met2 ( 11270 165410 ) ( * 167450 )
NEW li1 ( 11270 167450 ) L1M1_PR_MR
NEW met1 ( 5290 167450 ) M1M2_PR
NEW li1 ( 14030 165410 ) L1M1_PR_MR
NEW met1 ( 11270 165410 ) M1M2_PR
NEW met1 ( 11270 167450 ) M1M2_PR
NEW met1 ( 11270 167450 ) RECT ( -595 -70 0 70 ) ;
- io_dbus_wdata[23] ( PIN io_dbus_wdata[23] ) ( ANTENNA_input38_A DIODE ) ( input38 A ) + USE SIGNAL
+ ROUTED met2 ( 7130 51340 ) ( * 53210 )
NEW met3 ( 3220 51340 0 ) ( 7130 * )
NEW met1 ( 7130 53210 ) ( 9430 * )
NEW li1 ( 7130 53210 ) L1M1_PR_MR
NEW met1 ( 7130 53210 ) M1M2_PR
NEW met2 ( 7130 51340 ) M2M3_PR
NEW li1 ( 9430 53210 ) L1M1_PR_MR
NEW met1 ( 7130 53210 ) RECT ( -355 -70 0 70 ) ;
- io_dbus_wdata[24] ( PIN io_dbus_wdata[24] ) ( ANTENNA_input39_A DIODE ) ( input39 A ) + USE SIGNAL
+ ROUTED met1 ( 141910 12070 ) ( 144210 * )
NEW met2 ( 141910 3740 0 ) ( * 12070 )
NEW met1 ( 144210 11730 ) ( 145590 * )
NEW met1 ( 144210 11730 ) ( * 12070 )
NEW li1 ( 144210 12070 ) L1M1_PR_MR
NEW met1 ( 141910 12070 ) M1M2_PR
NEW li1 ( 145590 11730 ) L1M1_PR_MR ;
- io_dbus_wdata[25] ( PIN io_dbus_wdata[25] ) ( ANTENNA_input40_A DIODE ) ( input40 A ) + USE SIGNAL
+ ROUTED met2 ( 10810 92140 ) ( * 93670 )
NEW met3 ( 3220 92140 0 ) ( 10810 * )
NEW met1 ( 10810 93670 ) ( 12190 * )
NEW li1 ( 10810 93670 ) L1M1_PR_MR
NEW met1 ( 10810 93670 ) M1M2_PR
NEW met2 ( 10810 92140 ) M2M3_PR
NEW li1 ( 12190 93670 ) L1M1_PR_MR
NEW met1 ( 10810 93670 ) RECT ( -355 -70 0 70 ) ;
- io_dbus_wdata[26] ( PIN io_dbus_wdata[26] ) ( ANTENNA_input41_A DIODE ) ( input41 A ) + USE SIGNAL
+ ROUTED met1 ( 166290 162010 ) ( 167210 * )
NEW met2 ( 167210 162010 ) ( * 162180 )
NEW met2 ( 167210 162180 ) ( 167670 * )
NEW met2 ( 167670 162180 ) ( * 176460 0 )
NEW met1 ( 166750 159970 ) ( 167210 * )
NEW met2 ( 167210 159970 ) ( * 162010 )
NEW li1 ( 166290 162010 ) L1M1_PR_MR
NEW met1 ( 167210 162010 ) M1M2_PR
NEW li1 ( 166750 159970 ) L1M1_PR_MR
NEW met1 ( 167210 159970 ) M1M2_PR ;
- io_dbus_wdata[27] ( PIN io_dbus_wdata[27] ) ( ANTENNA_input42_A DIODE ) ( input42 A ) + USE SIGNAL
+ ROUTED met2 ( 54050 165410 ) ( * 176460 )
NEW met2 ( 54050 176460 ) ( 54970 * 0 )
NEW met1 ( 54050 164390 ) ( 55430 * )
NEW met2 ( 54050 164390 ) ( * 165410 )
NEW li1 ( 54050 165410 ) L1M1_PR_MR
NEW met1 ( 54050 165410 ) M1M2_PR
NEW li1 ( 55430 164390 ) L1M1_PR_MR
NEW met1 ( 54050 164390 ) M1M2_PR
NEW met1 ( 54050 165410 ) RECT ( -355 -70 0 70 ) ;
- io_dbus_wdata[28] ( PIN io_dbus_wdata[28] ) ( ANTENNA_input43_A DIODE ) ( input43 A ) + USE SIGNAL
+ ROUTED met1 ( 7130 12410 ) ( 11270 * )
NEW met2 ( 7130 11900 ) ( * 12410 )
NEW met2 ( 6670 11900 ) ( 7130 * )
NEW met2 ( 6670 3740 0 ) ( * 11900 )
NEW met1 ( 13110 14450 ) ( 15410 * )
NEW met2 ( 13110 12410 ) ( * 14450 )
NEW met1 ( 11270 12410 ) ( 13110 * )
NEW li1 ( 11270 12410 ) L1M1_PR_MR
NEW met1 ( 7130 12410 ) M1M2_PR
NEW li1 ( 15410 14450 ) L1M1_PR_MR
NEW met1 ( 13110 14450 ) M1M2_PR
NEW met1 ( 13110 12410 ) M1M2_PR ;
- io_dbus_wdata[29] ( PIN io_dbus_wdata[29] ) ( ANTENNA_input44_A DIODE ) ( input44 A ) + USE SIGNAL
+ ROUTED met1 ( 13110 11730 ) ( 14490 * )
NEW met2 ( 14490 10540 ) ( * 11730 )
NEW met3 ( 3220 10540 0 ) ( 14490 * )
NEW met1 ( 14490 14110 ) ( 17250 * )
NEW met2 ( 14490 11730 ) ( * 14110 )
NEW li1 ( 13110 11730 ) L1M1_PR_MR
NEW met1 ( 14490 11730 ) M1M2_PR
NEW met2 ( 14490 10540 ) M2M3_PR
NEW li1 ( 17250 14110 ) L1M1_PR_MR
NEW met1 ( 14490 14110 ) M1M2_PR ;
- io_dbus_wdata[2] ( PIN io_dbus_wdata[2] ) ( ANTENNA_input45_A DIODE ) ( input45 A ) + USE SIGNAL
+ ROUTED met2 ( 132710 168130 ) ( * 176460 )
NEW met2 ( 132250 176460 0 ) ( 132710 * )
NEW met1 ( 132710 167450 ) ( 135010 * )
NEW met1 ( 132710 167450 ) ( * 168130 )
NEW li1 ( 132710 168130 ) L1M1_PR_MR
NEW met1 ( 132710 168130 ) M1M2_PR
NEW li1 ( 135010 167450 ) L1M1_PR_MR
NEW met1 ( 132710 168130 ) RECT ( -355 -70 0 70 ) ;
- io_dbus_wdata[30] ( PIN io_dbus_wdata[30] ) ( ANTENNA_input46_A DIODE ) ( input46 A ) + USE SIGNAL
+ ROUTED met2 ( 165830 7140 ) ( * 11730 )
NEW met3 ( 165830 7140 ) ( 176180 * 0 )
NEW met2 ( 165830 11730 ) ( * 16830 )
NEW li1 ( 165830 11730 ) L1M1_PR_MR
NEW met1 ( 165830 11730 ) M1M2_PR
NEW met2 ( 165830 7140 ) M2M3_PR
NEW li1 ( 165830 16830 ) L1M1_PR_MR
NEW met1 ( 165830 16830 ) M1M2_PR
NEW met1 ( 165830 11730 ) RECT ( -355 -70 0 70 )
NEW met1 ( 165830 16830 ) RECT ( -355 -70 0 70 ) ;
- io_dbus_wdata[31] ( PIN io_dbus_wdata[31] ) ( ANTENNA_input47_A DIODE ) ( input47 A ) + USE SIGNAL
+ ROUTED met1 ( 66930 14110 ) ( 67850 * )
NEW met2 ( 67850 3740 0 ) ( * 14110 )
NEW met1 ( 67850 15130 ) ( 69230 * )
NEW met2 ( 67850 14110 ) ( * 15130 )
NEW li1 ( 66930 14110 ) L1M1_PR_MR
NEW met1 ( 67850 14110 ) M1M2_PR
NEW li1 ( 69230 15130 ) L1M1_PR_MR
NEW met1 ( 67850 15130 ) M1M2_PR ;
- io_dbus_wdata[3] ( PIN io_dbus_wdata[3] ) ( ANTENNA_input48_A DIODE ) ( input48 A ) + USE SIGNAL
+ ROUTED met1 ( 167670 12410 ) ( 169510 * )
NEW met2 ( 169510 3740 ) ( * 12410 )
NEW met3 ( 169510 3740 ) ( 176180 * 0 )
NEW met1 ( 169050 19550 ) ( 169510 * )
NEW met2 ( 169510 12410 ) ( * 19550 )
NEW li1 ( 167670 12410 ) L1M1_PR_MR
NEW met1 ( 169510 12410 ) M1M2_PR
NEW met2 ( 169510 3740 ) M2M3_PR
NEW li1 ( 169050 19550 ) L1M1_PR_MR
NEW met1 ( 169510 19550 ) M1M2_PR ;
- io_dbus_wdata[4] ( PIN io_dbus_wdata[4] ) ( ANTENNA_input49_A DIODE ) ( input49 A ) + USE SIGNAL
+ ROUTED met1 ( 148350 15130 ) ( 149730 * )
NEW met2 ( 148350 3740 0 ) ( * 15130 )
NEW met1 ( 149730 15130 ) ( 151110 * )
NEW li1 ( 149730 15130 ) L1M1_PR_MR
NEW met1 ( 148350 15130 ) M1M2_PR
NEW li1 ( 151110 15130 ) L1M1_PR_MR ;
- io_dbus_wdata[5] ( PIN io_dbus_wdata[5] ) ( ANTENNA_input50_A DIODE ) ( input50 A ) + USE SIGNAL
+ ROUTED met1 ( 109710 15130 ) ( 111090 * )
NEW met2 ( 109710 3740 0 ) ( * 15130 )
NEW met1 ( 111090 15130 ) ( 112470 * )
NEW li1 ( 111090 15130 ) L1M1_PR_MR
NEW met1 ( 109710 15130 ) M1M2_PR
NEW li1 ( 112470 15130 ) L1M1_PR_MR ;
- io_dbus_wdata[6] ( PIN io_dbus_wdata[6] ) ( ANTENNA_input51_A DIODE ) ( input51 A ) + USE SIGNAL
+ ROUTED met2 ( 171810 98940 ) ( * 99110 )
NEW met3 ( 171810 98940 ) ( 176180 * 0 )
NEW met1 ( 169510 99110 ) ( 171810 * )
NEW li1 ( 171810 99110 ) L1M1_PR_MR
NEW met1 ( 171810 99110 ) M1M2_PR
NEW met2 ( 171810 98940 ) M2M3_PR
NEW li1 ( 169510 99110 ) L1M1_PR_MR
NEW met1 ( 171810 99110 ) RECT ( -355 -70 0 70 ) ;
- io_dbus_wdata[7] ( PIN io_dbus_wdata[7] ) ( ANTENNA_input52_A DIODE ) ( input52 A ) + USE SIGNAL
+ ROUTED met2 ( 8510 143140 ) ( * 145690 )
NEW met3 ( 3220 143140 0 ) ( 8510 * )
NEW met1 ( 8510 145690 ) ( 10810 * )
NEW li1 ( 8510 145690 ) L1M1_PR_MR
NEW met1 ( 8510 145690 ) M1M2_PR
NEW met2 ( 8510 143140 ) M2M3_PR
NEW li1 ( 10810 145690 ) L1M1_PR_MR
NEW met1 ( 8510 145690 ) RECT ( -355 -70 0 70 ) ;
- io_dbus_wdata[8] ( PIN io_dbus_wdata[8] ) ( ANTENNA_input53_A DIODE ) ( input53 A ) + USE SIGNAL
+ ROUTED met2 ( 8510 64940 ) ( * 66130 )
NEW met3 ( 3220 64940 0 ) ( 8510 * )
NEW met1 ( 8510 65790 ) ( 10810 * )
NEW met1 ( 8510 65790 ) ( * 66130 )
NEW li1 ( 8510 66130 ) L1M1_PR_MR
NEW met1 ( 8510 66130 ) M1M2_PR
NEW met2 ( 8510 64940 ) M2M3_PR
NEW li1 ( 10810 65790 ) L1M1_PR_MR
NEW met1 ( 8510 66130 ) RECT ( -355 -70 0 70 ) ;
- io_dbus_wdata[9] ( PIN io_dbus_wdata[9] ) ( ANTENNA_input54_A DIODE ) ( input54 A ) + USE SIGNAL
+ ROUTED met1 ( 106490 167450 ) ( 109250 * )
NEW met2 ( 106490 167450 ) ( * 176460 0 )
NEW met1 ( 109250 167450 ) ( 111550 * )
NEW li1 ( 109250 167450 ) L1M1_PR_MR
NEW met1 ( 106490 167450 ) M1M2_PR
NEW li1 ( 111550 167450 ) L1M1_PR_MR ;
- io_dbus_wr_en ( PIN io_dbus_wr_en ) ( ANTENNA_input55_A DIODE ) ( input55 A ) + USE SIGNAL
+ ROUTED met1 ( 145130 12070 ) ( 147890 * )
NEW met2 ( 145130 3740 0 ) ( * 12070 )
NEW met2 ( 147430 12070 ) ( * 14110 )
NEW li1 ( 147890 12070 ) L1M1_PR_MR
NEW met1 ( 145130 12070 ) M1M2_PR
NEW li1 ( 147430 14110 ) L1M1_PR_MR
NEW met1 ( 147430 14110 ) M1M2_PR
NEW met1 ( 147430 12070 ) M1M2_PR
NEW met1 ( 147430 14110 ) RECT ( -355 -70 0 70 )
NEW met1 ( 147430 12070 ) RECT ( -595 -70 0 70 ) ;
- io_wbm_ack_i ( PIN io_wbm_ack_i ) ( ANTENNA_input56_A DIODE ) ( input56 A ) + USE SIGNAL
+ ROUTED met1 ( 167670 167110 ) ( 168130 * )
NEW met2 ( 168130 167110 ) ( * 177140 )
NEW met2 ( 168130 177140 ) ( 169050 * )
NEW met3 ( 169050 177140 ) ( 176180 * 0 )
NEW met1 ( 164910 159970 ) ( 166290 * )
NEW met2 ( 166290 159970 ) ( * 167110 )
NEW met1 ( 166290 167110 ) ( 167670 * )
NEW li1 ( 167670 167110 ) L1M1_PR_MR
NEW met1 ( 168130 167110 ) M1M2_PR
NEW met2 ( 169050 177140 ) M2M3_PR
NEW li1 ( 164910 159970 ) L1M1_PR_MR
NEW met1 ( 166290 159970 ) M1M2_PR
NEW met1 ( 166290 167110 ) M1M2_PR ;
- io_wbm_data_i[0] ( PIN io_wbm_data_i[0] ) ( ANTENNA_input57_A DIODE ) ( input57 A ) + USE SIGNAL
+ ROUTED met2 ( 68770 11390 ) ( * 12070 )
NEW met1 ( 64630 11390 ) ( 68770 * )
NEW met2 ( 64630 3740 0 ) ( * 11390 )
NEW met1 ( 68770 14110 ) ( 73370 * )
NEW met2 ( 68770 12070 ) ( * 14110 )
NEW li1 ( 68770 12070 ) L1M1_PR_MR
NEW met1 ( 68770 12070 ) M1M2_PR
NEW met1 ( 68770 11390 ) M1M2_PR
NEW met1 ( 64630 11390 ) M1M2_PR
NEW li1 ( 73370 14110 ) L1M1_PR_MR
NEW met1 ( 68770 14110 ) M1M2_PR
NEW met1 ( 68770 12070 ) RECT ( -355 -70 0 70 ) ;
- io_wbm_data_i[10] ( PIN io_wbm_data_i[10] ) ( ANTENNA_input58_A DIODE ) ( input58 A ) + USE SIGNAL
+ ROUTED met2 ( 7130 58140 ) ( * 58310 )
NEW met3 ( 3220 58140 0 ) ( 7130 * )
NEW met2 ( 7130 56610 ) ( * 58140 )
NEW li1 ( 7130 58310 ) L1M1_PR_MR
NEW met1 ( 7130 58310 ) M1M2_PR
NEW met2 ( 7130 58140 ) M2M3_PR
NEW li1 ( 7130 56610 ) L1M1_PR_MR
NEW met1 ( 7130 56610 ) M1M2_PR
NEW met1 ( 7130 58310 ) RECT ( -355 -70 0 70 )
NEW met1 ( 7130 56610 ) RECT ( -355 -70 0 70 ) ;
- io_wbm_data_i[11] ( PIN io_wbm_data_i[11] ) ( ANTENNA_input59_A DIODE ) ( input59 A ) + USE SIGNAL
+ ROUTED met2 ( 171810 153340 ) ( * 153510 )
NEW met3 ( 171810 153340 ) ( 176180 * 0 )
NEW met1 ( 169510 151810 ) ( 171810 * )
NEW met2 ( 171810 151810 ) ( * 153340 )
NEW li1 ( 171810 153510 ) L1M1_PR_MR
NEW met1 ( 171810 153510 ) M1M2_PR
NEW met2 ( 171810 153340 ) M2M3_PR
NEW li1 ( 169510 151810 ) L1M1_PR_MR
NEW met1 ( 171810 151810 ) M1M2_PR
NEW met1 ( 171810 153510 ) RECT ( -355 -70 0 70 ) ;
- io_wbm_data_i[12] ( PIN io_wbm_data_i[12] ) ( ANTENNA_input60_A DIODE ) ( input60 A ) + USE SIGNAL
+ ROUTED met1 ( 230 164390 ) ( 8510 * )
NEW met2 ( 230 164390 ) ( * 176460 0 )
NEW met1 ( 6670 162350 ) ( 15410 * )
NEW met2 ( 6670 162350 ) ( * 164390 )
NEW li1 ( 8510 164390 ) L1M1_PR_MR
NEW met1 ( 230 164390 ) M1M2_PR
NEW li1 ( 15410 162350 ) L1M1_PR_MR
NEW met1 ( 6670 162350 ) M1M2_PR
NEW met1 ( 6670 164390 ) M1M2_PR
NEW met1 ( 6670 164390 ) RECT ( -595 -70 0 70 ) ;
- io_wbm_data_i[13] ( PIN io_wbm_data_i[13] ) ( ANTENNA_input61_A DIODE ) ( input61 A ) + USE SIGNAL
+ ROUTED met2 ( 171810 68340 ) ( * 69190 )
NEW met3 ( 171810 68340 ) ( 176180 * 0 )
NEW met1 ( 169510 71230 ) ( 171810 * )
NEW met2 ( 171810 69190 ) ( * 71230 )
NEW li1 ( 171810 69190 ) L1M1_PR_MR
NEW met1 ( 171810 69190 ) M1M2_PR
NEW met2 ( 171810 68340 ) M2M3_PR
NEW li1 ( 169510 71230 ) L1M1_PR_MR
NEW met1 ( 171810 71230 ) M1M2_PR
NEW met1 ( 171810 69190 ) RECT ( -355 -70 0 70 ) ;
- io_wbm_data_i[14] ( PIN io_wbm_data_i[14] ) ( ANTENNA_input62_A DIODE ) ( input62 A ) + USE SIGNAL
+ ROUTED met1 ( 45310 12070 ) ( 45770 * )
NEW met2 ( 45310 3740 0 ) ( * 12070 )
NEW met1 ( 45310 14110 ) ( 45770 * )
NEW met2 ( 45310 12070 ) ( * 14110 )
NEW li1 ( 45770 12070 ) L1M1_PR_MR
NEW met1 ( 45310 12070 ) M1M2_PR
NEW li1 ( 45770 14110 ) L1M1_PR_MR
NEW met1 ( 45310 14110 ) M1M2_PR ;
- io_wbm_data_i[15] ( PIN io_wbm_data_i[15] ) ( ANTENNA_input63_A DIODE ) ( input63 A ) + USE SIGNAL
+ ROUTED met2 ( 167670 102340 ) ( * 104550 )
NEW met3 ( 167670 102340 ) ( 176180 * 0 )
NEW met1 ( 166290 104550 ) ( 167670 * )
NEW li1 ( 167670 104550 ) L1M1_PR_MR
NEW met1 ( 167670 104550 ) M1M2_PR
NEW met2 ( 167670 102340 ) M2M3_PR
NEW li1 ( 166290 104550 ) L1M1_PR_MR
NEW met1 ( 167670 104550 ) RECT ( -355 -70 0 70 ) ;
- io_wbm_data_i[16] ( PIN io_wbm_data_i[16] ) ( ANTENNA_input64_A DIODE ) ( input64 A ) + USE SIGNAL
+ ROUTED met1 ( 171350 17170 ) ( 177330 * )
NEW met2 ( 177330 3740 0 ) ( * 17170 )
NEW met1 ( 164450 18530 ) ( 172730 * )
NEW met2 ( 172730 17170 ) ( * 18530 )
NEW li1 ( 171350 17170 ) L1M1_PR_MR
NEW met1 ( 177330 17170 ) M1M2_PR
NEW li1 ( 164450 18530 ) L1M1_PR_MR
NEW met1 ( 172730 18530 ) M1M2_PR
NEW met1 ( 172730 17170 ) M1M2_PR
NEW met1 ( 172730 17170 ) RECT ( -595 -70 0 70 ) ;
- io_wbm_data_i[17] ( PIN io_wbm_data_i[17] ) ( ANTENNA_input65_A DIODE ) ( input65 A ) + USE SIGNAL
+ ROUTED met2 ( 23230 167450 ) ( * 176460 )
NEW met2 ( 22770 176460 0 ) ( 23230 * )
NEW met1 ( 22770 165410 ) ( 23230 * )
NEW met2 ( 23230 165410 ) ( * 167450 )
NEW li1 ( 23230 167450 ) L1M1_PR_MR
NEW met1 ( 23230 167450 ) M1M2_PR
NEW li1 ( 22770 165410 ) L1M1_PR_MR
NEW met1 ( 23230 165410 ) M1M2_PR
NEW met1 ( 23230 167450 ) RECT ( -355 -70 0 70 ) ;
- io_wbm_data_i[18] ( PIN io_wbm_data_i[18] ) ( ANTENNA_input66_A DIODE ) ( input66 A ) + USE SIGNAL
+ ROUTED met2 ( 171810 112540 ) ( * 112710 )
NEW met3 ( 171810 112540 ) ( 176180 * 0 )
NEW met1 ( 169970 111010 ) ( 171810 * )
NEW met2 ( 171810 111010 ) ( * 112540 )
NEW li1 ( 171810 112710 ) L1M1_PR_MR
NEW met1 ( 171810 112710 ) M1M2_PR
NEW met2 ( 171810 112540 ) M2M3_PR
NEW li1 ( 169970 111010 ) L1M1_PR_MR
NEW met1 ( 171810 111010 ) M1M2_PR
NEW met1 ( 171810 112710 ) RECT ( -355 -70 0 70 ) ;
- io_wbm_data_i[19] ( PIN io_wbm_data_i[19] ) ( ANTENNA_input67_A DIODE ) ( input67 A ) + USE SIGNAL
+ ROUTED met1 ( 93610 12410 ) ( 96370 * )
NEW met2 ( 93610 3740 0 ) ( * 12410 )
NEW met1 ( 95910 17170 ) ( 98210 * )
NEW met2 ( 95910 12410 ) ( * 17170 )
NEW li1 ( 96370 12410 ) L1M1_PR_MR
NEW met1 ( 93610 12410 ) M1M2_PR
NEW li1 ( 98210 17170 ) L1M1_PR_MR
NEW met1 ( 95910 17170 ) M1M2_PR
NEW met1 ( 95910 12410 ) M1M2_PR
NEW met1 ( 95910 12410 ) RECT ( -595 -70 0 70 ) ;
- io_wbm_data_i[1] ( PIN io_wbm_data_i[1] ) ( ANTENNA_input68_A DIODE ) ( input68 A ) + USE SIGNAL
+ ROUTED met1 ( 38870 15130 ) ( 39330 * )
NEW met2 ( 38870 3740 0 ) ( * 15130 )
NEW met1 ( 39330 14790 ) ( 41630 * )
NEW met1 ( 39330 14790 ) ( * 15130 )
NEW li1 ( 39330 15130 ) L1M1_PR_MR
NEW met1 ( 38870 15130 ) M1M2_PR
NEW li1 ( 41630 14790 ) L1M1_PR_MR ;
- io_wbm_data_i[20] ( PIN io_wbm_data_i[20] ) ( ANTENNA_input69_A DIODE ) ( input69 A ) + USE SIGNAL
+ ROUTED met1 ( 72450 167450 ) ( 73370 * )
NEW met2 ( 72450 167450 ) ( * 176460 )
NEW met2 ( 71070 176460 0 ) ( 72450 * )
NEW met1 ( 73370 167450 ) ( 75670 * )
NEW li1 ( 73370 167450 ) L1M1_PR_MR
NEW met1 ( 72450 167450 ) M1M2_PR
NEW li1 ( 75670 167450 ) L1M1_PR_MR ;
- io_wbm_data_i[21] ( PIN io_wbm_data_i[21] ) ( ANTENNA_input70_A DIODE ) ( input70 A ) + USE SIGNAL
+ ROUTED met1 ( 132250 11390 ) ( 132710 * )
NEW met2 ( 132250 3740 0 ) ( * 11390 )
NEW met1 ( 132710 12070 ) ( 135010 * )
NEW met1 ( 132710 11390 ) ( * 12070 )
NEW li1 ( 132710 11390 ) L1M1_PR_MR
NEW met1 ( 132250 11390 ) M1M2_PR
NEW li1 ( 135010 12070 ) L1M1_PR_MR ;
- io_wbm_data_i[22] ( PIN io_wbm_data_i[22] ) ( ANTENNA_input71_A DIODE ) ( input71 A ) + USE SIGNAL
+ ROUTED met2 ( 167670 3740 0 ) ( * 14790 )
NEW met1 ( 158930 14790 ) ( 167670 * )
NEW li1 ( 167670 14790 ) L1M1_PR_MR
NEW met1 ( 167670 14790 ) M1M2_PR
NEW li1 ( 158930 14790 ) L1M1_PR_MR
NEW met1 ( 167670 14790 ) RECT ( -355 -70 0 70 ) ;
- io_wbm_data_i[23] ( PIN io_wbm_data_i[23] ) ( ANTENNA_input72_A DIODE ) ( input72 A ) + USE SIGNAL
+ ROUTED met1 ( 165830 167450 ) ( 172270 * )
NEW met2 ( 172270 167450 ) ( 172730 * )
NEW met2 ( 172730 167450 ) ( * 176460 )
NEW met2 ( 172730 176460 ) ( 174110 * 0 )
NEW met1 ( 162150 161670 ) ( 166750 * )
NEW met2 ( 166750 161670 ) ( * 167450 )
NEW li1 ( 165830 167450 ) L1M1_PR_MR
NEW met1 ( 172270 167450 ) M1M2_PR
NEW li1 ( 162150 161670 ) L1M1_PR_MR
NEW met1 ( 166750 161670 ) M1M2_PR
NEW met1 ( 166750 167450 ) M1M2_PR
NEW met1 ( 166750 167450 ) RECT ( -595 -70 0 70 ) ;
- io_wbm_data_i[24] ( PIN io_wbm_data_i[24] ) ( ANTENNA_input73_A DIODE ) ( input73 A ) + USE SIGNAL
+ ROUTED met2 ( 171810 71740 ) ( * 71910 )
NEW met3 ( 171810 71740 ) ( 176180 * 0 )
NEW met1 ( 167670 71910 ) ( 171810 * )
NEW li1 ( 171810 71910 ) L1M1_PR_MR
NEW met1 ( 171810 71910 ) M1M2_PR
NEW met2 ( 171810 71740 ) M2M3_PR
NEW li1 ( 167670 71910 ) L1M1_PR_MR
NEW met1 ( 171810 71910 ) RECT ( -355 -70 0 70 ) ;
- io_wbm_data_i[25] ( PIN io_wbm_data_i[25] ) ( ANTENNA_input74_A DIODE ) ( input74 A ) + USE SIGNAL
+ ROUTED met2 ( 73370 165410 ) ( * 176460 )
NEW met2 ( 73370 176460 ) ( 74290 * 0 )
NEW met1 ( 73370 164730 ) ( 74750 * )
NEW met1 ( 73370 164730 ) ( * 165410 )
NEW li1 ( 73370 165410 ) L1M1_PR_MR
NEW met1 ( 73370 165410 ) M1M2_PR
NEW li1 ( 74750 164730 ) L1M1_PR_MR
NEW met1 ( 73370 165410 ) RECT ( -355 -70 0 70 ) ;
- io_wbm_data_i[26] ( PIN io_wbm_data_i[26] ) ( ANTENNA_input75_A DIODE ) ( input75 A ) + USE SIGNAL
+ ROUTED met2 ( 103270 167450 ) ( * 176460 0 )
NEW met1 ( 103270 165410 ) ( 107870 * )
NEW met2 ( 103270 165410 ) ( * 167450 )
NEW li1 ( 103270 167450 ) L1M1_PR_MR
NEW met1 ( 103270 167450 ) M1M2_PR
NEW li1 ( 107870 165410 ) L1M1_PR_MR
NEW met1 ( 103270 165410 ) M1M2_PR
NEW met1 ( 103270 167450 ) RECT ( -355 -70 0 70 ) ;
- io_wbm_data_i[27] ( PIN io_wbm_data_i[27] ) ( ANTENNA_input76_A DIODE ) ( input76 A ) + USE SIGNAL
+ ROUTED met2 ( 97290 167450 ) ( * 176460 )
NEW met2 ( 96830 176460 0 ) ( 97290 * )
NEW met1 ( 94530 167450 ) ( 97290 * )
NEW li1 ( 97290 167450 ) L1M1_PR_MR
NEW met1 ( 97290 167450 ) M1M2_PR
NEW li1 ( 94530 167450 ) L1M1_PR_MR
NEW met1 ( 97290 167450 ) RECT ( -355 -70 0 70 ) ;
- io_wbm_data_i[28] ( PIN io_wbm_data_i[28] ) ( ANTENNA_input77_A DIODE ) ( input77 A ) + USE SIGNAL
+ ROUTED met2 ( 7130 98940 ) ( * 99110 )
NEW met3 ( 3220 98940 0 ) ( 7130 * )
NEW met2 ( 7130 99110 ) ( * 101150 )
NEW li1 ( 7130 99110 ) L1M1_PR_MR
NEW met1 ( 7130 99110 ) M1M2_PR
NEW met2 ( 7130 98940 ) M2M3_PR
NEW li1 ( 7130 101150 ) L1M1_PR_MR
NEW met1 ( 7130 101150 ) M1M2_PR
NEW met1 ( 7130 99110 ) RECT ( -355 -70 0 70 )
NEW met1 ( 7130 101150 ) RECT ( -355 -70 0 70 ) ;
- io_wbm_data_i[29] ( PIN io_wbm_data_i[29] ) ( ANTENNA_input78_A DIODE ) ( input78 A ) + USE SIGNAL
+ ROUTED met1 ( 29210 14110 ) ( 29670 * )
NEW met2 ( 29210 3740 0 ) ( * 14110 )
NEW met1 ( 29210 12070 ) ( 31970 * )
NEW li1 ( 29670 14110 ) L1M1_PR_MR
NEW met1 ( 29210 14110 ) M1M2_PR
NEW li1 ( 31970 12070 ) L1M1_PR_MR
NEW met1 ( 29210 12070 ) M1M2_PR
NEW met2 ( 29210 12070 ) RECT ( -70 -485 70 0 ) ;
- io_wbm_data_i[2] ( PIN io_wbm_data_i[2] ) ( ANTENNA_input79_A DIODE ) ( input79 A ) + USE SIGNAL
+ ROUTED met2 ( 171350 30940 ) ( * 31450 )
NEW met3 ( 171350 30940 ) ( 176180 * 0 )
NEW met1 ( 169050 31450 ) ( 171350 * )
NEW li1 ( 171350 31450 ) L1M1_PR_MR
NEW met1 ( 171350 31450 ) M1M2_PR
NEW met2 ( 171350 30940 ) M2M3_PR
NEW li1 ( 169050 31450 ) L1M1_PR_MR
NEW met1 ( 171350 31450 ) RECT ( -355 -70 0 70 ) ;
- io_wbm_data_i[30] ( PIN io_wbm_data_i[30] ) ( ANTENNA_input80_A DIODE ) ( input80 A ) + USE SIGNAL
+ ROUTED met1 ( 74290 12070 ) ( 75670 * )
NEW met2 ( 74290 3740 0 ) ( * 12070 )
NEW met1 ( 75670 12070 ) ( 77050 * )
NEW li1 ( 75670 12070 ) L1M1_PR_MR
NEW met1 ( 74290 12070 ) M1M2_PR
NEW li1 ( 77050 12070 ) L1M1_PR_MR ;
- io_wbm_data_i[31] ( PIN io_wbm_data_i[31] ) ( ANTENNA_input81_A DIODE ) ( input81 A ) + USE SIGNAL
+ ROUTED met2 ( 171810 149940 ) ( * 151130 )
NEW met3 ( 171810 149940 ) ( 176180 * 0 )
NEW met1 ( 167670 151130 ) ( 171810 * )
NEW li1 ( 171810 151130 ) L1M1_PR_MR
NEW met1 ( 171810 151130 ) M1M2_PR
NEW met2 ( 171810 149940 ) M2M3_PR
NEW li1 ( 167670 151130 ) L1M1_PR_MR
NEW met1 ( 171810 151130 ) RECT ( -355 -70 0 70 ) ;
- io_wbm_data_i[3] ( PIN io_wbm_data_i[3] ) ( ANTENNA_input82_A DIODE ) ( input82 A ) + USE SIGNAL
+ ROUTED met2 ( 39790 167450 ) ( * 176460 )
NEW met2 ( 38870 176460 0 ) ( 39790 * )
NEW met1 ( 39790 167450 ) ( 42090 * )
NEW li1 ( 39790 167450 ) L1M1_PR_MR
NEW met1 ( 39790 167450 ) M1M2_PR
NEW li1 ( 42090 167450 ) L1M1_PR_MR
NEW met1 ( 39790 167450 ) RECT ( -355 -70 0 70 ) ;
- io_wbm_data_i[4] ( PIN io_wbm_data_i[4] ) ( ANTENNA_input83_A DIODE ) ( input83 A ) + USE SIGNAL
+ ROUTED met2 ( 115230 168130 ) ( * 176460 )
NEW met2 ( 115230 176460 ) ( 116150 * 0 )
NEW met1 ( 115230 167450 ) ( 117530 * )
NEW met1 ( 115230 167450 ) ( * 168130 )
NEW li1 ( 115230 168130 ) L1M1_PR_MR
NEW met1 ( 115230 168130 ) M1M2_PR
NEW li1 ( 117530 167450 ) L1M1_PR_MR
NEW met1 ( 115230 168130 ) RECT ( -355 -70 0 70 ) ;
- io_wbm_data_i[5] ( PIN io_wbm_data_i[5] ) ( ANTENNA_input84_A DIODE ) ( input84 A ) + USE SIGNAL
+ ROUTED met1 ( 10810 164390 ) ( 11270 * )
NEW met2 ( 10810 164390 ) ( * 170340 )
NEW met3 ( 3220 170340 0 ) ( 10810 * )
NEW met1 ( 13110 159630 ) ( 14030 * )
NEW met2 ( 14030 159630 ) ( * 164390 )
NEW met1 ( 11270 164390 ) ( 14030 * )
NEW li1 ( 11270 164390 ) L1M1_PR_MR
NEW met1 ( 10810 164390 ) M1M2_PR
NEW met2 ( 10810 170340 ) M2M3_PR
NEW li1 ( 13110 159630 ) L1M1_PR_MR
NEW met1 ( 14030 159630 ) M1M2_PR
NEW met1 ( 14030 164390 ) M1M2_PR ;
- io_wbm_data_i[6] ( PIN io_wbm_data_i[6] ) ( ANTENNA_input85_A DIODE ) ( input85 A ) + USE SIGNAL
+ ROUTED met2 ( 171810 47940 ) ( * 50150 )
NEW met3 ( 171810 47940 ) ( 176180 * 0 )
NEW met1 ( 169510 50150 ) ( 171810 * )
NEW li1 ( 171810 50150 ) L1M1_PR_MR
NEW met1 ( 171810 50150 ) M1M2_PR
NEW met2 ( 171810 47940 ) M2M3_PR
NEW li1 ( 169510 50150 ) L1M1_PR_MR
NEW met1 ( 171810 50150 ) RECT ( -355 -70 0 70 ) ;
- io_wbm_data_i[7] ( PIN io_wbm_data_i[7] ) ( ANTENNA_input86_A DIODE ) ( input86 A ) + USE SIGNAL
+ ROUTED met1 ( 11270 14790 ) ( 14950 * )
NEW met2 ( 14950 7140 ) ( * 14790 )
NEW met3 ( 3220 7140 0 ) ( 14950 * )
NEW met2 ( 14950 14790 ) ( * 16830 )
NEW li1 ( 11270 14790 ) L1M1_PR_MR
NEW met1 ( 14950 14790 ) M1M2_PR
NEW met2 ( 14950 7140 ) M2M3_PR
NEW li1 ( 14950 16830 ) L1M1_PR_MR
NEW met1 ( 14950 16830 ) M1M2_PR
NEW met1 ( 14950 16830 ) RECT ( -355 -70 0 70 ) ;
- io_wbm_data_i[8] ( PIN io_wbm_data_i[8] ) ( ANTENNA_input87_A DIODE ) ( input87 A ) + USE SIGNAL
+ ROUTED met2 ( 42550 165410 ) ( * 176460 )
NEW met2 ( 42090 176460 0 ) ( 42550 * )
NEW met1 ( 42550 167450 ) ( 46230 * )
NEW li1 ( 42550 165410 ) L1M1_PR_MR
NEW met1 ( 42550 165410 ) M1M2_PR
NEW li1 ( 46230 167450 ) L1M1_PR_MR
NEW met1 ( 42550 167450 ) M1M2_PR
NEW met1 ( 42550 165410 ) RECT ( -355 -70 0 70 )
NEW met2 ( 42550 167450 ) RECT ( -70 -485 70 0 ) ;
- io_wbm_data_i[9] ( PIN io_wbm_data_i[9] ) ( ANTENNA_input88_A DIODE ) ( input88 A ) + USE SIGNAL
+ ROUTED met2 ( 171810 78540 ) ( * 80070 )
NEW met3 ( 171810 78540 ) ( 176180 * 0 )
NEW met2 ( 171810 80070 ) ( * 82110 )
NEW li1 ( 171810 80070 ) L1M1_PR_MR
NEW met1 ( 171810 80070 ) M1M2_PR
NEW met2 ( 171810 78540 ) M2M3_PR
NEW li1 ( 171810 82110 ) L1M1_PR_MR
NEW met1 ( 171810 82110 ) M1M2_PR
NEW met1 ( 171810 80070 ) RECT ( -355 -70 0 70 )
NEW met1 ( 171810 82110 ) RECT ( -355 -70 0 70 ) ;
- io_wbm_m2s_addr[0] ( PIN io_wbm_m2s_addr[0] ) ( output122 X ) + USE SIGNAL
+ ROUTED met2 ( 163070 10540 ) ( * 11390 )
NEW met3 ( 163070 10540 ) ( 176180 * 0 )
NEW li1 ( 163070 11390 ) L1M1_PR_MR
NEW met1 ( 163070 11390 ) M1M2_PR
NEW met2 ( 163070 10540 ) M2M3_PR
NEW met1 ( 163070 11390 ) RECT ( -355 -70 0 70 ) ;
- io_wbm_m2s_addr[10] ( PIN io_wbm_m2s_addr[10] ) ( output123 X ) + USE SIGNAL
+ ROUTED met2 ( 96830 3740 0 ) ( * 14110 )
NEW met1 ( 96830 14110 ) ( 97750 * )
NEW met1 ( 96830 14110 ) M1M2_PR
NEW li1 ( 97750 14110 ) L1M1_PR_MR ;
- io_wbm_m2s_addr[11] ( PIN io_wbm_m2s_addr[11] ) ( output124 X ) + USE SIGNAL
+ ROUTED met2 ( 171350 143140 ) ( * 145010 )
NEW met3 ( 171350 143140 ) ( 176180 * 0 )
NEW li1 ( 171350 145010 ) L1M1_PR_MR
NEW met1 ( 171350 145010 ) M1M2_PR
NEW met2 ( 171350 143140 ) M2M3_PR
NEW met1 ( 171350 145010 ) RECT ( -355 -70 0 70 ) ;
- io_wbm_m2s_addr[12] ( PIN io_wbm_m2s_addr[12] ) ( output125 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 24140 0 ) ( 7590 * )
NEW met2 ( 7590 24140 ) ( * 24990 )
NEW met2 ( 7590 24140 ) M2M3_PR
NEW li1 ( 7590 24990 ) L1M1_PR_MR
NEW met1 ( 7590 24990 ) M1M2_PR
NEW met1 ( 7590 24990 ) RECT ( -355 -70 0 70 ) ;
- io_wbm_m2s_addr[13] ( PIN io_wbm_m2s_addr[13] ) ( output126 X ) + USE SIGNAL
+ ROUTED met2 ( 42090 3740 0 ) ( * 11390 )
NEW met1 ( 42090 11390 ) ( 42550 * )
NEW met1 ( 42090 11390 ) M1M2_PR
NEW li1 ( 42550 11390 ) L1M1_PR_MR ;
- io_wbm_m2s_addr[14] ( PIN io_wbm_m2s_addr[14] ) ( output127 X ) + USE SIGNAL
+ ROUTED met2 ( 171350 61540 ) ( * 63070 )
NEW met3 ( 171350 61540 ) ( 176180 * 0 )
NEW li1 ( 171350 63070 ) L1M1_PR_MR
NEW met1 ( 171350 63070 ) M1M2_PR
NEW met2 ( 171350 61540 ) M2M3_PR
NEW met1 ( 171350 63070 ) RECT ( -355 -70 0 70 ) ;
- io_wbm_m2s_addr[15] ( PIN io_wbm_m2s_addr[15] ) ( output128 X ) + USE SIGNAL
+ ROUTED met2 ( 119370 3740 0 ) ( * 11390 )
NEW met1 ( 119370 11390 ) ( 123050 * )
NEW met1 ( 119370 11390 ) M1M2_PR
NEW li1 ( 123050 11390 ) L1M1_PR_MR ;
- io_wbm_m2s_addr[1] ( PIN io_wbm_m2s_addr[1] ) ( output129 X ) + USE SIGNAL
+ ROUTED met2 ( 171350 13940 ) ( * 19550 )
NEW met3 ( 171350 13940 ) ( 176180 * 0 )
NEW li1 ( 171350 19550 ) L1M1_PR_MR
NEW met1 ( 171350 19550 ) M1M2_PR
NEW met2 ( 171350 13940 ) M2M3_PR
NEW met1 ( 171350 19550 ) RECT ( -355 -70 0 70 ) ;
- io_wbm_m2s_addr[2] ( PIN io_wbm_m2s_addr[2] ) ( output130 X ) + USE SIGNAL
+ ROUTED met1 ( 6670 165070 ) ( 15870 * )
NEW met2 ( 6670 165070 ) ( * 176460 0 )
NEW li1 ( 15870 165070 ) L1M1_PR_MR
NEW met1 ( 6670 165070 ) M1M2_PR ;
- io_wbm_m2s_addr[3] ( PIN io_wbm_m2s_addr[3] ) ( output131 X ) + USE SIGNAL
+ ROUTED met2 ( 151570 3740 0 ) ( * 11390 )
NEW met1 ( 151570 11390 ) ( 153870 * )
NEW met1 ( 151570 11390 ) M1M2_PR
NEW li1 ( 153870 11390 ) L1M1_PR_MR ;
- io_wbm_m2s_addr[4] ( PIN io_wbm_m2s_addr[4] ) ( output132 X ) + USE SIGNAL
+ ROUTED met2 ( 19550 3740 0 ) ( * 14110 )
NEW met1 ( 19550 14110 ) ( 20470 * )
NEW met1 ( 19550 14110 ) M1M2_PR
NEW li1 ( 20470 14110 ) L1M1_PR_MR ;
- io_wbm_m2s_addr[5] ( PIN io_wbm_m2s_addr[5] ) ( output133 X ) + USE SIGNAL
+ ROUTED met2 ( 71070 3740 0 ) ( * 11390 )
NEW met1 ( 71070 11390 ) ( 72450 * )
NEW met1 ( 71070 11390 ) M1M2_PR
NEW li1 ( 72450 11390 ) L1M1_PR_MR ;
- io_wbm_m2s_addr[6] ( PIN io_wbm_m2s_addr[6] ) ( output134 X ) + USE SIGNAL
+ ROUTED met2 ( 171350 37740 ) ( * 38590 )
NEW met3 ( 171350 37740 ) ( 176180 * 0 )
NEW li1 ( 171350 38590 ) L1M1_PR_MR
NEW met1 ( 171350 38590 ) M1M2_PR
NEW met2 ( 171350 37740 ) M2M3_PR
NEW met1 ( 171350 38590 ) RECT ( -355 -70 0 70 ) ;
- io_wbm_m2s_addr[7] ( PIN io_wbm_m2s_addr[7] ) ( output135 X ) + USE SIGNAL
+ ROUTED met2 ( 165830 165410 ) ( * 166940 )
NEW met3 ( 165830 166940 ) ( 176180 * 0 )
NEW li1 ( 165830 165410 ) L1M1_PR_MR
NEW met1 ( 165830 165410 ) M1M2_PR
NEW met2 ( 165830 166940 ) M2M3_PR
NEW met1 ( 165830 165410 ) RECT ( -355 -70 0 70 ) ;
- io_wbm_m2s_addr[8] ( PIN io_wbm_m2s_addr[8] ) ( output136 X ) + USE SIGNAL
+ ROUTED met2 ( 171350 115940 ) ( * 116110 )
NEW met3 ( 171350 115940 ) ( 176180 * 0 )
NEW li1 ( 171350 116110 ) L1M1_PR_MR
NEW met1 ( 171350 116110 ) M1M2_PR
NEW met2 ( 171350 115940 ) M2M3_PR
NEW met1 ( 171350 116110 ) RECT ( -355 -70 0 70 ) ;
- io_wbm_m2s_addr[9] ( PIN io_wbm_m2s_addr[9] ) ( output137 X ) + USE SIGNAL
+ ROUTED met2 ( 161230 3740 0 ) ( * 14110 )
NEW met1 ( 161230 14110 ) ( 162610 * )
NEW met1 ( 161230 14110 ) M1M2_PR
NEW li1 ( 162610 14110 ) L1M1_PR_MR ;
- io_wbm_m2s_data[0] ( PIN io_wbm_m2s_data[0] ) ( output138 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 78540 0 ) ( 7590 * )
NEW met2 ( 7590 78540 ) ( * 79390 )
NEW met2 ( 7590 78540 ) M2M3_PR
NEW li1 ( 7590 79390 ) L1M1_PR_MR
NEW met1 ( 7590 79390 ) M1M2_PR
NEW met1 ( 7590 79390 ) RECT ( -355 -70 0 70 ) ;
- io_wbm_m2s_data[10] ( PIN io_wbm_m2s_data[10] ) ( output139 X ) + USE SIGNAL
+ ROUTED met2 ( 171350 64940 ) ( * 65790 )
NEW met3 ( 171350 64940 ) ( 176180 * 0 )
NEW li1 ( 171350 65790 ) L1M1_PR_MR
NEW met1 ( 171350 65790 ) M1M2_PR
NEW met2 ( 171350 64940 ) M2M3_PR
NEW met1 ( 171350 65790 ) RECT ( -355 -70 0 70 ) ;
- io_wbm_m2s_data[11] ( PIN io_wbm_m2s_data[11] ) ( output140 X ) + USE SIGNAL
+ ROUTED met2 ( 3450 3740 0 ) ( * 16830 )
NEW met1 ( 3450 16830 ) ( 7590 * )
NEW met1 ( 3450 16830 ) M1M2_PR
NEW li1 ( 7590 16830 ) L1M1_PR_MR ;
- io_wbm_m2s_data[12] ( PIN io_wbm_m2s_data[12] ) ( output141 X ) + USE SIGNAL
+ ROUTED met2 ( 171350 125630 ) ( * 126140 )
NEW met3 ( 171350 126140 ) ( 176180 * 0 )
NEW li1 ( 171350 125630 ) L1M1_PR_MR
NEW met1 ( 171350 125630 ) M1M2_PR
NEW met2 ( 171350 126140 ) M2M3_PR
NEW met1 ( 171350 125630 ) RECT ( -355 -70 0 70 ) ;
- io_wbm_m2s_data[13] ( PIN io_wbm_m2s_data[13] ) ( output142 X ) + USE SIGNAL
+ ROUTED met1 ( 171350 159970 ) ( 177330 * )
NEW met2 ( 177330 159970 ) ( * 176460 0 )
NEW li1 ( 171350 159970 ) L1M1_PR_MR
NEW met1 ( 177330 159970 ) M1M2_PR ;
- io_wbm_m2s_data[14] ( PIN io_wbm_m2s_data[14] ) ( output143 X ) + USE SIGNAL
+ ROUTED met2 ( 174110 3740 0 ) ( * 18190 )
NEW met1 ( 168590 18190 ) ( 174110 * )
NEW met1 ( 174110 18190 ) M1M2_PR
NEW li1 ( 168590 18190 ) L1M1_PR_MR ;
- io_wbm_m2s_data[15] ( PIN io_wbm_m2s_data[15] ) ( output144 X ) + USE SIGNAL
+ ROUTED met1 ( 168590 162690 ) ( 170890 * )
NEW met2 ( 170890 162690 ) ( * 176460 0 )
NEW li1 ( 168590 162690 ) L1M1_PR_MR
NEW met1 ( 170890 162690 ) M1M2_PR ;
- io_wbm_m2s_data[16] ( PIN io_wbm_m2s_data[16] ) ( output145 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 112540 0 ) ( 7590 * )
NEW met2 ( 7590 112370 ) ( * 112540 )
NEW met2 ( 7590 112540 ) M2M3_PR
NEW li1 ( 7590 112370 ) L1M1_PR_MR
NEW met1 ( 7590 112370 ) M1M2_PR
NEW met1 ( 7590 112370 ) RECT ( -355 -70 0 70 ) ;
- io_wbm_m2s_data[17] ( PIN io_wbm_m2s_data[17] ) ( output146 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 119340 0 ) ( 7590 * )
NEW met2 ( 7590 119340 ) ( * 120190 )
NEW met2 ( 7590 119340 ) M2M3_PR
NEW li1 ( 7590 120190 ) L1M1_PR_MR
NEW met1 ( 7590 120190 ) M1M2_PR
NEW met1 ( 7590 120190 ) RECT ( -355 -70 0 70 ) ;
- io_wbm_m2s_data[18] ( PIN io_wbm_m2s_data[18] ) ( output147 X ) + USE SIGNAL
+ ROUTED met2 ( 106490 3740 0 ) ( * 11390 )
NEW met1 ( 106490 11390 ) ( 109710 * )
NEW met1 ( 106490 11390 ) M1M2_PR
NEW li1 ( 109710 11390 ) L1M1_PR_MR ;
- io_wbm_m2s_data[19] ( PIN io_wbm_m2s_data[19] ) ( output148 X ) + USE SIGNAL
+ ROUTED met2 ( 230 3740 0 ) ( * 18190 )
NEW met1 ( 230 18190 ) ( 10810 * )
NEW met1 ( 230 18190 ) M1M2_PR
NEW li1 ( 10810 18190 ) L1M1_PR_MR ;
- io_wbm_m2s_data[1] ( PIN io_wbm_m2s_data[1] ) ( output149 X ) + USE SIGNAL
+ ROUTED met2 ( 125810 3740 0 ) ( * 11390 )
NEW met1 ( 125810 11390 ) ( 127190 * )
NEW met1 ( 125810 11390 ) M1M2_PR
NEW li1 ( 127190 11390 ) L1M1_PR_MR ;
- io_wbm_m2s_data[20] ( PIN io_wbm_m2s_data[20] ) ( output150 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 139740 0 ) ( 7590 * )
NEW met2 ( 7590 139570 ) ( * 139740 )
NEW met2 ( 7590 139740 ) M2M3_PR
NEW li1 ( 7590 139570 ) L1M1_PR_MR
NEW met1 ( 7590 139570 ) M1M2_PR
NEW met1 ( 7590 139570 ) RECT ( -355 -70 0 70 ) ;
- io_wbm_m2s_data[21] ( PIN io_wbm_m2s_data[21] ) ( output151 X ) + USE SIGNAL
+ ROUTED met1 ( 19550 168130 ) ( 20930 * )
NEW met2 ( 19550 168130 ) ( * 176460 0 )
NEW li1 ( 20930 168130 ) L1M1_PR_MR
NEW met1 ( 19550 168130 ) M1M2_PR ;
- io_wbm_m2s_data[22] ( PIN io_wbm_m2s_data[22] ) ( output152 X ) + USE SIGNAL
+ ROUTED met1 ( 83950 168130 ) ( 84870 * )
NEW met2 ( 83950 168130 ) ( * 176460 0 )
NEW li1 ( 84870 168130 ) L1M1_PR_MR
NEW met1 ( 83950 168130 ) M1M2_PR ;
- io_wbm_m2s_data[23] ( PIN io_wbm_m2s_data[23] ) ( output153 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 105740 0 ) ( 7590 * )
NEW met2 ( 7590 105740 ) ( * 106590 )
NEW met2 ( 7590 105740 ) M2M3_PR
NEW li1 ( 7590 106590 ) L1M1_PR_MR
NEW met1 ( 7590 106590 ) M1M2_PR
NEW met1 ( 7590 106590 ) RECT ( -355 -70 0 70 ) ;
- io_wbm_m2s_data[24] ( PIN io_wbm_m2s_data[24] ) ( output154 X ) + USE SIGNAL
+ ROUTED met2 ( 32430 3740 0 ) ( * 14110 )
NEW met1 ( 32430 14110 ) ( 33350 * )
NEW met1 ( 32430 14110 ) M1M2_PR
NEW li1 ( 33350 14110 ) L1M1_PR_MR ;
- io_wbm_m2s_data[25] ( PIN io_wbm_m2s_data[25] ) ( output155 X ) + USE SIGNAL
+ ROUTED met2 ( 9890 3740 0 ) ( * 14110 )
NEW met1 ( 9890 14110 ) ( 13570 * )
NEW met1 ( 9890 14110 ) M1M2_PR
NEW li1 ( 13570 14110 ) L1M1_PR_MR ;
- io_wbm_m2s_data[26] ( PIN io_wbm_m2s_data[26] ) ( output156 X ) + USE SIGNAL
+ ROUTED met2 ( 123510 165410 ) ( * 176460 )
NEW met2 ( 122590 176460 0 ) ( 123510 * )
NEW li1 ( 123510 165410 ) L1M1_PR_MR
NEW met1 ( 123510 165410 ) M1M2_PR
NEW met1 ( 123510 165410 ) RECT ( -355 -70 0 70 ) ;
- io_wbm_m2s_data[27] ( PIN io_wbm_m2s_data[27] ) ( output157 X ) + USE SIGNAL
+ ROUTED met2 ( 82110 165410 ) ( * 176460 )
NEW met2 ( 80730 176460 0 ) ( 82110 * )
NEW li1 ( 82110 165410 ) L1M1_PR_MR
NEW met1 ( 82110 165410 ) M1M2_PR
NEW met1 ( 82110 165410 ) RECT ( -355 -70 0 70 ) ;
- io_wbm_m2s_data[28] ( PIN io_wbm_m2s_data[28] ) ( output158 X ) + USE SIGNAL
+ ROUTED met2 ( 87170 3740 0 ) ( * 11390 )
NEW met1 ( 87170 11390 ) ( 88550 * )
NEW met1 ( 87170 11390 ) M1M2_PR
NEW li1 ( 88550 11390 ) L1M1_PR_MR ;
- io_wbm_m2s_data[29] ( PIN io_wbm_m2s_data[29] ) ( output159 X ) + USE SIGNAL
+ ROUTED met2 ( 145590 168130 ) ( * 176460 )
NEW met2 ( 145130 176460 0 ) ( 145590 * )
NEW li1 ( 145590 168130 ) L1M1_PR_MR
NEW met1 ( 145590 168130 ) M1M2_PR
NEW met1 ( 145590 168130 ) RECT ( -355 -70 0 70 ) ;
- io_wbm_m2s_data[2] ( PIN io_wbm_m2s_data[2] ) ( output160 X ) + USE SIGNAL
+ ROUTED met3 ( 171350 34340 ) ( 176180 * 0 )
NEW met2 ( 171350 34340 ) ( * 35870 )
NEW met2 ( 171350 34340 ) M2M3_PR
NEW li1 ( 171350 35870 ) L1M1_PR_MR
NEW met1 ( 171350 35870 ) M1M2_PR
NEW met1 ( 171350 35870 ) RECT ( -355 -70 0 70 ) ;
- io_wbm_m2s_data[30] ( PIN io_wbm_m2s_data[30] ) ( output161 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 146540 0 ) ( 7590 * )
NEW met2 ( 7590 146540 ) ( * 147390 )
NEW met2 ( 7590 146540 ) M2M3_PR
NEW li1 ( 7590 147390 ) L1M1_PR_MR
NEW met1 ( 7590 147390 ) M1M2_PR
NEW met1 ( 7590 147390 ) RECT ( -355 -70 0 70 ) ;
- io_wbm_m2s_data[31] ( PIN io_wbm_m2s_data[31] ) ( output162 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 37740 0 ) ( 7590 * )
NEW met2 ( 7590 37740 ) ( * 38590 )
NEW met2 ( 7590 37740 ) M2M3_PR
NEW li1 ( 7590 38590 ) L1M1_PR_MR
NEW met1 ( 7590 38590 ) M1M2_PR
NEW met1 ( 7590 38590 ) RECT ( -355 -70 0 70 ) ;
- io_wbm_m2s_data[3] ( PIN io_wbm_m2s_data[3] ) ( output163 X ) + USE SIGNAL
+ ROUTED met2 ( 35650 3740 0 ) ( * 11390 )
NEW met1 ( 35650 11390 ) ( 37950 * )
NEW met1 ( 35650 11390 ) M1M2_PR
NEW li1 ( 37950 11390 ) L1M1_PR_MR ;
- io_wbm_m2s_data[4] ( PIN io_wbm_m2s_data[4] ) ( output164 X ) + USE SIGNAL
+ ROUTED met2 ( 61410 3740 0 ) ( * 5780 )
NEW met2 ( 60490 5780 ) ( 61410 * )
NEW met2 ( 60490 5780 ) ( * 11390 )
NEW met1 ( 60490 11390 ) ( 62330 * )
NEW met1 ( 60490 11390 ) M1M2_PR
NEW li1 ( 62330 11390 ) L1M1_PR_MR ;
- io_wbm_m2s_data[5] ( PIN io_wbm_m2s_data[5] ) ( output165 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 34340 0 ) ( 7590 * )
NEW met2 ( 7590 34340 ) ( * 35870 )
NEW met2 ( 7590 34340 ) M2M3_PR
NEW li1 ( 7590 35870 ) L1M1_PR_MR
NEW met1 ( 7590 35870 ) M1M2_PR
NEW met1 ( 7590 35870 ) RECT ( -355 -70 0 70 ) ;
- io_wbm_m2s_data[6] ( PIN io_wbm_m2s_data[6] ) ( output166 X ) + USE SIGNAL
+ ROUTED met2 ( 171350 122740 ) ( * 122910 )
NEW met3 ( 171350 122740 ) ( 176180 * 0 )
NEW li1 ( 171350 122910 ) L1M1_PR_MR
NEW met1 ( 171350 122910 ) M1M2_PR
NEW met2 ( 171350 122740 ) M2M3_PR
NEW met1 ( 171350 122910 ) RECT ( -355 -70 0 70 ) ;
- io_wbm_m2s_data[7] ( PIN io_wbm_m2s_data[7] ) ( output167 X ) + USE SIGNAL
+ ROUTED met2 ( 100050 3740 0 ) ( * 11390 )
NEW met1 ( 100050 11390 ) ( 102350 * )
NEW met1 ( 100050 11390 ) M1M2_PR
NEW li1 ( 102350 11390 ) L1M1_PR_MR ;
- io_wbm_m2s_data[8] ( PIN io_wbm_m2s_data[8] ) ( output168 X ) + USE SIGNAL
+ ROUTED met2 ( 130410 168130 ) ( * 176460 )
NEW met2 ( 129030 176460 0 ) ( 130410 * )
NEW li1 ( 130410 168130 ) L1M1_PR_MR
NEW met1 ( 130410 168130 ) M1M2_PR
NEW met1 ( 130410 168130 ) RECT ( -355 -70 0 70 ) ;
- io_wbm_m2s_data[9] ( PIN io_wbm_m2s_data[9] ) ( output169 X ) + USE SIGNAL
+ ROUTED met2 ( 127190 165410 ) ( * 176460 )
NEW met2 ( 125810 176460 0 ) ( 127190 * )
NEW li1 ( 127190 165410 ) L1M1_PR_MR
NEW met1 ( 127190 165410 ) M1M2_PR
NEW met1 ( 127190 165410 ) RECT ( -355 -70 0 70 ) ;
- io_wbm_m2s_sel[0] ( PIN io_wbm_m2s_sel[0] ) ( output170 X ) + USE SIGNAL
+ ROUTED met1 ( 10350 159970 ) ( 14950 * )
NEW met2 ( 14950 159970 ) ( * 177140 )
NEW met3 ( 3220 177140 0 ) ( 14950 * )
NEW li1 ( 10350 159970 ) L1M1_PR_MR
NEW met1 ( 14950 159970 ) M1M2_PR
NEW met2 ( 14950 177140 ) M2M3_PR ;
- io_wbm_m2s_sel[1] ( PIN io_wbm_m2s_sel[1] ) ( output171 X ) + USE SIGNAL
+ ROUTED met2 ( 30130 165410 ) ( * 176460 )
NEW met2 ( 29210 176460 0 ) ( 30130 * )
NEW li1 ( 30130 165410 ) L1M1_PR_MR
NEW met1 ( 30130 165410 ) M1M2_PR
NEW met1 ( 30130 165410 ) RECT ( -355 -70 0 70 ) ;
- io_wbm_m2s_sel[2] ( PIN io_wbm_m2s_sel[2] ) ( output172 X ) + USE SIGNAL
+ ROUTED met2 ( 122590 3740 0 ) ( * 14110 )
NEW met1 ( 122590 14110 ) ( 123510 * )
NEW met1 ( 122590 14110 ) M1M2_PR
NEW li1 ( 123510 14110 ) L1M1_PR_MR ;
- io_wbm_m2s_sel[3] ( PIN io_wbm_m2s_sel[3] ) ( output173 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 44540 0 ) ( 7590 * )
NEW met2 ( 7590 44030 ) ( * 44540 )
NEW met2 ( 7590 44540 ) M2M3_PR
NEW li1 ( 7590 44030 ) L1M1_PR_MR
NEW met1 ( 7590 44030 ) M1M2_PR
NEW met1 ( 7590 44030 ) RECT ( -355 -70 0 70 ) ;
- io_wbm_m2s_stb ( PIN io_wbm_m2s_stb ) ( output174 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 153340 0 ) ( 7590 * )
NEW met2 ( 7590 152830 ) ( * 153340 )
NEW met2 ( 7590 153340 ) M2M3_PR
NEW li1 ( 7590 152830 ) L1M1_PR_MR
NEW met1 ( 7590 152830 ) M1M2_PR
NEW met1 ( 7590 152830 ) RECT ( -355 -70 0 70 ) ;
- io_wbm_m2s_we ( PIN io_wbm_m2s_we ) ( output175 X ) + USE SIGNAL
+ ROUTED met2 ( 171350 85170 ) ( * 85340 )
NEW met3 ( 171350 85340 ) ( 176180 * 0 )
NEW li1 ( 171350 85170 ) L1M1_PR_MR
NEW met1 ( 171350 85170 ) M1M2_PR
NEW met2 ( 171350 85340 ) M2M3_PR
NEW met1 ( 171350 85170 ) RECT ( -355 -70 0 70 ) ;
- net1 ( ANTENNA__060__A DIODE ) ( ANTENNA__017__A DIODE ) ( ANTENNA__013__B DIODE ) ( input1 X ) ( _013_ B ) ( _017_ A ) ( _060_ A ) + USE SIGNAL
+ ROUTED met2 ( 103730 93670 ) ( * 97070 )
NEW met1 ( 91310 97070 ) ( 103730 * )
NEW met1 ( 91310 96730 ) ( * 97070 )
NEW met1 ( 103730 93670 ) ( 106030 * )
NEW met1 ( 8050 97410 ) ( 34500 * )
NEW met1 ( 34500 96730 ) ( * 97410 )
NEW met1 ( 34500 96730 ) ( 91310 * )
NEW met1 ( 103730 125630 ) ( 105110 * )
NEW met1 ( 102810 126310 ) ( 103270 * )
NEW met2 ( 103270 126140 ) ( * 126310 )
NEW met2 ( 103270 126140 ) ( 103730 * )
NEW met2 ( 103730 125630 ) ( * 126140 )
NEW met2 ( 105110 125630 ) ( * 128350 )
NEW met1 ( 105110 128350 ) ( 109250 * )
NEW met2 ( 103730 97070 ) ( * 125630 )
NEW li1 ( 8050 97410 ) L1M1_PR_MR
NEW li1 ( 103730 93670 ) L1M1_PR_MR
NEW met1 ( 103730 93670 ) M1M2_PR
NEW met1 ( 103730 97070 ) M1M2_PR
NEW li1 ( 106030 93670 ) L1M1_PR_MR
NEW li1 ( 105110 125630 ) L1M1_PR_MR
NEW met1 ( 103730 125630 ) M1M2_PR
NEW li1 ( 102810 126310 ) L1M1_PR_MR
NEW met1 ( 103270 126310 ) M1M2_PR
NEW li1 ( 105110 128350 ) L1M1_PR_MR
NEW met1 ( 105110 128350 ) M1M2_PR
NEW met1 ( 105110 125630 ) M1M2_PR
NEW li1 ( 109250 128350 ) L1M1_PR_MR
NEW met1 ( 103730 93670 ) RECT ( -355 -70 0 70 )
NEW met1 ( 105110 128350 ) RECT ( -355 -70 0 70 )
NEW met1 ( 105110 125630 ) RECT ( -595 -70 0 70 ) ;
- net10 ( input10 X ) ( _063_ A ) + USE SIGNAL
+ ROUTED met1 ( 162610 132430 ) ( 170890 * )
NEW met2 ( 162610 91630 ) ( * 132430 )
NEW li1 ( 162610 91630 ) L1M1_PR_MR
NEW met1 ( 162610 91630 ) M1M2_PR
NEW met1 ( 162610 132430 ) M1M2_PR
NEW li1 ( 170890 132430 ) L1M1_PR_MR
NEW met1 ( 162610 91630 ) RECT ( -355 -70 0 70 ) ;
- net100 ( output100 A ) ( _028_ X ) + USE SIGNAL
+ ROUTED met2 ( 51290 12070 ) ( * 14450 )
NEW met1 ( 44390 14450 ) ( 51290 * )
NEW li1 ( 51290 12070 ) L1M1_PR_MR
NEW met1 ( 51290 12070 ) M1M2_PR
NEW met1 ( 51290 14450 ) M1M2_PR
NEW li1 ( 44390 14450 ) L1M1_PR_MR
NEW met1 ( 51290 12070 ) RECT ( -355 -70 0 70 ) ;
- net101 ( output101 A ) ( _047_ X ) + USE SIGNAL
+ ROUTED met1 ( 161690 164050 ) ( * 164390 )
NEW met1 ( 140990 163710 ) ( * 164050 )
NEW met1 ( 93150 163710 ) ( 140990 * )
NEW met1 ( 140990 164050 ) ( 161690 * )
NEW li1 ( 93150 163710 ) L1M1_PR_MR
NEW li1 ( 161690 164390 ) L1M1_PR_MR ;
- net102 ( output102 A ) ( _048_ X ) + USE SIGNAL
+ ROUTED met1 ( 138230 167110 ) ( 148810 * )
NEW met1 ( 148810 167110 ) ( * 167450 )
NEW met2 ( 138230 91630 ) ( * 167110 )
NEW li1 ( 138230 91630 ) L1M1_PR_MR
NEW met1 ( 138230 91630 ) M1M2_PR
NEW met1 ( 138230 167110 ) M1M2_PR
NEW li1 ( 148810 167450 ) L1M1_PR_MR
NEW met1 ( 138230 91630 ) RECT ( -355 -70 0 70 ) ;
- net103 ( output103 A ) ( _049_ X ) + USE SIGNAL
+ ROUTED met1 ( 139150 164730 ) ( 149730 * )
NEW met1 ( 139150 164390 ) ( * 164730 )
NEW met1 ( 138690 164390 ) ( 139150 * )
NEW met1 ( 138690 164370 ) ( * 164390 )
NEW met1 ( 138230 164370 ) ( 138690 * )
NEW met1 ( 138230 164370 ) ( * 164390 )
NEW met1 ( 137310 164390 ) ( 138230 * )
NEW met2 ( 149730 91970 ) ( * 164730 )
NEW li1 ( 149730 91970 ) L1M1_PR_MR
NEW met1 ( 149730 91970 ) M1M2_PR
NEW met1 ( 149730 164730 ) M1M2_PR
NEW li1 ( 137310 164390 ) L1M1_PR_MR
NEW met1 ( 149730 91970 ) RECT ( -355 -70 0 70 ) ;
- net104 ( output104 A ) ( _050_ X ) + USE SIGNAL
+ ROUTED met2 ( 84870 12070 ) ( * 90610 )
NEW li1 ( 84870 12070 ) L1M1_PR_MR
NEW met1 ( 84870 12070 ) M1M2_PR
NEW li1 ( 84870 90610 ) L1M1_PR_MR
NEW met1 ( 84870 90610 ) M1M2_PR
NEW met1 ( 84870 12070 ) RECT ( -355 -70 0 70 )
NEW met1 ( 84870 90610 ) RECT ( -355 -70 0 70 ) ;
- net105 ( output105 A ) ( _051_ X ) + USE SIGNAL
+ ROUTED met1 ( 169970 75650 ) ( 170430 * )
NEW met2 ( 170430 75650 ) ( * 77350 )
NEW li1 ( 169970 75650 ) L1M1_PR_MR
NEW met1 ( 170430 75650 ) M1M2_PR
NEW li1 ( 170430 77350 ) L1M1_PR_MR
NEW met1 ( 170430 77350 ) M1M2_PR
NEW met1 ( 170430 77350 ) RECT ( -355 -70 0 70 ) ;
- net106 ( output106 A ) ( _052_ X ) + USE SIGNAL
+ ROUTED met1 ( 8510 93670 ) ( * 94010 )
NEW met2 ( 64630 91970 ) ( * 94010 )
NEW met1 ( 8510 94010 ) ( 64630 * )
NEW li1 ( 8510 93670 ) L1M1_PR_MR
NEW li1 ( 64630 91970 ) L1M1_PR_MR
NEW met1 ( 64630 91970 ) M1M2_PR
NEW met1 ( 64630 94010 ) M1M2_PR
NEW met1 ( 64630 91970 ) RECT ( -355 -70 0 70 ) ;
- net107 ( ANTENNA_output107_A DIODE ) ( output107 A ) ( _053_ X ) + USE SIGNAL
+ ROUTED met1 ( 16790 12070 ) ( * 12750 )
NEW met1 ( 16790 12750 ) ( 20930 * )
NEW met2 ( 20930 12750 ) ( * 16830 )
NEW met1 ( 92690 92990 ) ( 95450 * )
NEW met2 ( 95450 82800 ) ( * 92990 )
NEW met2 ( 94530 82800 ) ( 95450 * )
NEW met2 ( 94530 57970 ) ( * 82800 )
NEW met1 ( 94530 57970 ) ( 96370 * )
NEW met2 ( 96370 14790 ) ( * 57970 )
NEW met2 ( 58650 14790 ) ( * 16830 )
NEW met1 ( 20930 16830 ) ( 58650 * )
NEW met1 ( 58650 14790 ) ( 96370 * )
NEW li1 ( 20930 16830 ) L1M1_PR_MR
NEW li1 ( 16790 12070 ) L1M1_PR_MR
NEW met1 ( 20930 12750 ) M1M2_PR
NEW met1 ( 20930 16830 ) M1M2_PR
NEW met1 ( 96370 14790 ) M1M2_PR
NEW met1 ( 95450 92990 ) M1M2_PR
NEW li1 ( 92690 92990 ) L1M1_PR_MR
NEW met1 ( 94530 57970 ) M1M2_PR
NEW met1 ( 96370 57970 ) M1M2_PR
NEW met1 ( 58650 16830 ) M1M2_PR
NEW met1 ( 58650 14790 ) M1M2_PR
NEW met1 ( 20930 16830 ) RECT ( -595 -70 0 70 ) ;
- net108 ( output108 A ) ( _054_ X ) + USE SIGNAL
+ ROUTED met1 ( 8510 85850 ) ( 34500 * )
NEW met1 ( 34500 85850 ) ( * 86190 )
NEW met1 ( 34500 86190 ) ( 91770 * )
NEW li1 ( 8510 85850 ) L1M1_PR_MR
NEW li1 ( 91770 86190 ) L1M1_PR_MR ;
- net109 ( ANTENNA_output109_A DIODE ) ( output109 A ) ( _055_ X ) + USE SIGNAL
+ ROUTED met1 ( 90390 95710 ) ( 96370 * )
NEW met2 ( 95450 58820 ) ( 96370 * )
NEW met2 ( 95450 17340 ) ( * 58820 )
NEW met2 ( 96370 58820 ) ( * 95710 )
NEW met2 ( 162150 17340 ) ( * 17510 )
NEW met1 ( 162150 15130 ) ( 164910 * )
NEW met2 ( 162150 15130 ) ( * 17340 )
NEW met3 ( 95450 17340 ) ( 162150 * )
NEW met2 ( 95450 17340 ) M2M3_PR
NEW met1 ( 96370 95710 ) M1M2_PR
NEW li1 ( 90390 95710 ) L1M1_PR_MR
NEW li1 ( 162150 17510 ) L1M1_PR_MR
NEW met1 ( 162150 17510 ) M1M2_PR
NEW met2 ( 162150 17340 ) M2M3_PR
NEW li1 ( 164910 15130 ) L1M1_PR_MR
NEW met1 ( 162150 15130 ) M1M2_PR
NEW met1 ( 162150 17510 ) RECT ( -355 -70 0 70 ) ;
- net11 ( input11 X ) ( _064_ A ) + USE SIGNAL
+ ROUTED met1 ( 108790 12750 ) ( * 13090 )
NEW met1 ( 91770 13090 ) ( 108790 * )
NEW met2 ( 91770 13090 ) ( * 15130 )
NEW met1 ( 90390 15130 ) ( 91770 * )
NEW met1 ( 108790 12750 ) ( 158010 * )
NEW met1 ( 91770 13090 ) M1M2_PR
NEW met1 ( 91770 15130 ) M1M2_PR
NEW li1 ( 90390 15130 ) L1M1_PR_MR
NEW li1 ( 158010 12750 ) L1M1_PR_MR ;
- net110 ( output110 A ) ( _056_ X ) + USE SIGNAL
+ ROUTED met1 ( 31970 91630 ) ( 32890 * )
NEW met1 ( 31970 167450 ) ( 32890 * )
NEW met2 ( 31970 91630 ) ( * 167450 )
NEW li1 ( 32890 91630 ) L1M1_PR_MR
NEW met1 ( 31970 91630 ) M1M2_PR
NEW met1 ( 31970 167450 ) M1M2_PR
NEW li1 ( 32890 167450 ) L1M1_PR_MR ;
- net111 ( ANTENNA_output111_A DIODE ) ( output111 A ) ( _029_ X ) + USE SIGNAL
+ ROUTED met1 ( 92230 90270 ) ( 94990 * )
NEW met2 ( 71990 167450 ) ( * 169150 )
NEW met1 ( 68770 167450 ) ( 71990 * )
NEW met1 ( 71990 169150 ) ( 94990 * )
NEW met2 ( 94990 90270 ) ( * 169150 )
NEW met1 ( 94990 90270 ) M1M2_PR
NEW li1 ( 92230 90270 ) L1M1_PR_MR
NEW met1 ( 94990 169150 ) M1M2_PR
NEW li1 ( 71990 167450 ) L1M1_PR_MR
NEW met1 ( 71990 167450 ) M1M2_PR
NEW met1 ( 71990 169150 ) M1M2_PR
NEW li1 ( 68770 167450 ) L1M1_PR_MR
NEW met1 ( 71990 167450 ) RECT ( -355 -70 0 70 ) ;
- net112 ( output112 A ) ( _057_ X ) + USE SIGNAL
+ ROUTED met1 ( 60030 12070 ) ( 62330 * )
NEW met2 ( 62330 12070 ) ( * 14450 )
NEW met1 ( 62330 14450 ) ( 71070 * )
NEW li1 ( 60030 12070 ) L1M1_PR_MR
NEW met1 ( 62330 12070 ) M1M2_PR
NEW met1 ( 62330 14450 ) M1M2_PR
NEW li1 ( 71070 14450 ) L1M1_PR_MR ;
- net113 ( output113 A ) ( _058_ X ) + USE SIGNAL
+ ROUTED met2 ( 170430 140250 ) ( * 144670 )
NEW met1 ( 169050 144670 ) ( 170430 * )
NEW li1 ( 170430 140250 ) L1M1_PR_MR
NEW met1 ( 170430 140250 ) M1M2_PR
NEW met1 ( 170430 144670 ) M1M2_PR
NEW li1 ( 169050 144670 ) L1M1_PR_MR
NEW met1 ( 170430 140250 ) RECT ( -355 -70 0 70 ) ;
- net114 ( ANTENNA_output114_A DIODE ) ( output114 A ) ( _030_ X ) + USE SIGNAL
+ ROUTED met1 ( 90390 87550 ) ( 127650 * )
NEW met2 ( 127650 15130 ) ( * 87550 )
NEW met1 ( 137310 15130 ) ( 138690 * )
NEW met1 ( 127650 15130 ) ( 137310 * )
NEW met1 ( 127650 15130 ) M1M2_PR
NEW met1 ( 127650 87550 ) M1M2_PR
NEW li1 ( 90390 87550 ) L1M1_PR_MR
NEW li1 ( 137310 15130 ) L1M1_PR_MR
NEW li1 ( 138690 15130 ) L1M1_PR_MR ;
- net115 ( output115 A ) ( _031_ X ) + USE SIGNAL
+ ROUTED met2 ( 8510 158950 ) ( * 159460 )
NEW met2 ( 76590 159460 ) ( * 164050 )
NEW met3 ( 8510 159460 ) ( 76590 * )
NEW met1 ( 76590 164050 ) ( 89470 * )
NEW met2 ( 8510 159460 ) M2M3_PR
NEW li1 ( 8510 158950 ) L1M1_PR_MR
NEW met1 ( 8510 158950 ) M1M2_PR
NEW li1 ( 89470 164050 ) L1M1_PR_MR
NEW met2 ( 76590 159460 ) M2M3_PR
NEW met1 ( 76590 164050 ) M1M2_PR
NEW met1 ( 8510 158950 ) RECT ( -355 -70 0 70 ) ;
- net116 ( ANTENNA_output116_A DIODE ) ( output116 A ) ( _032_ X ) + USE SIGNAL
+ ROUTED met1 ( 90850 93330 ) ( 91310 * )
NEW met2 ( 91310 44710 ) ( * 93330 )
NEW met1 ( 168590 44710 ) ( 170430 * )
NEW met1 ( 91310 44710 ) ( 168590 * )
NEW met1 ( 91310 93330 ) M1M2_PR
NEW li1 ( 90850 93330 ) L1M1_PR_MR
NEW met1 ( 91310 44710 ) M1M2_PR
NEW li1 ( 168590 44710 ) L1M1_PR_MR
NEW li1 ( 170430 44710 ) L1M1_PR_MR ;
- net117 ( output117 A ) ( _033_ X ) + USE SIGNAL
+ ROUTED met1 ( 169050 86530 ) ( 170430 * )
NEW met2 ( 170430 86530 ) ( * 91290 )
NEW li1 ( 169050 86530 ) L1M1_PR_MR
NEW met1 ( 170430 86530 ) M1M2_PR
NEW li1 ( 170430 91290 ) L1M1_PR_MR
NEW met1 ( 170430 91290 ) M1M2_PR
NEW met1 ( 170430 91290 ) RECT ( -355 -70 0 70 ) ;
- net118 ( output118 A ) ( _034_ X ) + USE SIGNAL
+ ROUTED met1 ( 103730 14110 ) ( * 14790 )
NEW met1 ( 99590 14110 ) ( 103730 * )
NEW met1 ( 99590 14110 ) ( * 14450 )
NEW met1 ( 88090 14450 ) ( 99590 * )
NEW met2 ( 140530 12070 ) ( * 14790 )
NEW met1 ( 103730 14790 ) ( 140530 * )
NEW li1 ( 88090 14450 ) L1M1_PR_MR
NEW met1 ( 140530 14790 ) M1M2_PR
NEW li1 ( 140530 12070 ) L1M1_PR_MR
NEW met1 ( 140530 12070 ) M1M2_PR
NEW met1 ( 140530 12070 ) RECT ( -355 -70 0 70 ) ;
- net119 ( ANTENNA_output119_A DIODE ) ( output119 A ) ( _035_ X ) + USE SIGNAL
+ ROUTED met2 ( 87630 82800 ) ( * 90610 )
NEW met2 ( 87630 82800 ) ( 88090 * )
NEW met2 ( 88090 22270 ) ( * 82800 )
NEW met1 ( 168590 22950 ) ( 170430 * )
NEW met1 ( 168590 22270 ) ( * 22950 )
NEW met1 ( 88090 22270 ) ( 168590 * )
NEW met1 ( 88090 22270 ) M1M2_PR
NEW li1 ( 87630 90610 ) L1M1_PR_MR
NEW met1 ( 87630 90610 ) M1M2_PR
NEW li1 ( 168590 22270 ) L1M1_PR_MR
NEW li1 ( 170430 22950 ) L1M1_PR_MR
NEW met1 ( 87630 90610 ) RECT ( -355 -70 0 70 ) ;
- net12 ( input12 X ) ( _065_ A ) + USE SIGNAL
+ ROUTED met2 ( 58190 15130 ) ( * 19890 )
NEW met1 ( 8050 19890 ) ( 58190 * )
NEW li1 ( 8050 19890 ) L1M1_PR_MR
NEW met1 ( 58190 19890 ) M1M2_PR
NEW li1 ( 58190 15130 ) L1M1_PR_MR
NEW met1 ( 58190 15130 ) M1M2_PR
NEW met1 ( 58190 15130 ) RECT ( -355 -70 0 70 ) ;
- net120 ( output120 A ) ( _036_ X ) + USE SIGNAL
+ ROUTED met2 ( 58650 71910 ) ( * 74290 )
NEW met1 ( 8510 71910 ) ( 58650 * )
NEW met1 ( 58650 74290 ) ( 89470 * )
NEW li1 ( 8510 71910 ) L1M1_PR_MR
NEW li1 ( 89470 74290 ) L1M1_PR_MR
NEW met1 ( 58650 71910 ) M1M2_PR
NEW met1 ( 58650 74290 ) M1M2_PR ;
- net121 ( output121 A ) ( _059_ X ) + USE SIGNAL
+ ROUTED met2 ( 73830 165070 ) ( * 167790 )
NEW met1 ( 50370 167790 ) ( 73830 * )
NEW met1 ( 50370 167450 ) ( * 167790 )
NEW met1 ( 73830 165070 ) ( 87170 * )
NEW li1 ( 87170 165070 ) L1M1_PR_MR
NEW met1 ( 73830 165070 ) M1M2_PR
NEW met1 ( 73830 167790 ) M1M2_PR
NEW li1 ( 50370 167450 ) L1M1_PR_MR ;
- net122 ( ANTENNA_output122_A DIODE ) ( output122 A ) ( _060_ X ) + USE SIGNAL
+ ROUTED met2 ( 104190 14450 ) ( * 92990 )
NEW met2 ( 162150 12070 ) ( * 14450 )
NEW met1 ( 156630 14450 ) ( 162150 * )
NEW met1 ( 104190 14450 ) ( 156630 * )
NEW met1 ( 104190 14450 ) M1M2_PR
NEW li1 ( 104190 92990 ) L1M1_PR_MR
NEW met1 ( 104190 92990 ) M1M2_PR
NEW li1 ( 156630 14450 ) L1M1_PR_MR
NEW li1 ( 162150 12070 ) L1M1_PR_MR
NEW met1 ( 162150 12070 ) M1M2_PR
NEW met1 ( 162150 14450 ) M1M2_PR
NEW met1 ( 104190 92990 ) RECT ( -355 -70 0 70 )
NEW met1 ( 162150 12070 ) RECT ( -355 -70 0 70 ) ;
- net123 ( output123 A ) ( _070_ X ) + USE SIGNAL
+ ROUTED met1 ( 98670 15130 ) ( 100050 * )
NEW met1 ( 100050 14450 ) ( * 15130 )
NEW li1 ( 98670 15130 ) L1M1_PR_MR
NEW li1 ( 100050 14450 ) L1M1_PR_MR ;
- net124 ( ANTENNA_output124_A DIODE ) ( output124 A ) ( _071_ X ) + USE SIGNAL
+ ROUTED met2 ( 110630 154530 ) ( * 155550 )
NEW met2 ( 170430 145690 ) ( * 154530 )
NEW met2 ( 169970 143650 ) ( * 145180 )
NEW met2 ( 169970 145180 ) ( 170430 * )
NEW met2 ( 170430 145180 ) ( * 145690 )
NEW met1 ( 110630 154530 ) ( 170430 * )
NEW met1 ( 89930 155550 ) ( 110630 * )
NEW met1 ( 110630 154530 ) M1M2_PR
NEW met1 ( 110630 155550 ) M1M2_PR
NEW li1 ( 170430 145690 ) L1M1_PR_MR
NEW met1 ( 170430 145690 ) M1M2_PR
NEW met1 ( 170430 154530 ) M1M2_PR
NEW li1 ( 169970 143650 ) L1M1_PR_MR
NEW met1 ( 169970 143650 ) M1M2_PR
NEW li1 ( 89930 155550 ) L1M1_PR_MR
NEW met1 ( 170430 145690 ) RECT ( -355 -70 0 70 )
NEW met1 ( 169970 143650 ) RECT ( -355 -70 0 70 ) ;
- net125 ( output125 A ) ( _072_ X ) + USE SIGNAL
+ ROUTED met1 ( 89010 23630 ) ( 89470 * )
NEW met2 ( 89010 23630 ) ( * 25670 )
NEW met1 ( 8510 26010 ) ( 34500 * )
NEW met1 ( 34500 25670 ) ( * 26010 )
NEW met1 ( 34500 25670 ) ( 89010 * )
NEW li1 ( 8510 26010 ) L1M1_PR_MR
NEW li1 ( 89470 23630 ) L1M1_PR_MR
NEW met1 ( 89010 23630 ) M1M2_PR
NEW met1 ( 89010 25670 ) M1M2_PR ;
- net126 ( ANTENNA_output126_A DIODE ) ( output126 A ) ( _073_ X ) + USE SIGNAL
+ ROUTED met1 ( 40710 12070 ) ( 41630 * )
NEW met2 ( 40710 12070 ) ( * 18530 )
NEW met2 ( 34730 90610 ) ( * 91630 )
NEW met1 ( 34730 91630 ) ( 40710 * )
NEW met1 ( 28750 90610 ) ( 34730 * )
NEW met2 ( 40710 18530 ) ( * 91630 )
NEW li1 ( 28750 90610 ) L1M1_PR_MR
NEW li1 ( 40710 18530 ) L1M1_PR_MR
NEW met1 ( 40710 18530 ) M1M2_PR
NEW li1 ( 41630 12070 ) L1M1_PR_MR
NEW met1 ( 40710 12070 ) M1M2_PR
NEW met1 ( 34730 90610 ) M1M2_PR
NEW met1 ( 34730 91630 ) M1M2_PR
NEW met1 ( 40710 91630 ) M1M2_PR
NEW met1 ( 40710 18530 ) RECT ( -355 -70 0 70 ) ;
- net127 ( ANTENNA_output127_A DIODE ) ( output127 A ) ( _074_ X ) + USE SIGNAL
+ ROUTED met1 ( 88090 87890 ) ( 89010 * )
NEW met2 ( 89010 64090 ) ( * 87890 )
NEW met1 ( 168590 64090 ) ( 170430 * )
NEW met1 ( 89010 64090 ) ( 168590 * )
NEW met1 ( 89010 87890 ) M1M2_PR
NEW li1 ( 88090 87890 ) L1M1_PR_MR
NEW met1 ( 89010 64090 ) M1M2_PR
NEW li1 ( 168590 64090 ) L1M1_PR_MR
NEW li1 ( 170430 64090 ) L1M1_PR_MR ;
- net128 ( output128 A ) ( _075_ X ) + USE SIGNAL
+ ROUTED met1 ( 122130 12070 ) ( * 12410 )
NEW met1 ( 102810 12410 ) ( 122130 * )
NEW met2 ( 102810 12410 ) ( * 18530 )
NEW met1 ( 92230 18530 ) ( 102810 * )
NEW met1 ( 92230 18190 ) ( * 18530 )
NEW met1 ( 90390 18190 ) ( 92230 * )
NEW li1 ( 122130 12070 ) L1M1_PR_MR
NEW met1 ( 102810 12410 ) M1M2_PR
NEW met1 ( 102810 18530 ) M1M2_PR
NEW li1 ( 90390 18190 ) L1M1_PR_MR ;
- net129 ( ANTENNA_output129_A DIODE ) ( output129 A ) ( _061_ X ) + USE SIGNAL
+ ROUTED met1 ( 169510 20570 ) ( 170430 * )
NEW met1 ( 167210 20570 ) ( 169510 * )
NEW met1 ( 169510 120530 ) ( 169970 * )
NEW met2 ( 169510 20570 ) ( * 120530 )
NEW li1 ( 170430 20570 ) L1M1_PR_MR
NEW met1 ( 169510 20570 ) M1M2_PR
NEW li1 ( 167210 20570 ) L1M1_PR_MR
NEW met1 ( 169510 120530 ) M1M2_PR
NEW li1 ( 169970 120530 ) L1M1_PR_MR ;
- net13 ( input13 X ) ( _066_ A ) + USE SIGNAL
+ ROUTED met1 ( 168130 91290 ) ( 168590 * )
NEW met1 ( 168590 155550 ) ( 170890 * )
NEW met2 ( 168590 91290 ) ( * 155550 )
NEW met1 ( 168590 91290 ) M1M2_PR
NEW li1 ( 168130 91290 ) L1M1_PR_MR
NEW met1 ( 168590 155550 ) M1M2_PR
NEW li1 ( 170890 155550 ) L1M1_PR_MR ;
- net130 ( output130 A ) ( _062_ X ) + USE SIGNAL
+ ROUTED met1 ( 16790 164390 ) ( 17710 * )
NEW met2 ( 17710 91970 ) ( * 164390 )
NEW li1 ( 17710 91970 ) L1M1_PR_MR
NEW met1 ( 17710 91970 ) M1M2_PR
NEW met1 ( 17710 164390 ) M1M2_PR
NEW li1 ( 16790 164390 ) L1M1_PR_MR
NEW met1 ( 17710 91970 ) RECT ( -355 -70 0 70 ) ;
- net131 ( output131 A ) ( _063_ X ) + USE SIGNAL
+ ROUTED met1 ( 154790 90610 ) ( 161690 * )
NEW met2 ( 154790 12070 ) ( * 90610 )
NEW li1 ( 154790 12070 ) L1M1_PR_MR
NEW met1 ( 154790 12070 ) M1M2_PR
NEW met1 ( 154790 90610 ) M1M2_PR
NEW li1 ( 161690 90610 ) L1M1_PR_MR
NEW met1 ( 154790 12070 ) RECT ( -355 -70 0 70 ) ;
- net132 ( output132 A ) ( _064_ X ) + USE SIGNAL
+ ROUTED met2 ( 21390 15130 ) ( * 17170 )
NEW met1 ( 89010 15470 ) ( 89470 * )
NEW met2 ( 89010 15470 ) ( * 17170 )
NEW met1 ( 21390 17170 ) ( 89010 * )
NEW met1 ( 21390 17170 ) M1M2_PR
NEW li1 ( 21390 15130 ) L1M1_PR_MR
NEW met1 ( 21390 15130 ) M1M2_PR
NEW li1 ( 89470 15470 ) L1M1_PR_MR
NEW met1 ( 89010 15470 ) M1M2_PR
NEW met1 ( 89010 17170 ) M1M2_PR
NEW met1 ( 21390 15130 ) RECT ( -355 -70 0 70 ) ;
- net133 ( output133 A ) ( _065_ X ) + USE SIGNAL
+ ROUTED met1 ( 71530 12070 ) ( * 12410 )
NEW met1 ( 65090 12410 ) ( 71530 * )
NEW met2 ( 65090 12410 ) ( * 14110 )
NEW met1 ( 59110 14110 ) ( 65090 * )
NEW li1 ( 71530 12070 ) L1M1_PR_MR
NEW met1 ( 65090 12410 ) M1M2_PR
NEW met1 ( 65090 14110 ) M1M2_PR
NEW li1 ( 59110 14110 ) L1M1_PR_MR ;
- net134 ( output134 A ) ( _066_ X ) + USE SIGNAL
+ ROUTED met1 ( 169050 90270 ) ( 169970 * )
NEW met1 ( 169970 39270 ) ( 170430 * )
NEW met2 ( 169970 39270 ) ( * 90270 )
NEW met1 ( 169970 90270 ) M1M2_PR
NEW li1 ( 169050 90270 ) L1M1_PR_MR
NEW met1 ( 169970 39270 ) M1M2_PR
NEW li1 ( 170430 39270 ) L1M1_PR_MR ;
- net135 ( ANTENNA_output135_A DIODE ) ( output135 A ) ( _067_ X ) + USE SIGNAL
+ ROUTED met1 ( 98670 88230 ) ( 131100 * )
NEW met1 ( 131100 88230 ) ( * 88570 )
NEW met1 ( 131100 88570 ) ( 164450 * )
NEW met2 ( 164450 88570 ) ( * 89420 )
NEW met2 ( 164450 89420 ) ( 164910 * )
NEW met1 ( 163070 158950 ) ( 164910 * )
NEW met2 ( 164910 158950 ) ( * 164390 )
NEW met2 ( 164910 89420 ) ( * 158950 )
NEW li1 ( 98670 88230 ) L1M1_PR_MR
NEW met1 ( 164450 88570 ) M1M2_PR
NEW li1 ( 163070 158950 ) L1M1_PR_MR
NEW met1 ( 164910 158950 ) M1M2_PR
NEW li1 ( 164910 164390 ) L1M1_PR_MR
NEW met1 ( 164910 164390 ) M1M2_PR
NEW met1 ( 164910 164390 ) RECT ( -355 -70 0 70 ) ;
- net136 ( ANTENNA_output136_A DIODE ) ( output136 A ) ( _068_ X ) + USE SIGNAL
+ ROUTED met1 ( 165370 116450 ) ( 168590 * )
NEW met2 ( 165370 116450 ) ( * 126990 )
NEW met1 ( 168590 115430 ) ( 170430 * )
NEW met1 ( 168590 115430 ) ( * 116450 )
NEW met2 ( 92230 126990 ) ( * 128350 )
NEW met1 ( 89930 128350 ) ( 92230 * )
NEW met1 ( 92230 126990 ) ( 165370 * )
NEW li1 ( 168590 116450 ) L1M1_PR_MR
NEW met1 ( 165370 116450 ) M1M2_PR
NEW met1 ( 165370 126990 ) M1M2_PR
NEW li1 ( 170430 115430 ) L1M1_PR_MR
NEW met1 ( 92230 126990 ) M1M2_PR
NEW met1 ( 92230 128350 ) M1M2_PR
NEW li1 ( 89930 128350 ) L1M1_PR_MR ;
- net137 ( output137 A ) ( _069_ X ) + USE SIGNAL
+ ROUTED met1 ( 156630 15130 ) ( 161690 * )
NEW met2 ( 156630 15130 ) ( * 90270 )
NEW met1 ( 156630 15130 ) M1M2_PR
NEW li1 ( 161690 15130 ) L1M1_PR_MR
NEW li1 ( 156630 90270 ) L1M1_PR_MR
NEW met1 ( 156630 90270 ) M1M2_PR
NEW met1 ( 156630 90270 ) RECT ( -355 -70 0 70 ) ;
- net138 ( output138 A ) ( _076_ X ) + USE SIGNAL
+ ROUTED met2 ( 92690 75650 ) ( * 80410 )
NEW met1 ( 8510 80410 ) ( 92690 * )
NEW li1 ( 8510 80410 ) L1M1_PR_MR
NEW li1 ( 92690 75650 ) L1M1_PR_MR
NEW met1 ( 92690 75650 ) M1M2_PR
NEW met1 ( 92690 80410 ) M1M2_PR
NEW met1 ( 92690 75650 ) RECT ( -355 -70 0 70 ) ;
- net139 ( ANTENNA_output139_A DIODE ) ( output139 A ) ( _086_ X ) + USE SIGNAL
+ ROUTED met2 ( 168590 49470 ) ( * 65790 )
NEW met1 ( 168590 66470 ) ( 170430 * )
NEW met1 ( 168590 65790 ) ( * 66470 )
NEW met1 ( 87630 49470 ) ( 168590 * )
NEW li1 ( 87630 49470 ) L1M1_PR_MR
NEW li1 ( 168590 65790 ) L1M1_PR_MR
NEW met1 ( 168590 65790 ) M1M2_PR
NEW met1 ( 168590 49470 ) M1M2_PR
NEW li1 ( 170430 66470 ) L1M1_PR_MR
NEW met1 ( 168590 65790 ) RECT ( -355 -70 0 70 ) ;
- net14 ( input14 X ) ( _067_ A ) + USE SIGNAL
+ ROUTED met1 ( 93150 12750 ) ( 97290 * )
NEW met1 ( 93150 12410 ) ( * 12750 )
NEW met1 ( 97290 87890 ) ( 97750 * )
NEW met2 ( 97290 12750 ) ( * 87890 )
NEW met1 ( 97290 12750 ) M1M2_PR
NEW li1 ( 93150 12410 ) L1M1_PR_MR
NEW met1 ( 97290 87890 ) M1M2_PR
NEW li1 ( 97750 87890 ) L1M1_PR_MR ;
- net140 ( output140 A ) ( _087_ X ) + USE SIGNAL
+ ROUTED met1 ( 8510 17510 ) ( * 17850 )
NEW met1 ( 8510 17850 ) ( 12650 * )
NEW met1 ( 12650 17850 ) ( * 18190 )
NEW li1 ( 8510 17510 ) L1M1_PR_MR
NEW li1 ( 12650 18190 ) L1M1_PR_MR ;
- net141 ( output141 A ) ( _088_ X ) + USE SIGNAL
+ ROUTED met1 ( 155710 139230 ) ( 170430 * )
NEW met2 ( 170430 126310 ) ( * 139230 )
NEW li1 ( 170430 126310 ) L1M1_PR_MR
NEW met1 ( 170430 126310 ) M1M2_PR
NEW met1 ( 170430 139230 ) M1M2_PR
NEW li1 ( 155710 139230 ) L1M1_PR_MR
NEW met1 ( 170430 126310 ) RECT ( -355 -70 0 70 ) ;
- net142 ( output142 A ) ( _089_ X ) + USE SIGNAL
+ ROUTED met1 ( 169050 158950 ) ( 170430 * )
NEW met1 ( 169050 158950 ) ( * 159630 )
NEW li1 ( 170430 158950 ) L1M1_PR_MR
NEW li1 ( 169050 159630 ) L1M1_PR_MR ;
- net143 ( output143 A ) ( _090_ X ) + USE SIGNAL
+ ROUTED met1 ( 93610 15470 ) ( 95450 * )
NEW met1 ( 95450 15470 ) ( * 15810 )
NEW met1 ( 95450 15810 ) ( 98670 * )
NEW met2 ( 98670 15810 ) ( * 17170 )
NEW met1 ( 162610 17170 ) ( * 17510 )
NEW met1 ( 162610 17510 ) ( 167610 * )
NEW met1 ( 98670 17170 ) ( 162610 * )
NEW li1 ( 93610 15470 ) L1M1_PR_MR
NEW met1 ( 98670 15810 ) M1M2_PR
NEW met1 ( 98670 17170 ) M1M2_PR
NEW li1 ( 167610 17510 ) L1M1_PR_MR ;
- net144 ( output144 A ) ( _091_ X ) + USE SIGNAL
+ ROUTED met1 ( 167670 161330 ) ( * 162010 )
NEW met1 ( 93610 161330 ) ( 167670 * )
NEW li1 ( 93610 161330 ) L1M1_PR_MR
NEW li1 ( 167670 162010 ) L1M1_PR_MR ;
- net145 ( output145 A ) ( _092_ X ) + USE SIGNAL
+ ROUTED met1 ( 8510 113050 ) ( 30590 * )
NEW met2 ( 30590 113050 ) ( * 125630 )
NEW li1 ( 8510 113050 ) L1M1_PR_MR
NEW met1 ( 30590 113050 ) M1M2_PR
NEW li1 ( 30590 125630 ) L1M1_PR_MR
NEW met1 ( 30590 125630 ) M1M2_PR
NEW met1 ( 30590 125630 ) RECT ( -355 -70 0 70 ) ;
- net146 ( ANTENNA_output146_A DIODE ) ( output146 A ) ( _093_ X ) + USE SIGNAL
+ ROUTED met1 ( 8510 120530 ) ( * 120870 )
NEW met1 ( 8510 120530 ) ( 10350 * )
NEW met2 ( 83030 120530 ) ( * 134130 )
NEW met1 ( 83030 134130 ) ( 89010 * )
NEW met1 ( 10350 120530 ) ( 83030 * )
NEW li1 ( 10350 120530 ) L1M1_PR_MR
NEW li1 ( 8510 120870 ) L1M1_PR_MR
NEW met1 ( 83030 120530 ) M1M2_PR
NEW met1 ( 83030 134130 ) M1M2_PR
NEW li1 ( 89010 134130 ) L1M1_PR_MR ;
- net147 ( output147 A ) ( _094_ X ) + USE SIGNAL
+ ROUTED met1 ( 110630 93330 ) ( 116150 * )
NEW met2 ( 110630 12070 ) ( * 93330 )
NEW li1 ( 110630 12070 ) L1M1_PR_MR
NEW met1 ( 110630 12070 ) M1M2_PR
NEW met1 ( 110630 93330 ) M1M2_PR
NEW li1 ( 116150 93330 ) L1M1_PR_MR
NEW met1 ( 110630 12070 ) RECT ( -355 -70 0 70 ) ;
- net148 ( output148 A ) ( _095_ X ) + USE SIGNAL
+ ROUTED met1 ( 9430 17510 ) ( 9890 * )
NEW met2 ( 9430 17510 ) ( * 90270 )
NEW met1 ( 9430 17510 ) M1M2_PR
NEW li1 ( 9890 17510 ) L1M1_PR_MR
NEW li1 ( 9430 90270 ) L1M1_PR_MR
NEW met1 ( 9430 90270 ) M1M2_PR
NEW met1 ( 9430 90270 ) RECT ( -355 -70 0 70 ) ;
- net149 ( output149 A ) ( _077_ X ) + USE SIGNAL
+ ROUTED met1 ( 123510 90610 ) ( 126270 * )
NEW met2 ( 126270 12070 ) ( * 90610 )
NEW li1 ( 126270 12070 ) L1M1_PR_MR
NEW met1 ( 126270 12070 ) M1M2_PR
NEW met1 ( 126270 90610 ) M1M2_PR
NEW li1 ( 123510 90610 ) L1M1_PR_MR
NEW met1 ( 126270 12070 ) RECT ( -355 -70 0 70 ) ;
- net15 ( input15 X ) ( _068_ A ) + USE SIGNAL
+ ROUTED met1 ( 78890 166770 ) ( * 167110 )
NEW met1 ( 57730 167110 ) ( 78890 * )
NEW met1 ( 57730 167110 ) ( * 167450 )
NEW met1 ( 54510 167450 ) ( 57730 * )
NEW met1 ( 78890 166770 ) ( 89010 * )
NEW met1 ( 89010 129710 ) ( 89470 * )
NEW met2 ( 89010 129710 ) ( * 166770 )
NEW met1 ( 89010 166770 ) M1M2_PR
NEW li1 ( 54510 167450 ) L1M1_PR_MR
NEW met1 ( 89010 129710 ) M1M2_PR
NEW li1 ( 89470 129710 ) L1M1_PR_MR ;
- net150 ( ANTENNA_output150_A DIODE ) ( output150 A ) ( _096_ X ) + USE SIGNAL
+ ROUTED met1 ( 10350 139230 ) ( 11270 * )
NEW met1 ( 8510 140250 ) ( 10350 * )
NEW met1 ( 10350 139230 ) ( * 140250 )
NEW met2 ( 11270 112370 ) ( * 139230 )
NEW met1 ( 11270 112370 ) ( 89470 * )
NEW met1 ( 11270 112370 ) M1M2_PR
NEW li1 ( 10350 139230 ) L1M1_PR_MR
NEW met1 ( 11270 139230 ) M1M2_PR
NEW li1 ( 8510 140250 ) L1M1_PR_MR
NEW li1 ( 89470 112370 ) L1M1_PR_MR ;
- net151 ( output151 A ) ( _097_ X ) + USE SIGNAL
+ ROUTED met1 ( 13110 166430 ) ( 20010 * )
NEW met2 ( 20010 166430 ) ( * 167450 )
NEW met2 ( 13110 89250 ) ( * 166430 )
NEW li1 ( 13110 89250 ) L1M1_PR_MR
NEW met1 ( 13110 89250 ) M1M2_PR
NEW met1 ( 13110 166430 ) M1M2_PR
NEW met1 ( 20010 166430 ) M1M2_PR
NEW li1 ( 20010 167450 ) L1M1_PR_MR
NEW met1 ( 20010 167450 ) M1M2_PR
NEW met1 ( 13110 89250 ) RECT ( -355 -70 0 70 )
NEW met1 ( 20010 167450 ) RECT ( 0 -70 355 70 ) ;
- net152 ( output152 A ) ( _098_ X ) + USE SIGNAL
+ ROUTED met1 ( 84870 165410 ) ( 85790 * )
NEW met2 ( 85790 165410 ) ( * 167450 )
NEW li1 ( 84870 165410 ) L1M1_PR_MR
NEW met1 ( 85790 165410 ) M1M2_PR
NEW li1 ( 85790 167450 ) L1M1_PR_MR
NEW met1 ( 85790 167450 ) M1M2_PR
NEW met1 ( 85790 167450 ) RECT ( -355 -70 0 70 ) ;
- net153 ( output153 A ) ( _099_ X ) + USE SIGNAL
+ ROUTED met1 ( 8510 91970 ) ( 11270 * )
NEW met2 ( 8510 91970 ) ( * 107610 )
NEW li1 ( 11270 91970 ) L1M1_PR_MR
NEW met1 ( 8510 91970 ) M1M2_PR
NEW li1 ( 8510 107610 ) L1M1_PR_MR
NEW met1 ( 8510 107610 ) M1M2_PR
NEW met1 ( 8510 107610 ) RECT ( -355 -70 0 70 ) ;
- net154 ( output154 A ) ( _100_ X ) + USE SIGNAL
+ ROUTED met2 ( 34270 15130 ) ( * 18190 )
NEW met2 ( 68770 15810 ) ( * 18190 )
NEW met1 ( 34270 18190 ) ( 68770 * )
NEW met1 ( 68770 15810 ) ( 94990 * )
NEW met1 ( 34270 18190 ) M1M2_PR
NEW li1 ( 34270 15130 ) L1M1_PR_MR
NEW met1 ( 34270 15130 ) M1M2_PR
NEW li1 ( 94990 15810 ) L1M1_PR_MR
NEW met1 ( 68770 18190 ) M1M2_PR
NEW met1 ( 68770 15810 ) M1M2_PR
NEW met1 ( 34270 15130 ) RECT ( -355 -70 0 70 ) ;
- net155 ( output155 A ) ( _101_ X ) + USE SIGNAL
+ ROUTED met1 ( 12190 15130 ) ( 12650 * )
NEW met1 ( 9430 87550 ) ( 12190 * )
NEW met2 ( 12190 15130 ) ( * 87550 )
NEW met1 ( 12190 15130 ) M1M2_PR
NEW li1 ( 12650 15130 ) L1M1_PR_MR
NEW met1 ( 12190 87550 ) M1M2_PR
NEW li1 ( 9430 87550 ) L1M1_PR_MR ;
- net156 ( output156 A ) ( _102_ X ) + USE SIGNAL
+ ROUTED met1 ( 124430 164390 ) ( * 164730 )
NEW met1 ( 124430 164730 ) ( 138690 * )
NEW met1 ( 138690 164730 ) ( * 165070 )
NEW li1 ( 124430 164390 ) L1M1_PR_MR
NEW li1 ( 138690 165070 ) L1M1_PR_MR ;
- net157 ( output157 A ) ( _103_ X ) + USE SIGNAL
+ ROUTED met2 ( 77970 162690 ) ( * 164390 )
NEW met1 ( 77970 164390 ) ( 81190 * )
NEW li1 ( 77970 162690 ) L1M1_PR_MR
NEW met1 ( 77970 162690 ) M1M2_PR
NEW met1 ( 77970 164390 ) M1M2_PR
NEW li1 ( 81190 164390 ) L1M1_PR_MR
NEW met1 ( 77970 162690 ) RECT ( -355 -70 0 70 ) ;
- net158 ( output158 A ) ( _104_ X ) + USE SIGNAL
+ ROUTED met2 ( 87630 12070 ) ( * 16830 )
NEW met1 ( 84410 16830 ) ( 87630 * )
NEW li1 ( 87630 12070 ) L1M1_PR_MR
NEW met1 ( 87630 12070 ) M1M2_PR
NEW met1 ( 87630 16830 ) M1M2_PR
NEW li1 ( 84410 16830 ) L1M1_PR_MR
NEW met1 ( 87630 12070 ) RECT ( -355 -70 0 70 ) ;
- net159 ( ANTENNA_output159_A DIODE ) ( output159 A ) ( _105_ X ) + USE SIGNAL
+ ROUTED met1 ( 93610 96050 ) ( 143750 * )
NEW met1 ( 143750 167450 ) ( 144670 * )
NEW met2 ( 143750 163710 ) ( * 167450 )
NEW met2 ( 143750 96050 ) ( * 163710 )
NEW li1 ( 93610 96050 ) L1M1_PR_MR
NEW met1 ( 143750 96050 ) M1M2_PR
NEW li1 ( 143750 163710 ) L1M1_PR_MR
NEW met1 ( 143750 163710 ) M1M2_PR
NEW li1 ( 144670 167450 ) L1M1_PR_MR
NEW met1 ( 143750 167450 ) M1M2_PR
NEW met1 ( 143750 163710 ) RECT ( -355 -70 0 70 ) ;
- net16 ( input16 X ) ( _069_ A ) + USE SIGNAL
+ ROUTED met1 ( 153410 167110 ) ( 156170 * )
NEW met2 ( 156170 91630 ) ( * 167110 )
NEW li1 ( 156170 91630 ) L1M1_PR_MR
NEW met1 ( 156170 91630 ) M1M2_PR
NEW met1 ( 156170 167110 ) M1M2_PR
NEW li1 ( 153410 167110 ) L1M1_PR_MR
NEW met1 ( 156170 91630 ) RECT ( -355 -70 0 70 ) ;
- net160 ( output160 A ) ( _078_ X ) + USE SIGNAL
+ ROUTED met1 ( 150190 87890 ) ( 162150 * )
NEW met1 ( 162150 36890 ) ( 170430 * )
NEW met2 ( 162150 36890 ) ( * 87890 )
NEW met1 ( 162150 87890 ) M1M2_PR
NEW li1 ( 150190 87890 ) L1M1_PR_MR
NEW met1 ( 162150 36890 ) M1M2_PR
NEW li1 ( 170430 36890 ) L1M1_PR_MR ;
- net161 ( ANTENNA_output161_A DIODE ) ( output161 A ) ( _106_ X ) + USE SIGNAL
+ ROUTED met1 ( 12190 90610 ) ( 15410 * )
NEW met1 ( 15410 90270 ) ( * 90610 )
NEW met1 ( 8510 148070 ) ( 12190 * )
NEW met1 ( 12190 147390 ) ( * 148070 )
NEW met2 ( 12190 90610 ) ( * 147390 )
NEW met1 ( 35190 90270 ) ( * 90610 )
NEW met1 ( 35190 90610 ) ( 78430 * )
NEW met1 ( 15410 90270 ) ( 35190 * )
NEW met1 ( 12190 90610 ) M1M2_PR
NEW li1 ( 12190 147390 ) L1M1_PR_MR
NEW met1 ( 12190 147390 ) M1M2_PR
NEW li1 ( 8510 148070 ) L1M1_PR_MR
NEW li1 ( 78430 90610 ) L1M1_PR_MR
NEW met1 ( 12190 147390 ) RECT ( -355 -70 0 70 ) ;
- net162 ( output162 A ) ( _107_ X ) + USE SIGNAL
+ ROUTED met1 ( 8510 39270 ) ( 54970 * )
NEW met2 ( 54970 26690 ) ( * 39270 )
NEW li1 ( 8510 39270 ) L1M1_PR_MR
NEW li1 ( 54970 26690 ) L1M1_PR_MR
NEW met1 ( 54970 26690 ) M1M2_PR
NEW met1 ( 54970 39270 ) M1M2_PR
NEW met1 ( 54970 26690 ) RECT ( -355 -70 0 70 ) ;
- net163 ( output163 A ) ( _079_ X ) + USE SIGNAL
+ ROUTED met2 ( 76130 9010 ) ( * 14110 )
NEW met1 ( 40250 9010 ) ( 76130 * )
NEW met2 ( 40250 9010 ) ( * 12070 )
NEW met1 ( 38870 12070 ) ( 40250 * )
NEW met1 ( 76130 14110 ) ( 84870 * )
NEW li1 ( 84870 14110 ) L1M1_PR_MR
NEW met1 ( 76130 14110 ) M1M2_PR
NEW met1 ( 76130 9010 ) M1M2_PR
NEW met1 ( 40250 9010 ) M1M2_PR
NEW met1 ( 40250 12070 ) M1M2_PR
NEW li1 ( 38870 12070 ) L1M1_PR_MR ;
- net164 ( output164 A ) ( _080_ X ) + USE SIGNAL
+ ROUTED met2 ( 72910 12750 ) ( * 18530 )
NEW met1 ( 63250 12750 ) ( 72910 * )
NEW met1 ( 63250 12070 ) ( * 12750 )
NEW met1 ( 72910 18530 ) ( 91770 * )
NEW li1 ( 91770 18530 ) L1M1_PR_MR
NEW met1 ( 72910 18530 ) M1M2_PR
NEW met1 ( 72910 12750 ) M1M2_PR
NEW li1 ( 63250 12070 ) L1M1_PR_MR ;
- net165 ( ANTENNA_output165_A DIODE ) ( output165 A ) ( _081_ X ) + USE SIGNAL
+ ROUTED met1 ( 8510 36890 ) ( 10350 * )
NEW met2 ( 10350 35870 ) ( * 36890 )
NEW met2 ( 10350 22270 ) ( * 35870 )
NEW met1 ( 10350 22270 ) ( 34500 * )
NEW met1 ( 34500 22270 ) ( * 22950 )
NEW met1 ( 34500 22950 ) ( 92230 * )
NEW met1 ( 10350 22270 ) M1M2_PR
NEW li1 ( 92230 22950 ) L1M1_PR_MR
NEW li1 ( 10350 35870 ) L1M1_PR_MR
NEW met1 ( 10350 35870 ) M1M2_PR
NEW li1 ( 8510 36890 ) L1M1_PR_MR
NEW met1 ( 10350 36890 ) M1M2_PR
NEW met1 ( 10350 35870 ) RECT ( -355 -70 0 70 ) ;
- net166 ( output166 A ) ( _082_ X ) + USE SIGNAL
+ ROUTED met1 ( 169970 102850 ) ( 170430 * )
NEW met2 ( 170430 102850 ) ( * 123930 )
NEW li1 ( 169970 102850 ) L1M1_PR_MR
NEW met1 ( 170430 102850 ) M1M2_PR
NEW li1 ( 170430 123930 ) L1M1_PR_MR
NEW met1 ( 170430 123930 ) M1M2_PR
NEW met1 ( 170430 123930 ) RECT ( -355 -70 0 70 ) ;
- net167 ( ANTENNA_output167_A DIODE ) ( output167 A ) ( _083_ X ) + USE SIGNAL
+ ROUTED met1 ( 103270 11730 ) ( * 12070 )
NEW met1 ( 94070 11730 ) ( 103270 * )
NEW met1 ( 103270 12070 ) ( 104650 * )
NEW met1 ( 92230 93330 ) ( 93610 * )
NEW met1 ( 92230 92990 ) ( * 93330 )
NEW met1 ( 87630 92990 ) ( 92230 * )
NEW met2 ( 93610 82800 ) ( * 93330 )
NEW met2 ( 93610 82800 ) ( 94070 * )
NEW met2 ( 94070 11730 ) ( * 82800 )
NEW li1 ( 103270 12070 ) L1M1_PR_MR
NEW met1 ( 94070 11730 ) M1M2_PR
NEW li1 ( 104650 12070 ) L1M1_PR_MR
NEW met1 ( 93610 93330 ) M1M2_PR
NEW li1 ( 87630 92990 ) L1M1_PR_MR ;
- net168 ( ANTENNA_output168_A DIODE ) ( output168 A ) ( _084_ X ) + USE SIGNAL
+ ROUTED met1 ( 89930 86530 ) ( 129490 * )
NEW met1 ( 128110 167450 ) ( 129490 * )
NEW met2 ( 129490 86530 ) ( * 167450 )
NEW li1 ( 89930 86530 ) L1M1_PR_MR
NEW met1 ( 129490 86530 ) M1M2_PR
NEW li1 ( 129490 167450 ) L1M1_PR_MR
NEW met1 ( 129490 167450 ) M1M2_PR
NEW li1 ( 128110 167450 ) L1M1_PR_MR
NEW met1 ( 129490 167450 ) RECT ( -355 -70 0 70 ) ;
- net169 ( output169 A ) ( _085_ X ) + USE SIGNAL
+ ROUTED met1 ( 126270 164050 ) ( * 164390 )
NEW met1 ( 123970 164050 ) ( 126270 * )
NEW met1 ( 123970 164050 ) ( * 164390 )
NEW met1 ( 110630 164390 ) ( 123970 * )
NEW met1 ( 110630 164390 ) ( * 165070 )
NEW li1 ( 126270 164390 ) L1M1_PR_MR
NEW li1 ( 110630 165070 ) L1M1_PR_MR ;
- net17 ( input17 X ) ( _013_ A ) ( _015_ A1 ) ( _017_ B_N ) + USE SIGNAL
+ ROUTED met1 ( 103730 126310 ) ( 104190 * )
NEW met2 ( 104190 117810 ) ( * 126310 )
NEW met1 ( 104650 129370 ) ( 106490 * )
NEW met2 ( 104650 128860 ) ( * 129370 )
NEW met2 ( 104190 128860 ) ( 104650 * )
NEW met2 ( 104190 126310 ) ( * 128860 )
NEW met2 ( 104650 129370 ) ( * 131070 )
NEW met1 ( 104190 117810 ) ( 170430 * )
NEW li1 ( 170430 117810 ) L1M1_PR_MR
NEW li1 ( 103730 126310 ) L1M1_PR_MR
NEW met1 ( 104190 126310 ) M1M2_PR
NEW met1 ( 104190 117810 ) M1M2_PR
NEW li1 ( 106490 129370 ) L1M1_PR_MR
NEW met1 ( 104650 129370 ) M1M2_PR
NEW li1 ( 104650 131070 ) L1M1_PR_MR
NEW met1 ( 104650 131070 ) M1M2_PR
NEW met1 ( 104650 131070 ) RECT ( -355 -70 0 70 ) ;
- net170 ( ANTENNA_output170_A DIODE ) ( output170 A ) ( _016_ X ) + USE SIGNAL
+ ROUTED met2 ( 14950 132090 ) ( * 158950 )
NEW met1 ( 11270 158950 ) ( 14950 * )
NEW met1 ( 14950 132090 ) ( 98210 * )
NEW li1 ( 14950 158950 ) L1M1_PR_MR
NEW met1 ( 14950 158950 ) M1M2_PR
NEW met1 ( 14950 132090 ) M1M2_PR
NEW li1 ( 11270 158950 ) L1M1_PR_MR
NEW li1 ( 98210 132090 ) L1M1_PR_MR
NEW met1 ( 14950 158950 ) RECT ( -355 -70 0 70 ) ;
- net171 ( ANTENNA_output171_A DIODE ) ( output171 A ) ( _020_ X ) + USE SIGNAL
+ ROUTED met2 ( 32430 137190 ) ( * 163710 )
NEW met1 ( 31050 164390 ) ( 32430 * )
NEW met1 ( 32430 163710 ) ( * 164390 )
NEW met1 ( 32430 137190 ) ( 98210 * )
NEW li1 ( 32430 163710 ) L1M1_PR_MR
NEW met1 ( 32430 163710 ) M1M2_PR
NEW met1 ( 32430 137190 ) M1M2_PR
NEW li1 ( 31050 164390 ) L1M1_PR_MR
NEW li1 ( 98210 137190 ) L1M1_PR_MR
NEW met1 ( 32430 163710 ) RECT ( -355 -70 0 70 ) ;
- net172 ( ANTENNA_output172_A DIODE ) ( output172 A ) ( _022_ X ) + USE SIGNAL
+ ROUTED met1 ( 124430 15130 ) ( 125810 * )
NEW met2 ( 125810 15130 ) ( * 15810 )
NEW met2 ( 125810 15810 ) ( * 129030 )
NEW met1 ( 110400 129030 ) ( 125810 * )
NEW met1 ( 101890 130050 ) ( 110400 * )
NEW met1 ( 110400 129030 ) ( * 130050 )
NEW li1 ( 125810 15810 ) L1M1_PR_MR
NEW met1 ( 125810 15810 ) M1M2_PR
NEW li1 ( 124430 15130 ) L1M1_PR_MR
NEW met1 ( 125810 15130 ) M1M2_PR
NEW met1 ( 125810 129030 ) M1M2_PR
NEW li1 ( 101890 130050 ) L1M1_PR_MR
NEW met1 ( 125810 15810 ) RECT ( -355 -70 0 70 ) ;
- net173 ( ANTENNA_output173_A DIODE ) ( output173 A ) ( _024_ X ) + USE SIGNAL
+ ROUTED met1 ( 8510 44370 ) ( * 44710 )
NEW met1 ( 8510 44370 ) ( 10350 * )
NEW met1 ( 10350 44370 ) ( 96830 * )
NEW met1 ( 96830 135490 ) ( 98210 * )
NEW met2 ( 96830 44370 ) ( * 135490 )
NEW li1 ( 10350 44370 ) L1M1_PR_MR
NEW li1 ( 8510 44710 ) L1M1_PR_MR
NEW met1 ( 96830 44370 ) M1M2_PR
NEW li1 ( 98210 135490 ) L1M1_PR_MR
NEW met1 ( 96830 135490 ) M1M2_PR ;
- net174 ( ANTENNA_output174_A DIODE ) ( output174 A ) ( _026_ X ) + USE SIGNAL
+ ROUTED met1 ( 10350 152830 ) ( 10810 * )
NEW met1 ( 8510 153510 ) ( 10350 * )
NEW met1 ( 10350 152830 ) ( * 153510 )
NEW met2 ( 10810 94350 ) ( * 152830 )
NEW met1 ( 10810 94350 ) ( 148810 * )
NEW met1 ( 10810 94350 ) M1M2_PR
NEW li1 ( 10350 152830 ) L1M1_PR_MR
NEW met1 ( 10810 152830 ) M1M2_PR
NEW li1 ( 8510 153510 ) L1M1_PR_MR
NEW li1 ( 148810 94350 ) L1M1_PR_MR ;
- net175 ( output175 A ) ( _108_ X ) + USE SIGNAL
+ ROUTED met1 ( 170430 85850 ) ( * 86190 )
NEW met1 ( 150190 86190 ) ( 170430 * )
NEW met1 ( 150190 86190 ) ( * 86530 )
NEW li1 ( 170430 85850 ) L1M1_PR_MR
NEW li1 ( 150190 86530 ) L1M1_PR_MR ;
- net18 ( ANTENNA__014__B DIODE ) ( ANTENNA__012__B DIODE ) ( input18 X ) ( _012_ B ) ( _014_ B ) + USE SIGNAL
+ ROUTED met1 ( 110400 135490 ) ( 124430 * )
NEW met1 ( 103270 137190 ) ( 106490 * )
NEW met2 ( 103270 134470 ) ( * 137190 )
NEW met1 ( 103270 134470 ) ( 109250 * )
NEW met1 ( 110400 134470 ) ( * 135490 )
NEW met1 ( 109250 134470 ) ( 110400 * )
NEW met1 ( 124430 162690 ) ( 144210 * )
NEW met2 ( 144210 162690 ) ( * 163710 )
NEW met2 ( 124430 135490 ) ( * 162690 )
NEW met1 ( 144210 163710 ) ( 170890 * )
NEW met1 ( 124430 135490 ) M1M2_PR
NEW li1 ( 170890 163710 ) L1M1_PR_MR
NEW li1 ( 106490 137190 ) L1M1_PR_MR
NEW li1 ( 103270 137190 ) L1M1_PR_MR
NEW li1 ( 103270 134470 ) L1M1_PR_MR
NEW met1 ( 103270 134470 ) M1M2_PR
NEW met1 ( 103270 137190 ) M1M2_PR
NEW li1 ( 109250 134470 ) L1M1_PR_MR
NEW met1 ( 124430 162690 ) M1M2_PR
NEW met1 ( 144210 162690 ) M1M2_PR
NEW met1 ( 144210 163710 ) M1M2_PR
NEW met1 ( 103270 134470 ) RECT ( -355 -70 0 70 )
NEW met1 ( 103270 137190 ) RECT ( 0 -70 595 70 ) ;
- net19 ( ANTENNA__014__A DIODE ) ( ANTENNA__012__A DIODE ) ( input19 X ) ( _012_ A ) ( _014_ A ) + USE SIGNAL
+ ROUTED met1 ( 19550 167450 ) ( * 167790 )
NEW met1 ( 14950 167450 ) ( 19550 * )
NEW met1 ( 83490 169490 ) ( 101430 * )
NEW met2 ( 83490 168130 ) ( * 169490 )
NEW met1 ( 49910 167790 ) ( * 168130 )
NEW met1 ( 19550 167790 ) ( 49910 * )
NEW met1 ( 49910 168130 ) ( 83490 * )
NEW met1 ( 101430 137190 ) ( 102350 * )
NEW met2 ( 101430 137190 ) ( * 140930 )
NEW met1 ( 102350 137530 ) ( 104650 * )
NEW met1 ( 102350 137190 ) ( * 137530 )
NEW met1 ( 101430 134810 ) ( 103730 * )
NEW met2 ( 101430 134810 ) ( * 137190 )
NEW met2 ( 101430 140930 ) ( * 169490 )
NEW li1 ( 14950 167450 ) L1M1_PR_MR
NEW met1 ( 101430 169490 ) M1M2_PR
NEW met1 ( 83490 169490 ) M1M2_PR
NEW met1 ( 83490 168130 ) M1M2_PR
NEW li1 ( 101430 140930 ) L1M1_PR_MR
NEW met1 ( 101430 140930 ) M1M2_PR
NEW li1 ( 102350 137190 ) L1M1_PR_MR
NEW met1 ( 101430 137190 ) M1M2_PR
NEW li1 ( 104650 137530 ) L1M1_PR_MR
NEW li1 ( 103730 134810 ) L1M1_PR_MR
NEW met1 ( 101430 134810 ) M1M2_PR
NEW met1 ( 101430 140930 ) RECT ( -355 -70 0 70 ) ;
- net2 ( input2 X ) ( _070_ A ) + USE SIGNAL
+ ROUTED met1 ( 109250 13090 ) ( 116610 * )
NEW met2 ( 109250 13090 ) ( * 15130 )
NEW met1 ( 100970 15130 ) ( 109250 * )
NEW li1 ( 116610 13090 ) L1M1_PR_MR
NEW met1 ( 109250 13090 ) M1M2_PR
NEW met1 ( 109250 15130 ) M1M2_PR
NEW li1 ( 100970 15130 ) L1M1_PR_MR ;
- net20 ( input20 X ) ( _025_ B ) + USE SIGNAL
+ ROUTED met2 ( 147890 91630 ) ( * 92990 )
NEW met1 ( 147890 92990 ) ( 170890 * )
NEW li1 ( 147890 91630 ) L1M1_PR_MR
NEW met1 ( 147890 91630 ) M1M2_PR
NEW met1 ( 147890 92990 ) M1M2_PR
NEW li1 ( 170890 92990 ) L1M1_PR_MR
NEW met1 ( 147890 91630 ) RECT ( -355 -70 0 70 ) ;
- net21 ( ANTENNA__019__B DIODE ) ( ANTENNA__015__B1 DIODE ) ( input21 X ) ( _015_ B1 ) ( _019_ B ) + USE SIGNAL
+ ROUTED met2 ( 161690 133790 ) ( * 166770 )
NEW met1 ( 111550 133790 ) ( 161690 * )
NEW met1 ( 103730 132090 ) ( 109710 * )
NEW met1 ( 103730 131750 ) ( * 132090 )
NEW met2 ( 109710 132090 ) ( * 133790 )
NEW met1 ( 106950 134810 ) ( 109710 * )
NEW met2 ( 109710 133790 ) ( * 134810 )
NEW met1 ( 109710 133790 ) ( 111550 * )
NEW li1 ( 111550 133790 ) L1M1_PR_MR
NEW met1 ( 161690 133790 ) M1M2_PR
NEW li1 ( 161690 166770 ) L1M1_PR_MR
NEW met1 ( 161690 166770 ) M1M2_PR
NEW li1 ( 109710 132090 ) L1M1_PR_MR
NEW li1 ( 103730 131750 ) L1M1_PR_MR
NEW met1 ( 109710 133790 ) M1M2_PR
NEW met1 ( 109710 132090 ) M1M2_PR
NEW li1 ( 106950 134810 ) L1M1_PR_MR
NEW met1 ( 109710 134810 ) M1M2_PR
NEW met1 ( 161690 166770 ) RECT ( -355 -70 0 70 )
NEW met1 ( 109710 132090 ) RECT ( -595 -70 0 70 ) ;
- net22 ( ANTENNA__024__B1_N DIODE ) ( ANTENNA__022__B1_N DIODE ) ( ANTENNA__020__A1 DIODE ) ( ANTENNA__019__A DIODE ) ( ANTENNA__016__C1 DIODE ) ( input22 X ) ( _016_ C1 )
( _019_ A ) ( _020_ A1 ) ( _022_ B1_N ) ( _024_ B1_N ) + USE SIGNAL
+ ROUTED met2 ( 58190 166770 ) ( * 169830 )
NEW met1 ( 29670 166770 ) ( 58190 * )
NEW met1 ( 58190 169830 ) ( 92230 * )
NEW met1 ( 92230 135490 ) ( 93150 * )
NEW met1 ( 92230 136510 ) ( 96370 * )
NEW met1 ( 93150 134810 ) ( 97750 * )
NEW met1 ( 93150 134810 ) ( * 135490 )
NEW met1 ( 99130 136850 ) ( * 137190 )
NEW met1 ( 96370 136850 ) ( 99130 * )
NEW met1 ( 96370 136510 ) ( * 136850 )
NEW met1 ( 94990 130050 ) ( 95450 * )
NEW met2 ( 95450 130050 ) ( * 134810 )
NEW met2 ( 101430 131750 ) ( * 134130 )
NEW met1 ( 97750 134130 ) ( 101430 * )
NEW met1 ( 97750 134130 ) ( * 134810 )
NEW met1 ( 101430 129370 ) ( 102350 * )
NEW met2 ( 101430 129370 ) ( * 131750 )
NEW met1 ( 106030 134810 ) ( * 135150 )
NEW met1 ( 100970 135150 ) ( 106030 * )
NEW met1 ( 100970 134130 ) ( * 135150 )
NEW met2 ( 108330 135150 ) ( * 136510 )
NEW met1 ( 106030 135150 ) ( 108330 * )
NEW met1 ( 99130 124610 ) ( 101430 * )
NEW met2 ( 101430 124610 ) ( * 129370 )
NEW met2 ( 92230 135490 ) ( * 169830 )
NEW li1 ( 29670 166770 ) L1M1_PR_MR
NEW met1 ( 92230 169830 ) M1M2_PR
NEW met1 ( 58190 166770 ) M1M2_PR
NEW met1 ( 58190 169830 ) M1M2_PR
NEW li1 ( 93150 135490 ) L1M1_PR_MR
NEW met1 ( 92230 135490 ) M1M2_PR
NEW li1 ( 96370 136510 ) L1M1_PR_MR
NEW met1 ( 92230 136510 ) M1M2_PR
NEW li1 ( 97750 134810 ) L1M1_PR_MR
NEW li1 ( 99130 137190 ) L1M1_PR_MR
NEW li1 ( 94990 130050 ) L1M1_PR_MR
NEW met1 ( 95450 130050 ) M1M2_PR
NEW met1 ( 95450 134810 ) M1M2_PR
NEW li1 ( 101430 131750 ) L1M1_PR_MR
NEW met1 ( 101430 131750 ) M1M2_PR
NEW met1 ( 101430 134130 ) M1M2_PR
NEW li1 ( 102350 129370 ) L1M1_PR_MR
NEW met1 ( 101430 129370 ) M1M2_PR
NEW li1 ( 106030 134810 ) L1M1_PR_MR
NEW li1 ( 108330 136510 ) L1M1_PR_MR
NEW met1 ( 108330 136510 ) M1M2_PR
NEW met1 ( 108330 135150 ) M1M2_PR
NEW li1 ( 99130 124610 ) L1M1_PR_MR
NEW met1 ( 101430 124610 ) M1M2_PR
NEW met2 ( 92230 136510 ) RECT ( -70 -485 70 0 )
NEW met1 ( 95450 134810 ) RECT ( -595 -70 0 70 )
NEW met1 ( 101430 131750 ) RECT ( 0 -70 355 70 )
NEW met1 ( 108330 136510 ) RECT ( -355 -70 0 70 ) ;
- net23 ( ANTENNA__076__A DIODE ) ( input23 X ) ( _076_ A ) + USE SIGNAL
+ ROUTED met2 ( 94990 41650 ) ( * 73950 )
NEW met1 ( 93150 74970 ) ( 94990 * )
NEW met2 ( 94990 73950 ) ( * 74970 )
NEW met1 ( 94990 41650 ) ( 170430 * )
NEW li1 ( 94990 73950 ) L1M1_PR_MR
NEW met1 ( 94990 73950 ) M1M2_PR
NEW met1 ( 94990 41650 ) M1M2_PR
NEW li1 ( 93150 74970 ) L1M1_PR_MR
NEW met1 ( 94990 74970 ) M1M2_PR
NEW li1 ( 170430 41650 ) L1M1_PR_MR
NEW met1 ( 94990 73950 ) RECT ( -355 -70 0 70 ) ;
- net24 ( input24 X ) ( _086_ A ) + USE SIGNAL
+ ROUTED met1 ( 86710 49810 ) ( 87170 * )
NEW met2 ( 86710 13090 ) ( * 49810 )
NEW met1 ( 81650 13090 ) ( 86710 * )
NEW met1 ( 86710 13090 ) M1M2_PR
NEW met1 ( 86710 49810 ) M1M2_PR
NEW li1 ( 87170 49810 ) L1M1_PR_MR
NEW li1 ( 81650 13090 ) L1M1_PR_MR ;
- net25 ( input25 X ) ( _087_ A ) + USE SIGNAL
+ ROUTED met1 ( 10350 17510 ) ( 13570 * )
NEW met2 ( 10350 17510 ) ( * 19550 )
NEW li1 ( 13570 17510 ) L1M1_PR_MR
NEW met1 ( 10350 17510 ) M1M2_PR
NEW li1 ( 10350 19550 ) L1M1_PR_MR
NEW met1 ( 10350 19550 ) M1M2_PR
NEW met1 ( 10350 19550 ) RECT ( -355 -70 0 70 ) ;
- net26 ( input26 X ) ( _088_ A ) + USE SIGNAL
+ ROUTED met1 ( 154330 140250 ) ( 154790 * )
NEW met1 ( 143290 166430 ) ( 154330 * )
NEW met2 ( 154330 140250 ) ( * 166430 )
NEW li1 ( 154790 140250 ) L1M1_PR_MR
NEW met1 ( 154330 140250 ) M1M2_PR
NEW met1 ( 154330 166430 ) M1M2_PR
NEW li1 ( 143290 166430 ) L1M1_PR_MR ;
- net27 ( input27 X ) ( _089_ A ) + USE SIGNAL
+ ROUTED met1 ( 168130 149090 ) ( 170890 * )
NEW met2 ( 168130 149090 ) ( * 158950 )
NEW li1 ( 170890 149090 ) L1M1_PR_MR
NEW met1 ( 168130 149090 ) M1M2_PR
NEW li1 ( 168130 158950 ) L1M1_PR_MR
NEW met1 ( 168130 158950 ) M1M2_PR
NEW met1 ( 168130 158950 ) RECT ( -355 -70 0 70 ) ;
- net28 ( input28 X ) ( _090_ A ) + USE SIGNAL
+ ROUTED met2 ( 92690 9690 ) ( * 15130 )
NEW met2 ( 55890 9690 ) ( * 11390 )
NEW met1 ( 55890 9690 ) ( 92690 * )
NEW met1 ( 92690 9690 ) M1M2_PR
NEW li1 ( 92690 15130 ) L1M1_PR_MR
NEW met1 ( 92690 15130 ) M1M2_PR
NEW met1 ( 55890 9690 ) M1M2_PR
NEW li1 ( 55890 11390 ) L1M1_PR_MR
NEW met1 ( 55890 11390 ) M1M2_PR
NEW met1 ( 92690 15130 ) RECT ( -355 -70 0 70 )
NEW met1 ( 55890 11390 ) RECT ( -355 -70 0 70 ) ;
- net29 ( ANTENNA__091__A DIODE ) ( input29 X ) ( _091_ A ) + USE SIGNAL
+ ROUTED met1 ( 92690 162010 ) ( 94990 * )
NEW met1 ( 9890 151130 ) ( 34500 * )
NEW met1 ( 34500 150790 ) ( * 151130 )
NEW met1 ( 34500 150790 ) ( 92690 * )
NEW met2 ( 92690 150790 ) ( * 162010 )
NEW li1 ( 9890 151130 ) L1M1_PR_MR
NEW li1 ( 92690 162010 ) L1M1_PR_MR
NEW met1 ( 92690 162010 ) M1M2_PR
NEW li1 ( 94990 162010 ) L1M1_PR_MR
NEW met1 ( 92690 150790 ) M1M2_PR
NEW met1 ( 92690 162010 ) RECT ( -355 -70 0 70 ) ;
- net3 ( input3 X ) ( _071_ A ) + USE SIGNAL
+ ROUTED met2 ( 59570 156910 ) ( * 166430 )
NEW met1 ( 59570 156910 ) ( 89470 * )
NEW met1 ( 59570 156910 ) M1M2_PR
NEW li1 ( 59570 166430 ) L1M1_PR_MR
NEW met1 ( 59570 166430 ) M1M2_PR
NEW li1 ( 89470 156910 ) L1M1_PR_MR
NEW met1 ( 59570 166430 ) RECT ( -355 -70 0 70 ) ;
- net30 ( input30 X ) ( _092_ A ) + USE SIGNAL
+ ROUTED met1 ( 31510 126310 ) ( 45770 * )
NEW met2 ( 45770 126310 ) ( * 163710 )
NEW li1 ( 31510 126310 ) L1M1_PR_MR
NEW met1 ( 45770 126310 ) M1M2_PR
NEW li1 ( 45770 163710 ) L1M1_PR_MR
NEW met1 ( 45770 163710 ) M1M2_PR
NEW met1 ( 45770 163710 ) RECT ( -355 -70 0 70 ) ;
- net31 ( ANTENNA__093__A DIODE ) ( input31 X ) ( _093_ A ) + USE SIGNAL
+ ROUTED met1 ( 91310 132770 ) ( 105570 * )
NEW met2 ( 105570 131750 ) ( * 132770 )
NEW met1 ( 89930 134810 ) ( 91310 * )
NEW met2 ( 91310 132770 ) ( * 134810 )
NEW met1 ( 105570 131750 ) ( 156630 * )
NEW met2 ( 156630 131750 ) ( * 164390 )
NEW met1 ( 156630 131750 ) M1M2_PR
NEW li1 ( 91310 132770 ) L1M1_PR_MR
NEW met1 ( 105570 132770 ) M1M2_PR
NEW met1 ( 105570 131750 ) M1M2_PR
NEW li1 ( 89930 134810 ) L1M1_PR_MR
NEW met1 ( 91310 134810 ) M1M2_PR
NEW met1 ( 91310 132770 ) M1M2_PR
NEW li1 ( 156630 164390 ) L1M1_PR_MR
NEW met1 ( 156630 164390 ) M1M2_PR
NEW met1 ( 91310 132770 ) RECT ( -595 -70 0 70 )
NEW met1 ( 156630 164390 ) RECT ( -355 -70 0 70 ) ;
- net32 ( input32 X ) ( _094_ A ) + USE SIGNAL
+ ROUTED met2 ( 158930 93330 ) ( * 97410 )
NEW met1 ( 158930 97410 ) ( 170890 * )
NEW met1 ( 117070 93330 ) ( 158930 * )
NEW li1 ( 117070 93330 ) L1M1_PR_MR
NEW met1 ( 158930 93330 ) M1M2_PR
NEW met1 ( 158930 97410 ) M1M2_PR
NEW li1 ( 170890 97410 ) L1M1_PR_MR ;
- net33 ( input33 X ) ( _095_ A ) + USE SIGNAL
+ ROUTED met1 ( 8510 161670 ) ( 8970 * )
NEW met2 ( 8970 91630 ) ( * 161670 )
NEW li1 ( 8970 91630 ) L1M1_PR_MR
NEW met1 ( 8970 91630 ) M1M2_PR
NEW met1 ( 8970 161670 ) M1M2_PR
NEW li1 ( 8510 161670 ) L1M1_PR_MR
NEW met1 ( 8970 91630 ) RECT ( -355 -70 0 70 ) ;
- net34 ( input34 X ) ( _077_ A ) + USE SIGNAL
+ ROUTED met1 ( 122590 167110 ) ( 123510 * )
NEW met2 ( 122590 91630 ) ( * 167110 )
NEW li1 ( 122590 91630 ) L1M1_PR_MR
NEW met1 ( 122590 91630 ) M1M2_PR
NEW met1 ( 122590 167110 ) M1M2_PR
NEW li1 ( 123510 167110 ) L1M1_PR_MR
NEW met1 ( 122590 91630 ) RECT ( -355 -70 0 70 ) ;
- net35 ( input35 X ) ( _096_ A ) + USE SIGNAL
+ ROUTED met2 ( 158930 107610 ) ( * 113050 )
NEW met1 ( 158930 107610 ) ( 170430 * )
NEW met1 ( 90390 113050 ) ( 158930 * )
NEW met1 ( 158930 113050 ) M1M2_PR
NEW met1 ( 158930 107610 ) M1M2_PR
NEW li1 ( 170430 107610 ) L1M1_PR_MR
NEW li1 ( 90390 113050 ) L1M1_PR_MR ;
- net36 ( input36 X ) ( _097_ A ) + USE SIGNAL
+ ROUTED met1 ( 8050 78370 ) ( 12650 * )
NEW met2 ( 12650 78370 ) ( * 87890 )
NEW li1 ( 12650 87890 ) L1M1_PR_MR
NEW met1 ( 12650 87890 ) M1M2_PR
NEW li1 ( 8050 78370 ) L1M1_PR_MR
NEW met1 ( 12650 78370 ) M1M2_PR
NEW met1 ( 12650 87890 ) RECT ( -355 -70 0 70 ) ;
- net37 ( input37 X ) ( _098_ A ) + USE SIGNAL
+ ROUTED met1 ( 25070 166770 ) ( * 167110 )
NEW met1 ( 9890 166770 ) ( 25070 * )
NEW met1 ( 9890 166770 ) ( * 167110 )
NEW met1 ( 82800 164390 ) ( 83950 * )
NEW met2 ( 52670 167110 ) ( * 169490 )
NEW met1 ( 52670 169490 ) ( 75210 * )
NEW met2 ( 75210 164730 ) ( * 169490 )
NEW met1 ( 75210 164730 ) ( 82800 * )
NEW met1 ( 82800 164390 ) ( * 164730 )
NEW met1 ( 25070 167110 ) ( 52670 * )
NEW li1 ( 9890 167110 ) L1M1_PR_MR
NEW li1 ( 83950 164390 ) L1M1_PR_MR
NEW met1 ( 52670 167110 ) M1M2_PR
NEW met1 ( 52670 169490 ) M1M2_PR
NEW met1 ( 75210 169490 ) M1M2_PR
NEW met1 ( 75210 164730 ) M1M2_PR ;
- net38 ( input38 X ) ( _099_ A ) + USE SIGNAL
+ ROUTED met1 ( 8050 91290 ) ( 12190 * )
NEW met2 ( 8050 53890 ) ( * 91290 )
NEW met1 ( 8050 91290 ) M1M2_PR
NEW li1 ( 12190 91290 ) L1M1_PR_MR
NEW li1 ( 8050 53890 ) L1M1_PR_MR
NEW met1 ( 8050 53890 ) M1M2_PR
NEW met1 ( 8050 53890 ) RECT ( -355 -70 0 70 ) ;
- net39 ( input39 X ) ( _100_ A ) + USE SIGNAL
+ ROUTED met1 ( 95910 15130 ) ( * 15470 )
NEW met2 ( 143290 13090 ) ( * 15470 )
NEW met1 ( 95910 15470 ) ( 143290 * )
NEW li1 ( 95910 15130 ) L1M1_PR_MR
NEW li1 ( 143290 13090 ) L1M1_PR_MR
NEW met1 ( 143290 13090 ) M1M2_PR
NEW met1 ( 143290 15470 ) M1M2_PR
NEW met1 ( 143290 13090 ) RECT ( -355 -70 0 70 ) ;
- net4 ( input4 X ) ( _072_ A ) + USE SIGNAL
+ ROUTED met1 ( 92690 22610 ) ( * 23290 )
NEW met1 ( 90390 22610 ) ( 92690 * )
NEW met2 ( 150650 23290 ) ( * 25670 )
NEW met1 ( 150650 25670 ) ( 170430 * )
NEW met1 ( 92690 23290 ) ( 150650 * )
NEW li1 ( 90390 22610 ) L1M1_PR_MR
NEW met1 ( 150650 23290 ) M1M2_PR
NEW met1 ( 150650 25670 ) M1M2_PR
NEW li1 ( 170430 25670 ) L1M1_PR_MR ;
- net40 ( input40 X ) ( _101_ A ) + USE SIGNAL
+ ROUTED met1 ( 8970 88230 ) ( 9890 * )
NEW met2 ( 9890 88230 ) ( * 92990 )
NEW li1 ( 8970 88230 ) L1M1_PR_MR
NEW met1 ( 9890 88230 ) M1M2_PR
NEW li1 ( 9890 92990 ) L1M1_PR_MR
NEW met1 ( 9890 92990 ) M1M2_PR
NEW met1 ( 9890 92990 ) RECT ( -355 -70 0 70 ) ;
- net41 ( input41 X ) ( _102_ A ) + USE SIGNAL
+ ROUTED met1 ( 165370 162350 ) ( * 162690 )
NEW met2 ( 139610 162350 ) ( * 164390 )
NEW met1 ( 139610 162350 ) ( 165370 * )
NEW li1 ( 165370 162690 ) L1M1_PR_MR
NEW met1 ( 139610 162350 ) M1M2_PR
NEW li1 ( 139610 164390 ) L1M1_PR_MR
NEW met1 ( 139610 164390 ) M1M2_PR
NEW met1 ( 139610 164390 ) RECT ( 0 -70 355 70 ) ;
- net42 ( input42 X ) ( _103_ A ) + USE SIGNAL
+ ROUTED met1 ( 56350 162010 ) ( 77050 * )
NEW met2 ( 56350 162010 ) ( * 163710 )
NEW li1 ( 77050 162010 ) L1M1_PR_MR
NEW met1 ( 56350 162010 ) M1M2_PR
NEW li1 ( 56350 163710 ) L1M1_PR_MR
NEW met1 ( 56350 163710 ) M1M2_PR
NEW met1 ( 56350 163710 ) RECT ( -355 -70 0 70 ) ;
- net43 ( input43 X ) ( _104_ A ) + USE SIGNAL
+ ROUTED met2 ( 12650 10370 ) ( * 12070 )
NEW met1 ( 9890 12070 ) ( 12650 * )
NEW met2 ( 83490 10030 ) ( * 17510 )
NEW met1 ( 58650 10030 ) ( * 10370 )
NEW met1 ( 12650 10370 ) ( 58650 * )
NEW met1 ( 58650 10030 ) ( 83490 * )
NEW met1 ( 12650 10370 ) M1M2_PR
NEW met1 ( 12650 12070 ) M1M2_PR
NEW li1 ( 9890 12070 ) L1M1_PR_MR
NEW met1 ( 83490 10030 ) M1M2_PR
NEW li1 ( 83490 17510 ) L1M1_PR_MR
NEW met1 ( 83490 17510 ) M1M2_PR
NEW met1 ( 83490 17510 ) RECT ( -355 -70 0 70 ) ;
- net44 ( ANTENNA__105__A DIODE ) ( input44 X ) ( _105_ A ) + USE SIGNAL
+ ROUTED met2 ( 16330 10030 ) ( * 12070 )
NEW met1 ( 14030 12070 ) ( 16330 * )
NEW met1 ( 91770 96730 ) ( 92690 * )
NEW met1 ( 92690 96730 ) ( 94990 * )
NEW met2 ( 91310 9350 ) ( * 34500 )
NEW met2 ( 91310 34500 ) ( 91770 * )
NEW met2 ( 91770 34500 ) ( * 96730 )
NEW met1 ( 16330 10030 ) ( 34500 * )
NEW met1 ( 34500 9350 ) ( * 10030 )
NEW met1 ( 34500 9350 ) ( 91310 * )
NEW met1 ( 16330 10030 ) M1M2_PR
NEW met1 ( 16330 12070 ) M1M2_PR
NEW li1 ( 14030 12070 ) L1M1_PR_MR
NEW met1 ( 91310 9350 ) M1M2_PR
NEW li1 ( 92690 96730 ) L1M1_PR_MR
NEW met1 ( 91770 96730 ) M1M2_PR
NEW li1 ( 94990 96730 ) L1M1_PR_MR ;
- net45 ( ANTENNA__078__A DIODE ) ( input45 X ) ( _078_ A ) + USE SIGNAL
+ ROUTED met1 ( 136850 88230 ) ( 149270 * )
NEW met1 ( 149270 88230 ) ( 151570 * )
NEW met1 ( 136390 167110 ) ( 136850 * )
NEW met2 ( 136850 88230 ) ( * 167110 )
NEW li1 ( 149270 88230 ) L1M1_PR_MR
NEW met1 ( 136850 88230 ) M1M2_PR
NEW li1 ( 151570 88230 ) L1M1_PR_MR
NEW met1 ( 136850 167110 ) M1M2_PR
NEW li1 ( 136390 167110 ) L1M1_PR_MR ;
- net46 ( ANTENNA__106__A DIODE ) ( input46 X ) ( _106_ A ) + USE SIGNAL
+ ROUTED met1 ( 79350 89250 ) ( 80270 * )
NEW met2 ( 79350 89250 ) ( * 91290 )
NEW met1 ( 82800 88910 ) ( * 89250 )
NEW met1 ( 80270 89250 ) ( 82800 * )
NEW met1 ( 82800 88910 ) ( 164910 * )
NEW met2 ( 164910 12750 ) ( * 88910 )
NEW li1 ( 80270 89250 ) L1M1_PR_MR
NEW met1 ( 79350 89250 ) M1M2_PR
NEW li1 ( 79350 91290 ) L1M1_PR_MR
NEW met1 ( 79350 91290 ) M1M2_PR
NEW li1 ( 164910 12750 ) L1M1_PR_MR
NEW met1 ( 164910 12750 ) M1M2_PR
NEW met1 ( 164910 88910 ) M1M2_PR
NEW met1 ( 79350 91290 ) RECT ( -355 -70 0 70 )
NEW met1 ( 164910 12750 ) RECT ( -355 -70 0 70 ) ;
- net47 ( input47 X ) ( _107_ A ) + USE SIGNAL
+ ROUTED met2 ( 68310 15810 ) ( * 26010 )
NEW met1 ( 55890 26010 ) ( 68310 * )
NEW li1 ( 68310 15810 ) L1M1_PR_MR
NEW met1 ( 68310 15810 ) M1M2_PR
NEW met1 ( 68310 26010 ) M1M2_PR
NEW li1 ( 55890 26010 ) L1M1_PR_MR
NEW met1 ( 68310 15810 ) RECT ( -355 -70 0 70 ) ;
- net48 ( input48 X ) ( _079_ A ) + USE SIGNAL
+ ROUTED met2 ( 85790 15130 ) ( * 17850 )
NEW met1 ( 150190 17510 ) ( * 17850 )
NEW met1 ( 150190 17510 ) ( 159390 * )
NEW met2 ( 159390 12410 ) ( * 17510 )
NEW met1 ( 159390 12410 ) ( 162610 * )
NEW met1 ( 162610 12070 ) ( * 12410 )
NEW met1 ( 162610 12070 ) ( 169050 * )
NEW met1 ( 85790 17850 ) ( 150190 * )
NEW met1 ( 85790 17850 ) M1M2_PR
NEW li1 ( 85790 15130 ) L1M1_PR_MR
NEW met1 ( 85790 15130 ) M1M2_PR
NEW met1 ( 159390 17510 ) M1M2_PR
NEW met1 ( 159390 12410 ) M1M2_PR
NEW li1 ( 169050 12070 ) L1M1_PR_MR
NEW met1 ( 85790 15130 ) RECT ( -355 -70 0 70 ) ;
- net49 ( input49 X ) ( _080_ A ) + USE SIGNAL
+ ROUTED met2 ( 148810 15810 ) ( * 17510 )
NEW met1 ( 92690 17510 ) ( 148810 * )
NEW li1 ( 92690 17510 ) L1M1_PR_MR
NEW li1 ( 148810 15810 ) L1M1_PR_MR
NEW met1 ( 148810 15810 ) M1M2_PR
NEW met1 ( 148810 17510 ) M1M2_PR
NEW met1 ( 148810 15810 ) RECT ( -355 -70 0 70 ) ;
- net5 ( input5 X ) ( _073_ A ) + USE SIGNAL
+ ROUTED met1 ( 17250 91630 ) ( 27830 * )
NEW met1 ( 8050 132430 ) ( 17250 * )
NEW met2 ( 17250 91630 ) ( * 132430 )
NEW met1 ( 17250 91630 ) M1M2_PR
NEW li1 ( 27830 91630 ) L1M1_PR_MR
NEW met1 ( 17250 132430 ) M1M2_PR
NEW li1 ( 8050 132430 ) L1M1_PR_MR ;
- net50 ( input50 X ) ( _081_ A ) + USE SIGNAL
+ ROUTED met1 ( 101890 15810 ) ( 110170 * )
NEW met2 ( 101890 15810 ) ( * 22610 )
NEW met1 ( 93150 22610 ) ( 101890 * )
NEW li1 ( 110170 15810 ) L1M1_PR_MR
NEW met1 ( 101890 15810 ) M1M2_PR
NEW met1 ( 101890 22610 ) M1M2_PR
NEW li1 ( 93150 22610 ) L1M1_PR_MR ;
- net51 ( input51 X ) ( _082_ A ) + USE SIGNAL
+ ROUTED met2 ( 170890 100130 ) ( * 102170 )
NEW li1 ( 170890 100130 ) L1M1_PR_MR
NEW met1 ( 170890 100130 ) M1M2_PR
NEW li1 ( 170890 102170 ) L1M1_PR_MR
NEW met1 ( 170890 102170 ) M1M2_PR
NEW met1 ( 170890 100130 ) RECT ( -355 -70 0 70 )
NEW met1 ( 170890 102170 ) RECT ( -355 -70 0 70 ) ;
- net52 ( ANTENNA__083__A DIODE ) ( input52 X ) ( _083_ A ) + USE SIGNAL
+ ROUTED met2 ( 9890 103020 ) ( 10350 * )
NEW met2 ( 10350 92990 ) ( * 103020 )
NEW met1 ( 86250 93670 ) ( 87170 * )
NEW met2 ( 86250 93670 ) ( * 95710 )
NEW met1 ( 86250 93330 ) ( * 93670 )
NEW met1 ( 9430 145010 ) ( 9890 * )
NEW met2 ( 9890 103020 ) ( * 145010 )
NEW met1 ( 82800 93330 ) ( 86250 * )
NEW met1 ( 82800 92990 ) ( * 93330 )
NEW met1 ( 10350 92990 ) ( 82800 * )
NEW met1 ( 10350 92990 ) M1M2_PR
NEW li1 ( 87170 93670 ) L1M1_PR_MR
NEW met1 ( 86250 93670 ) M1M2_PR
NEW li1 ( 86250 95710 ) L1M1_PR_MR
NEW met1 ( 86250 95710 ) M1M2_PR
NEW met1 ( 9890 145010 ) M1M2_PR
NEW li1 ( 9430 145010 ) L1M1_PR_MR
NEW met1 ( 86250 95710 ) RECT ( -355 -70 0 70 ) ;
- net53 ( ANTENNA__084__A DIODE ) ( input53 X ) ( _084_ A ) + USE SIGNAL
+ ROUTED met2 ( 88550 83810 ) ( * 85850 )
NEW met1 ( 88550 85850 ) ( 89470 * )
NEW met2 ( 88550 66130 ) ( * 83810 )
NEW met1 ( 9430 66130 ) ( 88550 * )
NEW li1 ( 88550 83810 ) L1M1_PR_MR
NEW met1 ( 88550 83810 ) M1M2_PR
NEW met1 ( 88550 85850 ) M1M2_PR
NEW li1 ( 89470 85850 ) L1M1_PR_MR
NEW li1 ( 9430 66130 ) L1M1_PR_MR
NEW met1 ( 88550 66130 ) M1M2_PR
NEW met1 ( 88550 83810 ) RECT ( -355 -70 0 70 ) ;
- net54 ( input54 X ) ( _085_ A ) + USE SIGNAL
+ ROUTED met2 ( 109710 164390 ) ( * 166430 )
NEW met1 ( 109710 166430 ) ( 110170 * )
NEW li1 ( 109710 164390 ) L1M1_PR_MR
NEW met1 ( 109710 164390 ) M1M2_PR
NEW met1 ( 109710 166430 ) M1M2_PR
NEW li1 ( 110170 166430 ) L1M1_PR_MR
NEW met1 ( 109710 164390 ) RECT ( -355 -70 0 70 ) ;
- net55 ( input55 X ) ( _025_ A ) ( _108_ A ) + USE SIGNAL
+ ROUTED met1 ( 147430 85850 ) ( 149270 * )
NEW met2 ( 147430 85850 ) ( * 91290 )
NEW met1 ( 146970 91290 ) ( 147430 * )
NEW met2 ( 149270 12410 ) ( * 85850 )
NEW li1 ( 149270 12410 ) L1M1_PR_MR
NEW met1 ( 149270 12410 ) M1M2_PR
NEW li1 ( 149270 85850 ) L1M1_PR_MR
NEW met1 ( 147430 85850 ) M1M2_PR
NEW met1 ( 147430 91290 ) M1M2_PR
NEW li1 ( 146970 91290 ) L1M1_PR_MR
NEW met1 ( 149270 85850 ) M1M2_PR
NEW met1 ( 149270 12410 ) RECT ( -355 -70 0 70 )
NEW met1 ( 149270 85850 ) RECT ( -595 -70 0 70 ) ;
- net56 ( input56 X ) ( _059_ A ) + USE SIGNAL
+ ROUTED met1 ( 110170 165070 ) ( * 165410 )
NEW met1 ( 88090 165070 ) ( 110170 * )
NEW met1 ( 88090 164390 ) ( * 165070 )
NEW met2 ( 169050 165070 ) ( * 167110 )
NEW met1 ( 122590 165070 ) ( * 165410 )
NEW met1 ( 122590 165070 ) ( 136850 * )
NEW met1 ( 136850 165070 ) ( * 165410 )
NEW met1 ( 136850 165410 ) ( 139150 * )
NEW met1 ( 139150 165070 ) ( * 165410 )
NEW met1 ( 110170 165410 ) ( 122590 * )
NEW met1 ( 139150 165070 ) ( 169050 * )
NEW li1 ( 88090 164390 ) L1M1_PR_MR
NEW met1 ( 169050 165070 ) M1M2_PR
NEW li1 ( 169050 167110 ) L1M1_PR_MR
NEW met1 ( 169050 167110 ) M1M2_PR
NEW met1 ( 169050 167110 ) RECT ( -355 -70 0 70 ) ;
- net57 ( ANTENNA__027__A DIODE ) ( input57 X ) ( _027_ A ) + USE SIGNAL
+ ROUTED met2 ( 85330 89250 ) ( * 91290 )
NEW met1 ( 85330 91290 ) ( 89470 * )
NEW met1 ( 84410 89250 ) ( 85330 * )
NEW met2 ( 84410 11730 ) ( * 89250 )
NEW met1 ( 67390 11730 ) ( * 12070 )
NEW met1 ( 67390 11730 ) ( 84410 * )
NEW met1 ( 84410 11730 ) M1M2_PR
NEW li1 ( 85330 89250 ) L1M1_PR_MR
NEW met1 ( 85330 89250 ) M1M2_PR
NEW met1 ( 85330 91290 ) M1M2_PR
NEW li1 ( 89470 91290 ) L1M1_PR_MR
NEW met1 ( 84410 89250 ) M1M2_PR
NEW li1 ( 67390 12070 ) L1M1_PR_MR
NEW met1 ( 85330 89250 ) RECT ( -355 -70 0 70 ) ;
- net58 ( input58 X ) ( _037_ A ) + USE SIGNAL
+ ROUTED met1 ( 8510 58310 ) ( 34500 * )
NEW met1 ( 34500 58310 ) ( * 58650 )
NEW met1 ( 34500 58650 ) ( 89930 * )
NEW li1 ( 8510 58310 ) L1M1_PR_MR
NEW li1 ( 89930 58650 ) L1M1_PR_MR ;
- net59 ( input59 X ) ( _038_ A ) + USE SIGNAL
+ ROUTED met1 ( 152030 153850 ) ( 170430 * )
NEW met1 ( 90390 162350 ) ( 110400 * )
NEW met1 ( 110400 162010 ) ( * 162350 )
NEW met1 ( 110400 162010 ) ( 152030 * )
NEW met2 ( 152030 153850 ) ( * 162010 )
NEW li1 ( 90390 162350 ) L1M1_PR_MR
NEW met1 ( 152030 153850 ) M1M2_PR
NEW li1 ( 170430 153850 ) L1M1_PR_MR
NEW met1 ( 152030 162010 ) M1M2_PR ;
- net6 ( input6 X ) ( _074_ A ) + USE SIGNAL
+ ROUTED met1 ( 82800 167110 ) ( 87170 * )
NEW met1 ( 82800 167110 ) ( * 167450 )
NEW met1 ( 80270 167450 ) ( 82800 * )
NEW met2 ( 87170 88230 ) ( * 167110 )
NEW li1 ( 87170 88230 ) L1M1_PR_MR
NEW met1 ( 87170 88230 ) M1M2_PR
NEW met1 ( 87170 167110 ) M1M2_PR
NEW li1 ( 80270 167450 ) L1M1_PR_MR
NEW met1 ( 87170 88230 ) RECT ( -355 -70 0 70 ) ;
- net60 ( ANTENNA__039__A DIODE ) ( input60 X ) ( _039_ A ) + USE SIGNAL
+ ROUTED met1 ( 94990 90950 ) ( * 91290 )
NEW met1 ( 94990 90950 ) ( 100050 * )
NEW met2 ( 9430 90950 ) ( * 164050 )
NEW met1 ( 9430 90950 ) ( 94990 * )
NEW met1 ( 9430 90950 ) M1M2_PR
NEW li1 ( 94990 91290 ) L1M1_PR_MR
NEW li1 ( 100050 90950 ) L1M1_PR_MR
NEW li1 ( 9430 164050 ) L1M1_PR_MR
NEW met1 ( 9430 164050 ) M1M2_PR
NEW met1 ( 9430 164050 ) RECT ( -355 -70 0 70 ) ;
- net61 ( ANTENNA__040__A DIODE ) ( input61 X ) ( _040_ A ) + USE SIGNAL
+ ROUTED met2 ( 93150 85510 ) ( * 87890 )
NEW met1 ( 93150 85510 ) ( 94990 * )
NEW met1 ( 94990 85510 ) ( 165830 * )
NEW met1 ( 165830 69530 ) ( 170430 * )
NEW met2 ( 165830 69530 ) ( * 85510 )
NEW li1 ( 94990 85510 ) L1M1_PR_MR
NEW li1 ( 93150 87890 ) L1M1_PR_MR
NEW met1 ( 93150 87890 ) M1M2_PR
NEW met1 ( 93150 85510 ) M1M2_PR
NEW met1 ( 165830 85510 ) M1M2_PR
NEW met1 ( 165830 69530 ) M1M2_PR
NEW li1 ( 170430 69530 ) L1M1_PR_MR
NEW met1 ( 93150 87890 ) RECT ( -355 -70 0 70 ) ;
- net62 ( input62 X ) ( _041_ A ) + USE SIGNAL
+ ROUTED met1 ( 36570 12410 ) ( 47150 * )
NEW met2 ( 36570 12410 ) ( * 91290 )
NEW met1 ( 36570 12410 ) M1M2_PR
NEW li1 ( 47150 12410 ) L1M1_PR_MR
NEW li1 ( 36570 91290 ) L1M1_PR_MR
NEW met1 ( 36570 91290 ) M1M2_PR
NEW met1 ( 36570 91290 ) RECT ( -355 -70 0 70 ) ;
- net63 ( input63 X ) ( _042_ A ) + USE SIGNAL
+ ROUTED met1 ( 169050 104210 ) ( * 104550 )
NEW met1 ( 89930 104210 ) ( 169050 * )
NEW li1 ( 89930 104210 ) L1M1_PR_MR
NEW li1 ( 169050 104550 ) L1M1_PR_MR ;
- net64 ( ANTENNA__043__A DIODE ) ( input64 X ) ( _043_ A ) + USE SIGNAL
+ ROUTED met1 ( 90390 47770 ) ( 92690 * )
NEW met2 ( 92690 46750 ) ( * 47770 )
NEW met2 ( 92690 18190 ) ( * 46750 )
NEW met1 ( 151110 17850 ) ( * 18190 )
NEW met1 ( 151110 17850 ) ( 170430 * )
NEW met1 ( 92690 18190 ) ( 151110 * )
NEW met1 ( 92690 18190 ) M1M2_PR
NEW li1 ( 92690 46750 ) L1M1_PR_MR
NEW met1 ( 92690 46750 ) M1M2_PR
NEW li1 ( 90390 47770 ) L1M1_PR_MR
NEW met1 ( 92690 47770 ) M1M2_PR
NEW li1 ( 170430 17850 ) L1M1_PR_MR
NEW met1 ( 92690 46750 ) RECT ( -355 -70 0 70 ) ;
- net65 ( input65 X ) ( _044_ A ) + USE SIGNAL
+ ROUTED met1 ( 15410 167110 ) ( 24610 * )
NEW met2 ( 15410 91630 ) ( * 167110 )
NEW li1 ( 15410 91630 ) L1M1_PR_MR
NEW met1 ( 15410 91630 ) M1M2_PR
NEW met1 ( 15410 167110 ) M1M2_PR
NEW li1 ( 24610 167110 ) L1M1_PR_MR
NEW met1 ( 15410 91630 ) RECT ( -355 -70 0 70 ) ;
- net66 ( input66 X ) ( _045_ A ) + USE SIGNAL
+ ROUTED met2 ( 159390 113050 ) ( * 125970 )
NEW met1 ( 159390 113050 ) ( 170430 * )
NEW met1 ( 102350 125970 ) ( * 126310 )
NEW met1 ( 97290 126310 ) ( 102350 * )
NEW met1 ( 102350 125970 ) ( 159390 * )
NEW met1 ( 159390 125970 ) M1M2_PR
NEW met1 ( 159390 113050 ) M1M2_PR
NEW li1 ( 170430 113050 ) L1M1_PR_MR
NEW li1 ( 97290 126310 ) L1M1_PR_MR ;
- net67 ( input67 X ) ( _046_ A ) + USE SIGNAL
+ ROUTED met2 ( 97750 12410 ) ( * 91290 )
NEW li1 ( 97750 12410 ) L1M1_PR_MR
NEW met1 ( 97750 12410 ) M1M2_PR
NEW li1 ( 97750 91290 ) L1M1_PR_MR
NEW met1 ( 97750 91290 ) M1M2_PR
NEW met1 ( 97750 12410 ) RECT ( -355 -70 0 70 )
NEW met1 ( 97750 91290 ) RECT ( -355 -70 0 70 ) ;
- net68 ( input68 X ) ( _028_ A ) + USE SIGNAL
+ ROUTED met1 ( 40250 15130 ) ( 43470 * )
NEW met1 ( 40250 15130 ) ( * 15810 )
NEW li1 ( 43470 15130 ) L1M1_PR_MR
NEW li1 ( 40250 15810 ) L1M1_PR_MR ;
- net69 ( input69 X ) ( _047_ A ) + USE SIGNAL
+ ROUTED met2 ( 92690 164050 ) ( * 166430 )
NEW met1 ( 78430 166430 ) ( * 166770 )
NEW met1 ( 74290 166770 ) ( 78430 * )
NEW met1 ( 78430 166430 ) ( 92690 * )
NEW met1 ( 92690 166430 ) M1M2_PR
NEW li1 ( 92690 164050 ) L1M1_PR_MR
NEW met1 ( 92690 164050 ) M1M2_PR
NEW li1 ( 74290 166770 ) L1M1_PR_MR
NEW met1 ( 92690 164050 ) RECT ( -355 -70 0 70 ) ;
- net7 ( input7 X ) ( _075_ A ) + USE SIGNAL
+ ROUTED met1 ( 20470 11730 ) ( * 12070 )
NEW met2 ( 86250 10370 ) ( * 17510 )
NEW met1 ( 86250 17510 ) ( 89470 * )
NEW met2 ( 59570 10370 ) ( * 11730 )
NEW met1 ( 20470 11730 ) ( 59570 * )
NEW met1 ( 59570 10370 ) ( 86250 * )
NEW li1 ( 20470 12070 ) L1M1_PR_MR
NEW met1 ( 86250 10370 ) M1M2_PR
NEW met1 ( 86250 17510 ) M1M2_PR
NEW li1 ( 89470 17510 ) L1M1_PR_MR
NEW met1 ( 59570 11730 ) M1M2_PR
NEW met1 ( 59570 10370 ) M1M2_PR ;
- net70 ( input70 X ) ( _048_ A ) + USE SIGNAL
+ ROUTED met1 ( 136390 91290 ) ( 137310 * )
NEW met2 ( 136390 12410 ) ( * 91290 )
NEW li1 ( 136390 12410 ) L1M1_PR_MR
NEW met1 ( 136390 12410 ) M1M2_PR
NEW met1 ( 136390 91290 ) M1M2_PR
NEW li1 ( 137310 91290 ) L1M1_PR_MR
NEW met1 ( 136390 12410 ) RECT ( -355 -70 0 70 ) ;
- net71 ( ANTENNA__049__A DIODE ) ( input71 X ) ( _049_ A ) + USE SIGNAL
+ ROUTED met1 ( 152030 15470 ) ( 169050 * )
NEW met1 ( 169050 15130 ) ( * 15470 )
NEW met1 ( 150190 91290 ) ( 152030 * )
NEW met2 ( 152030 90270 ) ( * 91290 )
NEW met2 ( 152030 15470 ) ( * 90270 )
NEW met1 ( 152030 15470 ) M1M2_PR
NEW li1 ( 169050 15130 ) L1M1_PR_MR
NEW li1 ( 152030 90270 ) L1M1_PR_MR
NEW met1 ( 152030 90270 ) M1M2_PR
NEW li1 ( 150190 91290 ) L1M1_PR_MR
NEW met1 ( 152030 91290 ) M1M2_PR
NEW met1 ( 152030 90270 ) RECT ( -355 -70 0 70 ) ;
- net72 ( ANTENNA__050__A DIODE ) ( input72 X ) ( _050_ A ) + USE SIGNAL
+ ROUTED met2 ( 83950 90270 ) ( * 91290 )
NEW met1 ( 83950 90270 ) ( 88090 * )
NEW met1 ( 88090 90270 ) ( * 90610 )
NEW met1 ( 88090 90610 ) ( 98210 * )
NEW met2 ( 98210 90610 ) ( * 91290 )
NEW met1 ( 83490 89250 ) ( 83950 * )
NEW met2 ( 83950 89250 ) ( * 90270 )
NEW met1 ( 98210 91290 ) ( 131100 * )
NEW met1 ( 131100 90610 ) ( * 91290 )
NEW met1 ( 131100 90610 ) ( 152490 * )
NEW met1 ( 152490 90610 ) ( * 91290 )
NEW met1 ( 152490 91290 ) ( 164450 * )
NEW met1 ( 164450 166770 ) ( 164910 * )
NEW met2 ( 164450 91290 ) ( * 166770 )
NEW li1 ( 83950 91290 ) L1M1_PR_MR
NEW met1 ( 83950 91290 ) M1M2_PR
NEW met1 ( 83950 90270 ) M1M2_PR
NEW met1 ( 98210 90610 ) M1M2_PR
NEW met1 ( 98210 91290 ) M1M2_PR
NEW li1 ( 83490 89250 ) L1M1_PR_MR
NEW met1 ( 83950 89250 ) M1M2_PR
NEW met1 ( 164450 91290 ) M1M2_PR
NEW met1 ( 164450 166770 ) M1M2_PR
NEW li1 ( 164910 166770 ) L1M1_PR_MR
NEW met1 ( 83950 91290 ) RECT ( -355 -70 0 70 ) ;
- net73 ( input73 X ) ( _051_ A ) + USE SIGNAL
+ ROUTED met2 ( 170890 72930 ) ( * 74970 )
NEW li1 ( 170890 72930 ) L1M1_PR_MR
NEW met1 ( 170890 72930 ) M1M2_PR
NEW li1 ( 170890 74970 ) L1M1_PR_MR
NEW met1 ( 170890 74970 ) M1M2_PR
NEW met1 ( 170890 72930 ) RECT ( -355 -70 0 70 )
NEW met1 ( 170890 74970 ) RECT ( -355 -70 0 70 ) ;
- net74 ( input74 X ) ( _052_ A ) + USE SIGNAL
+ ROUTED met1 ( 65550 91290 ) ( 76130 * )
NEW met2 ( 76130 91290 ) ( * 164390 )
NEW met1 ( 76130 91290 ) M1M2_PR
NEW li1 ( 65550 91290 ) L1M1_PR_MR
NEW li1 ( 76130 164390 ) L1M1_PR_MR
NEW met1 ( 76130 164390 ) M1M2_PR
NEW met1 ( 76130 164390 ) RECT ( -355 -70 0 70 ) ;
- net75 ( input75 X ) ( _053_ A ) + USE SIGNAL
+ ROUTED met1 ( 93150 93670 ) ( 94070 * )
NEW met1 ( 93150 167790 ) ( 104650 * )
NEW met1 ( 104650 167450 ) ( * 167790 )
NEW met2 ( 94070 93670 ) ( * 110400 )
NEW met2 ( 93150 110400 ) ( 94070 * )
NEW met2 ( 93150 110400 ) ( * 167790 )
NEW met1 ( 94070 93670 ) M1M2_PR
NEW li1 ( 93150 93670 ) L1M1_PR_MR
NEW met1 ( 93150 167790 ) M1M2_PR
NEW li1 ( 104650 167450 ) L1M1_PR_MR ;
- net76 ( input76 X ) ( _054_ A ) + USE SIGNAL
+ ROUTED met1 ( 92690 86190 ) ( 99590 * )
NEW met1 ( 98670 167110 ) ( 99130 * )
NEW met2 ( 99590 86190 ) ( * 110400 )
NEW met2 ( 99130 110400 ) ( 99590 * )
NEW met2 ( 99130 110400 ) ( * 167110 )
NEW met1 ( 99590 86190 ) M1M2_PR
NEW li1 ( 92690 86190 ) L1M1_PR_MR
NEW met1 ( 99130 167110 ) M1M2_PR
NEW li1 ( 98670 167110 ) L1M1_PR_MR ;
- net77 ( input77 X ) ( _055_ A ) + USE SIGNAL
+ ROUTED met2 ( 89930 97070 ) ( * 98770 )
NEW met1 ( 8510 99110 ) ( 34500 * )
NEW met1 ( 34500 98770 ) ( * 99110 )
NEW met1 ( 34500 98770 ) ( 89930 * )
NEW li1 ( 8510 99110 ) L1M1_PR_MR
NEW met1 ( 89930 98770 ) M1M2_PR
NEW li1 ( 89930 97070 ) L1M1_PR_MR
NEW met1 ( 89930 97070 ) M1M2_PR
NEW met1 ( 89930 97070 ) RECT ( -355 -70 0 70 ) ;
- net78 ( input78 X ) ( _056_ A ) + USE SIGNAL
+ ROUTED met1 ( 31970 12410 ) ( * 12750 )
NEW met1 ( 31970 12410 ) ( 33350 * )
NEW met1 ( 32430 91290 ) ( 33810 * )
NEW met2 ( 31970 12750 ) ( * 34500 )
NEW met2 ( 31970 34500 ) ( 32430 * )
NEW met2 ( 32430 34500 ) ( * 91290 )
NEW met1 ( 31970 12750 ) M1M2_PR
NEW li1 ( 33350 12410 ) L1M1_PR_MR
NEW met1 ( 32430 91290 ) M1M2_PR
NEW li1 ( 33810 91290 ) L1M1_PR_MR ;
- net79 ( ANTENNA__029__A DIODE ) ( input79 X ) ( _029_ A ) + USE SIGNAL
+ ROUTED met1 ( 96830 92990 ) ( 100050 * )
NEW met1 ( 92690 91630 ) ( 100050 * )
NEW met2 ( 100050 30430 ) ( * 92990 )
NEW met1 ( 100050 30430 ) ( 131100 * )
NEW met1 ( 131100 30430 ) ( * 30770 )
NEW met1 ( 131100 30770 ) ( 170430 * )
NEW met1 ( 100050 30430 ) M1M2_PR
NEW li1 ( 96830 92990 ) L1M1_PR_MR
NEW met1 ( 100050 92990 ) M1M2_PR
NEW li1 ( 92690 91630 ) L1M1_PR_MR
NEW met1 ( 100050 91630 ) M1M2_PR
NEW li1 ( 170430 30770 ) L1M1_PR_MR
NEW met2 ( 100050 91630 ) RECT ( -70 -485 70 0 ) ;
- net8 ( ANTENNA__061__A DIODE ) ( ANTENNA__023__A DIODE ) ( ANTENNA__021__A DIODE ) ( ANTENNA__011__A DIODE ) ( input8 X ) ( _011_ A ) ( _021_ A )
( _023_ A ) ( _061_ A ) + USE SIGNAL
+ ROUTED met1 ( 170890 120190 ) ( * 120530 )
NEW met1 ( 168130 120190 ) ( 170890 * )
NEW met2 ( 170890 120530 ) ( * 133790 )
NEW met2 ( 102350 120190 ) ( * 122910 )
NEW met1 ( 101430 125970 ) ( 101890 * )
NEW met2 ( 101890 125460 ) ( * 125970 )
NEW met2 ( 101890 125460 ) ( 102350 * )
NEW met2 ( 102350 122910 ) ( * 125460 )
NEW met1 ( 96370 129370 ) ( * 129710 )
NEW met1 ( 96370 129370 ) ( 98210 * )
NEW met2 ( 98210 126650 ) ( * 129370 )
NEW met1 ( 98210 126650 ) ( 101890 * )
NEW met2 ( 101890 125970 ) ( * 126650 )
NEW met1 ( 93150 129370 ) ( 96370 * )
NEW met2 ( 93610 129370 ) ( * 131750 )
NEW met1 ( 93610 133790 ) ( 94990 * )
NEW met2 ( 93610 131750 ) ( * 133790 )
NEW met1 ( 102350 120190 ) ( 168130 * )
NEW li1 ( 168130 120190 ) L1M1_PR_MR
NEW li1 ( 170890 120530 ) L1M1_PR_MR
NEW met1 ( 170890 120530 ) M1M2_PR
NEW li1 ( 170890 133790 ) L1M1_PR_MR
NEW met1 ( 170890 133790 ) M1M2_PR
NEW li1 ( 102350 122910 ) L1M1_PR_MR
NEW met1 ( 102350 122910 ) M1M2_PR
NEW met1 ( 102350 120190 ) M1M2_PR
NEW li1 ( 101430 125970 ) L1M1_PR_MR
NEW met1 ( 101890 125970 ) M1M2_PR
NEW li1 ( 96370 129710 ) L1M1_PR_MR
NEW met1 ( 98210 129370 ) M1M2_PR
NEW met1 ( 98210 126650 ) M1M2_PR
NEW met1 ( 101890 126650 ) M1M2_PR
NEW li1 ( 93150 129370 ) L1M1_PR_MR
NEW li1 ( 93610 131750 ) L1M1_PR_MR
NEW met1 ( 93610 131750 ) M1M2_PR
NEW met1 ( 93610 129370 ) M1M2_PR
NEW li1 ( 94990 133790 ) L1M1_PR_MR
NEW met1 ( 93610 133790 ) M1M2_PR
NEW met1 ( 170890 120530 ) RECT ( 0 -70 595 70 )
NEW met1 ( 170890 133790 ) RECT ( -355 -70 0 70 )
NEW met1 ( 102350 122910 ) RECT ( -355 -70 0 70 )
NEW met1 ( 93610 131750 ) RECT ( -355 -70 0 70 )
NEW met1 ( 93610 129370 ) RECT ( -595 -70 0 70 ) ;
- net80 ( input80 X ) ( _057_ A ) + USE SIGNAL
+ ROUTED met1 ( 71990 13090 ) ( 74750 * )
NEW met2 ( 71990 13090 ) ( * 15130 )
NEW li1 ( 74750 13090 ) L1M1_PR_MR
NEW met1 ( 71990 13090 ) M1M2_PR
NEW li1 ( 71990 15130 ) L1M1_PR_MR
NEW met1 ( 71990 15130 ) M1M2_PR
NEW met1 ( 71990 15130 ) RECT ( -355 -70 0 70 ) ;
- net81 ( input81 X ) ( _058_ A ) + USE SIGNAL
+ ROUTED met1 ( 168130 145690 ) ( 169510 * )
NEW met2 ( 169510 145690 ) ( * 150110 )
NEW met1 ( 169510 150110 ) ( 170890 * )
NEW li1 ( 168130 145690 ) L1M1_PR_MR
NEW met1 ( 169510 145690 ) M1M2_PR
NEW met1 ( 169510 150110 ) M1M2_PR
NEW li1 ( 170890 150110 ) L1M1_PR_MR ;
- net82 ( ANTENNA__030__A DIODE ) ( input82 X ) ( _030_ A ) + USE SIGNAL
+ ROUTED met1 ( 87630 88230 ) ( 89930 * )
NEW met1 ( 87630 87890 ) ( * 88230 )
NEW met2 ( 87170 86530 ) ( * 87550 )
NEW met1 ( 87170 87550 ) ( * 87890 )
NEW met1 ( 40250 87890 ) ( 87630 * )
NEW met2 ( 40250 87890 ) ( * 166430 )
NEW li1 ( 89930 88230 ) L1M1_PR_MR
NEW li1 ( 87170 86530 ) L1M1_PR_MR
NEW met1 ( 87170 86530 ) M1M2_PR
NEW met1 ( 87170 87550 ) M1M2_PR
NEW met1 ( 40250 87890 ) M1M2_PR
NEW li1 ( 40250 166430 ) L1M1_PR_MR
NEW met1 ( 40250 166430 ) M1M2_PR
NEW met1 ( 87170 86530 ) RECT ( -355 -70 0 70 )
NEW met1 ( 40250 166430 ) RECT ( -355 -70 0 70 ) ;
- net83 ( input83 X ) ( _031_ A ) + USE SIGNAL
+ ROUTED met1 ( 90390 164390 ) ( 95450 * )
NEW met1 ( 95450 164050 ) ( * 164390 )
NEW met1 ( 95450 164050 ) ( 96830 * )
NEW met2 ( 96830 164050 ) ( * 166770 )
NEW met1 ( 96830 166770 ) ( 116610 * )
NEW li1 ( 90390 164390 ) L1M1_PR_MR
NEW met1 ( 96830 164050 ) M1M2_PR
NEW met1 ( 96830 166770 ) M1M2_PR
NEW li1 ( 116610 166770 ) L1M1_PR_MR ;
- net84 ( ANTENNA__032__A DIODE ) ( input84 X ) ( _032_ A ) + USE SIGNAL
+ ROUTED met1 ( 85330 94010 ) ( 89930 * )
NEW met1 ( 89930 93670 ) ( * 94010 )
NEW met2 ( 11730 93330 ) ( * 163710 )
NEW met1 ( 82800 94010 ) ( 85330 * )
NEW met1 ( 11730 93330 ) ( 34500 * )
NEW met1 ( 34500 93330 ) ( * 93670 )
NEW met1 ( 34500 93670 ) ( 82800 * )
NEW met1 ( 82800 93670 ) ( * 94010 )
NEW met1 ( 11730 93330 ) M1M2_PR
NEW li1 ( 85330 94010 ) L1M1_PR_MR
NEW li1 ( 89930 93670 ) L1M1_PR_MR
NEW li1 ( 11730 163710 ) L1M1_PR_MR
NEW met1 ( 11730 163710 ) M1M2_PR
NEW met1 ( 11730 163710 ) RECT ( -355 -70 0 70 ) ;
- net85 ( input85 X ) ( _033_ A ) + USE SIGNAL
+ ROUTED met1 ( 168130 51170 ) ( 170890 * )
NEW met2 ( 168130 51170 ) ( * 85850 )
NEW li1 ( 168130 85850 ) L1M1_PR_MR
NEW met1 ( 168130 85850 ) M1M2_PR
NEW li1 ( 170890 51170 ) L1M1_PR_MR
NEW met1 ( 168130 51170 ) M1M2_PR
NEW met1 ( 168130 85850 ) RECT ( -355 -70 0 70 ) ;
- net86 ( input86 X ) ( _034_ A ) + USE SIGNAL
+ ROUTED met2 ( 22310 15470 ) ( * 17850 )
NEW met1 ( 9890 15470 ) ( 22310 * )
NEW met1 ( 9890 15130 ) ( * 15470 )
NEW met2 ( 87170 15130 ) ( * 18190 )
NEW met1 ( 82800 18190 ) ( 87170 * )
NEW met1 ( 82800 17850 ) ( * 18190 )
NEW met1 ( 22310 17850 ) ( 82800 * )
NEW met1 ( 22310 17850 ) M1M2_PR
NEW met1 ( 22310 15470 ) M1M2_PR
NEW li1 ( 9890 15130 ) L1M1_PR_MR
NEW met1 ( 87170 18190 ) M1M2_PR
NEW li1 ( 87170 15130 ) L1M1_PR_MR
NEW met1 ( 87170 15130 ) M1M2_PR
NEW met1 ( 87170 15130 ) RECT ( -355 -70 0 70 ) ;
- net87 ( ANTENNA__035__A DIODE ) ( input87 X ) ( _035_ A ) + USE SIGNAL
+ ROUTED met1 ( 82800 91630 ) ( 86710 * )
NEW met1 ( 82800 91630 ) ( * 91970 )
NEW met1 ( 81190 91970 ) ( 82800 * )
NEW met2 ( 77970 164900 ) ( 81190 * )
NEW met2 ( 77970 164900 ) ( * 166430 )
NEW met1 ( 68770 166430 ) ( 77970 * )
NEW met1 ( 68770 166430 ) ( * 166770 )
NEW met1 ( 58650 166770 ) ( 68770 * )
NEW met1 ( 58650 166430 ) ( * 166770 )
NEW met1 ( 46690 166430 ) ( 58650 * )
NEW met2 ( 81190 91970 ) ( * 164900 )
NEW li1 ( 86710 91630 ) L1M1_PR_MR
NEW li1 ( 81190 91970 ) L1M1_PR_MR
NEW met1 ( 81190 91970 ) M1M2_PR
NEW met1 ( 77970 166430 ) M1M2_PR
NEW li1 ( 46690 166430 ) L1M1_PR_MR
NEW met1 ( 81190 91970 ) RECT ( -355 -70 0 70 ) ;
- net88 ( input88 X ) ( _036_ A ) + USE SIGNAL
+ ROUTED met2 ( 158930 75310 ) ( * 80070 )
NEW met1 ( 158930 80070 ) ( 170430 * )
NEW met1 ( 90390 75310 ) ( 158930 * )
NEW li1 ( 90390 75310 ) L1M1_PR_MR
NEW met1 ( 158930 75310 ) M1M2_PR
NEW met1 ( 158930 80070 ) M1M2_PR
NEW li1 ( 170430 80070 ) L1M1_PR_MR ;
- net89 ( ANTENNA_output89_A DIODE ) ( output89 A ) ( _027_ X ) + USE SIGNAL
+ ROUTED met2 ( 145130 91970 ) ( * 94010 )
NEW met1 ( 145130 94010 ) ( 167210 * )
NEW met1 ( 89930 91970 ) ( 145130 * )
NEW met1 ( 168130 162010 ) ( 170430 * )
NEW met2 ( 168130 159460 ) ( * 162010 )
NEW met2 ( 167210 159460 ) ( 168130 * )
NEW met2 ( 167210 155550 ) ( * 159460 )
NEW met2 ( 167210 94010 ) ( * 155550 )
NEW li1 ( 89930 91970 ) L1M1_PR_MR
NEW met1 ( 145130 91970 ) M1M2_PR
NEW met1 ( 145130 94010 ) M1M2_PR
NEW met1 ( 167210 94010 ) M1M2_PR
NEW li1 ( 167210 155550 ) L1M1_PR_MR
NEW met1 ( 167210 155550 ) M1M2_PR
NEW li1 ( 170430 162010 ) L1M1_PR_MR
NEW met1 ( 168130 162010 ) M1M2_PR
NEW met1 ( 167210 155550 ) RECT ( -355 -70 0 70 ) ;
- net9 ( input9 X ) ( _062_ A ) + USE SIGNAL
+ ROUTED met1 ( 18170 12410 ) ( 25990 * )
NEW met2 ( 18170 12410 ) ( * 91290 )
NEW met1 ( 18170 12410 ) M1M2_PR
NEW li1 ( 25990 12410 ) L1M1_PR_MR
NEW li1 ( 18170 91290 ) L1M1_PR_MR
NEW met1 ( 18170 91290 ) M1M2_PR
NEW met1 ( 18170 91290 ) RECT ( -355 -70 0 70 ) ;
- net90 ( output90 A ) ( _037_ X ) + USE SIGNAL
+ ROUTED met1 ( 90850 58310 ) ( 131100 * )
NEW met1 ( 131100 58310 ) ( * 58650 )
NEW met1 ( 131100 58650 ) ( 170430 * )
NEW li1 ( 90850 58310 ) L1M1_PR_MR
NEW li1 ( 170430 58650 ) L1M1_PR_MR ;
- net91 ( output91 A ) ( _038_ X ) + USE SIGNAL
+ ROUTED met1 ( 14030 162010 ) ( 34500 * )
NEW met1 ( 34500 162010 ) ( * 162350 )
NEW met1 ( 34500 162350 ) ( 89470 * )
NEW li1 ( 14030 162010 ) L1M1_PR_MR
NEW li1 ( 89470 162350 ) L1M1_PR_MR ;
- net92 ( ANTENNA_output92_A DIODE ) ( output92 A ) ( _039_ X ) + USE SIGNAL
+ ROUTED met1 ( 114310 12070 ) ( 114770 * )
NEW met2 ( 114310 12070 ) ( * 15810 )
NEW met1 ( 95450 90270 ) ( 114310 * )
NEW met2 ( 114310 15810 ) ( * 90270 )
NEW li1 ( 114310 15810 ) L1M1_PR_MR
NEW met1 ( 114310 15810 ) M1M2_PR
NEW li1 ( 114770 12070 ) L1M1_PR_MR
NEW met1 ( 114310 12070 ) M1M2_PR
NEW met1 ( 114310 90270 ) M1M2_PR
NEW li1 ( 95450 90270 ) L1M1_PR_MR
NEW met1 ( 114310 15810 ) RECT ( -355 -70 0 70 ) ;
- net93 ( output93 A ) ( _040_ X ) + USE SIGNAL
+ ROUTED met1 ( 92690 89250 ) ( 94530 * )
NEW met1 ( 92230 167450 ) ( 94070 * )
NEW met2 ( 94070 158700 ) ( * 167450 )
NEW met2 ( 94070 158700 ) ( 94530 * )
NEW met2 ( 94530 89250 ) ( * 158700 )
NEW met1 ( 94530 89250 ) M1M2_PR
NEW li1 ( 92690 89250 ) L1M1_PR_MR
NEW met1 ( 94070 167450 ) M1M2_PR
NEW li1 ( 92230 167450 ) L1M1_PR_MR ;
- net94 ( output94 A ) ( _041_ X ) + USE SIGNAL
+ ROUTED met2 ( 20470 91970 ) ( * 118490 )
NEW met1 ( 8510 118490 ) ( 20470 * )
NEW met1 ( 20470 91970 ) ( 35650 * )
NEW met1 ( 20470 91970 ) M1M2_PR
NEW met1 ( 20470 118490 ) M1M2_PR
NEW li1 ( 8510 118490 ) L1M1_PR_MR
NEW li1 ( 35650 91970 ) L1M1_PR_MR ;
- net95 ( ANTENNA_output95_A DIODE ) ( output95 A ) ( _042_ X ) + USE SIGNAL
+ ROUTED met2 ( 10350 103870 ) ( * 122910 )
NEW met1 ( 8510 123930 ) ( 10350 * )
NEW met2 ( 10350 122910 ) ( * 123930 )
NEW met1 ( 10350 103870 ) ( 89470 * )
NEW li1 ( 10350 122910 ) L1M1_PR_MR
NEW met1 ( 10350 122910 ) M1M2_PR
NEW met1 ( 10350 103870 ) M1M2_PR
NEW li1 ( 8510 123930 ) L1M1_PR_MR
NEW met1 ( 10350 123930 ) M1M2_PR
NEW li1 ( 89470 103870 ) L1M1_PR_MR
NEW met1 ( 10350 122910 ) RECT ( -355 -70 0 70 ) ;
- net96 ( ANTENNA_output96_A DIODE ) ( output96 A ) ( _043_ X ) + USE SIGNAL
+ ROUTED met2 ( 10350 46750 ) ( * 63070 )
NEW met1 ( 8510 64090 ) ( 10350 * )
NEW met2 ( 10350 63070 ) ( * 64090 )
NEW met1 ( 10350 46750 ) ( 34500 * )
NEW met1 ( 34500 46750 ) ( * 47090 )
NEW met1 ( 34500 47090 ) ( 89470 * )
NEW li1 ( 10350 63070 ) L1M1_PR_MR
NEW met1 ( 10350 63070 ) M1M2_PR
NEW met1 ( 10350 46750 ) M1M2_PR
NEW li1 ( 8510 64090 ) L1M1_PR_MR
NEW met1 ( 10350 64090 ) M1M2_PR
NEW li1 ( 89470 47090 ) L1M1_PR_MR
NEW met1 ( 10350 63070 ) RECT ( -355 -70 0 70 ) ;
- net97 ( output97 A ) ( _044_ X ) + USE SIGNAL
+ ROUTED met1 ( 8510 31450 ) ( 14950 * )
NEW met2 ( 14950 31450 ) ( * 90270 )
NEW met1 ( 14950 31450 ) M1M2_PR
NEW li1 ( 8510 31450 ) L1M1_PR_MR
NEW li1 ( 14950 90270 ) L1M1_PR_MR
NEW met1 ( 14950 90270 ) M1M2_PR
NEW met1 ( 14950 90270 ) RECT ( -355 -70 0 70 ) ;
- net98 ( output98 A ) ( _045_ X ) + USE SIGNAL
+ ROUTED met2 ( 96370 127330 ) ( * 164390 )
NEW li1 ( 96370 164390 ) L1M1_PR_MR
NEW met1 ( 96370 164390 ) M1M2_PR
NEW li1 ( 96370 127330 ) L1M1_PR_MR
NEW met1 ( 96370 127330 ) M1M2_PR
NEW met1 ( 96370 164390 ) RECT ( -355 -70 0 70 )
NEW met1 ( 96370 127330 ) RECT ( -355 -70 0 70 ) ;
- net99 ( ANTENNA_output99_A DIODE ) ( output99 A ) ( _046_ X ) + USE SIGNAL
+ ROUTED met1 ( 114770 90270 ) ( * 90610 )
NEW met1 ( 98670 90610 ) ( 114770 * )
NEW met2 ( 150190 90270 ) ( * 91970 )
NEW met1 ( 150190 91970 ) ( 159850 * )
NEW met1 ( 114770 90270 ) ( 150190 * )
NEW met1 ( 158930 160990 ) ( 159850 * )
NEW met1 ( 167670 164390 ) ( * 164730 )
NEW met1 ( 159850 164730 ) ( 167670 * )
NEW met2 ( 159850 160990 ) ( * 164730 )
NEW met2 ( 159850 91970 ) ( * 160990 )
NEW li1 ( 98670 90610 ) L1M1_PR_MR
NEW met1 ( 150190 90270 ) M1M2_PR
NEW met1 ( 150190 91970 ) M1M2_PR
NEW met1 ( 159850 91970 ) M1M2_PR
NEW li1 ( 158930 160990 ) L1M1_PR_MR
NEW met1 ( 159850 160990 ) M1M2_PR
NEW li1 ( 167670 164390 ) L1M1_PR_MR
NEW met1 ( 159850 164730 ) M1M2_PR ;
END NETS
END DESIGN