blob: a363431452fbbb915c830e8139c1ffba17f630de [file] [log] [blame]
*SPEF "ieee 1481-1999"
*DESIGN "UART"
*DATE "11:11:11 Fri 11 11, 1111"
*VENDOR "OpenRCX"
*PROGRAM "Parallel Extraction"
*VERSION "1.0"
*DESIGN_FLOW "NAME_SCOPE LOCAL" "PIN_CAP NONE"
*DIVIDER /
*DELIMITER :
*BUS_DELIMITER []
*T_UNIT 1 NS
*C_UNIT 1 PF
*R_UNIT 1 OHM
*L_UNIT 1 HENRY
*NAME_MAP
*1 clock
*2 io_rxd
*3 io_txd
*4 io_uartInt
*5 io_uart_select
*6 io_wbs_ack_o
*7 io_wbs_data_o[0]
*8 net35
*9 net36
*10 net37
*11 net38
*12 net39
*13 net40
*14 net41
*15 net42
*16 net43
*17 net44
*18 io_wbs_data_o[1]
*19 net45
*20 net46
*21 net47
*22 net48
*23 net49
*24 net50
*25 net51
*26 net52
*27 net53
*28 net54
*29 io_wbs_data_o[2]
*30 net55
*31 net56
*32 io_wbs_data_o[3]
*33 io_wbs_data_o[4]
*34 io_wbs_data_o[5]
*35 io_wbs_data_o[6]
*36 io_wbs_data_o[7]
*37 net33
*38 net34
*39 io_wbs_m2s_addr[0]
*40 io_wbs_m2s_addr[10]
*41 io_wbs_m2s_addr[11]
*42 io_wbs_m2s_addr[12]
*43 io_wbs_m2s_addr[13]
*44 io_wbs_m2s_addr[14]
*45 io_wbs_m2s_addr[15]
*46 io_wbs_m2s_addr[1]
*47 io_wbs_m2s_addr[2]
*48 io_wbs_m2s_addr[3]
*49 io_wbs_m2s_addr[4]
*50 io_wbs_m2s_addr[5]
*51 io_wbs_m2s_addr[6]
*52 io_wbs_m2s_addr[7]
*53 io_wbs_m2s_addr[8]
*54 io_wbs_m2s_addr[9]
*55 io_wbs_m2s_data[0]
*56 io_wbs_m2s_data[10]
*57 io_wbs_m2s_data[11]
*58 io_wbs_m2s_data[12]
*59 io_wbs_m2s_data[13]
*60 io_wbs_m2s_data[14]
*61 io_wbs_m2s_data[15]
*62 io_wbs_m2s_data[16]
*63 io_wbs_m2s_data[17]
*64 io_wbs_m2s_data[18]
*65 io_wbs_m2s_data[19]
*66 io_wbs_m2s_data[1]
*67 io_wbs_m2s_data[20]
*68 io_wbs_m2s_data[21]
*69 io_wbs_m2s_data[22]
*70 io_wbs_m2s_data[23]
*71 io_wbs_m2s_data[24]
*72 io_wbs_m2s_data[25]
*73 io_wbs_m2s_data[26]
*74 io_wbs_m2s_data[27]
*75 io_wbs_m2s_data[28]
*76 io_wbs_m2s_data[29]
*77 io_wbs_m2s_data[2]
*78 io_wbs_m2s_data[30]
*79 io_wbs_m2s_data[31]
*80 io_wbs_m2s_data[3]
*81 io_wbs_m2s_data[4]
*82 io_wbs_m2s_data[5]
*83 io_wbs_m2s_data[6]
*84 io_wbs_m2s_data[7]
*85 io_wbs_m2s_data[8]
*86 io_wbs_m2s_data[9]
*87 io_wbs_m2s_stb
*88 io_wbs_m2s_we
*89 reset
*92 _0000_
*93 _0001_
*94 _0002_
*95 _0003_
*96 _0004_
*97 _0005_
*98 _0006_
*99 _0007_
*100 _0008_
*101 _0009_
*102 _0010_
*103 _0011_
*104 _0012_
*105 _0013_
*106 _0014_
*107 _0015_
*108 _0016_
*109 _0017_
*110 _0018_
*111 _0019_
*112 _0020_
*113 _0021_
*114 _0022_
*115 _0023_
*116 _0024_
*117 _0025_
*118 _0026_
*119 _0027_
*120 _0028_
*121 _0029_
*122 _0030_
*123 _0031_
*124 _0032_
*125 _0033_
*126 _0034_
*127 _0035_
*128 _0036_
*129 _0037_
*130 _0038_
*131 _0039_
*132 _0040_
*133 _0041_
*134 _0042_
*135 _0043_
*136 _0044_
*137 _0045_
*138 _0046_
*139 _0047_
*140 _0048_
*141 _0049_
*142 _0050_
*143 _0051_
*144 _0052_
*145 _0053_
*146 _0054_
*147 _0055_
*148 _0056_
*149 _0057_
*150 _0058_
*151 _0059_
*152 _0060_
*153 _0061_
*154 _0062_
*155 _0063_
*156 _0064_
*157 _0065_
*158 _0066_
*159 _0067_
*160 _0068_
*161 _0069_
*162 _0070_
*163 _0071_
*164 _0072_
*165 _0073_
*166 _0074_
*167 _0075_
*168 _0076_
*169 _0077_
*170 _0078_
*171 _0079_
*172 _0080_
*173 _0081_
*174 _0082_
*175 _0083_
*176 _0084_
*177 _0085_
*178 _0086_
*179 _0087_
*180 _0088_
*181 _0089_
*182 _0090_
*183 _0091_
*184 _0092_
*185 _0093_
*186 _0094_
*187 _0095_
*188 _0096_
*189 _0097_
*190 _0098_
*191 _0099_
*192 _0100_
*193 _0101_
*194 _0102_
*195 _0103_
*196 _0104_
*197 _0105_
*198 _0106_
*199 _0107_
*200 _0108_
*201 _0109_
*202 _0110_
*203 _0111_
*204 _0112_
*205 _0113_
*206 _0114_
*207 _0115_
*208 _0116_
*209 _0117_
*210 _0118_
*211 _0119_
*212 _0120_
*213 _0121_
*214 _0122_
*215 _0123_
*216 _0124_
*217 _0125_
*218 _0126_
*219 _0127_
*220 _0128_
*221 _0129_
*222 _0130_
*223 _0131_
*224 _0132_
*225 _0133_
*226 _0134_
*227 _0135_
*228 _0136_
*229 _0137_
*230 _0138_
*231 _0139_
*232 _0140_
*233 _0141_
*234 _0142_
*235 _0143_
*236 _0144_
*237 _0145_
*238 _0146_
*239 _0147_
*240 _0148_
*241 _0149_
*242 _0150_
*243 _0151_
*244 _0152_
*245 _0153_
*246 _0154_
*247 _0155_
*248 _0156_
*249 _0157_
*250 _0158_
*251 _0159_
*252 _0160_
*253 _0161_
*254 _0162_
*255 _0163_
*256 _0164_
*257 _0165_
*258 _0166_
*259 _0167_
*260 _0168_
*261 _0169_
*262 _0170_
*263 _0171_
*264 _0172_
*265 _0173_
*266 _0174_
*267 _0175_
*268 _0176_
*269 _0177_
*270 _0178_
*271 _0179_
*272 _0180_
*273 _0181_
*274 _0182_
*275 _0183_
*276 _0184_
*277 _0185_
*278 _0186_
*279 _0187_
*280 _0188_
*281 _0189_
*282 _0190_
*283 _0191_
*284 _0192_
*285 _0193_
*286 _0194_
*287 _0195_
*288 _0196_
*289 _0197_
*290 _0198_
*291 _0199_
*292 _0200_
*293 _0201_
*294 _0202_
*295 _0203_
*296 _0204_
*297 _0205_
*298 _0206_
*299 _0207_
*300 _0208_
*301 _0209_
*302 _0210_
*303 _0211_
*304 _0212_
*305 _0213_
*306 _0214_
*307 _0215_
*308 _0216_
*309 _0217_
*310 _0218_
*311 _0219_
*312 _0220_
*313 _0221_
*314 _0222_
*315 _0223_
*316 _0224_
*317 _0225_
*318 _0226_
*319 _0227_
*320 _0228_
*321 _0229_
*322 _0230_
*323 _0231_
*324 _0232_
*325 _0233_
*326 _0234_
*327 _0235_
*328 _0236_
*329 _0237_
*330 _0238_
*331 _0239_
*332 _0240_
*333 _0241_
*334 _0242_
*335 _0243_
*336 _0244_
*337 _0245_
*338 _0246_
*339 _0247_
*340 _0248_
*341 _0249_
*342 _0250_
*343 _0251_
*344 _0252_
*345 _0253_
*346 _0254_
*347 _0255_
*348 _0256_
*349 _0257_
*350 _0258_
*351 _0259_
*352 _0260_
*353 _0261_
*354 _0262_
*355 _0263_
*356 _0264_
*357 _0265_
*358 _0266_
*359 _0267_
*360 _0268_
*361 _0269_
*362 _0270_
*363 _0271_
*364 _0272_
*365 _0273_
*366 _0274_
*367 _0275_
*368 _0276_
*369 _0277_
*370 _0278_
*371 _0279_
*372 _0280_
*373 _0281_
*374 _0282_
*375 _0283_
*376 _0284_
*377 _0285_
*378 _0286_
*379 _0287_
*380 _0288_
*381 _0289_
*382 _0290_
*383 _0291_
*384 _0292_
*385 _0293_
*386 _0294_
*387 _0295_
*388 _0296_
*389 _0297_
*390 _0298_
*391 _0299_
*392 _0300_
*393 _0301_
*394 _0302_
*395 _0303_
*396 _0304_
*397 _0305_
*398 _0306_
*399 _0307_
*400 _0308_
*401 _0309_
*402 _0310_
*403 _0311_
*404 _0312_
*405 _0313_
*406 _0314_
*407 _0315_
*408 _0316_
*409 _0317_
*410 _0318_
*411 _0319_
*412 _0320_
*413 _0321_
*414 _0322_
*415 _0323_
*416 _0324_
*417 _0325_
*418 _0326_
*419 _0327_
*420 _0328_
*421 _0329_
*422 _0330_
*423 _0331_
*424 _0332_
*425 _0333_
*426 _0334_
*427 _0335_
*428 _0336_
*429 _0337_
*430 _0338_
*431 _0339_
*432 _0340_
*433 _0341_
*434 _0342_
*435 _0343_
*436 _0344_
*437 _0345_
*438 _0346_
*439 _0347_
*440 _0348_
*441 _0349_
*442 _0350_
*443 _0351_
*444 _0352_
*445 _0353_
*446 _0354_
*447 _0355_
*448 _0356_
*449 _0357_
*450 _0358_
*451 _0359_
*452 _0360_
*453 _0361_
*454 _0362_
*455 _0363_
*456 _0364_
*457 _0365_
*458 _0366_
*459 _0367_
*460 _0368_
*461 _0369_
*462 _0370_
*463 _0371_
*464 _0372_
*465 _0373_
*466 _0374_
*467 _0375_
*468 _0376_
*469 _0377_
*470 _0378_
*471 _0379_
*472 _0380_
*473 _0381_
*474 _0382_
*475 _0383_
*476 _0384_
*477 _0385_
*478 _0386_
*479 _0387_
*480 _0388_
*481 _0389_
*482 _0390_
*483 _0391_
*484 _0392_
*485 _0393_
*486 _0394_
*487 _0395_
*488 _0396_
*489 _0397_
*490 _0398_
*491 _0399_
*492 _0400_
*493 _0401_
*494 _0402_
*495 _0403_
*496 _0404_
*497 _0405_
*498 _0406_
*499 _0407_
*500 _0408_
*501 _0409_
*502 _0410_
*503 _0411_
*504 _0412_
*505 _0413_
*506 _0414_
*507 _0415_
*508 _0416_
*509 _0417_
*510 _0418_
*511 _0419_
*512 _0420_
*513 _0421_
*514 _0422_
*515 _0423_
*516 _0424_
*517 _0425_
*518 _0426_
*519 _0427_
*520 _0428_
*521 _0429_
*522 _0430_
*523 _0431_
*524 _0432_
*525 _0433_
*526 _0434_
*527 _0435_
*528 _0436_
*529 _0437_
*530 _0438_
*531 _0439_
*532 _0440_
*533 _0441_
*534 _0442_
*535 _0443_
*536 _0444_
*537 _0445_
*538 _0446_
*539 _0447_
*540 _0448_
*541 _0449_
*542 _0450_
*543 _0451_
*544 _0452_
*545 _0453_
*546 _0454_
*547 _0455_
*548 _0456_
*549 _0457_
*550 _0458_
*551 _0459_
*552 _0460_
*553 _0461_
*554 _0462_
*555 _0463_
*556 _0464_
*557 _0465_
*558 _0466_
*559 _0467_
*560 _0468_
*561 _0469_
*562 _0470_
*563 _0471_
*564 _0472_
*565 _0473_
*566 _0474_
*567 _0475_
*568 _0476_
*569 _0477_
*570 _0478_
*571 _0479_
*572 _0480_
*573 _0481_
*574 _0482_
*575 _0483_
*576 _0484_
*577 _0485_
*578 _0486_
*579 _0487_
*580 _0488_
*581 _0489_
*582 _0490_
*583 _0491_
*584 _0492_
*585 _0493_
*586 _0494_
*587 _0495_
*588 _0496_
*589 _0497_
*590 _0498_
*591 _0499_
*592 _0500_
*593 _0501_
*594 _0502_
*595 _0503_
*596 _0504_
*597 _0505_
*598 _0506_
*599 _0507_
*600 _0508_
*601 _0509_
*602 _0510_
*603 _0511_
*604 _0512_
*605 _0513_
*606 _0514_
*607 _0515_
*608 _0516_
*609 _0517_
*610 _0518_
*611 _0519_
*612 _0520_
*613 _0521_
*614 _T_100
*615 _T_102\[2\]
*616 _T_102\[3\]
*617 _T_102\[4\]
*618 _T_102\[5\]
*619 _T_102\[6\]
*620 _T_102\[7\]
*621 _T_94\[0\]
*622 clknet_0_clock
*623 clknet_3_0_0_clock
*624 clknet_3_1_0_clock
*625 clknet_3_2_0_clock
*626 clknet_3_3_0_clock
*627 clknet_3_4_0_clock
*628 clknet_3_5_0_clock
*629 clknet_3_6_0_clock
*630 clknet_3_7_0_clock
*631 clknet_4_0_0_clock
*632 clknet_4_10_0_clock
*633 clknet_4_11_0_clock
*634 clknet_4_12_0_clock
*635 clknet_4_13_0_clock
*636 clknet_4_14_0_clock
*637 clknet_4_15_0_clock
*638 clknet_4_1_0_clock
*639 clknet_4_2_0_clock
*640 clknet_4_3_0_clock
*641 clknet_4_4_0_clock
*642 clknet_4_5_0_clock
*643 clknet_4_6_0_clock
*644 clknet_4_7_0_clock
*645 clknet_4_8_0_clock
*646 clknet_4_9_0_clock
*647 control_r\[0\]
*648 control_r\[1\]
*649 control_r\[2\]
*650 control_r\[3\]
*651 control_r\[4\]
*652 control_r\[5\]
*653 control_r\[6\]
*654 control_r\[7\]
*655 int_mask_r\[0\]
*656 int_mask_r\[1\]
*657 int_mask_r\[2\]
*658 int_mask_r\[3\]
*659 int_mask_r\[4\]
*660 int_mask_r\[5\]
*661 int_mask_r\[6\]
*662 int_mask_r\[7\]
*663 net1
*664 net10
*665 net11
*666 net12
*667 net13
*668 net14
*669 net15
*670 net16
*671 net17
*672 net18
*673 net19
*674 net2
*675 net20
*676 net21
*677 net22
*678 net23
*679 net24
*680 net25
*681 net26
*682 net27
*683 net28
*684 net29
*685 net3
*686 net30
*687 net31
*688 net32
*689 net4
*690 net5
*691 net6
*692 net7
*693 net8
*694 net9
*695 rx_data_r\[0\]
*696 rx_data_r\[1\]
*697 rx_data_r\[2\]
*698 rx_data_r\[3\]
*699 rx_data_r\[4\]
*700 rx_data_r\[5\]
*701 rx_data_r\[6\]
*702 rx_data_r\[7\]
*703 rxm\._GEN_28\[0\]
*704 rxm\._GEN_28\[1\]
*705 rxm\._GEN_28\[2\]
*706 rxm\._GEN_28\[3\]
*707 rxm\._GEN_28\[4\]
*708 rxm\._GEN_28\[5\]
*709 rxm\._GEN_28\[6\]
*710 rxm\._GEN_28\[7\]
*711 rxm\._GEN_28\[8\]
*712 rxm\._T_29\[0\]
*713 rxm\._T_29\[1\]
*714 rxm\._T_29\[2\]
*715 rxm\._T_29\[3\]
*716 rxm\._T_29\[4\]
*717 rxm\._T_29\[5\]
*718 rxm\._T_29\[6\]
*719 rxm\._T_49\[0\]
*720 rxm\._T_49\[1\]
*721 rxm\._T_49\[2\]
*722 rxm\._T_49\[3\]
*723 rxm\._T_49\[4\]
*724 rxm\._T_49\[5\]
*725 rxm\._T_49\[6\]
*726 rxm\.data_count\[0\]
*727 rxm\.data_count\[1\]
*728 rxm\.data_count\[2\]
*729 rxm\.data_count\[3\]
*730 rxm\.io_div\[0\]
*731 rxm\.io_out_bits\[0\]
*732 rxm\.io_out_valid
*733 rxm\.prescaler\[0\]
*734 rxm\.prescaler\[1\]
*735 rxm\.prescaler\[2\]
*736 rxm\.prescaler\[3\]
*737 rxm\.prescaler\[4\]
*738 rxm\.prescaler\[5\]
*739 rxm\.prescaler\[6\]
*740 rxm\.prescaler\[7\]
*741 rxm\.prescaler\[8\]
*742 rxm\.prescaler\[9\]
*743 rxm\.state
*744 txm\._T_37\[1\]
*745 txm\._T_37\[2\]
*746 txm\._T_37\[3\]
*747 txm\._T_37\[4\]
*748 txm\._T_37\[5\]
*749 txm\._T_37\[6\]
*750 txm\._T_37\[7\]
*751 txm\._T_37\[8\]
*752 txm\._T_66\[0\]
*753 txm\._T_66\[1\]
*754 txm\._T_66\[2\]
*755 txm\._T_66\[3\]
*756 txm\._T_66\[4\]
*757 txm\._T_66\[5\]
*758 txm\._T_66\[6\]
*759 txm\._T_66\[7\]
*760 txm\._T_68
*761 txm\.counter\[0\]
*762 txm\.counter\[1\]
*763 txm\.counter\[2\]
*764 txm\.counter\[3\]
*765 txm\.io_in_valid
*766 txm\.prescaler\[0\]
*767 txm\.prescaler\[1\]
*768 txm\.prescaler\[2\]
*769 txm\.prescaler\[3\]
*770 txm\.prescaler\[4\]
*771 txm\.prescaler\[5\]
*772 txm\.prescaler\[6\]
*773 txm\.prescaler\[7\]
*774 txm\.prescaler\[8\]
*775 txm\.prescaler\[9\]
*776 ANTENNA__0584__A
*777 ANTENNA__0591__C
*778 ANTENNA__0592__A_N
*779 ANTENNA__0592__B
*780 ANTENNA__0592__C
*781 ANTENNA__0593__A
*782 ANTENNA__0593__B
*783 ANTENNA__0598__A0
*784 ANTENNA__0602__A0
*785 ANTENNA__0605__A0
*786 ANTENNA__0608__A0
*787 ANTENNA__0613__A0
*788 ANTENNA__0616__A0
*789 ANTENNA__0619__A0
*790 ANTENNA__0622__A0
*791 ANTENNA__0653__A
*792 ANTENNA__0655__A
*793 ANTENNA__0655__B
*794 ANTENNA__0660__A
*795 ANTENNA__0660__B
*796 ANTENNA__0665__A1
*797 ANTENNA__0667__A1
*798 ANTENNA__0669__A1
*799 ANTENNA__0671__A1
*800 ANTENNA__0675__A1
*801 ANTENNA__0677__A1
*802 ANTENNA__0679__A1
*803 ANTENNA__0681__A1
*804 ANTENNA__0685__A
*805 ANTENNA__0685__B
*806 ANTENNA__0685__C_N
*807 ANTENNA__0689__A0
*808 ANTENNA__0692__A0
*809 ANTENNA__0695__A0
*810 ANTENNA__0698__A0
*811 ANTENNA__0702__A0
*812 ANTENNA__0705__A0
*813 ANTENNA__0709__A0
*814 ANTENNA__0713__A0
*815 ANTENNA__0716__A0
*816 ANTENNA__0719__A0
*817 ANTENNA__0723__A0
*818 ANTENNA__0726__A0
*819 ANTENNA__0729__A0
*820 ANTENNA__0732__A0
*821 ANTENNA__0736__A_N
*822 ANTENNA__0736__B
*823 ANTENNA__0736__C
*824 ANTENNA__0739__A0
*825 ANTENNA__0744__A0
*826 ANTENNA__0748__A0
*827 ANTENNA__0751__A0
*828 ANTENNA__0755__A0
*829 ANTENNA__0759__B1
*830 ANTENNA__0760__A1
*831 ANTENNA__0762__A0
*832 ANTENNA__0765__A0
*833 ANTENNA__0768__A
*834 ANTENNA__0780__A
*835 ANTENNA__0829__C1
*836 ANTENNA__0840__C1
*837 ANTENNA__0844__C1
*838 ANTENNA__0848__A
*839 ANTENNA__0850__A_N
*840 ANTENNA__0850__B
*841 ANTENNA__0861__A
*842 ANTENNA__0875__C1
*843 ANTENNA__0896__C1
*844 ANTENNA__0898__B
*845 ANTENNA__0903__C1
*846 ANTENNA__0909__C1
*847 ANTENNA__0923__C1
*848 ANTENNA__0927__C1
*849 ANTENNA__0945__C1
*850 ANTENNA__0951__A
*851 ANTENNA__0954__A
*852 ANTENNA__0957__A
*853 ANTENNA__0960__A
*854 ANTENNA__0971__A0
*855 ANTENNA__0977__C1
*856 ANTENNA__1011__C1
*857 ANTENNA__1039__A0
*858 ANTENNA__1041__C1
*859 ANTENNA__1042__A0
*860 ANTENNA__1119__D
*861 ANTENNA__1125__D
*862 ANTENNA_clkbuf_0_clock_A
*863 ANTENNA_clkbuf_3_0_0_clock_A
*864 ANTENNA_clkbuf_3_1_0_clock_A
*865 ANTENNA_clkbuf_3_2_0_clock_A
*866 ANTENNA_clkbuf_3_3_0_clock_A
*867 ANTENNA_clkbuf_3_4_0_clock_A
*868 ANTENNA_clkbuf_3_5_0_clock_A
*869 ANTENNA_clkbuf_3_6_0_clock_A
*870 ANTENNA_clkbuf_3_7_0_clock_A
*871 ANTENNA_input10_A
*872 ANTENNA_input11_A
*873 ANTENNA_input12_A
*874 ANTENNA_input13_A
*875 ANTENNA_input14_A
*876 ANTENNA_input15_A
*877 ANTENNA_input16_A
*878 ANTENNA_input17_A
*879 ANTENNA_input18_A
*880 ANTENNA_input19_A
*881 ANTENNA_input1_A
*882 ANTENNA_input20_A
*883 ANTENNA_input21_A
*884 ANTENNA_input2_A
*885 ANTENNA_input3_A
*886 ANTENNA_input4_A
*887 ANTENNA_input5_A
*888 ANTENNA_input6_A
*889 ANTENNA_input7_A
*890 ANTENNA_input8_A
*891 ANTENNA_input9_A
*892 FILLER_0_104
*893 FILLER_0_113
*894 FILLER_0_125
*895 FILLER_0_137
*896 FILLER_0_141
*897 FILLER_0_15
*898 FILLER_0_153
*899 FILLER_0_161
*900 FILLER_0_165
*901 FILLER_0_169
*902 FILLER_0_181
*903 FILLER_0_188
*904 FILLER_0_192
*905 FILLER_0_197
*906 FILLER_0_209
*907 FILLER_0_21
*908 FILLER_0_217
*909 FILLER_0_223
*910 FILLER_0_225
*911 FILLER_0_237
*912 FILLER_0_249
*913 FILLER_0_25
*914 FILLER_0_253
*915 FILLER_0_258
*916 FILLER_0_262
*917 FILLER_0_268
*918 FILLER_0_272
*919 FILLER_0_281
*920 FILLER_0_286
*921 FILLER_0_29
*922 FILLER_0_297
*923 FILLER_0_43
*924 FILLER_0_51
*925 FILLER_0_55
*926 FILLER_0_57
*927 FILLER_0_62
*928 FILLER_0_7
*929 FILLER_0_70
*930 FILLER_0_76
*931 FILLER_0_80
*932 FILLER_0_85
*933 FILLER_0_97
*934 FILLER_10_114
*935 FILLER_10_123
*936 FILLER_10_131
*937 FILLER_10_135
*938 FILLER_10_139
*939 FILLER_10_143
*940 FILLER_10_15
*941 FILLER_10_150
*942 FILLER_10_168
*943 FILLER_10_188
*944 FILLER_10_194
*945 FILLER_10_197
*946 FILLER_10_207
*947 FILLER_10_225
*948 FILLER_10_233
*949 FILLER_10_250
*950 FILLER_10_253
*951 FILLER_10_27
*952 FILLER_10_272
*953 FILLER_10_277
*954 FILLER_10_289
*955 FILLER_10_29
*956 FILLER_10_297
*957 FILLER_10_3
*958 FILLER_10_41
*959 FILLER_10_53
*960 FILLER_10_65
*961 FILLER_10_77
*962 FILLER_10_83
*963 FILLER_10_85
*964 FILLER_10_96
*965 FILLER_11_110
*966 FILLER_11_113
*967 FILLER_11_130
*968 FILLER_11_141
*969 FILLER_11_151
*970 FILLER_11_157
*971 FILLER_11_166
*972 FILLER_11_169
*973 FILLER_11_180
*974 FILLER_11_19
*975 FILLER_11_191
*976 FILLER_11_197
*977 FILLER_11_208
*978 FILLER_11_213
*979 FILLER_11_217
*980 FILLER_11_223
*981 FILLER_11_228
*982 FILLER_11_234
*983 FILLER_11_239
*984 FILLER_11_245
*985 FILLER_11_250
*986 FILLER_11_261
*987 FILLER_11_267
*988 FILLER_11_279
*989 FILLER_11_281
*990 FILLER_11_289
*991 FILLER_11_292
*992 FILLER_11_297
*993 FILLER_11_31
*994 FILLER_11_43
*995 FILLER_11_55
*996 FILLER_11_57
*997 FILLER_11_69
*998 FILLER_11_7
*999 FILLER_11_81
*1000 FILLER_11_89
*1001 FILLER_11_95
*1002 FILLER_11_99
*1003 FILLER_12_101
*1004 FILLER_12_112
*1005 FILLER_12_116
*1006 FILLER_12_120
*1007 FILLER_12_133
*1008 FILLER_12_138
*1009 FILLER_12_148
*1010 FILLER_12_15
*1011 FILLER_12_167
*1012 FILLER_12_174
*1013 FILLER_12_192
*1014 FILLER_12_199
*1015 FILLER_12_210
*1016 FILLER_12_217
*1017 FILLER_12_235
*1018 FILLER_12_250
*1019 FILLER_12_257
*1020 FILLER_12_27
*1021 FILLER_12_272
*1022 FILLER_12_279
*1023 FILLER_12_29
*1024 FILLER_12_291
*1025 FILLER_12_3
*1026 FILLER_12_41
*1027 FILLER_12_53
*1028 FILLER_12_65
*1029 FILLER_12_77
*1030 FILLER_12_82
*1031 FILLER_13_106
*1032 FILLER_13_118
*1033 FILLER_13_122
*1034 FILLER_13_126
*1035 FILLER_13_144
*1036 FILLER_13_148
*1037 FILLER_13_15
*1038 FILLER_13_159
*1039 FILLER_13_166
*1040 FILLER_13_171
*1041 FILLER_13_175
*1042 FILLER_13_179
*1043 FILLER_13_186
*1044 FILLER_13_193
*1045 FILLER_13_204
*1046 FILLER_13_222
*1047 FILLER_13_225
*1048 FILLER_13_237
*1049 FILLER_13_259
*1050 FILLER_13_27
*1051 FILLER_13_277
*1052 FILLER_13_281
*1053 FILLER_13_291
*1054 FILLER_13_297
*1055 FILLER_13_3
*1056 FILLER_13_39
*1057 FILLER_13_51
*1058 FILLER_13_55
*1059 FILLER_13_57
*1060 FILLER_13_69
*1061 FILLER_13_84
*1062 FILLER_13_90
*1063 FILLER_13_95
*1064 FILLER_14_105
*1065 FILLER_14_125
*1066 FILLER_14_13
*1067 FILLER_14_136
*1068 FILLER_14_141
*1069 FILLER_14_150
*1070 FILLER_14_158
*1071 FILLER_14_178
*1072 FILLER_14_189
*1073 FILLER_14_194
*1074 FILLER_14_199
*1075 FILLER_14_210
*1076 FILLER_14_215
*1077 FILLER_14_220
*1078 FILLER_14_224
*1079 FILLER_14_237
*1080 FILLER_14_243
*1081 FILLER_14_249
*1082 FILLER_14_25
*1083 FILLER_14_253
*1084 FILLER_14_259
*1085 FILLER_14_266
*1086 FILLER_14_277
*1087 FILLER_14_29
*1088 FILLER_14_295
*1089 FILLER_14_3
*1090 FILLER_14_41
*1091 FILLER_14_53
*1092 FILLER_14_65
*1093 FILLER_14_81
*1094 FILLER_14_85
*1095 FILLER_14_9
*1096 FILLER_15_102
*1097 FILLER_15_106
*1098 FILLER_15_110
*1099 FILLER_15_116
*1100 FILLER_15_122
*1101 FILLER_15_127
*1102 FILLER_15_134
*1103 FILLER_15_145
*1104 FILLER_15_149
*1105 FILLER_15_15
*1106 FILLER_15_152
*1107 FILLER_15_163
*1108 FILLER_15_167
*1109 FILLER_15_172
*1110 FILLER_15_181
*1111 FILLER_15_199
*1112 FILLER_15_203
*1113 FILLER_15_221
*1114 FILLER_15_225
*1115 FILLER_15_242
*1116 FILLER_15_248
*1117 FILLER_15_265
*1118 FILLER_15_269
*1119 FILLER_15_27
*1120 FILLER_15_275
*1121 FILLER_15_279
*1122 FILLER_15_281
*1123 FILLER_15_287
*1124 FILLER_15_292
*1125 FILLER_15_298
*1126 FILLER_15_3
*1127 FILLER_15_39
*1128 FILLER_15_51
*1129 FILLER_15_55
*1130 FILLER_15_57
*1131 FILLER_15_69
*1132 FILLER_15_89
*1133 FILLER_15_97
*1134 FILLER_16_111
*1135 FILLER_16_123
*1136 FILLER_16_131
*1137 FILLER_16_136
*1138 FILLER_16_141
*1139 FILLER_16_150
*1140 FILLER_16_162
*1141 FILLER_16_166
*1142 FILLER_16_170
*1143 FILLER_16_174
*1144 FILLER_16_18
*1145 FILLER_16_180
*1146 FILLER_16_184
*1147 FILLER_16_191
*1148 FILLER_16_195
*1149 FILLER_16_199
*1150 FILLER_16_207
*1151 FILLER_16_211
*1152 FILLER_16_228
*1153 FILLER_16_232
*1154 FILLER_16_237
*1155 FILLER_16_246
*1156 FILLER_16_250
*1157 FILLER_16_253
*1158 FILLER_16_259
*1159 FILLER_16_26
*1160 FILLER_16_265
*1161 FILLER_16_269
*1162 FILLER_16_286
*1163 FILLER_16_29
*1164 FILLER_16_297
*1165 FILLER_16_41
*1166 FILLER_16_53
*1167 FILLER_16_6
*1168 FILLER_16_72
*1169 FILLER_16_80
*1170 FILLER_16_87
*1171 FILLER_16_92
*1172 FILLER_16_99
*1173 FILLER_17_110
*1174 FILLER_17_115
*1175 FILLER_17_133
*1176 FILLER_17_141
*1177 FILLER_17_15
*1178 FILLER_17_159
*1179 FILLER_17_166
*1180 FILLER_17_175
*1181 FILLER_17_187
*1182 FILLER_17_194
*1183 FILLER_17_200
*1184 FILLER_17_206
*1185 FILLER_17_210
*1186 FILLER_17_217
*1187 FILLER_17_222
*1188 FILLER_17_229
*1189 FILLER_17_239
*1190 FILLER_17_243
*1191 FILLER_17_249
*1192 FILLER_17_256
*1193 FILLER_17_262
*1194 FILLER_17_27
*1195 FILLER_17_270
*1196 FILLER_17_278
*1197 FILLER_17_281
*1198 FILLER_17_293
*1199 FILLER_17_3
*1200 FILLER_17_39
*1201 FILLER_17_51
*1202 FILLER_17_54
*1203 FILLER_17_57
*1204 FILLER_17_63
*1205 FILLER_17_68
*1206 FILLER_17_75
*1207 FILLER_17_86
*1208 FILLER_17_92
*1209 FILLER_18_100
*1210 FILLER_18_104
*1211 FILLER_18_110
*1212 FILLER_18_114
*1213 FILLER_18_121
*1214 FILLER_18_132
*1215 FILLER_18_138
*1216 FILLER_18_146
*1217 FILLER_18_15
*1218 FILLER_18_157
*1219 FILLER_18_161
*1220 FILLER_18_170
*1221 FILLER_18_182
*1222 FILLER_18_190
*1223 FILLER_18_194
*1224 FILLER_18_213
*1225 FILLER_18_220
*1226 FILLER_18_230
*1227 FILLER_18_240
*1228 FILLER_18_245
*1229 FILLER_18_249
*1230 FILLER_18_257
*1231 FILLER_18_263
*1232 FILLER_18_27
*1233 FILLER_18_271
*1234 FILLER_18_275
*1235 FILLER_18_281
*1236 FILLER_18_285
*1237 FILLER_18_29
*1238 FILLER_18_293
*1239 FILLER_18_297
*1240 FILLER_18_3
*1241 FILLER_18_41
*1242 FILLER_18_60
*1243 FILLER_18_71
*1244 FILLER_18_79
*1245 FILLER_18_83
*1246 FILLER_18_94
*1247 FILLER_19_105
*1248 FILLER_19_110
*1249 FILLER_19_119
*1250 FILLER_19_130
*1251 FILLER_19_134
*1252 FILLER_19_144
*1253 FILLER_19_15
*1254 FILLER_19_154
*1255 FILLER_19_161
*1256 FILLER_19_166
*1257 FILLER_19_179
*1258 FILLER_19_187
*1259 FILLER_19_192
*1260 FILLER_19_199
*1261 FILLER_19_205
*1262 FILLER_19_213
*1263 FILLER_19_218
*1264 FILLER_19_222
*1265 FILLER_19_228
*1266 FILLER_19_235
*1267 FILLER_19_247
*1268 FILLER_19_267
*1269 FILLER_19_27
*1270 FILLER_19_271
*1271 FILLER_19_279
*1272 FILLER_19_297
*1273 FILLER_19_3
*1274 FILLER_19_39
*1275 FILLER_19_45
*1276 FILLER_19_52
*1277 FILLER_19_66
*1278 FILLER_19_70
*1279 FILLER_19_89
*1280 FILLER_19_94
*1281 FILLER_1_105
*1282 FILLER_1_111
*1283 FILLER_1_113
*1284 FILLER_1_125
*1285 FILLER_1_137
*1286 FILLER_1_149
*1287 FILLER_1_161
*1288 FILLER_1_167
*1289 FILLER_1_169
*1290 FILLER_1_177
*1291 FILLER_1_184
*1292 FILLER_1_19
*1293 FILLER_1_196
*1294 FILLER_1_208
*1295 FILLER_1_220
*1296 FILLER_1_225
*1297 FILLER_1_237
*1298 FILLER_1_249
*1299 FILLER_1_261
*1300 FILLER_1_273
*1301 FILLER_1_279
*1302 FILLER_1_281
*1303 FILLER_1_293
*1304 FILLER_1_297
*1305 FILLER_1_31
*1306 FILLER_1_43
*1307 FILLER_1_55
*1308 FILLER_1_57
*1309 FILLER_1_69
*1310 FILLER_1_7
*1311 FILLER_1_81
*1312 FILLER_1_93
*1313 FILLER_20_109
*1314 FILLER_20_127
*1315 FILLER_20_138
*1316 FILLER_20_15
*1317 FILLER_20_151
*1318 FILLER_20_155
*1319 FILLER_20_166
*1320 FILLER_20_170
*1321 FILLER_20_177
*1322 FILLER_20_185
*1323 FILLER_20_189
*1324 FILLER_20_193
*1325 FILLER_20_207
*1326 FILLER_20_225
*1327 FILLER_20_232
*1328 FILLER_20_244
*1329 FILLER_20_250
*1330 FILLER_20_258
*1331 FILLER_20_264
*1332 FILLER_20_269
*1333 FILLER_20_27
*1334 FILLER_20_276
*1335 FILLER_20_280
*1336 FILLER_20_29
*1337 FILLER_20_297
*1338 FILLER_20_3
*1339 FILLER_20_41
*1340 FILLER_20_61
*1341 FILLER_20_69
*1342 FILLER_20_80
*1343 FILLER_20_85
*1344 FILLER_20_91
*1345 FILLER_21_104
*1346 FILLER_21_110
*1347 FILLER_21_115
*1348 FILLER_21_127
*1349 FILLER_21_137
*1350 FILLER_21_149
*1351 FILLER_21_15
*1352 FILLER_21_153
*1353 FILLER_21_164
*1354 FILLER_21_169
*1355 FILLER_21_178
*1356 FILLER_21_183
*1357 FILLER_21_189
*1358 FILLER_21_207
*1359 FILLER_21_217
*1360 FILLER_21_222
*1361 FILLER_21_231
*1362 FILLER_21_238
*1363 FILLER_21_242
*1364 FILLER_21_249
*1365 FILLER_21_255
*1366 FILLER_21_263
*1367 FILLER_21_269
*1368 FILLER_21_27
*1369 FILLER_21_277
*1370 FILLER_21_284
*1371 FILLER_21_288
*1372 FILLER_21_292
*1373 FILLER_21_297
*1374 FILLER_21_3
*1375 FILLER_21_39
*1376 FILLER_21_46
*1377 FILLER_21_53
*1378 FILLER_21_66
*1379 FILLER_21_86
*1380 FILLER_21_97
*1381 FILLER_22_115
*1382 FILLER_22_119
*1383 FILLER_22_130
*1384 FILLER_22_136
*1385 FILLER_22_15
*1386 FILLER_22_151
*1387 FILLER_22_157
*1388 FILLER_22_169
*1389 FILLER_22_177
*1390 FILLER_22_181
*1391 FILLER_22_187
*1392 FILLER_22_192
*1393 FILLER_22_203
*1394 FILLER_22_211
*1395 FILLER_22_215
*1396 FILLER_22_219
*1397 FILLER_22_230
*1398 FILLER_22_237
*1399 FILLER_22_246
*1400 FILLER_22_250
*1401 FILLER_22_253
*1402 FILLER_22_259
*1403 FILLER_22_267
*1404 FILLER_22_27
*1405 FILLER_22_275
*1406 FILLER_22_287
*1407 FILLER_22_29
*1408 FILLER_22_294
*1409 FILLER_22_298
*1410 FILLER_22_3
*1411 FILLER_22_41
*1412 FILLER_22_55
*1413 FILLER_22_67
*1414 FILLER_22_73
*1415 FILLER_22_78
*1416 FILLER_22_85
*1417 FILLER_22_97
*1418 FILLER_23_104
*1419 FILLER_23_110
*1420 FILLER_23_115
*1421 FILLER_23_121
*1422 FILLER_23_131
*1423 FILLER_23_141
*1424 FILLER_23_15
*1425 FILLER_23_151
*1426 FILLER_23_163
*1427 FILLER_23_167
*1428 FILLER_23_171
*1429 FILLER_23_182
*1430 FILLER_23_186
*1431 FILLER_23_204
*1432 FILLER_23_211
*1433 FILLER_23_215
*1434 FILLER_23_222
*1435 FILLER_23_230
*1436 FILLER_23_235
*1437 FILLER_23_254
*1438 FILLER_23_261
*1439 FILLER_23_27
*1440 FILLER_23_273
*1441 FILLER_23_279
*1442 FILLER_23_297
*1443 FILLER_23_3
*1444 FILLER_23_39
*1445 FILLER_23_43
*1446 FILLER_23_46
*1447 FILLER_23_53
*1448 FILLER_23_66
*1449 FILLER_23_79
*1450 FILLER_23_87
*1451 FILLER_23_93
*1452 FILLER_23_99
*1453 FILLER_24_109
*1454 FILLER_24_116
*1455 FILLER_24_126
*1456 FILLER_24_138
*1457 FILLER_24_141
*1458 FILLER_24_15
*1459 FILLER_24_152
*1460 FILLER_24_163
*1461 FILLER_24_172
*1462 FILLER_24_177
*1463 FILLER_24_188
*1464 FILLER_24_193
*1465 FILLER_24_201
*1466 FILLER_24_221
*1467 FILLER_24_230
*1468 FILLER_24_242
*1469 FILLER_24_250
*1470 FILLER_24_260
*1471 FILLER_24_264
*1472 FILLER_24_27
*1473 FILLER_24_270
*1474 FILLER_24_281
*1475 FILLER_24_287
*1476 FILLER_24_29
*1477 FILLER_24_297
*1478 FILLER_24_3
*1479 FILLER_24_41
*1480 FILLER_24_60
*1481 FILLER_24_82
*1482 FILLER_24_88
*1483 FILLER_24_92
*1484 FILLER_25_100
*1485 FILLER_25_106
*1486 FILLER_25_110
*1487 FILLER_25_129
*1488 FILLER_25_137
*1489 FILLER_25_151
*1490 FILLER_25_163
*1491 FILLER_25_167
*1492 FILLER_25_178
*1493 FILLER_25_18
*1494 FILLER_25_185
*1495 FILLER_25_191
*1496 FILLER_25_196
*1497 FILLER_25_204
*1498 FILLER_25_208
*1499 FILLER_25_216
*1500 FILLER_25_222
*1501 FILLER_25_241
*1502 FILLER_25_247
*1503 FILLER_25_265
*1504 FILLER_25_274
*1505 FILLER_25_297
*1506 FILLER_25_30
*1507 FILLER_25_42
*1508 FILLER_25_46
*1509 FILLER_25_50
*1510 FILLER_25_57
*1511 FILLER_25_6
*1512 FILLER_25_65
*1513 FILLER_25_73
*1514 FILLER_25_80
*1515 FILLER_25_89
*1516 FILLER_26_112
*1517 FILLER_26_122
*1518 FILLER_26_128
*1519 FILLER_26_136
*1520 FILLER_26_149
*1521 FILLER_26_171
*1522 FILLER_26_176
*1523 FILLER_26_19
*1524 FILLER_26_194
*1525 FILLER_26_201
*1526 FILLER_26_210
*1527 FILLER_26_222
*1528 FILLER_26_228
*1529 FILLER_26_240
*1530 FILLER_26_256
*1531 FILLER_26_265
*1532 FILLER_26_269
*1533 FILLER_26_27
*1534 FILLER_26_276
*1535 FILLER_26_29
*1536 FILLER_26_296
*1537 FILLER_26_41
*1538 FILLER_26_49
*1539 FILLER_26_66
*1540 FILLER_26_72
*1541 FILLER_26_82
*1542 FILLER_26_88
*1543 FILLER_27_103
*1544 FILLER_27_110
*1545 FILLER_27_121
*1546 FILLER_27_126
*1547 FILLER_27_134
*1548 FILLER_27_141
*1549 FILLER_27_148
*1550 FILLER_27_15
*1551 FILLER_27_158
*1552 FILLER_27_166
*1553 FILLER_27_178
*1554 FILLER_27_185
*1555 FILLER_27_189
*1556 FILLER_27_193
*1557 FILLER_27_201
*1558 FILLER_27_205
*1559 FILLER_27_211
*1560 FILLER_27_216
*1561 FILLER_27_225
*1562 FILLER_27_235
*1563 FILLER_27_244
*1564 FILLER_27_252
*1565 FILLER_27_261
*1566 FILLER_27_267
*1567 FILLER_27_27
*1568 FILLER_27_278
*1569 FILLER_27_287
*1570 FILLER_27_291
*1571 FILLER_27_297
*1572 FILLER_27_3
*1573 FILLER_27_39
*1574 FILLER_27_54
*1575 FILLER_27_62
*1576 FILLER_27_73
*1577 FILLER_27_95
*1578 FILLER_28_101
*1579 FILLER_28_107
*1580 FILLER_28_119
*1581 FILLER_28_131
*1582 FILLER_28_138
*1583 FILLER_28_149
*1584 FILLER_28_158
*1585 FILLER_28_166
*1586 FILLER_28_172
*1587 FILLER_28_18
*1588 FILLER_28_190
*1589 FILLER_28_194
*1590 FILLER_28_207
*1591 FILLER_28_216
*1592 FILLER_28_225
*1593 FILLER_28_230
*1594 FILLER_28_241
*1595 FILLER_28_249
*1596 FILLER_28_26
*1597 FILLER_28_260
*1598 FILLER_28_266
*1599 FILLER_28_272
*1600 FILLER_28_277
*1601 FILLER_28_29
*1602 FILLER_28_297
*1603 FILLER_28_41
*1604 FILLER_28_53
*1605 FILLER_28_6
*1606 FILLER_28_61
*1607 FILLER_28_68
*1608 FILLER_28_76
*1609 FILLER_28_82
*1610 FILLER_29_110
*1611 FILLER_29_121
*1612 FILLER_29_131
*1613 FILLER_29_139
*1614 FILLER_29_149
*1615 FILLER_29_159
*1616 FILLER_29_166
*1617 FILLER_29_173
*1618 FILLER_29_184
*1619 FILLER_29_188
*1620 FILLER_29_19
*1621 FILLER_29_192
*1622 FILLER_29_196
*1623 FILLER_29_204
*1624 FILLER_29_214
*1625 FILLER_29_220
*1626 FILLER_29_238
*1627 FILLER_29_244
*1628 FILLER_29_258
*1629 FILLER_29_277
*1630 FILLER_29_284
*1631 FILLER_29_292
*1632 FILLER_29_297
*1633 FILLER_29_31
*1634 FILLER_29_43
*1635 FILLER_29_55
*1636 FILLER_29_57
*1637 FILLER_29_76
*1638 FILLER_29_87
*1639 FILLER_29_92
*1640 FILLER_2_109
*1641 FILLER_2_121
*1642 FILLER_2_133
*1643 FILLER_2_139
*1644 FILLER_2_141
*1645 FILLER_2_15
*1646 FILLER_2_153
*1647 FILLER_2_165
*1648 FILLER_2_177
*1649 FILLER_2_189
*1650 FILLER_2_195
*1651 FILLER_2_197
*1652 FILLER_2_209
*1653 FILLER_2_221
*1654 FILLER_2_233
*1655 FILLER_2_245
*1656 FILLER_2_251
*1657 FILLER_2_253
*1658 FILLER_2_265
*1659 FILLER_2_27
*1660 FILLER_2_277
*1661 FILLER_2_289
*1662 FILLER_2_29
*1663 FILLER_2_297
*1664 FILLER_2_3
*1665 FILLER_2_41
*1666 FILLER_2_53
*1667 FILLER_2_65
*1668 FILLER_2_77
*1669 FILLER_2_83
*1670 FILLER_2_85
*1671 FILLER_2_97
*1672 FILLER_30_101
*1673 FILLER_30_118
*1674 FILLER_30_138
*1675 FILLER_30_141
*1676 FILLER_30_147
*1677 FILLER_30_15
*1678 FILLER_30_154
*1679 FILLER_30_160
*1680 FILLER_30_171
*1681 FILLER_30_176
*1682 FILLER_30_194
*1683 FILLER_30_197
*1684 FILLER_30_203
*1685 FILLER_30_216
*1686 FILLER_30_224
*1687 FILLER_30_232
*1688 FILLER_30_250
*1689 FILLER_30_261
*1690 FILLER_30_27
*1691 FILLER_30_271
*1692 FILLER_30_282
*1693 FILLER_30_288
*1694 FILLER_30_29
*1695 FILLER_30_295
*1696 FILLER_30_3
*1697 FILLER_30_41
*1698 FILLER_30_53
*1699 FILLER_30_61
*1700 FILLER_30_66
*1701 FILLER_30_72
*1702 FILLER_30_85
*1703 FILLER_30_97
*1704 FILLER_31_102
*1705 FILLER_31_106
*1706 FILLER_31_118
*1707 FILLER_31_122
*1708 FILLER_31_128
*1709 FILLER_31_133
*1710 FILLER_31_137
*1711 FILLER_31_140
*1712 FILLER_31_147
*1713 FILLER_31_15
*1714 FILLER_31_157
*1715 FILLER_31_166
*1716 FILLER_31_172
*1717 FILLER_31_179
*1718 FILLER_31_183
*1719 FILLER_31_188
*1720 FILLER_31_195
*1721 FILLER_31_203
*1722 FILLER_31_209
*1723 FILLER_31_217
*1724 FILLER_31_222
*1725 FILLER_31_228
*1726 FILLER_31_241
*1727 FILLER_31_245
*1728 FILLER_31_252
*1729 FILLER_31_258
*1730 FILLER_31_267
*1731 FILLER_31_27
*1732 FILLER_31_271
*1733 FILLER_31_278
*1734 FILLER_31_297
*1735 FILLER_31_3
*1736 FILLER_31_39
*1737 FILLER_31_51
*1738 FILLER_31_55
*1739 FILLER_31_57
*1740 FILLER_31_69
*1741 FILLER_31_73
*1742 FILLER_31_76
*1743 FILLER_31_82
*1744 FILLER_31_89
*1745 FILLER_32_101
*1746 FILLER_32_109
*1747 FILLER_32_114
*1748 FILLER_32_124
*1749 FILLER_32_128
*1750 FILLER_32_135
*1751 FILLER_32_139
*1752 FILLER_32_15
*1753 FILLER_32_151
*1754 FILLER_32_161
*1755 FILLER_32_165
*1756 FILLER_32_182
*1757 FILLER_32_186
*1758 FILLER_32_194
*1759 FILLER_32_214
*1760 FILLER_32_218
*1761 FILLER_32_225
*1762 FILLER_32_232
*1763 FILLER_32_236
*1764 FILLER_32_244
*1765 FILLER_32_250
*1766 FILLER_32_261
*1767 FILLER_32_266
*1768 FILLER_32_27
*1769 FILLER_32_274
*1770 FILLER_32_280
*1771 FILLER_32_29
*1772 FILLER_32_292
*1773 FILLER_32_297
*1774 FILLER_32_3
*1775 FILLER_32_41
*1776 FILLER_32_53
*1777 FILLER_32_65
*1778 FILLER_32_77
*1779 FILLER_32_82
*1780 FILLER_33_104
*1781 FILLER_33_129
*1782 FILLER_33_136
*1783 FILLER_33_15
*1784 FILLER_33_154
*1785 FILLER_33_166
*1786 FILLER_33_171
*1787 FILLER_33_182
*1788 FILLER_33_189
*1789 FILLER_33_193
*1790 FILLER_33_198
*1791 FILLER_33_204
*1792 FILLER_33_222
*1793 FILLER_33_225
*1794 FILLER_33_243
*1795 FILLER_33_247
*1796 FILLER_33_259
*1797 FILLER_33_267
*1798 FILLER_33_27
*1799 FILLER_33_271
*1800 FILLER_33_277
*1801 FILLER_33_297
*1802 FILLER_33_3
*1803 FILLER_33_39
*1804 FILLER_33_51
*1805 FILLER_33_55
*1806 FILLER_33_57
*1807 FILLER_33_69
*1808 FILLER_33_81
*1809 FILLER_33_92
*1810 FILLER_34_109
*1811 FILLER_34_115
*1812 FILLER_34_118
*1813 FILLER_34_128
*1814 FILLER_34_136
*1815 FILLER_34_149
*1816 FILLER_34_15
*1817 FILLER_34_156
*1818 FILLER_34_164
*1819 FILLER_34_168
*1820 FILLER_34_172
*1821 FILLER_34_176
*1822 FILLER_34_194
*1823 FILLER_34_197
*1824 FILLER_34_203
*1825 FILLER_34_207
*1826 FILLER_34_217
*1827 FILLER_34_221
*1828 FILLER_34_231
*1829 FILLER_34_237
*1830 FILLER_34_243
*1831 FILLER_34_250
*1832 FILLER_34_259
*1833 FILLER_34_264
*1834 FILLER_34_27
*1835 FILLER_34_273
*1836 FILLER_34_279
*1837 FILLER_34_29
*1838 FILLER_34_297
*1839 FILLER_34_3
*1840 FILLER_34_41
*1841 FILLER_34_53
*1842 FILLER_34_65
*1843 FILLER_34_77
*1844 FILLER_34_83
*1845 FILLER_34_85
*1846 FILLER_34_97
*1847 FILLER_35_105
*1848 FILLER_35_111
*1849 FILLER_35_113
*1850 FILLER_35_137
*1851 FILLER_35_146
*1852 FILLER_35_15
*1853 FILLER_35_166
*1854 FILLER_35_169
*1855 FILLER_35_177
*1856 FILLER_35_182
*1857 FILLER_35_193
*1858 FILLER_35_204
*1859 FILLER_35_208
*1860 FILLER_35_217
*1861 FILLER_35_223
*1862 FILLER_35_241
*1863 FILLER_35_250
*1864 FILLER_35_257
*1865 FILLER_35_262
*1866 FILLER_35_268
*1867 FILLER_35_27
*1868 FILLER_35_272
*1869 FILLER_35_276
*1870 FILLER_35_281
*1871 FILLER_35_293
*1872 FILLER_35_297
*1873 FILLER_35_3
*1874 FILLER_35_39
*1875 FILLER_35_51
*1876 FILLER_35_55
*1877 FILLER_35_57
*1878 FILLER_35_69
*1879 FILLER_35_81
*1880 FILLER_35_93
*1881 FILLER_36_109
*1882 FILLER_36_121
*1883 FILLER_36_129
*1884 FILLER_36_137
*1885 FILLER_36_146
*1886 FILLER_36_150
*1887 FILLER_36_161
*1888 FILLER_36_176
*1889 FILLER_36_18
*1890 FILLER_36_185
*1891 FILLER_36_193
*1892 FILLER_36_197
*1893 FILLER_36_208
*1894 FILLER_36_226
*1895 FILLER_36_238
*1896 FILLER_36_250
*1897 FILLER_36_26
*1898 FILLER_36_261
*1899 FILLER_36_267
*1900 FILLER_36_277
*1901 FILLER_36_285
*1902 FILLER_36_29
*1903 FILLER_36_293
*1904 FILLER_36_297
*1905 FILLER_36_41
*1906 FILLER_36_53
*1907 FILLER_36_6
*1908 FILLER_36_65
*1909 FILLER_36_77
*1910 FILLER_36_83
*1911 FILLER_36_85
*1912 FILLER_36_97
*1913 FILLER_37_105
*1914 FILLER_37_111
*1915 FILLER_37_113
*1916 FILLER_37_123
*1917 FILLER_37_147
*1918 FILLER_37_15
*1919 FILLER_37_165
*1920 FILLER_37_169
*1921 FILLER_37_179
*1922 FILLER_37_191
*1923 FILLER_37_204
*1924 FILLER_37_212
*1925 FILLER_37_217
*1926 FILLER_37_223
*1927 FILLER_37_225
*1928 FILLER_37_242
*1929 FILLER_37_254
*1930 FILLER_37_259
*1931 FILLER_37_263
*1932 FILLER_37_268
*1933 FILLER_37_27
*1934 FILLER_37_274
*1935 FILLER_37_297
*1936 FILLER_37_3
*1937 FILLER_37_39
*1938 FILLER_37_51
*1939 FILLER_37_55
*1940 FILLER_37_57
*1941 FILLER_37_69
*1942 FILLER_37_81
*1943 FILLER_37_93
*1944 FILLER_38_109
*1945 FILLER_38_121
*1946 FILLER_38_127
*1947 FILLER_38_132
*1948 FILLER_38_141
*1949 FILLER_38_15
*1950 FILLER_38_150
*1951 FILLER_38_156
*1952 FILLER_38_174
*1953 FILLER_38_182
*1954 FILLER_38_190
*1955 FILLER_38_194
*1956 FILLER_38_197
*1957 FILLER_38_208
*1958 FILLER_38_214
*1959 FILLER_38_218
*1960 FILLER_38_222
*1961 FILLER_38_227
*1962 FILLER_38_232
*1963 FILLER_38_240
*1964 FILLER_38_246
*1965 FILLER_38_257
*1966 FILLER_38_262
*1967 FILLER_38_27
*1968 FILLER_38_272
*1969 FILLER_38_277
*1970 FILLER_38_289
*1971 FILLER_38_29
*1972 FILLER_38_297
*1973 FILLER_38_3
*1974 FILLER_38_41
*1975 FILLER_38_53
*1976 FILLER_38_65
*1977 FILLER_38_77
*1978 FILLER_38_83
*1979 FILLER_38_85
*1980 FILLER_38_97
*1981 FILLER_39_105
*1982 FILLER_39_111
*1983 FILLER_39_113
*1984 FILLER_39_125
*1985 FILLER_39_137
*1986 FILLER_39_153
*1987 FILLER_39_163
*1988 FILLER_39_167
*1989 FILLER_39_179
*1990 FILLER_39_18
*1991 FILLER_39_183
*1992 FILLER_39_201
*1993 FILLER_39_206
*1994 FILLER_39_212
*1995 FILLER_39_217
*1996 FILLER_39_222
*1997 FILLER_39_235
*1998 FILLER_39_243
*1999 FILLER_39_252
*2000 FILLER_39_259
*2001 FILLER_39_278
*2002 FILLER_39_281
*2003 FILLER_39_291
*2004 FILLER_39_297
*2005 FILLER_39_30
*2006 FILLER_39_42
*2007 FILLER_39_54
*2008 FILLER_39_57
*2009 FILLER_39_6
*2010 FILLER_39_69
*2011 FILLER_39_81
*2012 FILLER_39_93
*2013 FILLER_3_105
*2014 FILLER_3_111
*2015 FILLER_3_113
*2016 FILLER_3_125
*2017 FILLER_3_137
*2018 FILLER_3_149
*2019 FILLER_3_15
*2020 FILLER_3_161
*2021 FILLER_3_167
*2022 FILLER_3_169
*2023 FILLER_3_181
*2024 FILLER_3_193
*2025 FILLER_3_205
*2026 FILLER_3_217
*2027 FILLER_3_223
*2028 FILLER_3_225
*2029 FILLER_3_237
*2030 FILLER_3_249
*2031 FILLER_3_261
*2032 FILLER_3_27
*2033 FILLER_3_273
*2034 FILLER_3_279
*2035 FILLER_3_281
*2036 FILLER_3_293
*2037 FILLER_3_3
*2038 FILLER_3_39
*2039 FILLER_3_51
*2040 FILLER_3_55
*2041 FILLER_3_57
*2042 FILLER_3_69
*2043 FILLER_3_81
*2044 FILLER_3_93
*2045 FILLER_40_109
*2046 FILLER_40_121
*2047 FILLER_40_138
*2048 FILLER_40_141
*2049 FILLER_40_147
*2050 FILLER_40_15
*2051 FILLER_40_154
*2052 FILLER_40_158
*2053 FILLER_40_165
*2054 FILLER_40_177
*2055 FILLER_40_186
*2056 FILLER_40_194
*2057 FILLER_40_197
*2058 FILLER_40_209
*2059 FILLER_40_220
*2060 FILLER_40_224
*2061 FILLER_40_230
*2062 FILLER_40_250
*2063 FILLER_40_253
*2064 FILLER_40_260
*2065 FILLER_40_269
*2066 FILLER_40_27
*2067 FILLER_40_274
*2068 FILLER_40_286
*2069 FILLER_40_29
*2070 FILLER_40_298
*2071 FILLER_40_3
*2072 FILLER_40_41
*2073 FILLER_40_53
*2074 FILLER_40_65
*2075 FILLER_40_77
*2076 FILLER_40_83
*2077 FILLER_40_85
*2078 FILLER_40_97
*2079 FILLER_41_105
*2080 FILLER_41_111
*2081 FILLER_41_113
*2082 FILLER_41_125
*2083 FILLER_41_137
*2084 FILLER_41_149
*2085 FILLER_41_15
*2086 FILLER_41_155
*2087 FILLER_41_159
*2088 FILLER_41_165
*2089 FILLER_41_176
*2090 FILLER_41_180
*2091 FILLER_41_191
*2092 FILLER_41_197
*2093 FILLER_41_207
*2094 FILLER_41_213
*2095 FILLER_41_217
*2096 FILLER_41_222
*2097 FILLER_41_230
*2098 FILLER_41_235
*2099 FILLER_41_239
*2100 FILLER_41_243
*2101 FILLER_41_247
*2102 FILLER_41_266
*2103 FILLER_41_27
*2104 FILLER_41_278
*2105 FILLER_41_281
*2106 FILLER_41_291
*2107 FILLER_41_297
*2108 FILLER_41_3
*2109 FILLER_41_39
*2110 FILLER_41_51
*2111 FILLER_41_55
*2112 FILLER_41_57
*2113 FILLER_41_69
*2114 FILLER_41_81
*2115 FILLER_41_93
*2116 FILLER_42_109
*2117 FILLER_42_121
*2118 FILLER_42_133
*2119 FILLER_42_139
*2120 FILLER_42_141
*2121 FILLER_42_15
*2122 FILLER_42_165
*2123 FILLER_42_171
*2124 FILLER_42_179
*2125 FILLER_42_191
*2126 FILLER_42_195
*2127 FILLER_42_203
*2128 FILLER_42_207
*2129 FILLER_42_215
*2130 FILLER_42_225
*2131 FILLER_42_243
*2132 FILLER_42_250
*2133 FILLER_42_262
*2134 FILLER_42_27
*2135 FILLER_42_284
*2136 FILLER_42_29
*2137 FILLER_42_296
*2138 FILLER_42_3
*2139 FILLER_42_41
*2140 FILLER_42_53
*2141 FILLER_42_65
*2142 FILLER_42_77
*2143 FILLER_42_83
*2144 FILLER_42_85
*2145 FILLER_42_97
*2146 FILLER_43_105
*2147 FILLER_43_111
*2148 FILLER_43_113
*2149 FILLER_43_125
*2150 FILLER_43_137
*2151 FILLER_43_149
*2152 FILLER_43_15
*2153 FILLER_43_153
*2154 FILLER_43_157
*2155 FILLER_43_163
*2156 FILLER_43_166
*2157 FILLER_43_177
*2158 FILLER_43_182
*2159 FILLER_43_186
*2160 FILLER_43_194
*2161 FILLER_43_202
*2162 FILLER_43_208
*2163 FILLER_43_220
*2164 FILLER_43_231
*2165 FILLER_43_236
*2166 FILLER_43_242
*2167 FILLER_43_259
*2168 FILLER_43_263
*2169 FILLER_43_27
*2170 FILLER_43_271
*2171 FILLER_43_279
*2172 FILLER_43_281
*2173 FILLER_43_293
*2174 FILLER_43_3
*2175 FILLER_43_39
*2176 FILLER_43_51
*2177 FILLER_43_55
*2178 FILLER_43_57
*2179 FILLER_43_69
*2180 FILLER_43_81
*2181 FILLER_43_93
*2182 FILLER_44_109
*2183 FILLER_44_121
*2184 FILLER_44_13
*2185 FILLER_44_133
*2186 FILLER_44_139
*2187 FILLER_44_141
*2188 FILLER_44_153
*2189 FILLER_44_161
*2190 FILLER_44_179
*2191 FILLER_44_183
*2192 FILLER_44_189
*2193 FILLER_44_195
*2194 FILLER_44_197
*2195 FILLER_44_204
*2196 FILLER_44_211
*2197 FILLER_44_215
*2198 FILLER_44_222
*2199 FILLER_44_229
*2200 FILLER_44_241
*2201 FILLER_44_249
*2202 FILLER_44_25
*2203 FILLER_44_253
*2204 FILLER_44_259
*2205 FILLER_44_262
*2206 FILLER_44_274
*2207 FILLER_44_278
*2208 FILLER_44_281
*2209 FILLER_44_29
*2210 FILLER_44_297
*2211 FILLER_44_3
*2212 FILLER_44_41
*2213 FILLER_44_53
*2214 FILLER_44_65
*2215 FILLER_44_77
*2216 FILLER_44_83
*2217 FILLER_44_85
*2218 FILLER_44_9
*2219 FILLER_44_97
*2220 FILLER_45_10
*2221 FILLER_45_105
*2222 FILLER_45_111
*2223 FILLER_45_113
*2224 FILLER_45_125
*2225 FILLER_45_131
*2226 FILLER_45_148
*2227 FILLER_45_166
*2228 FILLER_45_169
*2229 FILLER_45_177
*2230 FILLER_45_194
*2231 FILLER_45_212
*2232 FILLER_45_217
*2233 FILLER_45_22
*2234 FILLER_45_222
*2235 FILLER_45_241
*2236 FILLER_45_251
*2237 FILLER_45_259
*2238 FILLER_45_278
*2239 FILLER_45_297
*2240 FILLER_45_34
*2241 FILLER_45_46
*2242 FILLER_45_54
*2243 FILLER_45_57
*2244 FILLER_45_6
*2245 FILLER_45_69
*2246 FILLER_45_81
*2247 FILLER_45_93
*2248 FILLER_46_109
*2249 FILLER_46_113
*2250 FILLER_46_121
*2251 FILLER_46_125
*2252 FILLER_46_13
*2253 FILLER_46_137
*2254 FILLER_46_145
*2255 FILLER_46_149
*2256 FILLER_46_154
*2257 FILLER_46_166
*2258 FILLER_46_173
*2259 FILLER_46_181
*2260 FILLER_46_186
*2261 FILLER_46_194
*2262 FILLER_46_200
*2263 FILLER_46_207
*2264 FILLER_46_21
*2265 FILLER_46_212
*2266 FILLER_46_228
*2267 FILLER_46_240
*2268 FILLER_46_253
*2269 FILLER_46_257
*2270 FILLER_46_26
*2271 FILLER_46_260
*2272 FILLER_46_268
*2273 FILLER_46_278
*2274 FILLER_46_281
*2275 FILLER_46_289
*2276 FILLER_46_29
*2277 FILLER_46_295
*2278 FILLER_46_37
*2279 FILLER_46_49
*2280 FILLER_46_55
*2281 FILLER_46_60
*2282 FILLER_46_7
*2283 FILLER_46_72
*2284 FILLER_46_85
*2285 FILLER_46_97
*2286 FILLER_4_109
*2287 FILLER_4_121
*2288 FILLER_4_133
*2289 FILLER_4_139
*2290 FILLER_4_141
*2291 FILLER_4_153
*2292 FILLER_4_165
*2293 FILLER_4_177
*2294 FILLER_4_18
*2295 FILLER_4_189
*2296 FILLER_4_195
*2297 FILLER_4_197
*2298 FILLER_4_209
*2299 FILLER_4_221
*2300 FILLER_4_233
*2301 FILLER_4_245
*2302 FILLER_4_251
*2303 FILLER_4_253
*2304 FILLER_4_26
*2305 FILLER_4_265
*2306 FILLER_4_277
*2307 FILLER_4_289
*2308 FILLER_4_29
*2309 FILLER_4_292
*2310 FILLER_4_297
*2311 FILLER_4_41
*2312 FILLER_4_53
*2313 FILLER_4_6
*2314 FILLER_4_65
*2315 FILLER_4_77
*2316 FILLER_4_83
*2317 FILLER_4_85
*2318 FILLER_4_97
*2319 FILLER_5_105
*2320 FILLER_5_111
*2321 FILLER_5_113
*2322 FILLER_5_125
*2323 FILLER_5_137
*2324 FILLER_5_149
*2325 FILLER_5_15
*2326 FILLER_5_161
*2327 FILLER_5_167
*2328 FILLER_5_169
*2329 FILLER_5_177
*2330 FILLER_5_180
*2331 FILLER_5_192
*2332 FILLER_5_210
*2333 FILLER_5_215
*2334 FILLER_5_223
*2335 FILLER_5_234
*2336 FILLER_5_246
*2337 FILLER_5_258
*2338 FILLER_5_27
*2339 FILLER_5_270
*2340 FILLER_5_278
*2341 FILLER_5_281
*2342 FILLER_5_293
*2343 FILLER_5_3
*2344 FILLER_5_39
*2345 FILLER_5_51
*2346 FILLER_5_55
*2347 FILLER_5_57
*2348 FILLER_5_69
*2349 FILLER_5_81
*2350 FILLER_5_93
*2351 FILLER_6_109
*2352 FILLER_6_121
*2353 FILLER_6_138
*2354 FILLER_6_141
*2355 FILLER_6_15
*2356 FILLER_6_153
*2357 FILLER_6_159
*2358 FILLER_6_176
*2359 FILLER_6_189
*2360 FILLER_6_195
*2361 FILLER_6_197
*2362 FILLER_6_207
*2363 FILLER_6_227
*2364 FILLER_6_245
*2365 FILLER_6_251
*2366 FILLER_6_253
*2367 FILLER_6_265
*2368 FILLER_6_27
*2369 FILLER_6_277
*2370 FILLER_6_289
*2371 FILLER_6_29
*2372 FILLER_6_297
*2373 FILLER_6_3
*2374 FILLER_6_41
*2375 FILLER_6_53
*2376 FILLER_6_65
*2377 FILLER_6_77
*2378 FILLER_6_83
*2379 FILLER_6_85
*2380 FILLER_6_97
*2381 FILLER_7_105
*2382 FILLER_7_111
*2383 FILLER_7_113
*2384 FILLER_7_124
*2385 FILLER_7_136
*2386 FILLER_7_144
*2387 FILLER_7_149
*2388 FILLER_7_15
*2389 FILLER_7_155
*2390 FILLER_7_159
*2391 FILLER_7_166
*2392 FILLER_7_171
*2393 FILLER_7_176
*2394 FILLER_7_194
*2395 FILLER_7_198
*2396 FILLER_7_208
*2397 FILLER_7_219
*2398 FILLER_7_223
*2399 FILLER_7_225
*2400 FILLER_7_238
*2401 FILLER_7_243
*2402 FILLER_7_248
*2403 FILLER_7_266
*2404 FILLER_7_27
*2405 FILLER_7_278
*2406 FILLER_7_281
*2407 FILLER_7_293
*2408 FILLER_7_3
*2409 FILLER_7_39
*2410 FILLER_7_51
*2411 FILLER_7_55
*2412 FILLER_7_57
*2413 FILLER_7_69
*2414 FILLER_7_81
*2415 FILLER_7_93
*2416 FILLER_8_109
*2417 FILLER_8_133
*2418 FILLER_8_138
*2419 FILLER_8_15
*2420 FILLER_8_157
*2421 FILLER_8_163
*2422 FILLER_8_174
*2423 FILLER_8_185
*2424 FILLER_8_189
*2425 FILLER_8_195
*2426 FILLER_8_200
*2427 FILLER_8_212
*2428 FILLER_8_225
*2429 FILLER_8_238
*2430 FILLER_8_249
*2431 FILLER_8_262
*2432 FILLER_8_266
*2433 FILLER_8_27
*2434 FILLER_8_278
*2435 FILLER_8_29
*2436 FILLER_8_290
*2437 FILLER_8_298
*2438 FILLER_8_3
*2439 FILLER_8_41
*2440 FILLER_8_53
*2441 FILLER_8_65
*2442 FILLER_8_77
*2443 FILLER_8_83
*2444 FILLER_8_85
*2445 FILLER_8_97
*2446 FILLER_9_102
*2447 FILLER_9_110
*2448 FILLER_9_113
*2449 FILLER_9_119
*2450 FILLER_9_125
*2451 FILLER_9_136
*2452 FILLER_9_147
*2453 FILLER_9_15
*2454 FILLER_9_151
*2455 FILLER_9_161
*2456 FILLER_9_166
*2457 FILLER_9_169
*2458 FILLER_9_173
*2459 FILLER_9_184
*2460 FILLER_9_188
*2461 FILLER_9_205
*2462 FILLER_9_217
*2463 FILLER_9_223
*2464 FILLER_9_225
*2465 FILLER_9_229
*2466 FILLER_9_240
*2467 FILLER_9_252
*2468 FILLER_9_258
*2469 FILLER_9_268
*2470 FILLER_9_27
*2471 FILLER_9_281
*2472 FILLER_9_291
*2473 FILLER_9_297
*2474 FILLER_9_3
*2475 FILLER_9_39
*2476 FILLER_9_51
*2477 FILLER_9_55
*2478 FILLER_9_57
*2479 FILLER_9_69
*2480 FILLER_9_81
*2481 FILLER_9_93
*2482 PHY_0
*2483 PHY_1
*2484 PHY_10
*2485 PHY_11
*2486 PHY_12
*2487 PHY_13
*2488 PHY_14
*2489 PHY_15
*2490 PHY_16
*2491 PHY_17
*2492 PHY_18
*2493 PHY_19
*2494 PHY_2
*2495 PHY_20
*2496 PHY_21
*2497 PHY_22
*2498 PHY_23
*2499 PHY_24
*2500 PHY_25
*2501 PHY_26
*2502 PHY_27
*2503 PHY_28
*2504 PHY_29
*2505 PHY_3
*2506 PHY_30
*2507 PHY_31
*2508 PHY_32
*2509 PHY_33
*2510 PHY_34
*2511 PHY_35
*2512 PHY_36
*2513 PHY_37
*2514 PHY_38
*2515 PHY_39
*2516 PHY_4
*2517 PHY_40
*2518 PHY_41
*2519 PHY_42
*2520 PHY_43
*2521 PHY_44
*2522 PHY_45
*2523 PHY_46
*2524 PHY_47
*2525 PHY_48
*2526 PHY_49
*2527 PHY_5
*2528 PHY_50
*2529 PHY_51
*2530 PHY_52
*2531 PHY_53
*2532 PHY_54
*2533 PHY_55
*2534 PHY_56
*2535 PHY_57
*2536 PHY_58
*2537 PHY_59
*2538 PHY_6
*2539 PHY_60
*2540 PHY_61
*2541 PHY_62
*2542 PHY_63
*2543 PHY_64
*2544 PHY_65
*2545 PHY_66
*2546 PHY_67
*2547 PHY_68
*2548 PHY_69
*2549 PHY_7
*2550 PHY_70
*2551 PHY_71
*2552 PHY_72
*2553 PHY_73
*2554 PHY_74
*2555 PHY_75
*2556 PHY_76
*2557 PHY_77
*2558 PHY_78
*2559 PHY_79
*2560 PHY_8
*2561 PHY_80
*2562 PHY_81
*2563 PHY_82
*2564 PHY_83
*2565 PHY_84
*2566 PHY_85
*2567 PHY_86
*2568 PHY_87
*2569 PHY_88
*2570 PHY_89
*2571 PHY_9
*2572 PHY_90
*2573 PHY_91
*2574 PHY_92
*2575 PHY_93
*2576 TAP_100
*2577 TAP_101
*2578 TAP_102
*2579 TAP_103
*2580 TAP_104
*2581 TAP_105
*2582 TAP_106
*2583 TAP_107
*2584 TAP_108
*2585 TAP_109
*2586 TAP_110
*2587 TAP_111
*2588 TAP_112
*2589 TAP_113
*2590 TAP_114
*2591 TAP_115
*2592 TAP_116
*2593 TAP_117
*2594 TAP_118
*2595 TAP_119
*2596 TAP_120
*2597 TAP_121
*2598 TAP_122
*2599 TAP_123
*2600 TAP_124
*2601 TAP_125
*2602 TAP_126
*2603 TAP_127
*2604 TAP_128
*2605 TAP_129
*2606 TAP_130
*2607 TAP_131
*2608 TAP_132
*2609 TAP_133
*2610 TAP_134
*2611 TAP_135
*2612 TAP_136
*2613 TAP_137
*2614 TAP_138
*2615 TAP_139
*2616 TAP_140
*2617 TAP_141
*2618 TAP_142
*2619 TAP_143
*2620 TAP_144
*2621 TAP_145
*2622 TAP_146
*2623 TAP_147
*2624 TAP_148
*2625 TAP_149
*2626 TAP_150
*2627 TAP_151
*2628 TAP_152
*2629 TAP_153
*2630 TAP_154
*2631 TAP_155
*2632 TAP_156
*2633 TAP_157
*2634 TAP_158
*2635 TAP_159
*2636 TAP_160
*2637 TAP_161
*2638 TAP_162
*2639 TAP_163
*2640 TAP_164
*2641 TAP_165
*2642 TAP_166
*2643 TAP_167
*2644 TAP_168
*2645 TAP_169
*2646 TAP_170
*2647 TAP_171
*2648 TAP_172
*2649 TAP_173
*2650 TAP_174
*2651 TAP_175
*2652 TAP_176
*2653 TAP_177
*2654 TAP_178
*2655 TAP_179
*2656 TAP_180
*2657 TAP_181
*2658 TAP_182
*2659 TAP_183
*2660 TAP_184
*2661 TAP_185
*2662 TAP_186
*2663 TAP_187
*2664 TAP_188
*2665 TAP_189
*2666 TAP_190
*2667 TAP_191
*2668 TAP_192
*2669 TAP_193
*2670 TAP_194
*2671 TAP_195
*2672 TAP_196
*2673 TAP_197
*2674 TAP_198
*2675 TAP_199
*2676 TAP_200
*2677 TAP_201
*2678 TAP_202
*2679 TAP_203
*2680 TAP_204
*2681 TAP_205
*2682 TAP_206
*2683 TAP_207
*2684 TAP_208
*2685 TAP_209
*2686 TAP_210
*2687 TAP_211
*2688 TAP_212
*2689 TAP_213
*2690 TAP_214
*2691 TAP_215
*2692 TAP_216
*2693 TAP_217
*2694 TAP_218
*2695 TAP_219
*2696 TAP_220
*2697 TAP_221
*2698 TAP_222
*2699 TAP_223
*2700 TAP_224
*2701 TAP_225
*2702 TAP_226
*2703 TAP_227
*2704 TAP_228
*2705 TAP_229
*2706 TAP_230
*2707 TAP_231
*2708 TAP_232
*2709 TAP_233
*2710 TAP_234
*2711 TAP_235
*2712 TAP_236
*2713 TAP_237
*2714 TAP_238
*2715 TAP_239
*2716 TAP_240
*2717 TAP_241
*2718 TAP_242
*2719 TAP_243
*2720 TAP_244
*2721 TAP_245
*2722 TAP_246
*2723 TAP_247
*2724 TAP_248
*2725 TAP_249
*2726 TAP_250
*2727 TAP_251
*2728 TAP_252
*2729 TAP_253
*2730 TAP_254
*2731 TAP_255
*2732 TAP_256
*2733 TAP_257
*2734 TAP_258
*2735 TAP_259
*2736 TAP_260
*2737 TAP_261
*2738 TAP_262
*2739 TAP_263
*2740 TAP_264
*2741 TAP_265
*2742 TAP_266
*2743 TAP_267
*2744 TAP_268
*2745 TAP_269
*2746 TAP_270
*2747 TAP_271
*2748 TAP_272
*2749 TAP_273
*2750 TAP_274
*2751 TAP_275
*2752 TAP_276
*2753 TAP_277
*2754 TAP_278
*2755 TAP_279
*2756 TAP_280
*2757 TAP_281
*2758 TAP_282
*2759 TAP_283
*2760 TAP_284
*2761 TAP_285
*2762 TAP_286
*2763 TAP_287
*2764 TAP_288
*2765 TAP_289
*2766 TAP_290
*2767 TAP_291
*2768 TAP_292
*2769 TAP_293
*2770 TAP_294
*2771 TAP_295
*2772 TAP_296
*2773 TAP_297
*2774 TAP_298
*2775 TAP_299
*2776 TAP_300
*2777 TAP_301
*2778 TAP_302
*2779 TAP_303
*2780 TAP_304
*2781 TAP_305
*2782 TAP_306
*2783 TAP_307
*2784 TAP_308
*2785 TAP_309
*2786 TAP_310
*2787 TAP_311
*2788 TAP_312
*2789 TAP_313
*2790 TAP_314
*2791 TAP_315
*2792 TAP_316
*2793 TAP_317
*2794 TAP_318
*2795 TAP_319
*2796 TAP_320
*2797 TAP_321
*2798 TAP_322
*2799 TAP_323
*2800 TAP_324
*2801 TAP_325
*2802 TAP_326
*2803 TAP_327
*2804 TAP_328
*2805 TAP_329
*2806 TAP_330
*2807 TAP_331
*2808 TAP_332
*2809 TAP_333
*2810 TAP_334
*2811 TAP_335
*2812 TAP_336
*2813 TAP_337
*2814 TAP_338
*2815 TAP_94
*2816 TAP_95
*2817 TAP_96
*2818 TAP_97
*2819 TAP_98
*2820 TAP_99
*2821 _0522_
*2822 _0523_
*2823 _0524_
*2824 _0525_
*2825 _0526_
*2826 _0527_
*2827 _0528_
*2828 _0529_
*2829 _0530_
*2830 _0531_
*2831 _0532_
*2832 _0533_
*2833 _0534_
*2834 _0535_
*2835 _0536_
*2836 _0537_
*2837 _0538_
*2838 _0539_
*2839 _0540_
*2840 _0541_
*2841 _0542_
*2842 _0543_
*2843 _0544_
*2844 _0545_
*2845 _0546_
*2846 _0547_
*2847 _0548_
*2848 _0549_
*2849 _0550_
*2850 _0551_
*2851 _0552_
*2852 _0553_
*2853 _0554_
*2854 _0555_
*2855 _0556_
*2856 _0557_
*2857 _0558_
*2858 _0559_
*2859 _0560_
*2860 _0561_
*2861 _0562_
*2862 _0563_
*2863 _0564_
*2864 _0565_
*2865 _0566_
*2866 _0567_
*2867 _0568_
*2868 _0569_
*2869 _0570_
*2870 _0571_
*2871 _0572_
*2872 _0573_
*2873 _0574_
*2874 _0575_
*2875 _0576_
*2876 _0577_
*2877 _0578_
*2878 _0579_
*2879 _0580_
*2880 _0581_
*2881 _0582_
*2882 _0583_
*2883 _0584_
*2884 _0585_
*2885 _0586_
*2886 _0587_
*2887 _0588_
*2888 _0589_
*2889 _0590_
*2890 _0591_
*2891 _0592_
*2892 _0593_
*2893 _0594_
*2894 _0595_
*2895 _0596_
*2896 _0597_
*2897 _0598_
*2898 _0599_
*2899 _0600_
*2900 _0601_
*2901 _0602_
*2902 _0603_
*2903 _0604_
*2904 _0605_
*2905 _0606_
*2906 _0607_
*2907 _0608_
*2908 _0609_
*2909 _0610_
*2910 _0611_
*2911 _0612_
*2912 _0613_
*2913 _0614_
*2914 _0615_
*2915 _0616_
*2916 _0617_
*2917 _0618_
*2918 _0619_
*2919 _0620_
*2920 _0621_
*2921 _0622_
*2922 _0623_
*2923 _0624_
*2924 _0625_
*2925 _0626_
*2926 _0627_
*2927 _0628_
*2928 _0629_
*2929 _0630_
*2930 _0631_
*2931 _0632_
*2932 _0633_
*2933 _0634_
*2934 _0635_
*2935 _0636_
*2936 _0637_
*2937 _0638_
*2938 _0639_
*2939 _0640_
*2940 _0641_
*2941 _0642_
*2942 _0643_
*2943 _0644_
*2944 _0645_
*2945 _0646_
*2946 _0647_
*2947 _0648_
*2948 _0649_
*2949 _0650_
*2950 _0651_
*2951 _0652_
*2952 _0653_
*2953 _0654_
*2954 _0655_
*2955 _0656_
*2956 _0657_
*2957 _0658_
*2958 _0659_
*2959 _0660_
*2960 _0661_
*2961 _0662_
*2962 _0663_
*2963 _0664_
*2964 _0665_
*2965 _0666_
*2966 _0667_
*2967 _0668_
*2968 _0669_
*2969 _0670_
*2970 _0671_
*2971 _0672_
*2972 _0673_
*2973 _0674_
*2974 _0675_
*2975 _0676_
*2976 _0677_
*2977 _0678_
*2978 _0679_
*2979 _0680_
*2980 _0681_
*2981 _0682_
*2982 _0683_
*2983 _0684_
*2984 _0685_
*2985 _0686_
*2986 _0687_
*2987 _0688_
*2988 _0689_
*2989 _0690_
*2990 _0691_
*2991 _0692_
*2992 _0693_
*2993 _0694_
*2994 _0695_
*2995 _0696_
*2996 _0697_
*2997 _0698_
*2998 _0699_
*2999 _0700_
*3000 _0701_
*3001 _0702_
*3002 _0703_
*3003 _0704_
*3004 _0705_
*3005 _0706_
*3006 _0707_
*3007 _0708_
*3008 _0709_
*3009 _0710_
*3010 _0711_
*3011 _0712_
*3012 _0713_
*3013 _0714_
*3014 _0715_
*3015 _0716_
*3016 _0717_
*3017 _0718_
*3018 _0719_
*3019 _0720_
*3020 _0721_
*3021 _0722_
*3022 _0723_
*3023 _0724_
*3024 _0725_
*3025 _0726_
*3026 _0727_
*3027 _0728_
*3028 _0729_
*3029 _0730_
*3030 _0731_
*3031 _0732_
*3032 _0733_
*3033 _0734_
*3034 _0735_
*3035 _0736_
*3036 _0737_
*3037 _0738_
*3038 _0739_
*3039 _0740_
*3040 _0741_
*3041 _0742_
*3042 _0743_
*3043 _0744_
*3044 _0745_
*3045 _0746_
*3046 _0747_
*3047 _0748_
*3048 _0749_
*3049 _0750_
*3050 _0751_
*3051 _0752_
*3052 _0753_
*3053 _0754_
*3054 _0755_
*3055 _0756_
*3056 _0757_
*3057 _0758_
*3058 _0759_
*3059 _0760_
*3060 _0761_
*3061 _0762_
*3062 _0763_
*3063 _0764_
*3064 _0765_
*3065 _0766_
*3066 _0767_
*3067 _0768_
*3068 _0769_
*3069 _0770_
*3070 _0771_
*3071 _0772_
*3072 _0773_
*3073 _0774_
*3074 _0775_
*3075 _0776_
*3076 _0777_
*3077 _0778_
*3078 _0779_
*3079 _0780_
*3080 _0781_
*3081 _0782_
*3082 _0783_
*3083 _0784_
*3084 _0785_
*3085 _0786_
*3086 _0787_
*3087 _0788_
*3088 _0789_
*3089 _0790_
*3090 _0791_
*3091 _0792_
*3092 _0793_
*3093 _0794_
*3094 _0795_
*3095 _0796_
*3096 _0797_
*3097 _0798_
*3098 _0799_
*3099 _0800_
*3100 _0801_
*3101 _0802_
*3102 _0803_
*3103 _0804_
*3104 _0805_
*3105 _0806_
*3106 _0807_
*3107 _0808_
*3108 _0809_
*3109 _0810_
*3110 _0811_
*3111 _0812_
*3112 _0813_
*3113 _0814_
*3114 _0815_
*3115 _0816_
*3116 _0817_
*3117 _0818_
*3118 _0819_
*3119 _0820_
*3120 _0821_
*3121 _0822_
*3122 _0823_
*3123 _0824_
*3124 _0825_
*3125 _0826_
*3126 _0827_
*3127 _0828_
*3128 _0829_
*3129 _0830_
*3130 _0831_
*3131 _0832_
*3132 _0833_
*3133 _0834_
*3134 _0835_
*3135 _0836_
*3136 _0837_
*3137 _0838_
*3138 _0839_
*3139 _0840_
*3140 _0841_
*3141 _0842_
*3142 _0843_
*3143 _0844_
*3144 _0845_
*3145 _0846_
*3146 _0847_
*3147 _0848_
*3148 _0849_
*3149 _0850_
*3150 _0851_
*3151 _0852_
*3152 _0853_
*3153 _0854_
*3154 _0855_
*3155 _0856_
*3156 _0857_
*3157 _0858_
*3158 _0859_
*3159 _0860_
*3160 _0861_
*3161 _0862_
*3162 _0863_
*3163 _0864_
*3164 _0865_
*3165 _0866_
*3166 _0867_
*3167 _0868_
*3168 _0869_
*3169 _0870_
*3170 _0871_
*3171 _0872_
*3172 _0873_
*3173 _0874_
*3174 _0875_
*3175 _0876_
*3176 _0877_
*3177 _0878_
*3178 _0879_
*3179 _0880_
*3180 _0881_
*3181 _0882_
*3182 _0883_
*3183 _0884_
*3184 _0885_
*3185 _0886_
*3186 _0887_
*3187 _0888_
*3188 _0889_
*3189 _0890_
*3190 _0891_
*3191 _0892_
*3192 _0893_
*3193 _0894_
*3194 _0895_
*3195 _0896_
*3196 _0897_
*3197 _0898_
*3198 _0899_
*3199 _0900_
*3200 _0901_
*3201 _0902_
*3202 _0903_
*3203 _0904_
*3204 _0905_
*3205 _0906_
*3206 _0907_
*3207 _0908_
*3208 _0909_
*3209 _0910_
*3210 _0911_
*3211 _0912_
*3212 _0913_
*3213 _0914_
*3214 _0915_
*3215 _0916_
*3216 _0917_
*3217 _0918_
*3218 _0919_
*3219 _0920_
*3220 _0921_
*3221 _0922_
*3222 _0923_
*3223 _0924_
*3224 _0925_
*3225 _0926_
*3226 _0927_
*3227 _0928_
*3228 _0929_
*3229 _0930_
*3230 _0931_
*3231 _0932_
*3232 _0933_
*3233 _0934_
*3234 _0935_
*3235 _0936_
*3236 _0937_
*3237 _0938_
*3238 _0939_
*3239 _0940_
*3240 _0941_
*3241 _0942_
*3242 _0943_
*3243 _0944_
*3244 _0945_
*3245 _0946_
*3246 _0947_
*3247 _0948_
*3248 _0949_
*3249 _0950_
*3250 _0951_
*3251 _0952_
*3252 _0953_
*3253 _0954_
*3254 _0955_
*3255 _0956_
*3256 _0957_
*3257 _0958_
*3258 _0959_
*3259 _0960_
*3260 _0961_
*3261 _0962_
*3262 _0963_
*3263 _0964_
*3264 _0965_
*3265 _0966_
*3266 _0967_
*3267 _0968_
*3268 _0969_
*3269 _0970_
*3270 _0971_
*3271 _0972_
*3272 _0973_
*3273 _0974_
*3274 _0975_
*3275 _0976_
*3276 _0977_
*3277 _0978_
*3278 _0979_
*3279 _0980_
*3280 _0981_
*3281 _0982_
*3282 _0983_
*3283 _0984_
*3284 _0985_
*3285 _0986_
*3286 _0987_
*3287 _0988_
*3288 _0989_
*3289 _0990_
*3290 _0991_
*3291 _0992_
*3292 _0993_
*3293 _0994_
*3294 _0995_
*3295 _0996_
*3296 _0997_
*3297 _0998_
*3298 _0999_
*3299 _1000_
*3300 _1001_
*3301 _1002_
*3302 _1003_
*3303 _1004_
*3304 _1005_
*3305 _1006_
*3306 _1007_
*3307 _1008_
*3308 _1009_
*3309 _1010_
*3310 _1011_
*3311 _1012_
*3312 _1013_
*3313 _1014_
*3314 _1015_
*3315 _1016_
*3316 _1017_
*3317 _1018_
*3318 _1019_
*3319 _1020_
*3320 _1021_
*3321 _1022_
*3322 _1023_
*3323 _1024_
*3324 _1025_
*3325 _1026_
*3326 _1027_
*3327 _1028_
*3328 _1029_
*3329 _1030_
*3330 _1031_
*3331 _1032_
*3332 _1033_
*3333 _1034_
*3334 _1035_
*3335 _1036_
*3336 _1037_
*3337 _1038_
*3338 _1039_
*3339 _1040_
*3340 _1041_
*3341 _1042_
*3342 _1043_
*3343 _1044_
*3344 _1045_
*3345 _1046_
*3346 _1047_
*3347 _1048_
*3348 _1049_
*3349 _1050_
*3350 _1051_
*3351 _1052_
*3352 _1053_
*3353 _1054_
*3354 _1055_
*3355 _1056_
*3356 _1057_
*3357 _1058_
*3358 _1059_
*3359 _1060_
*3360 _1061_
*3361 _1062_
*3362 _1063_
*3363 _1064_
*3364 _1065_
*3365 _1066_
*3366 _1067_
*3367 _1068_
*3368 _1069_
*3369 _1070_
*3370 _1071_
*3371 _1072_
*3372 _1073_
*3373 _1074_
*3374 _1075_
*3375 _1076_
*3376 _1077_
*3377 _1078_
*3378 _1079_
*3379 _1080_
*3380 _1081_
*3381 _1082_
*3382 _1083_
*3383 _1084_
*3384 _1085_
*3385 _1086_
*3386 _1087_
*3387 _1088_
*3388 _1089_
*3389 _1090_
*3390 _1091_
*3391 _1092_
*3392 _1093_
*3393 _1094_
*3394 _1095_
*3395 _1096_
*3396 _1097_
*3397 _1098_
*3398 _1099_
*3399 _1100_
*3400 _1101_
*3401 _1102_
*3402 _1103_
*3403 _1104_
*3404 _1105_
*3405 _1106_
*3406 _1107_
*3407 _1108_
*3408 _1109_
*3409 _1110_
*3410 _1111_
*3411 _1112_
*3412 _1113_
*3413 _1114_
*3414 _1115_
*3415 _1116_
*3416 _1117_
*3417 _1118_
*3418 _1119_
*3419 _1120_
*3420 _1121_
*3421 _1122_
*3422 _1123_
*3423 _1124_
*3424 _1125_
*3425 _1126_
*3426 _1127_
*3427 _1128_
*3428 _1129_
*3429 _1130_
*3430 _1131_
*3431 _1132_
*3432 _1133_
*3433 _1134_
*3434 _1135_
*3435 _1136_
*3436 _1137_
*3437 _1138_
*3438 _1139_
*3439 _1140_
*3440 _1141_
*3441 _1142_
*3442 _1143_
*3443 _1144_
*3444 _1145_
*3445 _1146_
*3446 _1147_
*3447 _1148_
*3448 _1149_
*3449 _1150_
*3450 _1151_
*3451 _1152_
*3452 _1153_
*3453 _1154_
*3454 _1155_
*3455 _1156_
*3456 _1157_
*3457 _1158_
*3458 _1159_
*3459 _1160__33
*3460 _1161__34
*3461 _1162__35
*3462 _1163__36
*3463 _1164__37
*3464 _1165__38
*3465 _1166__39
*3466 _1167__40
*3467 _1168__41
*3468 _1169__42
*3469 _1170__43
*3470 _1171__44
*3471 _1172__45
*3472 _1173__46
*3473 _1174__47
*3474 _1175__48
*3475 _1176__49
*3476 _1177__50
*3477 _1178__51
*3478 _1179__52
*3479 _1180__53
*3480 _1181__54
*3481 _1182__55
*3482 _1183__56
*3483 clkbuf_0_clock
*3484 clkbuf_3_0_0_clock
*3485 clkbuf_3_1_0_clock
*3486 clkbuf_3_2_0_clock
*3487 clkbuf_3_3_0_clock
*3488 clkbuf_3_4_0_clock
*3489 clkbuf_3_5_0_clock
*3490 clkbuf_3_6_0_clock
*3491 clkbuf_3_7_0_clock
*3492 clkbuf_4_0_0_clock
*3493 clkbuf_4_10_0_clock
*3494 clkbuf_4_11_0_clock
*3495 clkbuf_4_12_0_clock
*3496 clkbuf_4_13_0_clock
*3497 clkbuf_4_14_0_clock
*3498 clkbuf_4_15_0_clock
*3499 clkbuf_4_1_0_clock
*3500 clkbuf_4_2_0_clock
*3501 clkbuf_4_3_0_clock
*3502 clkbuf_4_4_0_clock
*3503 clkbuf_4_5_0_clock
*3504 clkbuf_4_6_0_clock
*3505 clkbuf_4_7_0_clock
*3506 clkbuf_4_8_0_clock
*3507 clkbuf_4_9_0_clock
*3508 input1
*3509 input10
*3510 input11
*3511 input12
*3512 input13
*3513 input14
*3514 input15
*3515 input16
*3516 input17
*3517 input18
*3518 input19
*3519 input2
*3520 input20
*3521 input21
*3522 input3
*3523 input4
*3524 input5
*3525 input6
*3526 input7
*3527 input8
*3528 input9
*3529 output22
*3530 output23
*3531 output24
*3532 output25
*3533 output26
*3534 output27
*3535 output28
*3536 output29
*3537 output30
*3538 output31
*3539 output32
*PORTS
clock I
io_rxd I
io_txd O
io_uartInt O
io_uart_select I
io_wbs_ack_o O
io_wbs_data_o[0] O
io_wbs_data_o[10] O
io_wbs_data_o[11] O
io_wbs_data_o[12] O
io_wbs_data_o[13] O
io_wbs_data_o[14] O
io_wbs_data_o[15] O
io_wbs_data_o[16] O
io_wbs_data_o[17] O
io_wbs_data_o[18] O
io_wbs_data_o[19] O
io_wbs_data_o[1] O
io_wbs_data_o[20] O
io_wbs_data_o[21] O
io_wbs_data_o[22] O
io_wbs_data_o[23] O
io_wbs_data_o[24] O
io_wbs_data_o[25] O
io_wbs_data_o[26] O
io_wbs_data_o[27] O
io_wbs_data_o[28] O
io_wbs_data_o[29] O
io_wbs_data_o[2] O
io_wbs_data_o[30] O
io_wbs_data_o[31] O
io_wbs_data_o[3] O
io_wbs_data_o[4] O
io_wbs_data_o[5] O
io_wbs_data_o[6] O
io_wbs_data_o[7] O
io_wbs_data_o[8] O
io_wbs_data_o[9] O
io_wbs_m2s_addr[0] I
io_wbs_m2s_addr[10] I
io_wbs_m2s_addr[11] I
io_wbs_m2s_addr[12] I
io_wbs_m2s_addr[13] I
io_wbs_m2s_addr[14] I
io_wbs_m2s_addr[15] I
io_wbs_m2s_addr[1] I
io_wbs_m2s_addr[2] I
io_wbs_m2s_addr[3] I
io_wbs_m2s_addr[4] I
io_wbs_m2s_addr[5] I
io_wbs_m2s_addr[6] I
io_wbs_m2s_addr[7] I
io_wbs_m2s_addr[8] I
io_wbs_m2s_addr[9] I
io_wbs_m2s_data[0] I
io_wbs_m2s_data[10] I
io_wbs_m2s_data[11] I
io_wbs_m2s_data[12] I
io_wbs_m2s_data[13] I
io_wbs_m2s_data[14] I
io_wbs_m2s_data[15] I
io_wbs_m2s_data[16] I
io_wbs_m2s_data[17] I
io_wbs_m2s_data[18] I
io_wbs_m2s_data[19] I
io_wbs_m2s_data[1] I
io_wbs_m2s_data[20] I
io_wbs_m2s_data[21] I
io_wbs_m2s_data[22] I
io_wbs_m2s_data[23] I
io_wbs_m2s_data[24] I
io_wbs_m2s_data[25] I
io_wbs_m2s_data[26] I
io_wbs_m2s_data[27] I
io_wbs_m2s_data[28] I
io_wbs_m2s_data[29] I
io_wbs_m2s_data[2] I
io_wbs_m2s_data[30] I
io_wbs_m2s_data[31] I
io_wbs_m2s_data[3] I
io_wbs_m2s_data[4] I
io_wbs_m2s_data[5] I
io_wbs_m2s_data[6] I
io_wbs_m2s_data[7] I
io_wbs_m2s_data[8] I
io_wbs_m2s_data[9] I
io_wbs_m2s_stb I
io_wbs_m2s_we I
reset I
*D_NET *1 0.0139296
*CONN
*P clock I
*I *3483:A I *D sky130_fd_sc_hd__clkbuf_16
*I *862:DIODE I *D sky130_fd_sc_hd__diode_2
*CAP
1 clock 0.000844467
2 *3483:A 0
3 *862:DIODE 0
4 *1:29 0.00106637
5 *1:14 0.00359824
6 *1:10 0.00337633
7 *1:10 io_wbs_data_o[8] 0
8 *1:14 *853:DIODE 4.22447e-05
9 *1:14 *2939:A1 1.92336e-05
10 *1:14 *3179:B2 0.00155339
11 *1:14 *3258:A0 8.65358e-05
12 *1:14 *3259:B 0.000119123
13 *1:14 *3260:A 0.000216458
14 *1:14 *667:28 0.000273277
15 *1:14 *699:9 0.00043038
16 *1:29 *2945:A0 0.000213725
17 *1:29 *3158:A 3.33645e-05
18 *1:29 *3159:A1 0.000638504
19 *1:29 *3176:A2 2.73115e-05
20 *1:29 *3176:B1 0.000107496
21 *1:29 *3178:A2 0.000404614
22 *1:29 *3178:B1 0.000164843
23 *1:29 *3184:C1 1.99599e-05
24 *1:29 *3364:CLK 4.99109e-05
25 *1:29 *3364:D 1.87611e-05
26 *1:29 *311:13 4.53604e-05
27 *1:29 *469:16 7.92757e-06
28 *1:29 *481:11 0
29 *1:29 *647:8 0.000470599
30 *1:29 *667:28 0.000101133
31 *1:29 *725:15 0
*RES
1 clock *1:10 11.556
2 *1:10 *1:14 49.339
3 *1:14 *862:DIODE 13.7491
4 *1:14 *1:29 43.8144
5 *1:29 *3483:A 9.24915
*END
*D_NET *2 0.000993894
*CONN
*P io_rxd I
*I *3508:A I *D sky130_fd_sc_hd__clkbuf_2
*I *881:DIODE I *D sky130_fd_sc_hd__diode_2
*CAP
1 io_rxd 0.000319809
2 *3508:A 0
3 *881:DIODE 8.93958e-05
4 *2:10 0.000409205
5 *881:DIODE *663:5 0.000175485
6 *2:10 *5:10 0
7 *2:10 *666:6 0
*RES
1 io_rxd *2:10 10.3977
2 *2:10 *881:DIODE 12.191
3 *2:10 *3508:A 9.24915
*END
*D_NET *3 0.000550063
*CONN
*P io_txd O
*I *3529:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 io_txd 0.000275031
2 *3529:X 0.000275031
3 io_txd *50:10 0
4 io_txd *55:10 0
*RES
1 *3529:X io_txd 18.4011
*END
*D_NET *4 0.000961073
*CONN
*P io_uartInt O
*I *3530:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 io_uartInt 0.000475937
2 *3530:X 0.000475937
3 io_uartInt *3530:A 9.19886e-06
*RES
1 *3530:X io_uartInt 25.0104
*END
*D_NET *5 0.00106736
*CONN
*P io_uart_select I
*I *3519:A I *D sky130_fd_sc_hd__clkbuf_2
*I *884:DIODE I *D sky130_fd_sc_hd__diode_2
*CAP
1 io_uart_select 0.000377272
2 *3519:A 0
3 *884:DIODE 0.000122968
4 *5:10 0.00050024
5 *884:DIODE *674:7 2.65831e-05
6 *5:10 io_wbs_data_o[16] 0
7 *5:10 *666:6 0
8 *5:10 *674:8 4.02949e-05
9 *2:10 *5:10 0
*RES
1 io_uart_select *5:10 12.0587
2 *5:10 *884:DIODE 12.191
3 *5:10 *3519:A 9.24915
*END
*D_NET *6 0.000917194
*CONN
*P io_wbs_ack_o O
*I *3531:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 io_wbs_ack_o 0.000339908
2 *3531:X 0.000339908
3 io_wbs_ack_o io_wbs_data_o[18] 0
4 io_wbs_ack_o *3074:C 0.000107981
5 io_wbs_ack_o *80:10 0
6 io_wbs_ack_o *668:12 3.52699e-05
7 io_wbs_ack_o *674:8 1.90395e-05
8 io_wbs_ack_o *742:8 7.50872e-05
*RES
1 *3531:X io_wbs_ack_o 20.1386
*END
*D_NET *7 0.00122139
*CONN
*P io_wbs_data_o[0] O
*I *3532:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 io_wbs_data_o[0] 0.000570796
2 *3532:X 0.000570796
3 io_wbs_data_o[0] *3532:A 7.97944e-05
*RES
1 *3532:X io_wbs_data_o[0] 29.3928
*END
*D_NET *8 0.00082971
*CONN
*P io_wbs_data_o[10] O
*I *3461:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_wbs_data_o[10] 0.000414855
2 *3461:LO 0.000414855
*RES
1 *3461:LO io_wbs_data_o[10] 25.1564
*END
*D_NET *9 0.000553472
*CONN
*P io_wbs_data_o[11] O
*I *3462:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_wbs_data_o[11] 0.000276736
2 *3462:LO 0.000276736
3 io_wbs_data_o[11] io_wbs_data_o[29] 0
4 io_wbs_data_o[11] *39:10 0
*RES
1 *3462:LO io_wbs_data_o[11] 19.1551
*END
*D_NET *10 0.000835153
*CONN
*P io_wbs_data_o[12] O
*I *3463:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_wbs_data_o[12] 0.000417577
2 *3463:LO 0.000417577
3 io_wbs_data_o[12] *52:8 0
*RES
1 *3463:LO io_wbs_data_o[12] 25.1564
*END
*D_NET *11 0.000625401
*CONN
*P io_wbs_data_o[13] O
*I *3464:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_wbs_data_o[13] 0.000288692
2 *3464:LO 0.000288692
3 io_wbs_data_o[13] io_wbs_data_o[3] 0
4 io_wbs_data_o[13] *686:8 4.8017e-05
*RES
1 *3464:LO io_wbs_data_o[13] 20.6632
*END
*D_NET *12 0.000606463
*CONN
*P io_wbs_data_o[14] O
*I *3465:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_wbs_data_o[14] 0.000259991
2 *3465:LO 0.000259991
3 io_wbs_data_o[14] *871:DIODE 6.1096e-05
4 io_wbs_data_o[14] *52:8 0
5 io_wbs_data_o[14] *88:10 0
6 io_wbs_data_o[14] *664:6 2.5386e-05
*RES
1 *3465:LO io_wbs_data_o[14] 19.8327
*END
*D_NET *13 0.00125007
*CONN
*P io_wbs_data_o[15] O
*I *3466:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_wbs_data_o[15] 0.000459507
2 *3466:LO 0.000459507
3 io_wbs_data_o[15] *689:14 0.00016553
4 io_wbs_data_o[15] *690:7 0.00016553
*RES
1 *3466:LO io_wbs_data_o[15] 27.3065
*END
*D_NET *14 0.000608815
*CONN
*P io_wbs_data_o[16] O
*I *3467:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_wbs_data_o[16] 0.000304408
2 *3467:LO 0.000304408
3 io_wbs_data_o[16] io_wbs_data_o[26] 0
4 io_wbs_data_o[16] *674:8 0
5 *5:10 io_wbs_data_o[16] 0
*RES
1 *3467:LO io_wbs_data_o[16] 19.9856
*END
*D_NET *15 0.000491071
*CONN
*P io_wbs_data_o[17] O
*I *3468:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_wbs_data_o[17] 0.000229866
2 *3468:LO 0.000229866
3 io_wbs_data_o[17] io_wbs_data_o[20] 0
4 io_wbs_data_o[17] *664:6 3.13394e-05
*RES
1 *3468:LO io_wbs_data_o[17] 19.0022
*END
*D_NET *16 0.000843539
*CONN
*P io_wbs_data_o[18] O
*I *3469:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_wbs_data_o[18] 0.000418226
2 *3469:LO 0.000418226
3 io_wbs_data_o[18] io_wbs_data_o[26] 0
4 io_wbs_data_o[18] *674:8 0
5 io_wbs_data_o[18] *741:10 7.08723e-06
6 io_wbs_ack_o io_wbs_data_o[18] 0
*RES
1 *3469:LO io_wbs_data_o[18] 22.4772
*END
*D_NET *17 0.00083807
*CONN
*P io_wbs_data_o[19] O
*I *3470:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_wbs_data_o[19] 0.000405743
2 *3470:LO 0.000405743
3 io_wbs_data_o[19] *689:7 2.65831e-05
*RES
1 *3470:LO io_wbs_data_o[19] 24.7317
*END
*D_NET *18 0.0009663
*CONN
*P io_wbs_data_o[1] O
*I *3533:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 io_wbs_data_o[1] 0.00048315
2 *3533:X 0.00048315
3 io_wbs_data_o[1] io_wbs_data_o[7] 0
*RES
1 *3533:X io_wbs_data_o[1] 26.8418
*END
*D_NET *19 0.000573844
*CONN
*P io_wbs_data_o[20] O
*I *3471:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_wbs_data_o[20] 0.000263106
2 *3471:LO 0.000263106
3 io_wbs_data_o[20] *664:6 4.76318e-05
4 io_wbs_data_o[17] io_wbs_data_o[20] 0
*RES
1 *3471:LO io_wbs_data_o[20] 19.8327
*END
*D_NET *20 0.000504021
*CONN
*P io_wbs_data_o[21] O
*I *3472:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_wbs_data_o[21] 0.000216961
2 *3472:LO 0.000216961
3 io_wbs_data_o[21] *87:11 0
4 io_wbs_data_o[21] *684:8 7.00991e-05
*RES
1 *3472:LO io_wbs_data_o[21] 19.0022
*END
*D_NET *21 0.00116019
*CONN
*P io_wbs_data_o[22] O
*I *3473:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_wbs_data_o[22] 0.000465797
2 *3473:LO 0.000465797
3 io_wbs_data_o[22] *3234:B1 0.000228593
*RES
1 *3473:LO io_wbs_data_o[22] 26.8108
*END
*D_NET *22 0.000830157
*CONN
*P io_wbs_data_o[23] O
*I *3474:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_wbs_data_o[23] 0.000415078
2 *3474:LO 0.000415078
*RES
1 *3474:LO io_wbs_data_o[23] 24.7317
*END
*D_NET *23 0.000830157
*CONN
*P io_wbs_data_o[24] O
*I *3475:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_wbs_data_o[24] 0.000415078
2 *3475:LO 0.000415078
3 io_wbs_data_o[24] io_wbs_data_o[9] 0
*RES
1 *3475:LO io_wbs_data_o[24] 24.7317
*END
*D_NET *24 0.00110452
*CONN
*P io_wbs_data_o[25] O
*I *3476:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_wbs_data_o[25] 0.00055226
2 *3476:LO 0.00055226
*RES
1 *3476:LO io_wbs_data_o[25] 27.92
*END
*D_NET *25 0.000949871
*CONN
*P io_wbs_data_o[26] O
*I *3477:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_wbs_data_o[26] 0.000474936
2 *3477:LO 0.000474936
3 io_wbs_data_o[26] *674:8 0
4 io_wbs_data_o[16] io_wbs_data_o[26] 0
5 io_wbs_data_o[18] io_wbs_data_o[26] 0
*RES
1 *3477:LO io_wbs_data_o[26] 23.3077
*END
*D_NET *26 0.00107628
*CONN
*P io_wbs_data_o[27] O
*I *3478:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_wbs_data_o[27] 0.00053814
2 *3478:LO 0.00053814
*RES
1 *3478:LO io_wbs_data_o[27] 28.1198
*END
*D_NET *27 0.000417869
*CONN
*P io_wbs_data_o[28] O
*I *3479:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_wbs_data_o[28] 0.000205001
2 *3479:LO 0.000205001
3 io_wbs_data_o[28] io_wbs_data_o[8] 0
4 io_wbs_data_o[28] *664:6 7.86825e-06
*RES
1 *3479:LO io_wbs_data_o[28] 18.1717
*END
*D_NET *28 0.000502716
*CONN
*P io_wbs_data_o[29] O
*I *3480:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_wbs_data_o[29] 0.000251358
2 *3480:LO 0.000251358
3 io_wbs_data_o[29] *89:8 0
4 io_wbs_data_o[11] io_wbs_data_o[29] 0
*RES
1 *3480:LO io_wbs_data_o[29] 18.3246
*END
*D_NET *29 0.00125139
*CONN
*P io_wbs_data_o[2] O
*I *3534:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 io_wbs_data_o[2] 0.000576179
2 *3534:X 0.000576179
3 io_wbs_data_o[2] *3534:A 9.9028e-05
*RES
1 *3534:X io_wbs_data_o[2] 29.0292
*END
*D_NET *30 0.000516595
*CONN
*P io_wbs_data_o[30] O
*I *3481:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_wbs_data_o[30] 0.000258298
2 *3481:LO 0.000258298
3 io_wbs_data_o[30] *50:10 0
*RES
1 *3481:LO io_wbs_data_o[30] 18.3246
*END
*D_NET *31 0.00110452
*CONN
*P io_wbs_data_o[31] O
*I *3482:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_wbs_data_o[31] 0.00055226
2 *3482:LO 0.00055226
*RES
1 *3482:LO io_wbs_data_o[31] 27.92
*END
*D_NET *32 0.000451165
*CONN
*P io_wbs_data_o[3] O
*I *3535:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 io_wbs_data_o[3] 0.000222014
2 *3535:X 0.000222014
3 io_wbs_data_o[3] io_wbs_data_o[5] 0
4 io_wbs_data_o[3] *686:8 7.13655e-06
5 io_wbs_data_o[13] io_wbs_data_o[3] 0
*RES
1 *3535:X io_wbs_data_o[3] 18.2481
*END
*D_NET *33 0.000573086
*CONN
*P io_wbs_data_o[4] O
*I *3536:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 io_wbs_data_o[4] 0.000264516
2 *3536:X 0.000264516
3 io_wbs_data_o[4] *87:11 0
4 io_wbs_data_o[4] *686:8 4.40531e-05
*RES
1 *3536:X io_wbs_data_o[4] 18.7399
*END
*D_NET *34 0.000808094
*CONN
*P io_wbs_data_o[5] O
*I *3537:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 io_wbs_data_o[5] 0.000404047
2 *3537:X 0.000404047
3 io_wbs_data_o[3] io_wbs_data_o[5] 0
*RES
1 *3537:X io_wbs_data_o[5] 23.2312
*END
*D_NET *35 0.0010909
*CONN
*P io_wbs_data_o[6] O
*I *3538:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 io_wbs_data_o[6] 0.000519013
2 *3538:X 0.000519013
3 io_wbs_data_o[6] *3538:A 5.28741e-05
*RES
1 *3538:X io_wbs_data_o[6] 27.7146
*END
*D_NET *36 0.00244532
*CONN
*P io_wbs_data_o[7] O
*I *3539:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 io_wbs_data_o[7] 0.00116252
2 *3539:X 0.00116252
3 io_wbs_data_o[7] *3533:A 0
4 io_wbs_data_o[7] *3539:A 0
5 io_wbs_data_o[7] *666:6 0.000120279
6 io_wbs_data_o[1] io_wbs_data_o[7] 0
*RES
1 *3539:X io_wbs_data_o[7] 35.5216
*END
*D_NET *37 0.000623746
*CONN
*P io_wbs_data_o[8] O
*I *3459:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_wbs_data_o[8] 0.00025576
2 *3459:LO 0.00025576
3 io_wbs_data_o[8] *684:8 0.000112225
4 io_wbs_data_o[28] io_wbs_data_o[8] 0
5 *1:10 io_wbs_data_o[8] 0
*RES
1 *3459:LO io_wbs_data_o[8] 19.8327
*END
*D_NET *38 0.00231898
*CONN
*P io_wbs_data_o[9] O
*I *3460:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 io_wbs_data_o[9] 0.00115949
2 *3460:LO 0.00115949
3 io_wbs_data_o[24] io_wbs_data_o[9] 0
*RES
1 *3460:LO io_wbs_data_o[9] 38.2918
*END
*D_NET *39 0.000930288
*CONN
*P io_wbs_m2s_addr[0] I
*I *3522:A I *D sky130_fd_sc_hd__clkbuf_2
*I *885:DIODE I *D sky130_fd_sc_hd__diode_2
*CAP
1 io_wbs_m2s_addr[0] 0.000277564
2 *3522:A 0
3 *885:DIODE 9.83999e-05
4 *39:10 0.000375964
5 *885:DIODE *685:11 0.000171273
6 *39:10 *3529:A 7.08723e-06
7 *39:10 *49:10 0
8 io_wbs_data_o[11] *39:10 0
*RES
1 io_wbs_m2s_addr[0] *39:10 9.15198
2 *39:10 *885:DIODE 12.191
3 *39:10 *3522:A 9.24915
*END
*D_NET *46 0.0011422
*CONN
*P io_wbs_m2s_addr[1] I
*I *886:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3523:A I *D sky130_fd_sc_hd__buf_2
*CAP
1 io_wbs_m2s_addr[1] 0.000413672
2 *886:DIODE 0.000105458
3 *3523:A 0
4 *46:8 0.00051913
5 *886:DIODE *689:7 0.000103943
6 *46:8 *686:8 0
*RES
1 io_wbs_m2s_addr[1] *46:8 15.4826
2 *46:8 *3523:A 9.24915
3 *46:8 *886:DIODE 12.7456
*END
*D_NET *47 0.0013983
*CONN
*P io_wbs_m2s_addr[2] I
*I *887:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3524:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 io_wbs_m2s_addr[2] 0.000402093
2 *887:DIODE 2.26788e-05
3 *3524:A 0
4 *47:8 0.000424772
5 *887:DIODE *667:7 2.82583e-05
6 *887:DIODE *689:14 0.000265537
7 *887:DIODE *690:7 0.000175485
8 *47:8 *667:7 1.43983e-05
9 *47:8 *689:14 6.50727e-05
*RES
1 io_wbs_m2s_addr[2] *47:8 15.4826
2 *47:8 *3524:A 9.24915
3 *47:8 *887:DIODE 12.191
*END
*D_NET *48 0.00106587
*CONN
*P io_wbs_m2s_addr[3] I
*I *888:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3525:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 io_wbs_m2s_addr[3] 0.000460903
2 *888:DIODE 7.20335e-05
3 *3525:A 0
4 *48:8 0.000532936
*RES
1 io_wbs_m2s_addr[3] *48:8 16.5918
2 *48:8 *3525:A 9.24915
3 *48:8 *888:DIODE 11.0817
*END
*D_NET *49 0.000884385
*CONN
*P io_wbs_m2s_addr[4] I
*I *3526:A I *D sky130_fd_sc_hd__clkbuf_1
*I *889:DIODE I *D sky130_fd_sc_hd__diode_2
*CAP
1 io_wbs_m2s_addr[4] 0.000286954
2 *3526:A 0
3 *889:DIODE 0.000138191
4 *49:10 0.000425145
5 *49:10 *3529:A 2.352e-05
6 *49:10 *55:10 0
7 *49:10 *692:8 1.05746e-05
8 *39:10 *49:10 0
*RES
1 io_wbs_m2s_addr[4] *49:10 10.3212
2 *49:10 *889:DIODE 12.191
3 *49:10 *3526:A 9.24915
*END
*D_NET *50 0.00108972
*CONN
*P io_wbs_m2s_addr[5] I
*I *3527:A I *D sky130_fd_sc_hd__clkbuf_1
*I *890:DIODE I *D sky130_fd_sc_hd__diode_2
*CAP
1 io_wbs_m2s_addr[5] 0.000364549
2 *3527:A 0
3 *890:DIODE 9.25682e-05
4 *50:10 0.000457117
5 *890:DIODE *693:7 0.000175485
6 io_txd *50:10 0
7 io_wbs_data_o[30] *50:10 0
*RES
1 io_wbs_m2s_addr[5] *50:10 11.567
2 *50:10 *890:DIODE 12.191
3 *50:10 *3527:A 9.24915
*END
*D_NET *51 0.00111484
*CONN
*P io_wbs_m2s_addr[6] I
*I *891:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3528:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 io_wbs_m2s_addr[6] 0.00043516
2 *891:DIODE 4.49252e-05
3 *3528:A 0
4 *51:8 0.000480085
5 *891:DIODE *3530:A 7.97944e-05
6 *51:8 *3530:A 7.48797e-05
*RES
1 io_wbs_m2s_addr[6] *51:8 16.5918
2 *51:8 *3528:A 9.24915
3 *51:8 *891:DIODE 11.0817
*END
*D_NET *52 0.00160464
*CONN
*P io_wbs_m2s_addr[7] I
*I *3509:A I *D sky130_fd_sc_hd__buf_2
*I *871:DIODE I *D sky130_fd_sc_hd__diode_2
*CAP
1 io_wbs_m2s_addr[7] 0.000473199
2 *3509:A 0
3 *871:DIODE 0.000261029
4 *52:8 0.000734228
5 *871:DIODE *664:6 7.50872e-05
6 io_wbs_data_o[12] *52:8 0
7 io_wbs_data_o[14] *871:DIODE 6.1096e-05
8 io_wbs_data_o[14] *52:8 0
*RES
1 io_wbs_m2s_addr[7] *52:8 11.4818
2 *52:8 *871:DIODE 19.2874
3 *52:8 *3509:A 13.7491
*END
*D_NET *55 0.00108682
*CONN
*P io_wbs_m2s_data[0] I
*I *3510:A I *D sky130_fd_sc_hd__buf_2
*I *872:DIODE I *D sky130_fd_sc_hd__diode_2
*CAP
1 io_wbs_m2s_data[0] 0.000341114
2 *3510:A 0
3 *872:DIODE 0.000164275
4 *55:10 0.000505389
5 *55:10 *3529:A 2.352e-05
6 *55:10 *665:9 5.25197e-05
7 io_txd *55:10 0
8 *49:10 *55:10 0
*RES
1 io_wbs_m2s_data[0] *55:10 11.9823
2 *55:10 *872:DIODE 12.7456
3 *55:10 *3510:A 9.24915
*END
*D_NET *66 0.00129002
*CONN
*P io_wbs_m2s_data[1] I
*I *873:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3511:A I *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 io_wbs_m2s_data[1] 0.000419494
2 *873:DIODE 0
3 *3511:A 0.000225518
4 *66:9 0.000645011
*RES
1 io_wbs_m2s_data[1] *66:9 15.9072
2 *66:9 *3511:A 14.8434
3 *66:9 *873:DIODE 9.24915
*END
*D_NET *77 0.00104818
*CONN
*P io_wbs_m2s_data[2] I
*I *3512:A I *D sky130_fd_sc_hd__clkbuf_4
*I *874:DIODE I *D sky130_fd_sc_hd__diode_2
*CAP
1 io_wbs_m2s_data[2] 0.000354746
2 *3512:A 6.35563e-05
3 *874:DIODE 8.04763e-05
4 *77:7 0.000498779
5 *874:DIODE *689:7 1.80647e-05
6 *3512:A *689:7 3.25584e-05
*RES
1 io_wbs_m2s_data[2] *77:7 13.9287
2 *77:7 *874:DIODE 10.5271
3 *77:7 *3512:A 11.1059
*END
*D_NET *80 0.00163691
*CONN
*P io_wbs_m2s_data[3] I
*I *3513:A I *D sky130_fd_sc_hd__buf_2
*I *875:DIODE I *D sky130_fd_sc_hd__diode_2
*CAP
1 io_wbs_m2s_data[3] 0.000348579
2 *3513:A 0
3 *875:DIODE 0.000112372
4 *80:10 0.000460951
5 *875:DIODE *740:9 0.000271044
6 *80:10 *674:8 0
7 *80:10 *679:11 0.000290737
8 *80:10 *740:6 0.000153225
9 io_wbs_ack_o *80:10 0
*RES
1 io_wbs_m2s_data[3] *80:10 13.6433
2 *80:10 *875:DIODE 12.7456
3 *80:10 *3513:A 9.24915
*END
*D_NET *81 0.00136896
*CONN
*P io_wbs_m2s_data[4] I
*I *876:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3514:A I *D sky130_fd_sc_hd__buf_2
*CAP
1 io_wbs_m2s_data[4] 0.000443269
2 *876:DIODE 4.12711e-05
3 *3514:A 0
4 *81:8 0.00048454
5 *876:DIODE *690:7 0.000175485
6 *81:8 *690:7 0.000224395
*RES
1 io_wbs_m2s_data[4] *81:8 17.1464
2 *81:8 *3514:A 9.24915
3 *81:8 *876:DIODE 11.0817
*END
*D_NET *82 0.00108042
*CONN
*P io_wbs_m2s_data[5] I
*I *3515:A I *D sky130_fd_sc_hd__clkbuf_4
*I *877:DIODE I *D sky130_fd_sc_hd__diode_2
*CAP
1 io_wbs_m2s_data[5] 0.000398522
2 *3515:A 8.55479e-05
3 *877:DIODE 5.6139e-05
4 *82:7 0.000540208
*RES
1 io_wbs_m2s_data[5] *82:7 15.1744
2 *82:7 *877:DIODE 10.5271
3 *82:7 *3515:A 11.5158
*END
*D_NET *83 0.00154697
*CONN
*P io_wbs_m2s_data[6] I
*I *878:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3516:A I *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 io_wbs_m2s_data[6] 0.000585098
2 *878:DIODE 0
3 *3516:A 0.000112617
4 *83:8 0.000697715
5 *3516:A *637:52 0.000123282
6 *83:8 *637:52 2.82583e-05
*RES
1 io_wbs_m2s_data[6] *83:8 19.3592
2 *83:8 *3516:A 12.7697
3 *83:8 *878:DIODE 9.24915
*END
*D_NET *84 0.00129002
*CONN
*P io_wbs_m2s_data[7] I
*I *879:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3517:A I *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 io_wbs_m2s_data[7] 0.000419494
2 *879:DIODE 0
3 *3517:A 0.000225518
4 *84:9 0.000645011
*RES
1 io_wbs_m2s_data[7] *84:9 15.9072
2 *84:9 *3517:A 14.8434
3 *84:9 *879:DIODE 9.24915
*END
*D_NET *87 0.00132441
*CONN
*P io_wbs_m2s_stb I
*I *880:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3518:A I *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 io_wbs_m2s_stb 0.000325012
2 *880:DIODE 0.000112124
3 *3518:A 0
4 *87:11 0.000437136
5 *880:DIODE *673:7 0.000175485
6 *87:11 *684:8 0.000139123
7 *87:11 *686:8 0.000135526
8 io_wbs_data_o[21] *87:11 0
9 io_wbs_data_o[4] *87:11 0
*RES
1 io_wbs_m2s_stb *87:11 11.8751
2 *87:11 *3518:A 9.24915
3 *87:11 *880:DIODE 12.191
*END
*D_NET *88 0.00122621
*CONN
*P io_wbs_m2s_we I
*I *882:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3520:A I *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 io_wbs_m2s_we 0.000310602
2 *882:DIODE 0.00011782
3 *3520:A 0
4 *88:10 0.000428423
5 *882:DIODE *675:7 6.50727e-05
6 *88:10 *664:6 0.000165169
7 *88:10 *675:8 0.000139123
8 io_wbs_data_o[14] *88:10 0
*RES
1 io_wbs_m2s_we *88:10 11.6435
2 *88:10 *3520:A 9.24915
3 *88:10 *882:DIODE 12.191
*END
*D_NET *89 0.00157733
*CONN
*P reset I
*I *883:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3521:A I *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 reset 0.000317736
2 *883:DIODE 0.000220625
3 *3521:A 0
4 *89:8 0.00053836
5 *883:DIODE *2830:A 0
6 *883:DIODE *674:8 0.000134041
7 *883:DIODE *679:11 0.000169297
8 *883:DIODE *774:9 0.00015324
9 *883:DIODE *775:8 0
10 *89:8 *679:11 4.40325e-05
11 *89:8 *775:8 0
12 io_wbs_data_o[29] *89:8 0
*RES
1 reset *89:8 5.89773
2 *89:8 *3521:A 13.7491
3 *89:8 *883:DIODE 22.6404
*END
*D_NET *92 0.000302372
*CONN
*I *3397:D I *D sky130_fd_sc_hd__dfxtp_1
*I *2838:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3397:D 9.08667e-05
2 *2838:X 9.08667e-05
3 *3397:D *2838:A 4.61732e-05
4 *3397:D *685:11 7.44658e-05
*RES
1 *2838:X *3397:D 30.1079
*END
*D_NET *93 0.000450933
*CONN
*I *3398:D I *D sky130_fd_sc_hd__dfxtp_1
*I *2841:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3398:D 0.000132542
2 *2841:X 0.000132542
3 *3398:D *3398:CLK 0.000155569
4 *3398:D *692:11 3.02812e-05
*RES
1 *2841:X *3398:D 22.3865
*END
*D_NET *94 0.0007082
*CONN
*I *3399:D I *D sky130_fd_sc_hd__dfxtp_1
*I *2846:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3399:D 0.000273094
2 *2846:X 0.000273094
3 *3399:D *2843:A0 0
4 *3399:D *3399:CLK 0.000147325
5 *3399:D *227:14 0
6 *3399:D *692:11 1.46876e-05
*RES
1 *2846:X *3399:D 34.3036
*END
*D_NET *95 0.000439534
*CONN
*I *3400:D I *D sky130_fd_sc_hd__dfxtp_1
*I *2849:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3400:D 0.000139711
2 *2849:X 0.000139711
3 *3400:D *2849:A 0.000156823
4 *3400:D *3400:CLK 0
5 *3400:D *268:41 3.28898e-06
*RES
1 *2849:X *3400:D 31.1072
*END
*D_NET *96 0.00021285
*CONN
*I *3401:D I *D sky130_fd_sc_hd__dfxtp_1
*I *2852:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3401:D 7.39579e-05
2 *2852:X 7.39579e-05
3 *3401:D *2852:A 4.61732e-05
4 *3401:D *2854:S 1.87611e-05
*RES
1 *2852:X *3401:D 29.5533
*END
*D_NET *97 0.00100723
*CONN
*I *3402:D I *D sky130_fd_sc_hd__dfxtp_1
*I *2855:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3402:D 0.000249536
2 *2855:X 0.000249536
3 *3402:D *2854:A0 0
4 *3402:D *2854:A1 0
5 *3402:D *2855:A 0.000266711
6 *3402:D *2890:A 0.000222682
7 *3402:D *3402:CLK 1.87611e-05
*RES
1 *2855:X *3402:D 36.6126
*END
*D_NET *98 0.000401564
*CONN
*I *3403:D I *D sky130_fd_sc_hd__dfxtp_1
*I *2858:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3403:D 0.000144892
2 *2858:X 0.000144892
3 *3403:D *2856:A0 6.50586e-05
4 *3403:D *2890:A 2.7961e-05
5 *3403:D *268:20 0
6 *3403:D *645:59 1.87611e-05
*RES
1 *2858:X *3403:D 30.692
*END
*D_NET *99 0.000450165
*CONN
*I *3404:D I *D sky130_fd_sc_hd__dfxtp_1
*I *2861:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3404:D 0.000110528
2 *2861:X 0.000110528
3 *3404:D *2857:A1 0.000176469
4 *3404:D *2862:A0 3.03605e-05
5 *3404:D *2901:A1 0
6 *3404:D *3399:CLK 2.22788e-05
*RES
1 *2861:X *3404:D 31.2171
*END
*D_NET *100 0.00107096
*CONN
*I *3405:D I *D sky130_fd_sc_hd__dfxtp_1
*I *2864:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3405:D 0.000295954
2 *2864:X 0.000295954
3 *3405:D *2864:A 0.000158373
4 *3405:D *665:25 0
5 *3405:D *685:16 0.000320683
*RES
1 *2864:X *3405:D 37.0335
*END
*D_NET *101 0.000842848
*CONN
*I *3344:D I *D sky130_fd_sc_hd__dfxtp_1
*I *2873:X O *D sky130_fd_sc_hd__o311a_1
*CAP
1 *3344:D 0.000196871
2 *2873:X 0.000196871
3 *3344:D *839:DIODE 7.86847e-05
4 *3344:D *2826:A 0
5 *3344:D *2868:B 0
6 *3344:D *211:8 0.000123597
7 *3344:D *665:31 0.000121129
8 *3344:D *761:19 0.000125695
*RES
1 *2873:X *3344:D 33.9846
*END
*D_NET *102 0.000439993
*CONN
*I *3345:D I *D sky130_fd_sc_hd__dfxtp_1
*I *2879:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3345:D 0.000208764
2 *2879:X 0.000208764
3 *3345:D *2909:A 8.62625e-06
4 *3345:D *3345:CLK 1.38386e-05
5 *3345:D *271:29 0
*RES
1 *2879:X *3345:D 32.1012
*END
*D_NET *103 0.00209123
*CONN
*I *3346:D I *D sky130_fd_sc_hd__dfxtp_1
*I *2883:Y O *D sky130_fd_sc_hd__nor3_1
*CAP
1 *3346:D 0.000556906
2 *2883:Y 0.000556906
3 *3346:D *776:DIODE 2.42138e-05
4 *3346:D *2883:C 0
5 *3346:D *3070:A 0
6 *3346:D *3146:A1 0.000368767
7 *3346:D *3346:CLK 0.000541776
8 *3346:D *254:100 4.26566e-05
9 *3346:D *258:8 0
10 *3346:D *763:20 0
*RES
1 *2883:Y *3346:D 42.609
*END
*D_NET *104 0.00202315
*CONN
*I *3347:D I *D sky130_fd_sc_hd__dfxtp_1
*I *2887:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *3347:D 0.00034008
2 *2887:Y 0.00034008
3 *3347:D *2827:A 0
4 *3347:D *2883:B 0.000116755
5 *3347:D *2884:B1 0.000143032
6 *3347:D *2887:A2 0.000298387
7 *3347:D *3347:CLK 0.000166216
8 *3347:D *3493:A 3.08147e-05
9 *3347:D *210:8 9.98029e-06
10 *3347:D *220:13 4.11647e-05
11 *3347:D *221:47 0
12 *3347:D *259:11 0.00036936
13 *3347:D *633:31 0.000116755
14 *3347:D *665:25 5.05252e-05
*RES
1 *2887:Y *3347:D 41.2154
*END
*D_NET *105 0.000553746
*CONN
*I *3348:D I *D sky130_fd_sc_hd__dfxtp_1
*I *2899:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3348:D 0.000123451
2 *2899:X 0.000123451
3 *3348:D *3400:CLK 0.00017407
4 *3348:D *632:47 0.000132775
*RES
1 *2899:X *3348:D 23.2004
*END
*D_NET *106 0.000431951
*CONN
*I *3349:D I *D sky130_fd_sc_hd__dfxtp_1
*I *2903:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3349:D 0.000122022
2 *2903:X 0.000122022
3 *3349:D *2850:A0 0
4 *3349:D *3404:CLK 0.00012568
5 *3349:D *645:80 3.67708e-05
6 *3349:D *692:11 2.54559e-05
*RES
1 *2903:X *3349:D 31.3182
*END
*D_NET *107 0.000488989
*CONN
*I *3350:D I *D sky130_fd_sc_hd__dfxtp_1
*I *2906:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3350:D 0.000160191
2 *2906:X 0.000160191
3 *3350:D *818:DIODE 3.40288e-05
4 *3350:D *645:32 0.000134577
*RES
1 *2906:X *3350:D 23.2004
*END
*D_NET *108 0.000534114
*CONN
*I *3351:D I *D sky130_fd_sc_hd__dfxtp_1
*I *2909:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3351:D 0.000163915
2 *2909:X 0.000163915
3 *3351:D *2909:A 0.000148129
4 *3351:D *267:8 0
5 *3351:D *665:31 5.81544e-05
*RES
1 *2909:X *3351:D 32.6023
*END
*D_NET *109 0.000658457
*CONN
*I *3352:D I *D sky130_fd_sc_hd__dfxtp_1
*I *2914:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3352:D 0.000215364
2 *2914:X 0.000215364
3 *3352:D *3352:CLK 0.000146934
4 *3352:D *669:8 8.07939e-05
*RES
1 *2914:X *3352:D 33.331
*END
*D_NET *110 0.000507675
*CONN
*I *3353:D I *D sky130_fd_sc_hd__dfxtp_1
*I *2917:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3353:D 0.00013386
2 *2917:X 0.00013386
3 *3353:D *2916:B 9.75356e-05
4 *3353:D *2917:A 3.01634e-05
5 *3353:D *645:13 9.38269e-05
6 *3353:D *670:54 1.84293e-05
*RES
1 *2917:X *3353:D 31.1448
*END
*D_NET *111 0.000428977
*CONN
*I *3354:D I *D sky130_fd_sc_hd__dfxtp_1
*I *2920:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3354:D 0.000137854
2 *2920:X 0.000137854
3 *3354:D *786:DIODE 1.03403e-05
4 *3354:D *2850:A0 0.000118485
5 *3354:D *2859:A0 5.68237e-06
6 *3354:D *685:16 1.87611e-05
*RES
1 *2920:X *3354:D 30.692
*END
*D_NET *112 0.000536093
*CONN
*I *3355:D I *D sky130_fd_sc_hd__dfxtp_1
*I *2923:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3355:D 0.000138085
2 *2923:X 0.000138085
3 *3355:D *2921:A1 1.08218e-05
4 *3355:D *3355:CLK 7.79498e-05
5 *3355:D *260:8 0.000171152
6 *3355:D *645:6 0
*RES
1 *2923:X *3355:D 32.1012
*END
*D_NET *113 0.000928355
*CONN
*I *3356:D I *D sky130_fd_sc_hd__dfxtp_1
*I *2927:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3356:D 0.000302591
2 *2927:X 0.000302591
3 *3356:D *2927:A 0.00014506
4 *3356:D *3356:CLK 0.000123361
5 *3356:D *631:8 5.47516e-05
*RES
1 *2927:X *3356:D 36.4789
*END
*D_NET *114 0.000666265
*CONN
*I *3357:D I *D sky130_fd_sc_hd__dfxtp_1
*I *2930:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3357:D 0.000300033
2 *2930:X 0.000300033
3 *3357:D *2926:A 2.54809e-05
4 *3357:D *2930:A 2.99929e-05
5 *3357:D *3357:CLK 1.07248e-05
6 *3357:D *622:41 0
*RES
1 *2930:X *3357:D 34.6402
*END
*D_NET *115 0.000383248
*CONN
*I *3358:D I *D sky130_fd_sc_hd__dfxtp_1
*I *2935:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3358:D 0.00010648
2 *2935:X 0.00010648
3 *3358:D *2933:S 3.20069e-06
4 *3358:D *2934:B 0
5 *3358:D *2936:A1 0.000127573
6 *3358:D *294:19 3.95141e-05
*RES
1 *2935:X *3358:D 30.6625
*END
*D_NET *116 0.000685123
*CONN
*I *3359:D I *D sky130_fd_sc_hd__dfxtp_1
*I *2938:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3359:D 0.000135479
2 *2938:X 0.000135479
3 *3359:D *2945:S 0.000142332
4 *3359:D *3359:CLK 6.3657e-05
5 *3359:D *667:28 0
6 *3359:D *696:28 0.000208176
*RES
1 *2938:X *3359:D 32.4274
*END
*D_NET *117 0.00064556
*CONN
*I *3360:D I *D sky130_fd_sc_hd__dfxtp_1
*I *2941:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3360:D 0.000140992
2 *2941:X 0.000140992
3 *3360:D *2941:A 0.000363576
*RES
1 *2941:X *3360:D 22.9411
*END
*D_NET *118 0.000387128
*CONN
*I *3361:D I *D sky130_fd_sc_hd__dfxtp_1
*I *2944:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3361:D 0.000193564
2 *2944:X 0.000193564
3 *3361:D *622:41 0
4 *3361:D *700:6 0
5 *3361:D *725:15 0
*RES
1 *2944:X *3361:D 32.0476
*END
*D_NET *119 0.000852651
*CONN
*I *3362:D I *D sky130_fd_sc_hd__dfxtp_1
*I *2947:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3362:D 0.000216908
2 *2947:X 0.000216908
3 *3362:D *2945:S 0.000190028
4 *3362:D *2947:A 0.000218852
5 *3362:D *3179:B2 9.95542e-06
6 *3362:D *667:28 0
*RES
1 *2947:X *3362:D 34.1562
*END
*D_NET *120 0.00125968
*CONN
*I *3363:D I *D sky130_fd_sc_hd__dfxtp_1
*I *2951:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3363:D 0.000251589
2 *2951:X 0.000251589
3 *3363:D *2949:A0 6.50586e-05
4 *3363:D *2951:A 0.000591114
5 *3363:D *3457:CLK 4.3116e-06
6 *3363:D *667:28 9.60216e-05
*RES
1 *2951:X *3363:D 35.8228
*END
*D_NET *121 0.000550143
*CONN
*I *3364:D I *D sky130_fd_sc_hd__dfxtp_1
*I *2964:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *3364:D 0.000151518
2 *2964:X 0.000151518
3 *3364:D *2970:B1 0.000156823
4 *3364:D *3188:B2 6.91078e-06
5 *3364:D *669:59 6.46135e-05
6 *1:29 *3364:D 1.87611e-05
*RES
1 *2964:X *3364:D 31.6618
*END
*D_NET *122 0.000557983
*CONN
*I *3365:D I *D sky130_fd_sc_hd__dfxtp_1
*I *2966:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *3365:D 0.000207075
2 *2966:X 0.000207075
3 *3365:D *797:DIODE 4.27003e-05
4 *3365:D *671:79 0.000101133
*RES
1 *2966:X *3365:D 32.3264
*END
*D_NET *123 0.000625152
*CONN
*I *3366:D I *D sky130_fd_sc_hd__dfxtp_1
*I *2968:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *3366:D 0.000288702
2 *2968:X 0.000288702
3 *3366:D *2968:B1 0
4 *3366:D *3365:CLK 5.04829e-06
5 *3366:D *317:41 4.27003e-05
*RES
1 *2968:X *3366:D 33.9902
*END
*D_NET *124 0.00121852
*CONN
*I *3367:D I *D sky130_fd_sc_hd__dfxtp_1
*I *2970:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *3367:D 0.000212497
2 *2970:X 0.000212497
3 *3367:D *169:15 0.000788317
4 *3367:D *650:7 5.20546e-06
*RES
1 *2970:X *3367:D 27.0404
*END
*D_NET *125 0.00190761
*CONN
*I *3368:D I *D sky130_fd_sc_hd__dfxtp_1
*I *2974:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *3368:D 0.000449405
2 *2974:X 0.000449405
3 *3368:D *2968:A1 2.1203e-06
4 *3368:D *2974:C1 0
5 *3368:D *170:11 0.000840957
6 *3368:D *317:22 4.27003e-05
7 *3368:D *317:41 0.000108628
8 *3368:D *319:48 0
9 *3368:D *651:8 1.43983e-05
10 *3368:D *669:88 0
*RES
1 *2974:X *3368:D 42.5795
*END
*D_NET *126 0.000280467
*CONN
*I *3369:D I *D sky130_fd_sc_hd__dfxtp_1
*I *2976:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *3369:D 8.03451e-05
2 *2976:X 8.03451e-05
3 *3369:D *3365:CLK 3.90891e-05
4 *3369:D *622:61 6.80864e-05
5 *3369:D *643:25 1.2601e-05
*RES
1 *2976:X *3369:D 30.3838
*END
*D_NET *127 0.000702494
*CONN
*I *3370:D I *D sky130_fd_sc_hd__dfxtp_1
*I *2978:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *3370:D 0.000197756
2 *2978:X 0.000197756
3 *3370:D *802:DIODE 0.000122378
4 *3370:D *622:61 0
5 *3370:D *643:20 0.000103827
6 *3370:D *671:52 1.61631e-05
7 *3370:D *732:14 6.46135e-05
*RES
1 *2978:X *3370:D 32.5962
*END
*D_NET *128 0.000267667
*CONN
*I *3371:D I *D sky130_fd_sc_hd__dfxtp_1
*I *2980:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *3371:D 5.57458e-05
2 *2980:X 5.57458e-05
3 *3371:D *2979:B 1.87611e-05
4 *3371:D *3190:B 3.77804e-05
5 *3371:D *3191:B1 9.96342e-05
*RES
1 *2980:X *3371:D 29.5533
*END
*D_NET *129 0.00170206
*CONN
*I *3372:D I *D sky130_fd_sc_hd__dfxtp_1
*I *2982:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *3372:D 0.00044285
2 *2982:Y 0.00044285
3 *3372:D *2878:A 9.04224e-05
4 *3372:D *2982:B 5.07314e-05
5 *3372:D *3372:CLK 0.000221441
6 *3372:D *250:57 6.63327e-05
7 *3372:D *271:29 2.17381e-05
8 *3372:D *522:55 0.000365694
*RES
1 *2982:Y *3372:D 39.2869
*END
*D_NET *130 0.000200591
*CONN
*I *3373:D I *D sky130_fd_sc_hd__dfxtp_1
*I *2990:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3373:D 7.81429e-05
2 *2990:X 7.81429e-05
3 *3373:D *2990:A 4.27003e-05
4 *3373:D *3375:CLK 0
5 *3373:D *673:11 1.60502e-06
*RES
1 *2990:X *3373:D 29.5533
*END
*D_NET *131 0.000737717
*CONN
*I *3374:D I *D sky130_fd_sc_hd__dfxtp_1
*I *2993:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3374:D 0.000217034
2 *2993:X 0.000217034
3 *3374:D *840:DIODE 7.09395e-05
4 *3374:D *3374:CLK 0.000223784
5 *3374:D *3499:A 8.92568e-06
*RES
1 *2993:X *3374:D 33.9519
*END
*D_NET *132 0.000394648
*CONN
*I *3375:D I *D sky130_fd_sc_hd__dfxtp_1
*I *2996:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3375:D 0.000197324
2 *2996:X 0.000197324
*RES
1 *2996:X *3375:D 22.9411
*END
*D_NET *133 0.000460011
*CONN
*I *3376:D I *D sky130_fd_sc_hd__dfxtp_1
*I *2999:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3376:D 0.000160425
2 *2999:X 0.000160425
3 *3376:D *2999:A 0.000113968
4 *3376:D *3376:CLK 2.51928e-05
*RES
1 *2999:X *3376:D 22.3865
*END
*D_NET *134 0.000416393
*CONN
*I *3377:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3003:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3377:D 0.000130509
2 *3003:X 0.000130509
3 *3377:D *3003:A 4.15008e-05
4 *3377:D *3377:CLK 3.90891e-05
5 *3377:D *522:39 6.50727e-05
6 *3377:D *615:33 9.71182e-06
7 *3377:D *667:28 0
*RES
1 *3003:X *3377:D 31.1072
*END
*D_NET *135 0.00134841
*CONN
*I *3378:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3006:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3378:D 0.000276375
2 *3006:X 0.000276375
3 *3378:D *3000:A 7.70407e-05
4 *3378:D *288:31 8.05974e-05
5 *3378:D *334:14 0.000225722
6 *3378:D *617:19 0.000412297
*RES
1 *3006:X *3378:D 37.5853
*END
*D_NET *136 0.000613495
*CONN
*I *3379:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3010:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3379:D 0.000143679
2 *3010:X 0.000143679
3 *3379:D *3380:CLK 0.000122378
4 *3379:D *348:9 1.61918e-05
5 *3379:D *665:33 3.18826e-06
6 *3379:D *665:48 3.98472e-05
7 *3379:D *670:28 0.000144531
*RES
1 *3010:X *3379:D 31.6618
*END
*D_NET *137 0.000688525
*CONN
*I *3380:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3014:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3380:D 0.000212215
2 *3014:X 0.000212215
3 *3380:D *2823:A2 0.000180681
4 *3380:D *3010:A 0
5 *3380:D *260:8 0
6 *3380:D *330:8 8.3415e-05
*RES
1 *3014:X *3380:D 34.1239
*END
*D_NET *138 0.00144202
*CONN
*I *3381:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3017:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3381:D 0.000481095
2 *3017:X 0.000481095
3 *3381:D *2821:B1 1.80122e-05
4 *3381:D *2821:B2 0.000199047
5 *3381:D *2822:C1 0.000166937
6 *3381:D *3381:CLK 8.62906e-05
7 *3381:D *657:8 9.54212e-06
*RES
1 *3017:X *3381:D 28.656
*END
*D_NET *139 0.00168279
*CONN
*I *3382:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3020:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3382:D 0.000341784
2 *3020:X 0.000341784
3 *3382:D *3002:A 0.000200081
4 *3382:D *3020:A 1.63087e-05
5 *3382:D *3500:A 5.71095e-05
6 *3382:D *343:20 0.000183048
7 *3382:D *343:22 0.000104747
8 *3382:D *616:19 2.77625e-06
9 *3382:D *619:11 7.14746e-05
10 *3382:D *619:47 0.000340251
11 *3382:D *671:85 2.34312e-05
*RES
1 *3020:X *3382:D 39.1364
*END
*D_NET *140 0.000452121
*CONN
*I *3383:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3024:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3383:D 0.000176546
2 *3024:X 0.000176546
3 *3383:D *3383:CLK 9.39797e-05
4 *3383:D *639:25 5.04829e-06
*RES
1 *3024:X *3383:D 22.9411
*END
*D_NET *141 0.000312849
*CONN
*I *3384:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3027:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3384:D 0.000124123
2 *3027:X 0.000124123
3 *3384:D *2913:A 4.61732e-05
4 *3384:D *330:8 0
5 *3384:D *670:54 1.84293e-05
*RES
1 *3027:X *3384:D 30.2767
*END
*D_NET *142 0.000303893
*CONN
*I *3385:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3030:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3385:D 6.42728e-05
2 *3030:X 6.42728e-05
3 *3385:D *3030:A 0.000122098
4 *3385:D *3385:CLK 1.87611e-05
5 *3385:D *669:30 3.44886e-05
*RES
1 *3030:X *3385:D 29.9686
*END
*D_NET *143 0.000303705
*CONN
*I *3386:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3033:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3386:D 9.53754e-05
2 *3033:X 9.53754e-05
3 *3386:D *3033:A 4.27003e-05
4 *3386:D *3386:CLK 7.02539e-05
*RES
1 *3033:X *3386:D 30.1079
*END
*D_NET *144 0.00108294
*CONN
*I *3387:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3040:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3387:D 0.000370064
2 *3040:X 0.000370064
3 *3387:D *795:DIODE 9.34919e-05
4 *3387:D *824:DIODE 9.75356e-05
5 *3387:D *367:40 6.50586e-05
6 *3387:D *622:138 8.67253e-05
*RES
1 *3040:X *3387:D 36.3774
*END
*D_NET *145 0.000983581
*CONN
*I *3388:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3045:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3388:D 0.000219976
2 *3045:X 0.000219976
3 *3388:D *3043:A0 0.00011439
4 *3388:D *3151:B 6.28753e-05
5 *3388:D *424:34 9.17771e-05
6 *3388:D *456:26 0.000182809
7 *3388:D *718:40 9.17771e-05
*RES
1 *3045:X *3388:D 34.1587
*END
*D_NET *146 0.00154233
*CONN
*I *3389:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3049:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3389:D 0.000366386
2 *3049:X 0.000366386
3 *3389:D *377:10 0.000149628
4 *3389:D *382:14 0.000391603
5 *3389:D *493:21 0.000104389
6 *3389:D *634:8 0.000127164
7 *3389:D *634:10 3.67708e-05
*RES
1 *3049:X *3389:D 35.9238
*END
*D_NET *147 0.000301931
*CONN
*I *3390:D I *D sky130_fd_sc_hd__dfxtp_2
*I *3052:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3390:D 8.02507e-05
2 *3052:X 8.02507e-05
3 *3390:D *795:DIODE 0.000116986
4 *3390:D *646:62 1.87611e-05
5 *3390:D *666:46 0
6 *3390:D *674:43 5.68237e-06
*RES
1 *3052:X *3390:D 29.9686
*END
*D_NET *148 0.00129139
*CONN
*I *3391:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3056:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3391:D 0.000168676
2 *3056:X 0.000168676
3 *3391:D *3189:A1 0.000258733
4 *3391:D *3391:CLK 0.000218288
5 *3391:D *456:26 0.000258733
6 *3391:D *663:63 0.000218288
*RES
1 *3056:X *3391:D 35.0938
*END
*D_NET *149 0.000699262
*CONN
*I *3392:D I *D sky130_fd_sc_hd__dfxtp_2
*I *3059:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *3392:D 0.000114441
2 *3059:X 0.000114441
3 *3392:D *3059:B1 6.08467e-05
4 *3392:D *254:26 0.000154145
5 *3392:D *663:52 0.00024385
6 *3392:D *663:63 1.15389e-05
*RES
1 *3059:X *3392:D 22.3624
*END
*D_NET *150 0.000454071
*CONN
*I *3393:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3063:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3393:D 9.39842e-05
2 *3063:X 9.39842e-05
3 *3393:D *3065:A 0.000108025
4 *3393:D *3085:B 6.14128e-05
5 *3393:D *3087:B 6.31809e-05
6 *3393:D *3393:CLK 1.07248e-05
7 *3393:D *325:65 2.27595e-05
*RES
1 *3063:X *3393:D 31.3182
*END
*D_NET *151 0.000580044
*CONN
*I *3394:D I *D sky130_fd_sc_hd__dfxtp_2
*I *3066:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3394:D 0.000204058
2 *3066:X 0.000204058
3 *3394:D *3066:A 0.000101148
4 *3394:D *167:8 2.692e-05
5 *3394:D *495:13 4.38601e-05
*RES
1 *3066:X *3394:D 32.881
*END
*D_NET *152 0.000549741
*CONN
*I *3395:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3068:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3395:D 0.000187463
2 *3068:X 0.000187463
3 *3395:D *3416:D 0.000127194
4 *3395:D *392:16 4.76198e-05
5 *3395:D *775:8 0
*RES
1 *3068:X *3395:D 32.8754
*END
*D_NET *153 0.000847744
*CONN
*I *3396:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3071:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3396:D 0.000313192
2 *3071:X 0.000313192
3 *3396:D *2835:A 2.41274e-06
4 *3396:D *3069:A0 0.000180681
5 *3396:D *3071:A 3.82654e-05
*RES
1 *3071:X *3396:D 34.4349
*END
*D_NET *154 0.000906291
*CONN
*I *3406:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3092:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *3406:D 0.000111319
2 *3092:X 0.000111319
3 *3406:D *3089:B1 1.87611e-05
4 *3406:D *3092:A2 0.000118485
5 *3406:D *3448:CLK 0.000167076
6 *3406:D *412:9 1.60502e-06
7 *3406:D *522:93 1.63963e-05
8 *3406:D *663:52 0.000190057
9 *3406:D *671:28 0.000171273
*RES
1 *3092:X *3406:D 33.0469
*END
*D_NET *155 0.000496735
*CONN
*I *3407:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3096:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *3407:D 0.000102201
2 *3096:Y 0.000102201
3 *3407:D *2982:B 5.22164e-06
4 *3407:D *3095:B1 7.0716e-05
5 *3407:D *3096:B1 7.50722e-05
6 *3407:D *250:57 1.9101e-05
7 *3407:D *259:37 9.17771e-05
8 *3407:D *259:48 3.04443e-05
*RES
1 *3096:Y *3407:D 30.6264
*END
*D_NET *156 0.00046487
*CONN
*I *3408:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3104:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *3408:D 0.000117161
2 *3104:X 0.000117161
3 *3408:D *3051:A 0.000101148
4 *3408:D *457:19 2.8251e-05
5 *3408:D *670:28 0.000101148
*RES
1 *3104:X *3408:D 31.2171
*END
*D_NET *157 0.000616804
*CONN
*I *3409:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3109:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *3409:D 0.000107611
2 *3109:Y 0.000107611
3 *3409:D *3109:A2 5.302e-05
4 *3409:D *3109:B1 1.03403e-05
5 *3409:D *259:48 5.92342e-05
6 *3409:D *456:131 1.87611e-05
7 *3409:D *522:55 0.000195154
8 *3409:D *674:43 6.50727e-05
*RES
1 *3109:Y *3409:D 31.9377
*END
*D_NET *158 0.000951406
*CONN
*I *3410:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3115:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *3410:D 0.000357477
2 *3115:Y 0.000357477
3 *3410:D *3102:A2 0.000203833
4 *3410:D *248:29 0
5 *3410:D *769:20 3.26189e-05
*RES
1 *3115:Y *3410:D 36.7604
*END
*D_NET *159 0.00101408
*CONN
*I *3411:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3122:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *3411:D 0.000364784
2 *3122:Y 0.000364784
3 *3411:D *3117:A 0.000165521
4 *3411:D *3120:C 3.63593e-05
5 *3411:D *3122:A1 0
6 *3411:D *3122:A2 2.99929e-05
7 *3411:D *210:11 4.05943e-06
8 *3411:D *218:12 0
9 *3411:D *221:47 4.85847e-05
*RES
1 *3122:Y *3411:D 34.708
*END
*D_NET *160 0.000739977
*CONN
*I *3412:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3128:Y O *D sky130_fd_sc_hd__a221oi_1
*CAP
1 *3412:D 0.00014774
2 *3128:Y 0.00014774
3 *3412:D *3128:A2 0
4 *3412:D *3132:B 0.000171442
5 *3412:D *3412:CLK 3.71286e-05
6 *3412:D *456:136 0.000235926
*RES
1 *3128:Y *3412:D 33.0838
*END
*D_NET *161 0.000569387
*CONN
*I *3413:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3135:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *3413:D 0.000136622
2 *3135:Y 0.000136622
3 *3413:D *3410:CLK 0.000162505
4 *3413:D *636:27 0.000133638
*RES
1 *3135:Y *3413:D 23.3692
*END
*D_NET *162 0.00118011
*CONN
*I *3414:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3139:Y O *D sky130_fd_sc_hd__a221oi_1
*CAP
1 *3414:D 0.000362288
2 *3139:Y 0.000362288
3 *3414:D *3414:CLK 7.60278e-05
4 *3414:D *636:27 0.000379505
*RES
1 *3139:Y *3414:D 25.3284
*END
*D_NET *163 0.000458805
*CONN
*I *3415:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3143:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *3415:D 0.000177344
2 *3143:X 0.000177344
3 *3415:D *215:5 3.25584e-05
4 *3415:D *454:35 7.15593e-05
5 *3415:D *674:8 0
*RES
1 *3143:X *3415:D 31.6618
*END
*D_NET *164 0.000573587
*CONN
*I *3416:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3148:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3416:D 0.000208193
2 *3148:X 0.000208193
3 *3416:D *3146:A1 0
4 *3416:D *3148:A 3.00073e-05
5 *3416:D *775:8 0
6 *3395:D *3416:D 0.000127194
*RES
1 *3148:X *3416:D 33.1888
*END
*D_NET *165 0.00550366
*CONN
*I *3417:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3163:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *3417:D 4.37525e-05
2 *3163:X 0.00011177
3 *165:10 0.00144493
4 *165:7 0.00151295
5 *3417:D *3417:CLK 0.000123361
6 *165:7 *2961:A 0.000158357
7 *165:10 *797:DIODE 0.000304528
8 *165:10 *801:DIODE 2.02035e-05
9 *165:10 *2961:A 0.00013788
10 *165:10 *2966:B1 0.000135273
11 *165:10 *2976:B1 5.19205e-05
12 *165:10 *2978:B1 0.000115067
13 *165:10 *3504:A 0
14 *165:10 *3505:A 0
15 *165:10 *317:21 3.92275e-05
16 *165:10 *325:30 0.000439157
17 *165:10 *325:47 3.14242e-05
18 *165:10 *653:10 9.60366e-05
19 *165:10 *666:124 0.000511222
20 *165:10 *671:79 0
21 *165:10 *732:14 0.000226593
*RES
1 *3163:X *165:7 15.5817
2 *165:7 *165:10 49.5182
3 *165:10 *3417:D 10.5814
*END
*D_NET *166 0.0178306
*CONN
*I *3418:D I *D sky130_fd_sc_hd__dfxtp_1
*I *860:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3170:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *3418:D 0.000173492
2 *860:DIODE 0.000120917
3 *3170:X 0.00605468
4 *166:15 0.00634909
5 *860:DIODE *671:8 0
6 *3418:D *637:60 1.87611e-05
7 *3418:D *670:10 0
8 *3418:D *671:8 0
9 *166:15 *3181:A 0.000222768
10 *166:15 *397:12 0
11 *166:15 *472:20 3.93117e-06
12 *166:15 *493:21 0.000210853
13 *166:15 *493:52 4.87341e-05
14 *166:15 *667:55 0.000196624
15 *166:15 *668:12 0.00443075
16 *166:15 *668:17 0
*RES
1 *3170:X *166:15 34.0916
2 *166:15 *860:DIODE 17.8524
3 *166:15 *3418:D 21.4569
*END
*D_NET *167 0.00500169
*CONN
*I *3419:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3174:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *3419:D 6.56283e-05
2 *3174:X 5.01062e-05
3 *167:8 0.00172355
4 *167:7 0.00170803
5 *167:8 *846:DIODE 0.000148159
6 *167:8 *2979:A 5.84338e-05
7 *167:8 *2979:B 9.75356e-05
8 *167:8 *3066:A 0
9 *167:8 *3085:A 0
10 *167:8 *3194:A 7.55244e-05
11 *167:8 *3201:A 0
12 *167:8 *3202:B1 4.87198e-05
13 *167:8 *3205:A1 0.000143032
14 *167:8 *3205:B1 0
15 *167:8 *3206:A2 9.98029e-06
16 *167:8 *3206:B1 4.25603e-05
17 *167:8 *3208:B1 0
18 *167:8 *3216:A 0.000355012
19 *167:8 *3427:D 0.000179286
20 *167:8 *325:47 0
21 *167:8 *472:20 8.62625e-06
22 *167:8 *495:13 0.000260575
23 *167:8 *704:18 0
24 *167:8 *705:8 0
25 *3394:D *167:8 2.692e-05
*RES
1 *3174:X *167:7 14.4725
2 *167:7 *167:8 46.264
3 *167:8 *3419:D 15.0814
*END
*D_NET *168 0.00887446
*CONN
*I *3420:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3178:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *3420:D 0
2 *3178:X 0.00324802
3 *168:21 0.00324802
4 *168:21 *3024:A 4.01315e-05
5 *168:21 *3183:B2 4.45061e-05
6 *168:21 *3188:C1 5.81031e-05
7 *168:21 *3420:CLK 0.000115456
8 *168:21 *311:13 3.92275e-05
9 *168:21 *619:47 0.000193059
10 *168:21 *662:11 9.32217e-05
11 *168:21 *666:109 0.000227965
12 *168:21 *666:124 9.57557e-06
13 *168:21 *671:79 0.000183538
14 *168:21 *672:23 0
15 *168:21 *672:50 0.00137363
16 *168:21 *689:16 0
*RES
1 *3178:X *168:21 44.6446
2 *168:21 *3420:D 9.24915
*END
*D_NET *169 0.00891737
*CONN
*I *3421:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3182:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *3421:D 0
2 *3182:X 0.0011998
3 *169:17 0.00136372
4 *169:15 0.00256352
5 *169:15 *2961:A 2.65667e-05
6 *169:15 *2962:B 1.58551e-05
7 *169:15 *2969:A 0
8 *169:15 *2969:B 0
9 *169:15 *2970:A1 3.0577e-05
10 *169:15 *2970:B1 0.000103748
11 *169:15 *2974:A2 0.000304777
12 *169:15 *3177:A 0
13 *169:15 *3367:CLK 3.99086e-06
14 *169:15 *317:5 8.90311e-06
15 *169:15 *669:59 5.94667e-05
16 *169:15 *669:62 6.50586e-05
17 *169:15 *669:88 1.04965e-05
18 *169:17 *2942:A0 0.000891233
19 *169:17 *2942:A1 0.000214705
20 *169:17 *2942:S 0.00011818
21 *169:17 *3367:CLK 0.00017923
22 *169:17 *3421:CLK 0.00076936
23 *169:17 *3438:D 2.04854e-05
24 *169:17 *642:13 0.000161369
25 *169:17 *642:27 1.80122e-05
26 *3367:D *169:15 0.000788317
*RES
1 *3182:X *169:15 41.5538
2 *169:15 *169:17 44.3502
3 *169:17 *3421:D 9.24915
*END
*D_NET *170 0.00926217
*CONN
*I *3422:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3187:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *3422:D 0.000883101
2 *3187:X 0.00123335
3 *170:11 0.00211645
4 *3422:D *3422:CLK 0.00139274
5 *3422:D *3439:D 2.13584e-05
6 *3422:D *641:11 0.000844452
7 *3422:D *723:8 4.33819e-05
8 *170:11 *2966:A1 3.95644e-05
9 *170:11 *2966:A2 0.000205485
10 *170:11 *2968:A1 1.94236e-05
11 *170:11 *2968:A2 0.000268412
12 *170:11 *3264:A0 0.00039496
13 *170:11 *3264:A1 0.000411971
14 *170:11 *3265:B 5.20546e-06
15 *170:11 *3368:CLK 9.9028e-05
16 *170:11 *319:48 0.000160617
17 *170:11 *723:8 0.0002817
18 *3368:D *170:11 0.000840957
*RES
1 *3187:X *170:11 46.504
2 *170:11 *3422:D 39.8006
*END
*D_NET *171 0.00325054
*CONN
*I *3423:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3191:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *3423:D 8.15988e-05
2 *3191:X 0.00110403
3 *171:6 0.00118563
4 *3423:D *3423:CLK 0.000276239
5 *171:6 *3207:B 0
6 *171:6 *3208:A1 0
7 *171:6 *3208:A2 0
8 *171:6 *3426:D 0
9 *171:6 *3505:A 0
10 *171:6 *3532:A 0.000293521
11 *171:6 *644:13 0.000102707
12 *171:6 *644:27 0.000143639
13 *171:6 *644:37 0
14 *171:6 *644:48 0
15 *171:6 *672:12 6.31665e-05
*RES
1 *3191:X *171:6 46.3098
2 *171:6 *3423:D 16.7452
*END
*D_NET *172 0.0139565
*CONN
*I *3424:D I *D sky130_fd_sc_hd__dfxtp_1
*I *861:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3195:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *3424:D 0.00026779
2 *861:DIODE 3.79977e-05
3 *3195:X 0.00286712
4 *172:12 0.00178088
5 *172:11 0.00434221
6 *3424:D *3424:CLK 8.58348e-05
7 *3424:D *3454:CLK 0
8 *3424:D *3533:A 6.02254e-05
9 *3424:D *666:6 0
10 *172:11 *3195:A2 2.1203e-06
11 *172:11 *3290:A 0.000542494
12 *172:11 *397:12 0
13 *172:11 *493:21 0
14 *172:11 *493:52 0
15 *172:11 *493:67 0.000307693
16 *172:11 *495:13 1.81863e-06
17 *172:11 *542:15 0.000513291
18 *172:11 *670:18 0.000415267
19 *172:11 *670:93 0.000271544
20 *172:11 *670:99 0.0016588
21 *172:12 *3295:B1 0.000390581
22 *172:12 *3299:A1 0.000149644
23 *172:12 *3299:B1_N 0
24 *172:12 *3300:B1 0
25 *172:12 *3331:A 0
26 *172:12 *3444:D 0.000151726
27 *172:12 *3445:CLK 7.06329e-05
28 *172:12 *3446:D 0
29 *172:12 *3454:CLK 0
30 *172:12 *3454:D 0
31 *172:12 *413:24 0
32 *172:12 *636:63 3.88059e-05
33 *172:12 *666:6 0
34 *172:12 *670:10 0
35 *172:12 *670:18 0
*RES
1 *3195:X *172:11 29.9411
2 *172:11 *172:12 39.8276
3 *172:12 *861:DIODE 14.4725
4 *172:12 *3424:D 21.7808
*END
*D_NET *173 0.000419656
*CONN
*I *3425:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3202:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *3425:D 0.000134229
2 *3202:X 0.000134229
3 *3425:D *3201:A 6.31809e-05
4 *3425:D *3425:CLK 3.18826e-06
5 *3425:D *456:77 2.65831e-05
6 *3425:D *504:42 2.13584e-05
7 *3425:D *704:18 3.68867e-05
*RES
1 *3202:X *3425:D 31.1072
*END
*D_NET *174 0.0012174
*CONN
*I *3426:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3208:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *3426:D 0.000443966
2 *3208:X 0.000443966
3 *3426:D *3207:B 2.97007e-05
4 *3426:D *3208:A2 5.04829e-06
5 *3426:D *3208:B1 7.50872e-05
6 *3426:D *3208:C1 3.70155e-05
7 *3426:D *3426:CLK 6.64392e-05
8 *3426:D *206:13 0.000116174
9 *171:6 *3426:D 0
*RES
1 *3208:X *3426:D 37.2398
*END
*D_NET *175 0.000495445
*CONN
*I *3427:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3216:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3427:D 0.000158079
2 *3216:X 0.000158079
3 *167:8 *3427:D 0.000179286
*RES
1 *3216:X *3427:D 31.9083
*END
*D_NET *176 0.000462224
*CONN
*I *3428:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3222:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *3428:D 0.000142877
2 *3222:X 0.000142877
3 *3428:D *847:DIODE 0
4 *3428:D *3428:CLK 0.000176469
5 *3428:D *706:8 0
*RES
1 *3222:X *3428:D 31.2171
*END
*D_NET *177 0.000374021
*CONN
*I *3429:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3226:Y O *D sky130_fd_sc_hd__a211oi_1
*CAP
1 *3429:D 0.000105648
2 *3226:Y 0.000105648
3 *3429:D *3081:A 0
4 *3429:D *3226:C1 0.000140288
5 *3429:D *3425:CLK 3.67528e-06
6 *3429:D *635:31 1.87611e-05
*RES
1 *3226:Y *3429:D 30.3838
*END
*D_NET *178 0.000341591
*CONN
*I *3430:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3231:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3430:D 0.000120221
2 *3231:X 0.000120221
3 *3430:D *3231:A 0.000101148
*RES
1 *3231:X *3430:D 30.6625
*END
*D_NET *179 0.000512246
*CONN
*I *3431:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3236:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3431:D 0.000140771
2 *3236:X 0.000140771
3 *3431:D *3236:A 0.000217951
4 *3431:D *3431:CLK 1.27531e-05
*RES
1 *3236:X *3431:D 22.3865
*END
*D_NET *180 0.00108869
*CONN
*I *3432:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3241:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3432:D 0.000495266
2 *3241:X 0.000495266
3 *3432:D *3241:A 7.48633e-05
4 *3432:D *710:8 2.3299e-05
*RES
1 *3241:X *3432:D 28.3425
*END
*D_NET *181 0.00108936
*CONN
*I *3433:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3244:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *3433:D 0.000328936
2 *3244:X 0.000328936
3 *3433:D *3244:A2 0.00014879
4 *3433:D *637:31 0.000282698
*RES
1 *3244:X *3433:D 27.0404
*END
*D_NET *182 0.000417995
*CONN
*I *3434:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3251:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3434:D 0.000162442
2 *3251:X 0.000162442
3 *3434:D *2925:A1 2.16355e-05
4 *3434:D *2926:A 0
5 *3434:D *731:8 7.14746e-05
*RES
1 *3251:X *3434:D 31.1072
*END
*D_NET *183 0.000529299
*CONN
*I *3435:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3254:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3435:D 0.000195897
2 *3254:X 0.000195897
3 *3435:D *3254:A 1.03403e-05
4 *3435:D *3435:CLK 0.000127164
5 *3435:D *457:75 0
*RES
1 *3254:X *3435:D 32.0416
*END
*D_NET *184 0.00127875
*CONN
*I *3436:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3257:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3436:D 0.000547194
2 *3257:X 0.000547194
3 *3436:D *3256:B 4.87301e-05
4 *3436:D *3257:A 7.90099e-05
5 *3436:D *3435:CLK 0
6 *3436:D *638:7 5.66169e-05
*RES
1 *3257:X *3436:D 38.7295
*END
*D_NET *185 0.000252727
*CONN
*I *3437:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3260:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3437:D 0.000106819
2 *3260:X 0.000106819
3 *3437:D *3260:A 0
4 *3437:D *3437:CLK 3.90891e-05
*RES
1 *3260:X *3437:D 30.3838
*END
*D_NET *186 0.000465302
*CONN
*I *3438:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3263:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3438:D 0.000219608
2 *3263:X 0.000219608
3 *3438:D *3263:A 2.41274e-06
4 *3438:D *3437:CLK 0
5 *3438:D *642:13 3.18826e-06
6 *169:17 *3438:D 2.04854e-05
*RES
1 *3263:X *3438:D 31.6618
*END
*D_NET *187 0.00021527
*CONN
*I *3439:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3266:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3439:D 6.05167e-05
2 *3266:X 6.05167e-05
3 *3439:D *3266:A 4.27148e-05
4 *3439:D *641:10 3.01634e-05
5 *3422:D *3439:D 2.13584e-05
*RES
1 *3266:X *3439:D 29.5533
*END
*D_NET *188 0.000401733
*CONN
*I *3440:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3269:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3440:D 0.000169848
2 *3269:X 0.000169848
3 *3440:D *3248:A 3.25882e-05
4 *3440:D *3264:A0 2.62612e-05
5 *3440:D *324:7 3.18826e-06
6 *3440:D *622:41 0
7 *3440:D *725:15 0
*RES
1 *3269:X *3440:D 31.1072
*END
*D_NET *189 0.000520138
*CONN
*I *3441:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3272:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3441:D 0.000160048
2 *3272:X 0.000160048
3 *3441:D *2973:A 0.000200042
*RES
1 *3272:X *3441:D 22.9411
*END
*D_NET *190 0.000329145
*CONN
*I *3442:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3276:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *3442:D 7.73115e-05
2 *3276:X 7.73115e-05
3 *3442:D *3490:A 9.12416e-06
4 *3442:D *3496:A 1.87611e-05
5 *3442:D *387:45 0.000115772
6 *3442:D *522:59 2.71902e-05
7 *3442:D *522:69 3.67528e-06
*RES
1 *3276:X *3442:D 29.9686
*END
*D_NET *191 0.000902622
*CONN
*I *3443:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3283:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *3443:D 0.000191147
2 *3283:X 0.000191147
3 *3443:D *3279:A2 0.000213848
4 *3443:D *560:41 0.00015324
5 *3443:D *585:14 0.00015324
*RES
1 *3283:X *3443:D 35.3753
*END
*D_NET *192 0.000718772
*CONN
*I *3444:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3289:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *3444:D 0.000156395
2 *3289:Y 0.000156395
3 *3444:D *3444:CLK 6.50727e-05
4 *3444:D *3445:CLK 2.24484e-05
5 *3444:D *636:63 0.00012568
6 *3444:D *735:7 4.10553e-05
7 *172:12 *3444:D 0.000151726
*RES
1 *3289:Y *3444:D 32.982
*END
*D_NET *193 0.000529964
*CONN
*I *3445:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3295:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *3445:D 0.000138853
2 *3295:Y 0.000138853
3 *3445:D *3295:B1 1.41976e-05
4 *3445:D *3445:CLK 6.50727e-05
5 *3445:D *576:17 1.64789e-05
6 *3445:D *736:11 0.000156508
*RES
1 *3295:Y *3445:D 23.2004
*END
*D_NET *194 0.000343451
*CONN
*I *3446:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3300:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *3446:D 9.6067e-05
2 *3300:X 9.6067e-05
3 *3446:D *666:6 0.000148129
4 *3446:D *737:9 3.18826e-06
5 *172:12 *3446:D 0
*RES
1 *3300:X *3446:D 30.3838
*END
*D_NET *195 0.00143402
*CONN
*I *3447:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3304:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *3447:D 0.000357844
2 *3304:Y 0.000357844
3 *3447:D *3304:A1 0.000127194
4 *3447:D *3304:A2 0
5 *3447:D *3447:CLK 0.000280451
6 *3447:D *398:29 0.000277502
7 *3447:D *602:13 3.31882e-05
*RES
1 *3304:Y *3447:D 38.8394
*END
*D_NET *196 0.00059202
*CONN
*I *3448:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3310:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *3448:D 0.00014976
2 *3310:X 0.00014976
3 *3448:D *3247:B 2.352e-05
4 *3448:D *3310:A1 7.50872e-05
5 *3448:D *3310:B1 0.000115934
6 *3448:D *399:17 3.20069e-06
7 *3448:D *399:24 6.80864e-05
8 *3448:D *671:20 6.67095e-06
*RES
1 *3310:X *3448:D 31.6618
*END
*D_NET *197 0.000529508
*CONN
*I *3449:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3315:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *3449:D 0.000264754
2 *3315:Y 0.000264754
*RES
1 *3315:Y *3449:D 24.6532
*END
*D_NET *198 0.000552137
*CONN
*I *3450:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3319:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *3450:D 8.5789e-05
2 *3319:X 8.5789e-05
3 *3450:D *3300:B1 0.000275256
4 *3450:D *3450:CLK 6.50727e-05
5 *3450:D *636:15 4.02303e-05
*RES
1 *3319:X *3450:D 22.3624
*END
*D_NET *199 0.000932441
*CONN
*I *3451:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3323:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *3451:D 0.000325004
2 *3323:Y 0.000325004
3 *3451:D *3312:A 6.50586e-05
4 *3451:D *3312:B 3.83336e-05
5 *3451:D *3451:CLK 6.50727e-05
6 *3451:D *439:60 0.000113968
*RES
1 *3323:Y *3451:D 27.0826
*END
*D_NET *200 0.000365792
*CONN
*I *3452:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3328:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3452:D 0.000182896
2 *3328:X 0.000182896
3 *3452:D *637:34 0
4 *3452:D *637:45 0
5 *3452:D *671:8 0
*RES
1 *3328:X *3452:D 31.1072
*END
*D_NET *201 0.000396302
*CONN
*I *3453:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3333:Y O *D sky130_fd_sc_hd__o31ai_1
*CAP
1 *3453:D 0.000177924
2 *3333:Y 0.000177924
3 *3453:D *3332:A3 0
4 *3453:D *400:7 4.04542e-05
5 *3453:D *637:21 0
*RES
1 *3333:Y *3453:D 31.7717
*END
*D_NET *202 0.00120433
*CONN
*I *3454:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3335:X O *D sky130_fd_sc_hd__a22o_1
*CAP
1 *3454:D 0.000255064
2 *3335:X 0.000255064
3 *3454:D *3454:CLK 0.000511333
4 *3454:D *670:10 0.000182869
5 *172:12 *3454:D 0
*RES
1 *3335:X *3454:D 36.3451
*END
*D_NET *203 0.000494572
*CONN
*I *3455:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3337:X O *D sky130_fd_sc_hd__a2bb2o_1
*CAP
1 *3455:D 0.000107972
2 *3337:X 0.000107972
3 *3455:D *3331:A 1.87611e-05
4 *3455:D *3332:B1 6.92705e-05
5 *3455:D *3337:B1 4.15008e-05
6 *3455:D *398:64 0.000149097
*RES
1 *3337:X *3455:D 31.1072
*END
*D_NET *204 0.000743576
*CONN
*I *3456:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3340:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *3456:D 0.000178752
2 *3340:X 0.000178752
3 *3456:D *2821:A2 8.62625e-06
4 *3456:D *522:19 0.000161167
5 *3456:D *618:36 5.99802e-05
6 *3456:D *621:15 6.67095e-06
7 *3456:D *666:89 0.000149628
*RES
1 *3340:X *3456:D 32.2164
*END
*D_NET *205 0.000326829
*CONN
*I *3457:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3342:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *3457:D 0.000130304
2 *3342:X 0.000130304
3 *3457:D *3192:B2 1.87611e-05
4 *3457:D *3342:B1 3.42931e-05
5 *3457:D *668:35 0
6 *3457:D *695:32 1.31657e-05
*RES
1 *3342:X *3457:D 30.2767
*END
*D_NET *206 0.0050613
*CONN
*I *3458:D I *D sky130_fd_sc_hd__dfxtp_1
*I *3343:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *3458:D 4.06814e-05
2 *3343:Y 0.00141446
3 *206:13 0.00145514
4 *3458:D *644:13 0.000121788
5 *206:13 *3080:A 0.0004138
6 *206:13 *3081:A 0.000377259
7 *206:13 *3083:B 6.78596e-05
8 *206:13 *3083:C 0.000634119
9 *206:13 *3426:CLK 0.000171899
10 *206:13 *644:13 1.67988e-05
11 *206:13 *714:97 0.000231317
12 *3426:D *206:13 0.000116174
*RES
1 *3343:Y *206:13 49.7352
2 *206:13 *3458:D 10.9853
*END
*D_NET *207 0.000619177
*CONN
*I *2822:C1 I *D sky130_fd_sc_hd__a221o_1
*I *2821:X O *D sky130_fd_sc_hd__a22o_1
*CAP
1 *2822:C1 0.00022612
2 *2821:X 0.00022612
3 *2822:C1 *2822:A1 0
4 *2822:C1 *2822:B1 0
5 *2822:C1 *351:25 0
6 *2822:C1 *618:36 0
7 *3381:D *2822:C1 0.000166937
*RES
1 *2821:X *2822:C1 31.5781
*END
*D_NET *208 0.00120223
*CONN
*I *2823:C1 I *D sky130_fd_sc_hd__a221o_1
*I *2822:X O *D sky130_fd_sc_hd__a221o_1
*CAP
1 *2823:C1 0.00039306
2 *2822:X 0.00039306
3 *2823:C1 *819:DIODE 0
4 *2823:C1 *2821:A1 6.50586e-05
5 *2823:C1 *2821:B1 0
6 *2823:C1 *2823:B1 9.35753e-06
7 *2823:C1 *3017:A 0
8 *2823:C1 *618:36 0.000221106
9 *2823:C1 *658:6 0.000120584
*RES
1 *2822:X *2823:C1 37.113
*END
*D_NET *209 0.00133677
*CONN
*I *2824:C1 I *D sky130_fd_sc_hd__a221o_1
*I *2823:X O *D sky130_fd_sc_hd__a221o_1
*CAP
1 *2824:C1 0.000359387
2 *2823:X 0.000359387
3 *2824:C1 *2824:A1 0
4 *2824:C1 *2824:A2 0
5 *2824:C1 *2824:B2 0.000255589
6 *2824:C1 *3013:B 7.14746e-05
7 *2824:C1 *3016:A 2.15348e-05
8 *2824:C1 *3171:A1 1.19971e-05
9 *2824:C1 *343:38 0.000216458
10 *2824:C1 *351:38 4.09467e-05
*RES
1 *2823:X *2824:C1 36.0094
*END
*D_NET *210 0.00663581
*CONN
*I *3126:C I *D sky130_fd_sc_hd__or4_1
*I *2826:B I *D sky130_fd_sc_hd__nand2_1
*I *2829:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *2825:Y O *D sky130_fd_sc_hd__nor4_1
*CAP
1 *3126:C 0.000178343
2 *2826:B 0.000335957
3 *2829:A 0.000317582
4 *2825:Y 0.000300545
5 *210:11 0.0013396
6 *210:8 0.00116495
7 *2826:B *2826:A 0
8 *2826:B *2827:A 0.000175485
9 *2826:B *2834:A 5.30145e-05
10 *2826:B *2868:B 3.20069e-06
11 *2826:B *2873:B1 1.94022e-05
12 *2826:B *2883:B 0.000175008
13 *2826:B *3345:CLK 7.12884e-05
14 *2826:B *214:22 5.8067e-05
15 *2826:B *248:29 0.000251043
16 *2826:B *248:40 3.91944e-05
17 *2826:B *761:8 4.3116e-06
18 *2826:B *761:19 0.000171273
19 *2826:B *761:46 3.80436e-07
20 *2829:A *2866:A 6.50586e-05
21 *2829:A *2876:A2 2.81088e-05
22 *2829:A *3116:A1 6.50586e-05
23 *2829:A *3125:A1 1.0064e-05
24 *2829:A *242:32 4.77858e-05
25 *2829:A *248:29 8.45686e-05
26 *2829:A *250:17 7.12632e-06
27 *2829:A *250:21 9.40407e-06
28 *2829:A *259:29 0.000213739
29 *3126:C *2833:A 2.04806e-05
30 *3126:C *221:47 0.000411227
31 *3126:C *254:100 4.77922e-05
32 *3126:C *770:8 5.64761e-05
33 *3126:C *770:17 5.22071e-05
34 *210:8 *2825:D 1.65872e-05
35 *210:8 *2887:A1 7.14746e-05
36 *210:8 *2887:A2 1.2693e-05
37 *210:8 *3494:A 9.24241e-05
38 *210:8 *221:47 6.83938e-05
39 *210:8 *254:100 4.5539e-05
40 *210:8 *628:7 0.000154145
41 *210:8 *633:26 0
42 *210:11 *3411:CLK 7.57519e-05
43 *210:11 *259:29 0.000307023
44 *3347:D *210:8 9.98029e-06
45 *3411:D *210:11 4.05943e-06
*RES
1 *2825:Y *210:8 20.8751
2 *210:8 *210:11 15.2063
3 *210:11 *2829:A 25.6833
4 *210:11 *2826:B 29.3883
5 *210:8 *3126:C 21.3947
*END
*D_NET *211 0.00538564
*CONN
*I *2827:A I *D sky130_fd_sc_hd__clkbuf_2
*I *2859:S I *D sky130_fd_sc_hd__mux2_1
*I *2842:A I *D sky130_fd_sc_hd__clkbuf_2
*I *2826:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *2827:A 0.000658625
2 *2859:S 3.98449e-05
3 *2842:A 0.00028545
4 *2826:Y 0
5 *211:8 0.000740449
6 *211:4 0.00107378
7 *2827:A *2868:B 0.000111722
8 *2827:A *2868:C_N 0.000213725
9 *2827:A *221:32 0.000364383
10 *2827:A *221:47 0.000353522
11 *2827:A *248:40 0
12 *2827:A *665:25 0
13 *2827:A *761:19 6.24655e-05
14 *2842:A *2857:A1 0.00010623
15 *2842:A *2857:S 0.00012316
16 *2842:A *2859:A1 0.000135905
17 *2842:A *2861:A 0.000357075
18 *2842:A *227:5 5.07314e-05
19 *2859:S *2859:A0 2.06837e-05
20 *2859:S *685:16 6.08467e-05
21 *211:8 *2860:A0 3.34802e-05
22 *211:8 *2861:A 0.000109859
23 *211:8 *3344:CLK 8.67988e-05
24 *211:8 *3345:CLK 1.80257e-05
25 *211:8 *761:19 7.97944e-05
26 *2826:B *2827:A 0.000175485
27 *3344:D *211:8 0.000123597
28 *3347:D *2827:A 0
*RES
1 *2826:Y *211:4 9.24915
2 *211:4 *211:8 16.7483
3 *211:8 *2842:A 24.1999
4 *211:8 *2859:S 15.0513
5 *211:4 *2827:A 37.0484
*END
*D_NET *212 0.0073268
*CONN
*I *2887:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *2868:C_N I *D sky130_fd_sc_hd__or3b_1
*I *2828:B I *D sky130_fd_sc_hd__and2_1
*I *2839:S I *D sky130_fd_sc_hd__mux2_1
*I *2862:S I *D sky130_fd_sc_hd__mux2_1
*I *2827:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *2887:A1 0.000395942
2 *2868:C_N 0.000338134
3 *2828:B 0.000125859
4 *2839:S 0.000358758
5 *2862:S 0.00019936
6 *2827:X 0
7 *212:33 0.000924782
8 *212:17 0.000657321
9 *212:8 0.000544142
10 *212:5 0.000362784
11 *2828:B *2828:A 1.61631e-05
12 *2828:B *2837:A0 0.000387915
13 *2828:B *2837:S 0.000171288
14 *2839:S *2837:A1 0.000198714
15 *2839:S *2839:A0 0
16 *2839:S *2840:A0 0
17 *2839:S *221:20 0
18 *2839:S *632:47 0
19 *2839:S *752:10 2.652e-05
20 *2862:S *3493:A 0.000111708
21 *2862:S *632:7 0.000116153
22 *2862:S *632:39 3.99701e-05
23 *2868:C_N *868:DIODE 1.43848e-05
24 *2868:C_N *2884:A2 0.000106453
25 *2868:C_N *259:11 0.000205006
26 *2887:A1 *2825:C 0.000191541
27 *2887:A1 *2883:B 1.31166e-05
28 *2887:A1 *3493:A 0.000265236
29 *2887:A1 *3494:A 0.000115269
30 *2887:A1 *633:6 3.04193e-05
31 *212:8 *3493:A 0.000341252
32 *212:8 *221:20 1.77537e-06
33 *212:8 *221:32 9.13717e-05
34 *212:17 *2837:S 0.00027329
35 *212:33 *2825:C 7.14746e-05
36 *212:33 *3070:B 0
37 *212:33 *3493:A 0.000336157
38 *212:33 *221:32 9.34396e-06
39 *2827:A *2868:C_N 0.000213725
40 *210:8 *2887:A1 7.14746e-05
*RES
1 *2827:X *212:5 13.7491
2 *212:5 *212:8 10.4845
3 *212:8 *2862:S 14.964
4 *212:8 *212:17 5.18434
5 *212:17 *2839:S 28.3862
6 *212:17 *2828:B 14.964
7 *212:5 *212:33 6.39977
8 *212:33 *2868:C_N 22.2852
9 *212:33 *2887:A1 23.8552
*END
*D_NET *213 0.000631318
*CONN
*I *2837:A0 I *D sky130_fd_sc_hd__mux2_1
*I *2828:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *2837:A0 0.000121701
2 *2828:X 0.000121701
3 *2828:B *2837:A0 0.000387915
*RES
1 *2828:X *2837:A0 22.5493
*END
*D_NET *214 0.00477837
*CONN
*I *3101:C I *D sky130_fd_sc_hd__or3_1
*I *2875:A I *D sky130_fd_sc_hd__clkbuf_2
*I *2877:B I *D sky130_fd_sc_hd__or4b_1
*I *2834:A I *D sky130_fd_sc_hd__nor2_1
*I *2866:A I *D sky130_fd_sc_hd__clkbuf_2
*I *2829:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *3101:C 0.000195522
2 *2875:A 0.000191637
3 *2877:B 0.00041517
4 *2834:A 7.78403e-05
5 *2866:A 0.000106422
6 *2829:X 0
7 *214:22 0.000613829
8 *214:20 0.000424579
9 *214:19 0.000332563
10 *214:4 0.000131341
11 *2834:A *2876:C1 1.03434e-05
12 *2834:A *2883:B 7.57831e-05
13 *2834:A *3345:CLK 5.30145e-05
14 *2834:A *633:31 2.16355e-05
15 *2866:A *3101:B 5.0715e-05
16 *2866:A *3116:A1 0.000150027
17 *2866:A *3120:A 0.000239702
18 *2866:A *242:11 0.000118166
19 *2875:A *2867:A 0.000108441
20 *2875:A *242:32 4.31539e-05
21 *2875:A *259:29 2.7585e-05
22 *2877:B *2876:B1 9.80242e-07
23 *2877:B *2876:C1 0.00014144
24 *2877:B *2878:B 0.000224395
25 *3101:C *3125:A2 0.000124942
26 *3101:C *3412:CLK 1.55462e-05
27 *3101:C *248:29 3.7354e-05
28 *3101:C *457:31 0.000174451
29 *214:19 *3116:A1 0.000167076
30 *214:19 *3120:A 7.40496e-05
31 *214:20 *3412:CLK 3.60268e-05
32 *214:20 *248:29 2.7517e-05
33 *214:20 *457:31 4.42033e-05
34 *214:22 *3345:CLK 7.09666e-06
35 *214:22 *3412:CLK 7.77309e-06
36 *214:22 *248:29 1.83828e-05
37 *214:22 *633:53 0.000113527
38 *2826:B *2834:A 5.30145e-05
39 *2826:B *214:22 5.8067e-05
40 *2829:A *2866:A 6.50586e-05
*RES
1 *2829:X *214:4 9.24915
2 *214:4 *2866:A 15.181
3 *214:4 *214:19 6.3326
4 *214:19 *214:20 2.6625
5 *214:20 *214:22 3.07775
6 *214:22 *2834:A 16.6074
7 *214:22 *2877:B 20.5732
8 *214:20 *2875:A 18.3548
9 *214:19 *3101:C 19.49
*END
*D_NET *215 0.00195469
*CONN
*I *2833:B I *D sky130_fd_sc_hd__or4_2
*I *3143:A1 I *D sky130_fd_sc_hd__o211a_1
*I *2830:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *2833:B 0.00051541
2 *3143:A1 1.94074e-06
3 *2830:X 5.33371e-05
4 *215:5 0.000570688
5 *2833:B *2833:A 2.41274e-06
6 *2833:B *3145:A 9.40969e-05
7 *2833:B *3145:C 0.000271058
8 *2833:B *254:92 1.56419e-05
9 *2833:B *774:17 8.92922e-05
10 *3143:A1 *774:17 2.48219e-05
11 *215:5 *774:17 0.000283432
12 *3415:D *215:5 3.25584e-05
*RES
1 *2830:X *215:5 12.3778
2 *215:5 *3143:A1 9.50836
3 *215:5 *2833:B 22.4451
*END
*D_NET *216 0.0046232
*CONN
*I *3116:A2 I *D sky130_fd_sc_hd__o21ai_1
*I *3117:B I *D sky130_fd_sc_hd__or2_1
*I *2833:C I *D sky130_fd_sc_hd__or4_2
*I *3126:D I *D sky130_fd_sc_hd__or4_1
*I *2831:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *3116:A2 7.33664e-05
2 *3117:B 0
3 *2833:C 0.000228809
4 *3126:D 2.85959e-05
5 *2831:X 0.000562242
6 *216:24 0.000368061
7 *216:17 0.000333969
8 *216:9 0.000858921
9 *2833:C *2833:A 2.65667e-05
10 *2833:C *3122:B1 0
11 *2833:C *3126:A 0
12 *2833:C *3128:B2 6.23875e-05
13 *2833:C *221:47 5.36911e-05
14 *2833:C *254:92 0.000307037
15 *3116:A2 *3120:B 9.97706e-05
16 *3126:D *3117:A 7.92757e-06
17 *3126:D *3122:A2 6.08467e-05
18 *3126:D *3126:A 0.000113968
19 *216:9 *3101:B 7.50872e-05
20 *216:9 *3116:A1 0.000271241
21 *216:9 *3120:B 6.50727e-05
22 *216:9 *242:11 2.65667e-05
23 *216:9 *243:36 3.00073e-05
24 *216:17 *3117:A 2.142e-05
25 *216:17 *3120:B 0.000123582
26 *216:17 *3412:CLK 2.2816e-05
27 *216:17 *218:12 0.000199097
28 *216:24 *3117:A 0.00039542
29 *216:24 *3122:A1 9.46346e-05
30 *216:24 *3122:A2 9.46343e-05
31 *216:24 *3126:A 9.68716e-06
32 *216:24 *221:47 7.77309e-06
*RES
1 *2831:X *216:9 28.1537
2 *216:9 *216:17 15.3142
3 *216:17 *216:24 10.1312
4 *216:24 *3126:D 15.0271
5 *216:24 *2833:C 20.3233
6 *216:17 *3117:B 9.24915
7 *216:9 *3116:A2 10.5271
*END
*D_NET *217 0.000350397
*CONN
*I *2833:D I *D sky130_fd_sc_hd__or4_2
*I *2832:X O *D sky130_fd_sc_hd__or3_1
*CAP
1 *2833:D 7.73384e-05
2 *2832:X 7.73384e-05
3 *2833:D *3128:B2 5.6494e-05
4 *2833:D *254:100 7.12662e-05
5 *2833:D *254:115 6.79599e-05
*RES
1 *2832:X *2833:D 30.1608
*END
*D_NET *218 0.00802571
*CONN
*I *3132:B I *D sky130_fd_sc_hd__or3b_1
*I *2867:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *2834:B I *D sky130_fd_sc_hd__nor2_1
*I *2881:C I *D sky130_fd_sc_hd__or3_1
*I *2833:X O *D sky130_fd_sc_hd__or4_2
*CAP
1 *3132:B 0.00064985
2 *2867:A 0.000189592
3 *2834:B 3.04989e-05
4 *2881:C 0.000112207
5 *2833:X 0.000341564
6 *218:14 0.000306629
7 *218:12 0.000742955
8 *218:11 0.00138085
9 *2834:B *2883:B 0.000111708
10 *2834:B *219:21 5.04829e-06
11 *2834:B *259:29 6.08467e-05
12 *2867:A *3411:CLK 0.000171273
13 *2867:A *243:12 0.000121681
14 *2867:A *259:29 0.000132349
15 *2881:C *867:DIODE 6.64609e-05
16 *2881:C *868:DIODE 5.05252e-05
17 *2881:C *2825:A 6.92705e-05
18 *2881:C *219:21 4.20662e-05
19 *2881:C *221:47 1.69932e-05
20 *2881:C *259:29 2.95757e-05
21 *2881:C *628:7 0.000113968
22 *2881:C *761:46 6.50727e-05
23 *3132:B *3122:B1 0.000633693
24 *3132:B *3128:A2 1.05746e-05
25 *3132:B *3135:A1 6.31809e-05
26 *3132:B *3135:A2 3.21432e-05
27 *3132:B *3412:CLK 0
28 *3132:B *439:14 0.000243701
29 *3132:B *451:9 2.65667e-05
30 *218:11 *3128:A1 0.000218393
31 *218:11 *3139:A1 0.000147034
32 *218:11 *258:26 7.13655e-06
33 *218:11 *674:11 0.00111184
34 *218:12 *3120:B 5.94319e-06
35 *218:12 *3122:A1 4.90829e-05
36 *218:12 *3122:B1 0
37 *218:12 *3412:CLK 0
38 *218:14 *221:47 3.25975e-05
39 *218:14 *243:12 4.69495e-06
40 *218:14 *259:29 0.000149151
41 *218:14 *633:53 0
42 *2875:A *2867:A 0.000108441
43 *3411:D *218:12 0
44 *3412:D *3132:B 0.000171442
45 *216:17 *218:12 0.000199097
*RES
1 *2833:X *218:11 22.3685
2 *218:11 *218:12 8.2684
3 *218:12 *218:14 4.32351
4 *218:14 *2881:C 18.1049
5 *218:14 *2834:B 15.0271
6 *218:12 *2867:A 20.4044
7 *218:11 *3132:B 34.0505
*END
*D_NET *219 0.00562903
*CONN
*I *2835:A I *D sky130_fd_sc_hd__clkbuf_2
*I *3069:S I *D sky130_fd_sc_hd__mux2_1
*I *2876:B1 I *D sky130_fd_sc_hd__a221o_1
*I *2834:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *2835:A 0.000310611
2 *3069:S 2.06324e-05
3 *2876:B1 0.000229352
4 *2834:Y 0
5 *219:21 0.00133642
6 *219:4 0.00123453
7 *2835:A *3071:A 0.00033061
8 *2835:A *3396:CLK 0.000120742
9 *2835:A *220:13 0
10 *2876:B1 *2876:B2 0.000117204
11 *2876:B1 *2876:C1 3.81056e-05
12 *2876:B1 *2883:B 0.000158371
13 *219:21 *868:DIODE 3.3171e-06
14 *219:21 *2863:A 5.0715e-05
15 *219:21 *2868:B 3.54274e-05
16 *219:21 *2873:A3 5.0809e-05
17 *219:21 *2883:B 2.3835e-05
18 *219:21 *3396:CLK 0.000665391
19 *219:21 *220:14 2.68928e-05
20 *219:21 *221:32 0.000165727
21 *219:21 *248:40 0.000505615
22 *219:21 *761:8 5.47736e-05
23 *219:21 *761:46 9.94501e-05
24 *2834:B *219:21 5.04829e-06
25 *2877:B *2876:B1 9.80242e-07
26 *2881:C *219:21 4.20662e-05
27 *3396:D *2835:A 2.41274e-06
*RES
1 *2834:Y *219:4 9.24915
2 *219:4 *2876:B1 14.0477
3 *219:4 *219:21 38.3283
4 *219:21 *3069:S 9.82786
5 *219:21 *2835:A 27.7651
*END
*D_NET *220 0.00992384
*CONN
*I *3146:A1 I *D sky130_fd_sc_hd__a22o_1
*I *2868:B I *D sky130_fd_sc_hd__or3b_1
*I *2860:S I *D sky130_fd_sc_hd__mux2_1
*I *2836:A I *D sky130_fd_sc_hd__clkbuf_2
*I *2844:A I *D sky130_fd_sc_hd__clkbuf_2
*I *2835:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3146:A1 0.000659683
2 *2868:B 0.000244354
3 *2860:S 0.000115274
4 *2836:A 0.000524784
5 *2844:A 0
6 *2835:X 0.000342822
7 *220:19 0.000967569
8 *220:14 0.000648993
9 *220:13 0.00159601
10 *220:7 0.00226323
11 *2836:A *2840:A0 0.000167076
12 *2836:A *2840:S 6.83125e-05
13 *2836:A *2841:A 2.82583e-05
14 *2836:A *221:5 5.07314e-05
15 *2860:S *2860:A1 8.50305e-05
16 *2868:B *761:8 0.000118485
17 *2868:B *761:19 7.50443e-05
18 *3146:A1 *2883:C 0
19 *3146:A1 *3070:A 0
20 *3146:A1 *3148:A 0
21 *3146:A1 *3415:CLK 0.000195154
22 *3146:A1 *258:8 0
23 *3146:A1 *392:16 0
24 *3146:A1 *633:81 0.000220088
25 *220:7 *3071:A 0.000547237
26 *220:13 *3070:A 6.85333e-05
27 *220:13 *3070:B 2.85274e-05
28 *220:13 *3347:CLK 8.30204e-05
29 *220:13 *665:25 9.80242e-07
30 *220:13 *665:31 8.2739e-05
31 *220:13 *764:5 2.52287e-06
32 *220:14 *248:40 0
33 *220:19 *2857:A1 0
34 *220:19 *2859:A1 0
35 *220:19 *2860:A0 0
36 *220:19 *2860:A1 7.06329e-05
37 *220:19 *2862:A1 6.66393e-05
38 *220:19 *2863:B 7.58217e-06
39 *220:19 *227:5 7.34948e-06
40 *220:19 *248:40 0
41 *2826:B *2868:B 3.20069e-06
42 *2827:A *2868:B 0.000111722
43 *2835:A *220:13 0
44 *3344:D *2868:B 0
45 *3346:D *3146:A1 0.000368767
46 *3347:D *220:13 4.11647e-05
47 *3416:D *3146:A1 0
48 *219:21 *2868:B 3.54274e-05
49 *219:21 *220:14 2.68928e-05
*RES
1 *2835:X *220:7 20.0186
2 *220:7 *220:13 29.1634
3 *220:13 *220:14 2.24725
4 *220:14 *220:19 15.4998
5 *220:19 *2844:A 9.24915
6 *220:19 *2836:A 21.6192
7 *220:14 *2860:S 17.2456
8 *220:13 *2868:B 21.6414
9 *220:7 *3146:A1 33.0217
*END
*D_NET *221 0.0119299
*CONN
*I *3139:A1 I *D sky130_fd_sc_hd__a221oi_1
*I *3128:A1 I *D sky130_fd_sc_hd__a221oi_1
*I *2863:A I *D sky130_fd_sc_hd__or2_1
*I *2837:S I *D sky130_fd_sc_hd__mux2_1
*I *2840:S I *D sky130_fd_sc_hd__mux2_1
*I *2836:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3139:A1 0.000488931
2 *3128:A1 0.000545737
3 *2863:A 3.20994e-05
4 *2837:S 0.000124229
5 *2840:S 0.000205578
6 *2836:X 1.36321e-05
7 *221:47 0.00223178
8 *221:32 0.00161088
9 *221:20 0.000686672
10 *221:5 0.000399987
11 *2840:S *2840:A0 0.000108441
12 *2840:S *2841:A 6.92705e-05
13 *2863:A *2864:A 2.65831e-05
14 *3128:A1 *3128:A2 4.11147e-05
15 *3128:A1 *3412:CLK 4.01315e-05
16 *3128:A1 *674:11 5.9311e-05
17 *3128:A1 *674:13 6.25838e-06
18 *3139:A1 *3139:B1 3.75603e-05
19 *3139:A1 *3145:C 0.0004111
20 *3139:A1 *258:26 0.000526055
21 *3139:A1 *445:16 0.000111358
22 *3139:A1 *636:27 0.000115615
23 *3139:A1 *772:7 5.04829e-06
24 *221:5 *2841:A 0.000106215
25 *221:20 *2840:A0 1.09738e-05
26 *221:20 *632:40 0
27 *221:32 *2838:A 0.000132367
28 *221:32 *3396:CLK 0.000260374
29 *221:47 *867:DIODE 7.50872e-05
30 *221:47 *868:DIODE 0.000186445
31 *221:47 *2833:A 2.72214e-05
32 *221:47 *2882:B 6.64609e-05
33 *221:47 *2884:A2 9.60216e-05
34 *221:47 *3122:A2 0.000144546
35 *221:47 *3128:B2 6.16319e-05
36 *221:47 *248:40 0.000128129
37 *221:47 *254:100 0
38 *221:47 *259:11 0
39 *221:47 *633:31 0.000131876
40 *2827:A *221:32 0.000364383
41 *2827:A *221:47 0.000353522
42 *2828:B *2837:S 0.000171288
43 *2833:C *221:47 5.36911e-05
44 *2836:A *2840:S 6.83125e-05
45 *2836:A *221:5 5.07314e-05
46 *2839:S *221:20 0
47 *2881:C *221:47 1.69932e-05
48 *3126:C *221:47 0.000411227
49 *3347:D *221:47 0
50 *3411:D *221:47 4.85847e-05
51 *210:8 *221:47 6.83938e-05
52 *212:8 *221:20 1.77537e-06
53 *212:8 *221:32 9.13717e-05
54 *212:17 *2837:S 0.00027329
55 *212:33 *221:32 9.34396e-06
56 *216:24 *221:47 7.77309e-06
57 *218:11 *3128:A1 0.000218393
58 *218:11 *3139:A1 0.000147034
59 *218:14 *221:47 3.25975e-05
60 *219:21 *2863:A 5.0715e-05
61 *219:21 *221:32 0.000165727
*RES
1 *2836:X *221:5 10.5271
2 *221:5 *2840:S 15.181
3 *221:5 *221:20 8.40826
4 *221:20 *2837:S 18.3548
5 *221:20 *221:32 18.2784
6 *221:32 *2863:A 10.5271
7 *221:32 *221:47 40.6371
8 *221:47 *3128:A1 19.1855
9 *221:47 *3139:A1 30.7379
*END
*D_NET *222 0.000543274
*CONN
*I *2838:A I *D sky130_fd_sc_hd__clkbuf_1
*I *2837:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *2838:A 0.000182367
2 *2837:X 0.000182367
3 *3397:D *2838:A 4.61732e-05
4 *221:32 *2838:A 0.000132367
*RES
1 *2837:X *2838:A 33.0676
*END
*D_NET *223 0.000901188
*CONN
*I *2840:A0 I *D sky130_fd_sc_hd__mux2_1
*I *2839:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *2840:A0 0.000262202
2 *2839:X 0.000262202
3 *2840:A0 *632:40 5.90768e-05
4 *2840:A0 *632:47 3.1218e-05
5 *2840:A0 *752:10 0
6 *2836:A *2840:A0 0.000167076
7 *2839:S *2840:A0 0
8 *2840:S *2840:A0 0.000108441
9 *221:20 *2840:A0 1.09738e-05
*RES
1 *2839:X *2840:A0 35.3154
*END
*D_NET *224 0.0012533
*CONN
*I *2841:A I *D sky130_fd_sc_hd__clkbuf_1
*I *2840:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *2841:A 0.000524778
2 *2840:X 0.000524778
3 *2841:A *2837:A1 0
4 *2836:A *2841:A 2.82583e-05
5 *2840:S *2841:A 6.92705e-05
6 *221:5 *2841:A 0.000106215
*RES
1 *2840:X *2841:A 39.6185
*END
*D_NET *225 0.00509431
*CONN
*I *2843:S I *D sky130_fd_sc_hd__mux2_1
*I *2850:S I *D sky130_fd_sc_hd__mux2_1
*I *2856:S I *D sky130_fd_sc_hd__mux2_1
*I *2853:S I *D sky130_fd_sc_hd__mux2_1
*I *2847:S I *D sky130_fd_sc_hd__mux2_1
*I *2842:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *2843:S 2.97789e-05
2 *2850:S 0
3 *2856:S 0.000256381
4 *2853:S 0.000121911
5 *2847:S 0.000127002
6 *2842:X 0.000180064
7 *225:26 0.000656659
8 *225:22 0.000571977
9 *225:8 0.000497244
10 *225:6 0.000286475
11 *2843:S *2843:A1 1.43983e-05
12 *2847:S *2849:A 0
13 *2847:S *2899:A 0
14 *2847:S *227:14 3.31733e-05
15 *2847:S *227:19 0.000224783
16 *2847:S *248:40 0
17 *2853:S *2854:A1 0.000219701
18 *2853:S *645:60 0
19 *2856:S *2854:A1 0.000120052
20 *2856:S *2856:A0 1.37189e-05
21 *2856:S *2856:A1 0.000297957
22 *2856:S *2890:B 0.000269565
23 *2856:S *645:60 0
24 *2856:S *645:80 0
25 *2856:S *670:28 0
26 *225:6 *227:14 0.000329028
27 *225:6 *248:40 0
28 *225:8 *227:14 0.000144614
29 *225:8 *248:40 0
30 *225:22 *2845:A0 5.07314e-05
31 *225:22 *2905:A 0.000210109
32 *225:26 *2850:A0 6.50727e-05
33 *225:26 *2905:A 0.000373913
*RES
1 *2842:X *225:6 19.7337
2 *225:6 *225:8 2.6625
3 *225:8 *2847:S 18.0727
4 *225:8 *225:22 13.1808
5 *225:22 *225:26 14.0971
6 *225:26 *2853:S 17.6574
7 *225:26 *2856:S 23.506
8 *225:22 *2850:S 9.24915
9 *225:6 *2843:S 14.4725
*END
*D_NET *226 0.000449053
*CONN
*I *2845:A0 I *D sky130_fd_sc_hd__mux2_1
*I *2843:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *2845:A0 0.000121232
2 *2843:X 0.000121232
3 *2845:A0 *2846:A 3.34802e-05
4 *2845:A0 *2905:A 0.000122378
5 *2845:A0 *227:14 0
6 *2845:A0 *227:19 0
7 *225:22 *2845:A0 5.07314e-05
*RES
1 *2843:X *2845:A0 31.4388
*END
*D_NET *227 0.0065362
*CONN
*I *2845:S I *D sky130_fd_sc_hd__mux2_1
*I *2848:S I *D sky130_fd_sc_hd__mux2_1
*I *2851:S I *D sky130_fd_sc_hd__mux2_1
*I *2854:S I *D sky130_fd_sc_hd__mux2_1
*I *2857:S I *D sky130_fd_sc_hd__mux2_1
*I *2844:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *2845:S 0
2 *2848:S 0.000188579
3 *2851:S 0.000151536
4 *2854:S 0.00031016
5 *2857:S 0.000560423
6 *2844:X 0.000129555
7 *227:21 0.000773848
8 *227:19 0.000791646
9 *227:14 0.000588826
10 *227:5 0.000987889
11 *2848:S *2848:A0 0.000127179
12 *2848:S *2848:A1 1.2693e-05
13 *2848:S *2849:A 0
14 *2851:S *2852:A 0
15 *2851:S *756:10 0
16 *2854:S *3401:CLK 0.000111722
17 *2857:S *784:DIODE 0.000137345
18 *2857:S *2857:A1 1.41291e-05
19 *2857:S *2861:A 0.000115934
20 *2857:S *2903:A 0.000228593
21 *227:14 *2846:A 0
22 *227:14 *3399:CLK 0
23 *227:14 *248:40 0
24 *227:19 *2845:A1 0.000151726
25 *227:19 *2848:A0 0
26 *227:19 *2849:A 0
27 *227:19 *754:8 0.000183965
28 *227:21 *754:8 1.03403e-05
29 *227:21 *755:7 2.85139e-05
30 *2842:A *2857:S 0.00012316
31 *2842:A *227:5 5.07314e-05
32 *2845:A0 *227:14 0
33 *2845:A0 *227:19 0
34 *2847:S *227:14 3.31733e-05
35 *2847:S *227:19 0.000224783
36 *3399:D *227:14 0
37 *3401:D *2854:S 1.87611e-05
38 *220:19 *227:5 7.34948e-06
39 *225:6 *227:14 0.000329028
40 *225:8 *227:14 0.000144614
*RES
1 *2844:X *227:5 12.7456
2 *227:5 *2857:S 25.5014
3 *227:5 *227:14 14.2218
4 *227:14 *227:19 14.6721
5 *227:19 *227:21 7.37864
6 *227:21 *2854:S 16.6519
7 *227:21 *2851:S 21.7421
8 *227:19 *2848:S 23.5748
9 *227:14 *2845:S 13.7491
*END
*D_NET *228 0.000494019
*CONN
*I *2846:A I *D sky130_fd_sc_hd__clkbuf_1
*I *2845:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *2846:A 0.000144632
2 *2845:X 0.000144632
3 *2846:A *2843:A0 0.000171273
4 *2846:A *2850:A0 0
5 *2845:A0 *2846:A 3.34802e-05
6 *227:14 *2846:A 0
*RES
1 *2845:X *2846:A 31.9934
*END
*D_NET *229 0.000635848
*CONN
*I *2848:A0 I *D sky130_fd_sc_hd__mux2_1
*I *2847:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *2848:A0 0.000116673
2 *2847:X 0.000116673
3 *2848:A0 *2848:A1 0.000200236
4 *2848:A0 *2850:A1 7.50872e-05
5 *2848:A0 *754:8 0
6 *2848:S *2848:A0 0.000127179
7 *227:19 *2848:A0 0
*RES
1 *2847:X *2848:A0 32.2371
*END
*D_NET *230 0.001128
*CONN
*I *2849:A I *D sky130_fd_sc_hd__clkbuf_1
*I *2848:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *2849:A 0.00048559
2 *2848:X 0.00048559
3 *2849:A *2899:A 0
4 *2849:A *3400:CLK 0
5 *2847:S *2849:A 0
6 *2848:S *2849:A 0
7 *3400:D *2849:A 0.000156823
8 *227:19 *2849:A 0
*RES
1 *2848:X *2849:A 37.5338
*END
*D_NET *231 0.000392936
*CONN
*I *2851:A0 I *D sky130_fd_sc_hd__mux2_1
*I *2850:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *2851:A0 0.000137378
2 *2850:X 0.000137378
3 *2851:A0 *2905:A 0.00011818
4 *2851:A0 *645:60 0
*RES
1 *2850:X *2851:A0 30.193
*END
*D_NET *232 0.000795847
*CONN
*I *2852:A I *D sky130_fd_sc_hd__clkbuf_1
*I *2851:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *2852:A 0.000249216
2 *2851:X 0.000249216
3 *2852:A *2847:A0 0.000113968
4 *2852:A *756:10 0.000137272
5 *2851:S *2852:A 0
6 *3401:D *2852:A 4.61732e-05
*RES
1 *2851:X *2852:A 34.3456
*END
*D_NET *233 0.000670858
*CONN
*I *2854:A0 I *D sky130_fd_sc_hd__mux2_1
*I *2853:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *2854:A0 0.000196214
2 *2853:X 0.000196214
3 *2854:A0 *2847:A0 0.000165521
4 *2854:A0 *2853:A0 9.04668e-05
5 *2854:A0 *2854:A1 2.24424e-05
6 *3402:D *2854:A0 0
*RES
1 *2853:X *2854:A0 31.9934
*END
*D_NET *234 0.000756069
*CONN
*I *2855:A I *D sky130_fd_sc_hd__clkbuf_1
*I *2854:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *2855:A 0.000206225
2 *2854:X 0.000206225
3 *2855:A *2890:A 1.32509e-05
4 *2855:A *2905:A 6.3657e-05
5 *3402:D *2855:A 0.000266711
*RES
1 *2854:X *2855:A 33.791
*END
*D_NET *235 0.000610832
*CONN
*I *2857:A0 I *D sky130_fd_sc_hd__mux2_1
*I *2856:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *2857:A0 0.000218379
2 *2856:X 0.000218379
3 *2857:A0 *2890:B 0.000174074
4 *2857:A0 *670:28 0
*RES
1 *2856:X *2857:A0 31.9934
*END
*D_NET *236 0.000726207
*CONN
*I *2858:A I *D sky130_fd_sc_hd__clkbuf_1
*I *2857:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *2858:A 0.000215765
2 *2857:X 0.000215765
3 *2858:A *2856:A0 0.000121014
4 *2858:A *2890:B 0.000108054
5 *2858:A *2896:A 2.41274e-06
6 *2858:A *670:28 6.31954e-05
*RES
1 *2857:X *2858:A 33.826
*END
*D_NET *237 0.000626307
*CONN
*I *2860:A0 I *D sky130_fd_sc_hd__mux2_1
*I *2859:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *2860:A0 0.000182117
2 *2859:X 0.000182117
3 *2860:A0 *685:16 0.000228593
4 *211:8 *2860:A0 3.34802e-05
5 *220:19 *2860:A0 0
*RES
1 *2859:X *2860:A0 31.3022
*END
*D_NET *238 0.00164774
*CONN
*I *2861:A I *D sky130_fd_sc_hd__clkbuf_1
*I *2860:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *2861:A 0.000279111
2 *2860:X 0.000279111
3 *2861:A *3344:CLK 0.000118485
4 *2861:A *3399:CLK 0.000144531
5 *2861:A *632:17 0.000243633
6 *2842:A *2861:A 0.000357075
7 *2857:S *2861:A 0.000115934
8 *211:8 *2861:A 0.000109859
*RES
1 *2860:X *2861:A 38.391
*END
*D_NET *239 0.00104146
*CONN
*I *2863:B I *D sky130_fd_sc_hd__or2_1
*I *2862:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *2863:B 0.000206761
2 *2862:X 0.000206761
3 *2863:B *2860:A1 0.000174175
4 *2863:B *2862:A0 2.42273e-05
5 *2863:B *2862:A1 0.000127716
6 *2863:B *248:40 0.000294241
7 *220:19 *2863:B 7.58217e-06
*RES
1 *2862:X *2863:B 34.4849
*END
*D_NET *240 0.000999223
*CONN
*I *2864:A I *D sky130_fd_sc_hd__clkbuf_1
*I *2863:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *2864:A 0.00029826
2 *2863:X 0.00029826
3 *2864:A *248:40 0.000217748
4 *2864:A *665:25 0
5 *2863:A *2864:A 2.65831e-05
6 *3405:D *2864:A 0.000158373
*RES
1 *2863:X *2864:A 37.113
*END
*D_NET *241 0.00233143
*CONN
*I *2873:A1 I *D sky130_fd_sc_hd__o311a_1
*I *2876:B2 I *D sky130_fd_sc_hd__a221o_1
*I *2865:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *2873:A1 0
2 *2876:B2 0.0002835
3 *2865:Y 0.000161852
4 *241:7 0.000445353
5 *2876:B2 *2826:A 1.09738e-05
6 *2876:B2 *2876:C1 9.58297e-05
7 *2876:B2 *242:32 0.000124553
8 *2876:B2 *248:29 5.05252e-05
9 *2876:B2 *761:19 1.07248e-05
10 *2876:B2 *762:32 0
11 *2876:B2 *765:15 0.000107496
12 *241:7 *2865:A 0.000175485
13 *241:7 *622:106 2.41483e-05
14 *241:7 *628:7 4.0752e-05
15 *241:7 *761:19 0.000365308
16 *241:7 *762:13 0.000317721
17 *2876:B1 *2876:B2 0.000117204
*RES
1 *2865:Y *241:7 17.2065
2 *241:7 *2876:B2 34.2415
3 *241:7 *2873:A1 9.24915
*END
*D_NET *242 0.00992402
*CONN
*I *3116:A1 I *D sky130_fd_sc_hd__o21ai_1
*I *3097:A2 I *D sky130_fd_sc_hd__o21a_1
*I *2883:B I *D sky130_fd_sc_hd__nor3_1
*I *2873:A2 I *D sky130_fd_sc_hd__o311a_1
*I *3093:B I *D sky130_fd_sc_hd__nand2_1
*I *2866:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3116:A1 0.000174226
2 *3097:A2 0.000540761
3 *2883:B 0.000559301
4 *2873:A2 0
5 *3093:B 0
6 *2866:X 0
7 *242:32 0.000923919
8 *242:18 0.000523438
9 *242:11 0.000866765
10 *242:4 0.000341411
11 *2883:B *2873:A3 0.000260374
12 *2883:B *3345:CLK 0.000175008
13 *2883:B *3494:A 3.21548e-05
14 *2883:B *254:100 0.000423922
15 *2883:B *259:11 2.57847e-05
16 *2883:B *259:29 0.000154487
17 *2883:B *628:7 7.92757e-06
18 *2883:B *633:31 0.00055161
19 *2883:B *762:13 0.000315461
20 *3097:A2 *2831:B 0.000104576
21 *3097:A2 *2831:D 1.25301e-05
22 *3097:A2 *3102:A2 5.41227e-05
23 *3097:A2 *3104:A2 0.000678803
24 *3097:A2 *243:36 0.000101723
25 *3097:A2 *243:53 1.3807e-05
26 *3097:A2 *254:74 0.000172515
27 *3116:A1 *3120:A 0.000122223
28 *3116:A1 *3120:B 0.000118166
29 *242:11 *3101:B 1.90042e-05
30 *242:18 *3093:A 8.78597e-05
31 *242:18 *243:36 0.000119753
32 *242:18 *766:42 0.000118237
33 *242:32 *2826:A 0.00018643
34 *242:32 *2876:A2 0.000172705
35 *242:32 *2877:A 0
36 *242:32 *248:29 1.09544e-05
37 *242:32 *259:29 0.000248854
38 *242:32 *761:19 1.70077e-05
39 *2826:B *2883:B 0.000175008
40 *2829:A *3116:A1 6.50586e-05
41 *2829:A *242:32 4.77858e-05
42 *2834:A *2883:B 7.57831e-05
43 *2834:B *2883:B 0.000111708
44 *2866:A *3116:A1 0.000150027
45 *2866:A *242:11 0.000118166
46 *2875:A *242:32 4.31539e-05
47 *2876:B1 *2883:B 0.000158371
48 *2876:B2 *242:32 0.000124553
49 *2887:A1 *2883:B 1.31166e-05
50 *3347:D *2883:B 0.000116755
51 *214:19 *3116:A1 0.000167076
52 *216:9 *3116:A1 0.000271241
53 *216:9 *242:11 2.65667e-05
54 *219:21 *2883:B 2.3835e-05
*RES
1 *2866:X *242:4 9.24915
2 *242:4 *242:11 8.59924
3 *242:11 *242:18 9.72729
4 *242:18 *3093:B 9.24915
5 *242:18 *242:32 21.0288
6 *242:32 *2873:A2 9.24915
7 *242:32 *2883:B 42.8412
8 *242:11 *3097:A2 29.746
9 *242:4 *3116:A1 18.3157
*END
*D_NET *243 0.00765693
*CONN
*I *3094:A I *D sky130_fd_sc_hd__inv_2
*I *3102:A1 I *D sky130_fd_sc_hd__o21ba_1
*I *3119:A I *D sky130_fd_sc_hd__nor2_1
*I *2877:C I *D sky130_fd_sc_hd__or4b_1
*I *2873:A3 I *D sky130_fd_sc_hd__o311a_1
*I *2867:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *3094:A 0.000267355
2 *3102:A1 9.34923e-06
3 *3119:A 0.000401918
4 *2877:C 0.000114791
5 *2873:A3 0.000268053
6 *2867:X 0.000211899
7 *243:53 0.000307267
8 *243:36 0.000923884
9 *243:26 0.00102387
10 *243:12 0.000897626
11 *2873:A3 *3345:CLK 0.000249171
12 *2873:A3 *259:29 2.83166e-05
13 *2873:A3 *628:7 0.000128736
14 *2873:A3 *633:53 1.5714e-05
15 *2873:A3 *761:46 7.09666e-06
16 *2873:A3 *762:13 4.0752e-05
17 *2877:C *2876:A2 0
18 *2877:C *2877:A 0
19 *2877:C *2878:B 5.0715e-05
20 *2877:C *762:32 6.50727e-05
21 *2877:C *765:15 2.15184e-05
22 *3094:A *2831:A 9.57203e-05
23 *3094:A *3102:A2 0.000229926
24 *3094:A *3105:B 2.65831e-05
25 *3094:A *3107:B 0
26 *3094:A *422:22 9.14669e-05
27 *3094:A *456:131 2.2329e-05
28 *3102:A1 *3102:B1_N 6.50727e-05
29 *3102:A1 *254:74 6.50727e-05
30 *3119:A *2831:B 6.51637e-05
31 *3119:A *2831:D 5.04829e-06
32 *3119:A *3102:B1_N 0.000213739
33 *3119:A *254:74 1.97124e-05
34 *3119:A *436:15 9.53429e-05
35 *3119:A *767:21 0.000100366
36 *243:12 *3411:CLK 9.7211e-05
37 *243:12 *259:29 0.000225022
38 *243:12 *633:53 8.74731e-05
39 *243:26 *839:DIODE 0
40 *243:26 *248:40 0
41 *243:26 *392:16 0.00031505
42 *243:36 *2831:A 4.86886e-05
43 *243:36 *2876:A2 0
44 *243:36 *3101:B 2.25226e-05
45 *243:36 *3125:A1 0
46 *243:36 *250:21 0
47 *243:53 *2831:A 1.87469e-05
48 *243:53 *3102:A2 1.07248e-05
49 *2867:A *243:12 0.000121681
50 *2883:B *2873:A3 0.000260374
51 *3097:A2 *243:36 0.000101723
52 *3097:A2 *243:53 1.3807e-05
53 *216:9 *243:36 3.00073e-05
54 *218:14 *243:12 4.69495e-06
55 *219:21 *2873:A3 5.0809e-05
56 *242:18 *243:36 0.000119753
*RES
1 *2867:X *243:12 19.6416
2 *243:12 *2873:A3 22.7465
3 *243:12 *243:26 7.85832
4 *243:26 *2877:C 16.6515
5 *243:26 *243:36 11.1752
6 *243:36 *3119:A 30.4835
7 *243:36 *243:53 1.00149
8 *243:53 *3102:A1 14.4725
9 *243:53 *3094:A 22.1478
*END
*D_NET *244 0.000714638
*CONN
*I *2873:B1 I *D sky130_fd_sc_hd__o311a_1
*I *2868:X O *D sky130_fd_sc_hd__or3b_1
*CAP
1 *2873:B1 0.000182041
2 *2868:X 0.000182041
3 *2873:B1 *2826:A 0.000141533
4 *2873:B1 *3345:CLK 0.000171273
5 *2873:B1 *248:40 1.83477e-05
6 *2826:B *2873:B1 1.94022e-05
*RES
1 *2868:X *2873:B1 31.9934
*END
*D_NET *245 0.00575082
*CONN
*I *2870:A I *D sky130_fd_sc_hd__clkbuf_2
*I *2910:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *2869:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *2870:A 6.09703e-05
2 *2910:A 0.000205412
3 *2869:Y 0.00160875
4 *245:5 0.00187513
5 *2870:A *3167:C1 9.32927e-05
6 *2870:A *476:20 3.49122e-05
7 *2910:A *3167:B1 4.23858e-05
8 *2910:A *3167:C1 0.000211492
9 *2910:A *696:28 1.47046e-05
10 *2910:A *732:31 1.58844e-06
11 *245:5 *3035:A_N 6.49003e-05
12 *245:5 *3035:C 0.000260374
13 *245:5 *3155:B_N 0.000118166
14 *245:5 *3155:C 7.76578e-05
15 *245:5 *3155:D 0.000260388
16 *245:5 *3166:A 0.000213739
17 *245:5 *3167:A2 5.38447e-05
18 *245:5 *3167:B1 2.68413e-06
19 *245:5 *457:19 1.40453e-05
20 *245:5 *467:5 0.000459803
21 *245:5 *475:7 7.65728e-05
*RES
1 *2869:Y *245:5 35.4843
2 *245:5 *2910:A 15.0122
3 *245:5 *2870:A 20.0811
*END
*D_NET *246 0.0125996
*CONN
*I *3041:A I *D sky130_fd_sc_hd__clkbuf_1
*I *2871:A I *D sky130_fd_sc_hd__clkbuf_2
*I *3144:A I *D sky130_fd_sc_hd__buf_2
*I *2972:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *2874:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *2870:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3041:A 0.000183344
2 *2871:A 0.000173272
3 *3144:A 2.06324e-05
4 *2972:A 0.000582437
5 *2874:A 6.94973e-05
6 *2870:X 0
7 *246:50 0.00111014
8 *246:39 0.00115803
9 *246:5 0.000740074
10 *246:4 0.000472021
11 *2871:A *3044:B 0.000307023
12 *2871:A *3189:A1 2.15348e-05
13 *2874:A *2963:A 0.000134343
14 *2874:A *3175:B2 0.000107496
15 *2874:A *696:28 0.00011818
16 *2972:A *2963:A 0.000163997
17 *2972:A *2974:C1 0.000184978
18 *2972:A *3341:A0 0.000146555
19 *2972:A *311:14 0.000591069
20 *2972:A *311:21 2.24484e-05
21 *2972:A *317:21 3.82228e-05
22 *2972:A *319:25 3.57202e-05
23 *2972:A *665:85 5.2092e-05
24 *2972:A *665:87 0.000108675
25 *2972:A *669:59 0.000269296
26 *2972:A *669:88 5.99785e-05
27 *3041:A *3044:A 0
28 *3041:A *3180:A1 0.000151442
29 *3041:A *382:14 1.09738e-05
30 *3041:A *493:23 0.000127271
31 *3041:A *669:88 2.12377e-05
32 *3041:A *718:12 0
33 *246:5 *2963:A 0.00015511
34 *246:5 *3175:B2 0.000612779
35 *246:5 *249:27 0.000459901
36 *246:39 *3175:B2 0.000110306
37 *246:39 *3183:B2 2.37368e-05
38 *246:39 *249:27 0.00074815
39 *246:39 *315:8 6.50586e-05
40 *246:39 *457:53 0.000435669
41 *246:39 *468:10 1.5714e-05
42 *246:39 *469:12 5.95533e-05
43 *246:39 *475:16 1.9101e-05
44 *246:39 *478:13 1.5714e-05
45 *246:39 *487:17 3.89646e-05
46 *246:39 *618:36 4.69495e-06
47 *246:39 *666:109 4.39495e-06
48 *246:39 *685:85 0.000369883
49 *246:50 *3151:A 1.27966e-05
50 *246:50 *3152:A 0.000470172
51 *246:50 *3180:A1 1.04965e-05
52 *246:50 *3181:B 6.64609e-05
53 *246:50 *3193:A2 0.000166178
54 *246:50 *3193:B1 4.79439e-05
55 *246:50 *315:8 0.000360159
56 *246:50 *462:35 0.000152242
57 *246:50 *463:18 0.000261376
58 *246:50 *469:12 0.000143774
59 *246:50 *472:8 0.000374312
60 *246:50 *622:6 0.000164588
61 *246:50 *669:88 4.83807e-05
62 *246:50 *718:12 0
*RES
1 *2870:X *246:4 9.24915
2 *246:4 *246:5 6.82404
3 *246:5 *2874:A 12.7938
4 *246:5 *2972:A 39.215
5 *246:4 *246:39 26.846
6 *246:39 *3144:A 9.82786
7 *246:39 *246:50 32.8066
8 *246:50 *2871:A 18.3789
9 *246:50 *3041:A 19.4928
*END
*D_NET *247 0.0161895
*CONN
*I *3240:A I *D sky130_fd_sc_hd__and3_1
*I *3235:A I *D sky130_fd_sc_hd__and3_1
*I *3230:A I *D sky130_fd_sc_hd__and3_1
*I *3215:A I *D sky130_fd_sc_hd__and3_1
*I *2872:A I *D sky130_fd_sc_hd__buf_2
*I *2871:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3240:A 0.000360202
2 *3235:A 1.67316e-05
3 *3230:A 0
4 *3215:A 2.80066e-05
5 *2872:A 0.00120331
6 *2871:X 0
7 *247:57 0.000682695
8 *247:46 0.00100578
9 *247:34 0.00311161
10 *247:4 0.00358689
11 *2872:A *826:DIODE 0.000583258
12 *2872:A *3048:B 6.92705e-05
13 *2872:A *3111:C 6.24655e-05
14 *2872:A *3184:A1 7.60126e-05
15 *2872:A *3189:A1 2.65667e-05
16 *2872:A *377:13 0.000164829
17 *2872:A *666:15 8.92498e-05
18 *2872:A *666:27 0.00100754
19 *3215:A *3215:C 6.50727e-05
20 *3240:A *3232:A1 0.000484804
21 *3240:A *3235:B 2.42138e-05
22 *3240:A *3235:C 2.23105e-05
23 *3240:A *3237:B1 0.00049413
24 *3240:A *3240:C 2.23105e-05
25 *247:34 *3189:A1 1.91246e-05
26 *247:34 *3205:B1 0
27 *247:34 *3215:C 8.78565e-06
28 *247:34 *369:14 4.19401e-06
29 *247:34 *542:15 0
30 *247:34 *667:55 0.000290631
31 *247:34 *705:8 4.51619e-05
32 *247:46 *3215:C 0.000150774
33 *247:46 *3220:A2 0.000124736
34 *247:46 *3225:A2 6.50727e-05
35 *247:46 *3227:A3 0.000141935
36 *247:46 *3230:C 0.000135106
37 *247:46 *502:36 0.000235823
38 *247:46 *504:31 0
39 *247:46 *519:18 0.000180123
40 *247:46 *528:14 1.3813e-05
41 *247:46 *705:23 0.000285227
42 *247:46 *705:32 0.000370815
43 *247:46 *708:12 0
44 *247:57 *3232:A1 7.68538e-06
45 *247:57 *3232:A2 0.000116755
46 *247:57 *3235:B 0.000169248
47 *247:57 *635:53 0.000532383
48 *247:57 *709:16 0.000104872
*RES
1 *2871:X *247:4 9.24915
2 *247:4 *2872:A 36.69
3 *247:4 *247:34 23.759
4 *247:34 *3215:A 9.97254
5 *247:34 *247:46 30.816
6 *247:46 *3230:A 9.24915
7 *247:46 *247:57 11.8878
8 *247:57 *3235:A 9.82786
9 *247:57 *3240:A 22.3667
*END
*D_NET *248 0.016461
*CONN
*I *3186:A I *D sky130_fd_sc_hd__buf_2
*I *2873:C1 I *D sky130_fd_sc_hd__o311a_1
*I *2898:A I *D sky130_fd_sc_hd__and2_1
*I *2905:A I *D sky130_fd_sc_hd__and2_1
*I *3103:A I *D sky130_fd_sc_hd__clkbuf_2
*I *2872:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *3186:A 0.000122579
2 *2873:C1 0
3 *2898:A 0
4 *2905:A 0.00118201
5 *3103:A 0.000719846
6 *2872:X 0
7 *248:40 0.0025021
8 *248:29 0.00292143
9 *248:24 0.00221788
10 *248:5 0.00121381
11 *2905:A *2850:A0 6.92705e-05
12 *2905:A *2850:A1 0.000383703
13 *2905:A *670:31 0.000185642
14 *2905:A *670:35 9.14834e-05
15 *3103:A *3106:A 0.000110297
16 *3103:A *3106:B 4.31703e-05
17 *3103:A *3113:B 0
18 *3103:A *3114:A2 8.18789e-05
19 *3103:A *3115:B1 0.000258142
20 *3103:A *3124:A 0
21 *3103:A *3285:B 2.37827e-05
22 *3103:A *367:22 0.000170592
23 *3103:A *426:5 8.50305e-05
24 *3103:A *436:15 0
25 *3103:A *447:11 0.000130221
26 *3103:A *622:138 3.90524e-05
27 *3103:A *714:59 2.29454e-05
28 *3186:A *3132:A 0
29 *3186:A *493:8 0
30 *3186:A *666:15 0.000324166
31 *248:24 *3305:C 7.77309e-06
32 *248:24 *447:7 0.000113968
33 *248:24 *447:11 1.44467e-05
34 *248:24 *666:15 0.000243587
35 *248:24 *716:12 0
36 *248:29 *2826:A 2.11397e-05
37 *248:29 *2831:A 0
38 *248:29 *3125:A1 0
39 *248:29 *3125:A2 0.000161437
40 *248:29 *3128:A2 0.000294786
41 *248:29 *3132:A 0
42 *248:29 *3140:B 6.40225e-05
43 *248:29 *3279:A2 0
44 *248:29 *416:9 0
45 *248:29 *422:6 0
46 *248:29 *422:22 0
47 *248:29 *560:41 0
48 *248:29 *769:20 0
49 *248:40 *868:DIODE 4.34229e-05
50 *248:40 *2843:A1 0
51 *248:40 *2862:A1 2.04806e-05
52 *248:40 *2899:A 5.04829e-06
53 *248:40 *392:16 0
54 *248:40 *665:25 0
55 *2826:B *248:29 0.000251043
56 *2826:B *248:40 3.91944e-05
57 *2827:A *248:40 0
58 *2829:A *248:29 8.45686e-05
59 *2845:A0 *2905:A 0.000122378
60 *2847:S *248:40 0
61 *2851:A0 *2905:A 0.00011818
62 *2855:A *2905:A 6.3657e-05
63 *2863:B *248:40 0.000294241
64 *2864:A *248:40 0.000217748
65 *2873:B1 *248:40 1.83477e-05
66 *2876:B2 *248:29 5.05252e-05
67 *3101:C *248:29 3.7354e-05
68 *3410:D *248:29 0
69 *214:20 *248:29 2.7517e-05
70 *214:22 *248:29 1.83828e-05
71 *219:21 *248:40 0.000505615
72 *220:14 *248:40 0
73 *220:19 *248:40 0
74 *221:47 *248:40 0.000128129
75 *225:6 *248:40 0
76 *225:8 *248:40 0
77 *225:22 *2905:A 0.000210109
78 *225:26 *2905:A 0.000373913
79 *227:14 *248:40 0
80 *242:32 *248:29 1.09544e-05
81 *243:26 *248:40 0
*RES
1 *2872:X *248:5 13.7491
2 *248:5 *3103:A 34.1772
3 *248:5 *248:24 21.4553
4 *248:24 *248:29 40.1085
5 *248:29 *248:40 43.2017
6 *248:40 *2905:A 43.8516
7 *248:40 *2898:A 9.24915
8 *248:29 *2873:C1 13.7491
9 *248:24 *3186:A 18.2471
*END
*D_NET *249 0.0163073
*CONN
*I *3142:A I *D sky130_fd_sc_hd__buf_2
*I *3067:B I *D sky130_fd_sc_hd__and2_1
*I *2878:A I *D sky130_fd_sc_hd__and3_1
*I *3221:A I *D sky130_fd_sc_hd__buf_2
*I *2963:A I *D sky130_fd_sc_hd__clkbuf_2
*I *2874:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *3142:A 0.000126364
2 *3067:B 0
3 *2878:A 0.000609468
4 *3221:A 0
5 *2963:A 0.000111135
6 *2874:X 0
7 *249:51 0.00084038
8 *249:43 0.000898594
9 *249:31 0.00143289
10 *249:27 0.00243114
11 *249:4 0.00190343
12 *2878:A *2878:C 0
13 *2878:A *2879:A 0
14 *2878:A *250:57 0.000172798
15 *2878:A *622:98 1.65872e-05
16 *2878:A *622:106 0.000415326
17 *2878:A *765:15 0.000164829
18 *2963:A *669:59 0.000183432
19 *2963:A *696:28 6.31839e-05
20 *3142:A *3104:B1 0
21 *3142:A *3409:CLK 8.98169e-05
22 *3142:A *456:26 4.31603e-06
23 *3142:A *456:131 0.000216458
24 *3142:A *714:23 9.46208e-05
25 *249:27 *2960:A_N 0.000366617
26 *249:27 *2960:B_N 3.70988e-05
27 *249:27 *3036:A 4.31539e-05
28 *249:27 *3036:B 2.82583e-05
29 *249:27 *265:20 1.65872e-05
30 *249:27 *315:8 9.75356e-05
31 *249:27 *369:44 2.86013e-06
32 *249:27 *477:5 0.000111722
33 *249:27 *477:15 0.000242695
34 *249:27 *646:62 2.81515e-05
35 *249:27 *685:59 1.00846e-05
36 *249:27 *685:63 7.99086e-05
37 *249:27 *685:85 0.000189539
38 *249:27 *696:28 4.96417e-05
39 *249:31 *646:62 0.000858763
40 *249:43 *2957:A 0
41 *249:43 *2982:B 5.69234e-05
42 *249:43 *3051:A 0
43 *249:43 *3407:CLK 6.08467e-05
44 *249:43 *3409:CLK 2.09495e-05
45 *249:43 *3507:A 0.000267404
46 *249:43 *271:29 5.04829e-06
47 *249:43 *522:55 0.000188875
48 *249:43 *646:7 0.000161234
49 *249:43 *646:10 2.95757e-05
50 *249:43 *646:48 0.000141864
51 *249:43 *646:57 0.000584626
52 *249:43 *670:26 0
53 *249:43 *685:36 0.000107496
54 *249:43 *714:14 2.01028e-05
55 *249:51 *3067:A 0.000364246
56 *249:51 *250:57 0.000207816
57 *249:51 *665:48 1.2693e-05
58 *249:51 *670:28 0.000388264
59 *2874:A *2963:A 0.000134343
60 *2972:A *2963:A 0.000163997
61 *3372:D *2878:A 9.04224e-05
62 *246:5 *2963:A 0.00015511
63 *246:5 *249:27 0.000459901
64 *246:39 *249:27 0.00074815
*RES
1 *2874:X *249:4 9.24915
2 *249:4 *2963:A 24.1294
3 *249:4 *249:27 48.5209
4 *249:27 *249:31 15.2063
5 *249:31 *249:43 35.1168
6 *249:43 *249:51 18.9256
7 *249:51 *3221:A 9.24915
8 *249:51 *2878:A 31.275
9 *249:43 *3067:B 9.24915
10 *249:31 *3142:A 18.5471
*END
*D_NET *250 0.01364
*CONN
*I *3095:B1 I *D sky130_fd_sc_hd__a211o_1
*I *3338:A1 I *D sky130_fd_sc_hd__mux2_1
*I *3125:A1 I *D sky130_fd_sc_hd__o21ai_1
*I *3120:A I *D sky130_fd_sc_hd__or3_1
*I *2876:A2 I *D sky130_fd_sc_hd__a221o_1
*I *2875:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3095:B1 0.00017745
2 *3338:A1 0.000922804
3 *3125:A1 0.000303401
4 *3120:A 0.000433744
5 *2876:A2 0.000255229
6 *2875:X 0
7 *250:57 0.00162506
8 *250:48 0.00119948
9 *250:21 0.000817621
10 *250:17 0.00040942
11 *250:5 0.000264405
12 *2876:A2 *2876:C1 8.83832e-05
13 *2876:A2 *761:19 0
14 *2876:A2 *765:15 9.48415e-05
15 *3095:B1 *806:DIODE 0
16 *3095:B1 *3095:A2 3.67528e-06
17 *3095:B1 *3096:A2 0.00023785
18 *3095:B1 *3097:A1 1.07248e-05
19 *3095:B1 *259:48 9.68064e-05
20 *3120:A *3116:B1 0.000118351
21 *3120:A *3120:B 3.59437e-05
22 *3120:A *457:31 0.000710715
23 *3125:A1 *2831:A 6.91981e-05
24 *3125:A1 *3101:B 0.000162495
25 *3125:A1 *3102:B1_N 6.08467e-05
26 *3125:A1 *254:74 6.08467e-05
27 *3125:A1 *422:6 7.21868e-05
28 *3125:A1 *422:22 4.33979e-05
29 *3338:A1 *2985:A 0.000785018
30 *3338:A1 *279:43 0.000170675
31 *3338:A1 *332:5 4.41919e-05
32 *250:21 *3101:B 8.62625e-06
33 *250:48 *839:DIODE 0
34 *250:48 *392:16 0.000533662
35 *250:48 *676:24 0.000520575
36 *250:57 *833:DIODE 0.00036382
37 *250:57 *2879:A 0
38 *250:57 *2895:A 2.43314e-05
39 *250:57 *3007:A 4.0752e-05
40 *250:57 *3007:B 0.000329246
41 *250:57 *3096:A2 6.49917e-05
42 *250:57 *3096:B1 1.2693e-05
43 *250:57 *261:7 6.08467e-05
44 *250:57 *261:19 0.000105062
45 *250:57 *271:29 7.10804e-05
46 *250:57 *309:6 0
47 *250:57 *392:16 1.9101e-05
48 *250:57 *622:98 6.36477e-05
49 *250:57 *622:106 0.000145204
50 *250:57 *627:10 0.000114594
51 *250:57 *673:34 0.00066364
52 *250:57 *685:36 2.32311e-05
53 *2829:A *2876:A2 2.81088e-05
54 *2829:A *3125:A1 1.0064e-05
55 *2829:A *250:17 7.12632e-06
56 *2829:A *250:21 9.40407e-06
57 *2866:A *3120:A 0.000239702
58 *2877:C *2876:A2 0
59 *2878:A *250:57 0.000172798
60 *3116:A1 *3120:A 0.000122223
61 *3372:D *250:57 6.63327e-05
62 *3407:D *3095:B1 7.0716e-05
63 *3407:D *250:57 1.9101e-05
64 *214:19 *3120:A 7.40496e-05
65 *242:32 *2876:A2 0.000172705
66 *243:36 *2876:A2 0
67 *243:36 *3125:A1 0
68 *243:36 *250:21 0
69 *248:29 *3125:A1 0
70 *249:51 *250:57 0.000207816
*RES
1 *2875:X *250:5 13.7491
2 *250:5 *2876:A2 20.2214
3 *250:5 *250:17 0.378612
4 *250:17 *250:21 1.94191
5 *250:21 *3120:A 27.4454
6 *250:21 *3125:A1 22.1181
7 *250:17 *250:48 8.47024
8 *250:48 *250:57 41.2378
9 *250:57 *3338:A1 24.9468
10 *250:48 *3095:B1 19.526
*END
*D_NET *251 0.0013655
*CONN
*I *2878:B I *D sky130_fd_sc_hd__and3_1
*I *2876:X O *D sky130_fd_sc_hd__a221o_1
*CAP
1 *2878:B 0.000228517
2 *2876:X 0.000228517
3 *2878:B *2876:C1 0.000175485
4 *2878:B *762:32 9.82896e-06
5 *2878:B *765:15 0.000448044
6 *2877:B *2878:B 0.000224395
7 *2877:C *2878:B 5.0715e-05
*RES
1 *2876:X *2878:B 27.5649
*END
*D_NET *252 0.00038697
*CONN
*I *2878:C I *D sky130_fd_sc_hd__and3_1
*I *2877:X O *D sky130_fd_sc_hd__or4b_1
*CAP
1 *2878:C 7.65147e-05
2 *2877:X 7.65147e-05
3 *2878:C *2879:A 0.000103906
4 *2878:C *765:15 0.000130035
5 *2878:A *2878:C 0
*RES
1 *2877:X *2878:C 21.8259
*END
*D_NET *253 0.000545303
*CONN
*I *2879:A I *D sky130_fd_sc_hd__clkbuf_1
*I *2878:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *2879:A 0.000213192
2 *2878:X 0.000213192
3 *2879:A *2877:A 0
4 *2879:A *765:15 1.50126e-05
5 *2878:A *2879:A 0
6 *2878:C *2879:A 0.000103906
7 *250:57 *2879:A 0
*RES
1 *2878:X *2879:A 31.5781
*END
*D_NET *254 0.0210839
*CONN
*I *3139:C1 I *D sky130_fd_sc_hd__a221oi_1
*I *836:DIODE I *D sky130_fd_sc_hd__diode_2
*I *776:DIODE I *D sky130_fd_sc_hd__diode_2
*I *2883:A I *D sky130_fd_sc_hd__nor3_1
*I *835:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3128:C1 I *D sky130_fd_sc_hd__a221oi_1
*I *848:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3226:C1 I *D sky130_fd_sc_hd__a211oi_1
*I *829:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3058:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *2880:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *3139:C1 0.000276023
2 *836:DIODE 0
3 *776:DIODE 7.31482e-05
4 *2883:A 0
5 *835:DIODE 0
6 *3128:C1 0
7 *848:DIODE 0
8 *3226:C1 0.00033349
9 *829:DIODE 0.000233616
10 *3058:B1 2.60774e-05
11 *2880:X 0.000287274
12 *254:115 0.000711937
13 *254:100 0.000682945
14 *254:92 0.00132632
15 *254:82 0.000352471
16 *254:74 0.00109887
17 *254:41 0.00106511
18 *254:26 0.00121108
19 *254:14 0.00110644
20 *254:11 0.00214879
21 *829:DIODE *3059:A2 2.16355e-05
22 *829:DIODE *3059:B1 5.04829e-06
23 *829:DIODE *663:63 5.36862e-05
24 *3058:B1 *3495:A 0.000107496
25 *3058:B1 *382:14 0.000107496
26 *3139:C1 *3139:A2 0
27 *3139:C1 *3139:B2 1.07248e-05
28 *3139:C1 *3315:A1 6.0685e-05
29 *3139:C1 *3315:A2 5.92192e-05
30 *3139:C1 *3315:B1 1.1246e-05
31 *3139:C1 *454:35 0
32 *3139:C1 *636:24 0
33 *3226:C1 *3082:B 5.04829e-06
34 *3226:C1 *3425:CLK 0
35 *3226:C1 *504:42 3.61993e-05
36 *254:11 *2869:A 1.92336e-05
37 *254:11 *3051:A 7.23987e-05
38 *254:11 *3052:A 0.000211492
39 *254:11 *3408:CLK 0.000229069
40 *254:11 *310:9 0.000111722
41 *254:11 *674:43 4.18989e-05
42 *254:11 *674:45 0.000118485
43 *254:14 *3034:A 0.000114955
44 *254:14 *3046:A 0
45 *254:14 *3057:A 0.000403603
46 *254:14 *3104:B1 0.00044159
47 *254:14 *3111:B 4.23937e-05
48 *254:14 *424:34 0.000321188
49 *254:14 *670:26 0.000505227
50 *254:14 *730:32 0.000186089
51 *254:26 *3057:A 0.000104747
52 *254:26 *3059:B1 3.31745e-05
53 *254:26 *3495:A 7.77309e-06
54 *254:26 *634:52 7.14746e-05
55 *254:41 *3058:A1 0.000171122
56 *254:41 *3059:A2 4.90621e-05
57 *254:41 *3080:B 0
58 *254:41 *3082:B 0.000202821
59 *254:41 *3083:C 2.652e-05
60 *254:41 *3086:C1 1.45944e-05
61 *254:41 *3088:A2 0.000263084
62 *254:41 *3089:A3 0.000190042
63 *254:41 *385:18 0
64 *254:41 *387:13 9.75356e-05
65 *254:41 *504:42 3.63743e-05
66 *254:41 *670:18 7.51972e-05
67 *254:74 *792:DIODE 6.08467e-05
68 *254:74 *793:DIODE 6.50586e-05
69 *254:74 *3051:A 1.96574e-05
70 *254:74 *3097:B1 6.08467e-05
71 *254:74 *3102:B1_N 0.000173985
72 *254:74 *3104:A1 0.000161234
73 *254:74 *3104:A2 0.000324842
74 *254:74 *3104:B1 0.000103493
75 *254:74 *3125:A2 0.000198681
76 *254:74 *3125:B1 0.000180135
77 *254:74 *3128:A2 1.29724e-05
78 *254:74 *3128:B1 5.48097e-05
79 *254:74 *3128:B2 3.51034e-05
80 *254:82 *3125:B1 2.56976e-05
81 *254:82 *3128:B2 0.000162583
82 *254:92 *3125:B1 6.50586e-05
83 *254:92 *3128:B2 8.46185e-05
84 *254:100 *2833:A 0.00018456
85 *254:100 *3128:B2 5.68225e-06
86 *254:100 *3494:A 7.34948e-06
87 *254:100 *258:8 6.60581e-05
88 *254:100 *258:26 0.00019208
89 *254:100 *633:26 1.87469e-05
90 *254:100 *633:78 4.52611e-05
91 *254:100 *770:8 0.00013689
92 *254:100 *770:17 0.000127194
93 *254:115 *2832:B 0.000357135
94 *254:115 *3128:B2 5.40444e-05
95 *254:115 *3129:A 0.000240104
96 *254:115 *3133:C_N 5.568e-05
97 *254:115 *3134:A 1.71806e-05
98 *254:115 *3134:B 0.000311593
99 *254:115 *258:26 6.14128e-05
100 *254:115 *439:14 0.000164843
101 *254:115 *444:27 5.68225e-06
102 *254:115 *445:16 9.18559e-06
103 *2833:B *254:92 1.56419e-05
104 *2833:C *254:92 0.000307037
105 *2833:D *254:100 7.12662e-05
106 *2833:D *254:115 6.79599e-05
107 *2883:B *254:100 0.000423922
108 *3097:A2 *254:74 0.000172515
109 *3102:A1 *254:74 6.50727e-05
110 *3119:A *254:74 1.97124e-05
111 *3125:A1 *254:74 6.08467e-05
112 *3126:C *254:100 4.77922e-05
113 *3346:D *776:DIODE 2.42138e-05
114 *3346:D *254:100 4.26566e-05
115 *3392:D *254:26 0.000154145
116 *3429:D *3226:C1 0.000140288
117 *210:8 *254:100 4.5539e-05
118 *221:47 *254:100 0
*RES
1 *2880:X *254:11 27.2105
2 *254:11 *254:14 34.1539
3 *254:14 *3058:B1 15.0271
4 *254:14 *254:26 9.68906
5 *254:26 *829:DIODE 12.7456
6 *254:26 *254:41 32.0831
7 *254:41 *3226:C1 25.651
8 *254:41 *848:DIODE 9.24915
9 *254:11 *254:74 32.1429
10 *254:74 *3128:C1 9.24915
11 *254:74 *254:82 2.82124
12 *254:82 *835:DIODE 9.24915
13 *254:82 *254:92 12.4574
14 *254:92 *254:100 25.4082
15 *254:100 *2883:A 9.24915
16 *254:100 *776:DIODE 11.0817
17 *254:92 *254:115 21.5948
18 *254:115 *836:DIODE 9.24915
19 *254:115 *3139:C1 25.0992
*END
*D_NET *255 0.0025313
*CONN
*I *2882:B I *D sky130_fd_sc_hd__xor2_1
*I *2884:A2 I *D sky130_fd_sc_hd__o21ai_1
*I *2881:X O *D sky130_fd_sc_hd__or3_1
*CAP
1 *2882:B 0.000283046
2 *2884:A2 0.000248658
3 *2881:X 4.51842e-05
4 *255:7 0.000576889
5 *2882:B *2825:A 0.000114594
6 *2882:B *2825:B 2.29454e-05
7 *2882:B *2825:C 9.96899e-05
8 *2882:B *2825:D 0.000457655
9 *2882:B *628:7 0.000276151
10 *2884:A2 *2884:B1 6.08467e-05
11 *2884:A2 *259:11 1.58551e-05
12 *255:7 *2825:B 6.08467e-05
13 *2868:C_N *2884:A2 0.000106453
14 *221:47 *2882:B 6.64609e-05
15 *221:47 *2884:A2 9.60216e-05
*RES
1 *2881:X *255:7 14.4725
2 *255:7 *2884:A2 20.1868
3 *255:7 *2882:B 24.4012
*END
*D_NET *256 0.000407744
*CONN
*I *2883:C I *D sky130_fd_sc_hd__nor3_1
*I *2882:X O *D sky130_fd_sc_hd__xor2_1
*CAP
1 *2883:C 0.000196649
2 *2882:X 0.000196649
3 *2883:C *258:8 0
4 *2883:C *763:20 1.44467e-05
5 *3146:A1 *2883:C 0
6 *3346:D *2883:C 0
*RES
1 *2882:X *2883:C 32.2693
*END
*D_NET *257 0.000821382
*CONN
*I *2887:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *2884:Y O *D sky130_fd_sc_hd__o21ai_1
*CAP
1 *2887:A2 0.00011898
2 *2884:Y 0.00011898
3 *2887:A2 *3493:A 0.000163982
4 *2887:A2 *3494:A 0.00010836
5 *3347:D *2887:A2 0.000298387
6 *210:8 *2887:A2 1.2693e-05
*RES
1 *2884:Y *2887:A2 33.0676
*END
*D_NET *258 0.0069171
*CONN
*I *3121:A I *D sky130_fd_sc_hd__clkbuf_2
*I *3303:B1 I *D sky130_fd_sc_hd__a31o_1
*I *3314:B1 I *D sky130_fd_sc_hd__a31o_1
*I *2886:A I *D sky130_fd_sc_hd__clkbuf_2
*I *3070:A I *D sky130_fd_sc_hd__or2_1
*I *2885:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3121:A 7.62714e-06
2 *3303:B1 0.000136057
3 *3314:B1 0.000106403
4 *2886:A 0
5 *3070:A 0.000246407
6 *2885:X 4.21492e-05
7 *258:30 0.000312278
8 *258:26 0.000821617
9 *258:8 0.000669942
10 *258:7 0.00120986
11 *3070:A *3070:B 0.00011818
12 *3070:A *3347:CLK 0.000122083
13 *3070:A *764:5 6.50727e-05
14 *3121:A *3139:A2 6.50727e-05
15 *3121:A *3313:A2 5.08751e-05
16 *3303:B1 *3314:A1 0
17 *3303:B1 *572:18 3.89184e-05
18 *258:7 *457:31 0.00011818
19 *258:8 *838:DIODE 0
20 *258:8 *3347:CLK 2.24484e-05
21 *258:8 *633:6 0.000362216
22 *258:8 *633:26 0.000101118
23 *258:8 *633:78 0.000117007
24 *258:8 *633:81 0
25 *258:8 *763:20 6.31665e-05
26 *258:26 *2832:B 0.000353522
27 *258:26 *3127:A 0
28 *258:26 *3129:A 0.000247246
29 *258:26 *3133:C_N 0
30 *258:26 *3139:A2 0
31 *258:26 *3145:C 4.31485e-06
32 *258:26 *3415:CLK 0
33 *258:26 *439:14 7.50872e-05
34 *258:26 *439:42 0
35 *258:26 *443:8 0
36 *258:26 *445:16 5.79399e-05
37 *258:26 *572:18 1.09738e-05
38 *258:26 *676:8 0
39 *258:30 *3139:A2 0.000217937
40 *258:30 *3313:A2 0.000113968
41 *258:30 *3314:A2 0.000118166
42 *2883:C *258:8 0
43 *3139:A1 *258:26 0.000526055
44 *3146:A1 *3070:A 0
45 *3146:A1 *258:8 0
46 *3346:D *3070:A 0
47 *3346:D *258:8 0
48 *218:11 *258:26 7.13655e-06
49 *220:13 *3070:A 6.85333e-05
50 *254:100 *258:8 6.60581e-05
51 *254:100 *258:26 0.00019208
52 *254:115 *258:26 6.14128e-05
*RES
1 *2885:X *258:7 15.0271
2 *258:7 *258:8 13.4591
3 *258:8 *3070:A 21.1566
4 *258:8 *2886:A 13.7491
5 *258:7 *258:26 28.7556
6 *258:26 *258:30 7.62
7 *258:30 *3314:B1 15.6056
8 *258:30 *3303:B1 16.8269
9 *258:26 *3121:A 9.97254
*END
*D_NET *259 0.0105792
*CONN
*I *2887:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *2982:A I *D sky130_fd_sc_hd__nor2_1
*I *3115:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *3109:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *3096:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *2886:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *2887:B1 0
2 *2982:A 0
3 *3115:B1 0.000688963
4 *3109:B1 6.21438e-05
5 *3096:B1 3.31572e-05
6 *2886:X 0.000432069
7 *259:48 0.00107971
8 *259:37 0.000798041
9 *259:29 0.00135012
10 *259:11 0.00134591
11 *3096:B1 *685:36 0.000104731
12 *3109:B1 *3109:A1 1.61631e-05
13 *3109:B1 *674:43 6.11359e-06
14 *3115:B1 *3095:A2 0.000102318
15 *3115:B1 *3108:A1 0.0002452
16 *3115:B1 *3108:A2 4.52469e-05
17 *3115:B1 *3109:A1 0.000158357
18 *3115:B1 *3109:A2 6.08473e-05
19 *3115:B1 *3115:A1 0.000211478
20 *3115:B1 *3115:A2 6.50727e-05
21 *3115:B1 *3285:B 6.93088e-05
22 *3115:B1 *456:131 7.38815e-05
23 *3115:B1 *522:55 0
24 *3115:B1 *674:43 1.5006e-05
25 *259:11 *2884:A1 3.6455e-05
26 *259:11 *2884:B1 2.16355e-05
27 *259:29 *2982:B 1.56419e-05
28 *259:29 *3096:A1 0.000111285
29 *259:29 *3411:CLK 1.92172e-05
30 *259:37 *806:DIODE 1.35981e-05
31 *259:37 *3093:A 0
32 *259:37 *3096:A2 0
33 *259:37 *3407:CLK 2.41274e-06
34 *259:37 *766:15 4.28856e-07
35 *259:48 *3095:A2 0
36 *259:48 *3097:A1 0.000166166
37 *259:48 *3097:B1 0.000112148
38 *259:48 *3109:A2 1.07248e-05
39 *259:48 *456:131 2.5386e-05
40 *259:48 *522:55 0.000138994
41 *259:48 *685:36 0.000113788
42 *2829:A *259:29 0.000213739
43 *2834:B *259:29 6.08467e-05
44 *2867:A *259:29 0.000132349
45 *2868:C_N *259:11 0.000205006
46 *2873:A3 *259:29 2.83166e-05
47 *2875:A *259:29 2.7585e-05
48 *2881:C *259:29 2.95757e-05
49 *2883:B *259:11 2.57847e-05
50 *2883:B *259:29 0.000154487
51 *2884:A2 *259:11 1.58551e-05
52 *3095:B1 *259:48 9.68064e-05
53 *3103:A *3115:B1 0.000258142
54 *3347:D *259:11 0.00036936
55 *3407:D *3096:B1 7.50722e-05
56 *3407:D *259:37 9.17771e-05
57 *3407:D *259:48 3.04443e-05
58 *3409:D *3109:B1 1.03403e-05
59 *3409:D *259:48 5.92342e-05
60 *210:11 *259:29 0.000307023
61 *218:14 *259:29 0.000149151
62 *221:47 *259:11 0
63 *242:32 *259:29 0.000248854
64 *243:12 *259:29 0.000225022
65 *250:57 *3096:B1 1.2693e-05
*RES
1 *2886:X *259:11 29.8386
2 *259:11 *259:29 35.28
3 *259:29 *259:37 20.3529
4 *259:37 *3096:B1 15.5811
5 *259:37 *259:48 16.4615
6 *259:48 *3109:B1 10.5271
7 *259:48 *3115:B1 38.1423
8 *259:29 *2982:A 9.24915
9 *259:11 *2887:B1 9.24915
*END
*D_NET *260 0.00549753
*CONN
*I *2889:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *2953:A I *D sky130_fd_sc_hd__or2_1
*I *2888:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *2889:A 0.000158796
2 *2953:A 0
3 *2888:X 0.000203747
4 *260:8 0.001934
5 *260:7 0.00197895
6 *2889:A *782:DIODE 5.68225e-06
7 *2889:A *2895:A 0
8 *2889:A *262:18 0
9 *2889:A *309:6 4.84392e-05
10 *2889:A *330:8 0
11 *260:7 *2888:B 5.56461e-05
12 *260:7 *2983:A 6.14949e-06
13 *260:7 *691:9 5.56461e-05
14 *260:8 *785:DIODE 0
15 *260:8 *818:DIODE 6.31665e-05
16 *260:8 *2890:D 0
17 *260:8 *2905:B 1.2819e-05
18 *260:8 *2906:A 0
19 *260:8 *2912:A1 0
20 *260:8 *2915:A1 2.12377e-05
21 *260:8 *2916:B 8.9863e-05
22 *260:8 *2917:A 0
23 *260:8 *2921:A0 0
24 *260:8 *2921:A1 0.000192457
25 *260:8 *2923:A 0
26 *260:8 *3008:A0 0
27 *260:8 *3009:B 4.34143e-05
28 *260:8 *3010:A 0.00015324
29 *260:8 *3352:CLK 0.000221215
30 *260:8 *262:18 0
31 *260:8 *330:8 0
32 *260:8 *348:21 0
33 *260:8 *645:6 0
34 *260:8 *645:8 0
35 *260:8 *645:25 0
36 *260:8 *645:32 0
37 *260:8 *655:8 0
38 *260:8 *666:48 0
39 *260:8 *667:90 0
40 *260:8 *670:47 8.19079e-05
41 *3355:D *260:8 0.000171152
42 *3380:D *260:8 0
*RES
1 *2888:X *260:7 17.2456
2 *260:7 *260:8 48.3402
3 *260:8 *2953:A 13.7491
4 *260:8 *2889:A 17.6574
*END
*D_NET *261 0.00679717
*CONN
*I *3155:A_N I *D sky130_fd_sc_hd__and4bb_1
*I *3154:A_N I *D sky130_fd_sc_hd__and4bb_1
*I *2958:A I *D sky130_fd_sc_hd__nor2_1
*I *2981:A I *D sky130_fd_sc_hd__or4_1
*I *2895:A I *D sky130_fd_sc_hd__or2_1
*I *2889:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *3155:A_N 0.000117701
2 *3154:A_N 9.79709e-05
3 *2958:A 0.000375421
4 *2981:A 0.000199128
5 *2895:A 0.000204021
6 *2889:X 2.03514e-05
7 *261:48 0.000338285
8 *261:35 0.00109093
9 *261:19 0.000848858
10 *261:7 0.00028121
11 *2895:A *2953:B 2.65667e-05
12 *2895:A *262:9 0.000115632
13 *2895:A *262:18 0.000176393
14 *2895:A *522:19 0.000311249
15 *2958:A *2958:B 8.39059e-05
16 *2958:A *3150:A 7.48797e-05
17 *2958:A *3153:C 5.51483e-06
18 *2958:A *465:5 3.85195e-05
19 *2981:A *2981:C 2.59921e-05
20 *2981:A *2981:D 5.90951e-05
21 *2981:A *2985:A 6.08467e-05
22 *3154:A_N *689:33 8.65358e-05
23 *3155:A_N *3155:C 0.000317693
24 *3155:A_N *457:19 0.000317693
25 *3155:A_N *622:6 2.61857e-05
26 *3155:A_N *685:47 4.70005e-05
27 *261:7 *3007:B 0.000111708
28 *261:7 *673:34 2.41483e-05
29 *261:19 *262:18 0.000112159
30 *261:35 *2894:C 2.16355e-05
31 *261:35 *3150:A 1.92172e-05
32 *261:35 *3153:B_N 0.000271058
33 *261:35 *3153:C 7.6719e-06
34 *261:35 *3153:D 5.07314e-05
35 *261:35 *262:18 2.95757e-05
36 *261:35 *262:31 0.000212574
37 *261:35 *263:6 2.97007e-05
38 *261:35 *265:8 0.000222699
39 *261:35 *265:17 5.56367e-05
40 *261:35 *313:7 3.51801e-05
41 *261:48 *309:6 0
42 *261:48 *622:6 4.12119e-05
43 *261:48 *685:47 1.44467e-05
44 *261:48 *689:36 0
45 *2889:A *2895:A 0
46 *250:57 *2895:A 2.43314e-05
47 *250:57 *261:7 6.08467e-05
48 *250:57 *261:19 0.000105062
*RES
1 *2889:X *261:7 15.0271
2 *261:7 *2895:A 21.3173
3 *261:7 *261:19 2.24725
4 *261:19 *2981:A 17.8484
5 *261:19 *261:35 18.0025
6 *261:35 *2958:A 16.1214
7 *261:35 *261:48 7.57775
8 *261:48 *3154:A_N 16.1364
9 *261:48 *3155:A_N 19.4928
*END
*D_NET *262 0.00651033
*CONN
*I *2957:A I *D sky130_fd_sc_hd__clkbuf_1
*I *2894:A I *D sky130_fd_sc_hd__or3_1
*I *2985:A I *D sky130_fd_sc_hd__or4b_2
*I *2953:B I *D sky130_fd_sc_hd__or2_1
*I *2890:X O *D sky130_fd_sc_hd__or4_2
*CAP
1 *2957:A 0.000192114
2 *2894:A 0
3 *2985:A 0.000159523
4 *2953:B 8.63036e-05
5 *2890:X 0.000517118
6 *262:31 0.000271978
7 *262:18 0.000505666
8 *262:9 0.0008697
9 *2953:B *522:19 4.23874e-05
10 *2957:A *313:5 0.000213739
11 *2957:A *313:7 0.000107496
12 *2985:A *2893:A 6.49003e-05
13 *2985:A *2981:D 9.55447e-05
14 *2985:A *3153:B_N 0.000164829
15 *2985:A *265:5 0.00033061
16 *262:9 *2902:A 0.000172691
17 *262:9 *2920:A 0.000148144
18 *262:9 *271:38 0.00011497
19 *262:9 *271:40 0.000388279
20 *262:9 *348:21 2.18741e-05
21 *262:9 *522:19 1.00846e-05
22 *262:9 *666:48 8.51085e-05
23 *262:9 *666:55 0.000172387
24 *262:9 *670:28 0
25 *262:18 *2895:B 4.62722e-05
26 *262:18 *266:6 2.56746e-05
27 *262:18 *666:48 0
28 *262:31 *2981:C 0.000130777
29 *262:31 *263:6 4.33979e-05
30 *262:31 *266:6 9.99386e-06
31 *2889:A *262:18 0
32 *2895:A *2953:B 2.65667e-05
33 *2895:A *262:9 0.000115632
34 *2895:A *262:18 0.000176393
35 *2981:A *2985:A 6.08467e-05
36 *3338:A1 *2985:A 0.000785018
37 *249:43 *2957:A 0
38 *260:8 *262:18 0
39 *261:19 *262:18 0.000112159
40 *261:35 *262:18 2.95757e-05
41 *261:35 *262:31 0.000212574
*RES
1 *2890:X *262:9 36.8656
2 *262:9 *2953:B 11.6364
3 *262:9 *262:18 11.315
4 *262:18 *2985:A 23.9249
5 *262:18 *262:31 8.40826
6 *262:31 *2894:A 9.24915
7 *262:31 *2957:A 14.4335
*END
*D_NET *263 0.000760235
*CONN
*I *2894:B I *D sky130_fd_sc_hd__or3_1
*I *2981:C I *D sky130_fd_sc_hd__or4_1
*I *2891:Y O *D sky130_fd_sc_hd__nand3b_1
*CAP
1 *2894:B 0
2 *2981:C 6.14541e-05
3 *2891:Y 9.69991e-05
4 *263:6 0.000158453
5 *2981:C *2981:B 7.86847e-05
6 *2981:C *2981:D 1.61631e-05
7 *2981:C *266:6 2.19276e-05
8 *263:6 *2981:B 3.31733e-05
9 *263:6 *265:17 1.62054e-05
10 *263:6 *666:48 4.73076e-05
11 *2981:A *2981:C 2.59921e-05
12 *261:35 *263:6 2.97007e-05
13 *262:31 *2981:C 0.000130777
14 *262:31 *263:6 4.33979e-05
*RES
1 *2891:Y *263:6 17.2421
2 *263:6 *2981:C 16.7198
3 *263:6 *2894:B 13.7491
*END
*D_NET *264 0.00116162
*CONN
*I *2985:B I *D sky130_fd_sc_hd__or4b_2
*I *2893:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *2892:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *2985:B 0
2 *2893:A 0.000151915
3 *2892:Y 0.000173646
4 *264:10 0.000325561
5 *2893:A *3153:B_N 0.000160617
6 *264:10 *2892:A 5.04829e-06
7 *264:10 *330:23 4.55535e-05
8 *264:10 *461:8 2.21765e-05
9 *264:10 *622:6 0.000200221
10 *264:10 *675:19 1.19856e-05
11 *2985:A *2893:A 6.49003e-05
*RES
1 *2892:Y *264:10 22.4655
2 *264:10 *2893:A 13.7342
3 *264:10 *2985:B 9.24915
*END
*D_NET *265 0.00685776
*CONN
*I *2981:D I *D sky130_fd_sc_hd__or4_1
*I *2955:B I *D sky130_fd_sc_hd__or4_2
*I *2960:B_N I *D sky130_fd_sc_hd__and4bb_1
*I *3036:A I *D sky130_fd_sc_hd__or3b_4
*I *2894:C I *D sky130_fd_sc_hd__or3_1
*I *2893:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *2981:D 7.30318e-05
2 *2955:B 0.000484644
3 *2960:B_N 0.000310831
4 *3036:A 2.70468e-05
5 *2894:C 2.50285e-05
6 *2893:X 8.69192e-05
7 *265:20 0.000361252
8 *265:17 0.00106183
9 *265:8 0.000691739
10 *265:5 0.000272855
11 *2894:C *313:7 2.16355e-05
12 *2955:B *3037:A 4.84944e-05
13 *2955:B *3039:A 0.000245764
14 *2955:B *3151:C 6.50586e-05
15 *2955:B *308:7 1.67988e-05
16 *2955:B *369:44 0.000143047
17 *2955:B *666:46 0
18 *2955:B *714:41 0.000382369
19 *2960:B_N *3036:B 0.000171273
20 *2960:B_N *369:14 0.000311249
21 *2960:B_N *369:44 0.000252635
22 *2960:B_N *685:59 6.50586e-05
23 *2960:B_N *685:63 0.000417492
24 *3036:A *3036:B 6.50586e-05
25 *265:8 *309:6 0
26 *265:17 *3039:A 0.000334294
27 *265:17 *309:6 0
28 *265:17 *666:46 0
29 *265:17 *666:48 0
30 *265:20 *369:44 7.92757e-06
31 *2981:A *2981:D 5.90951e-05
32 *2981:C *2981:D 1.61631e-05
33 *2985:A *2981:D 9.55447e-05
34 *2985:A *265:5 0.00033061
35 *249:27 *2960:B_N 3.70988e-05
36 *249:27 *3036:A 4.31539e-05
37 *249:27 *265:20 1.65872e-05
38 *261:35 *2894:C 2.16355e-05
39 *261:35 *265:8 0.000222699
40 *261:35 *265:17 5.56367e-05
41 *263:6 *265:17 1.62054e-05
*RES
1 *2893:X *265:5 12.7456
2 *265:5 *265:8 8.40826
3 *265:8 *2894:C 14.4725
4 *265:8 *265:17 13.0438
5 *265:17 *265:20 5.2234
6 *265:20 *3036:A 10.5271
7 *265:20 *2960:B_N 20.944
8 *265:17 *2955:B 24.7573
9 *265:5 *2981:D 11.6605
*END
*D_NET *266 0.00169723
*CONN
*I *3007:A I *D sky130_fd_sc_hd__or2_2
*I *2895:B I *D sky130_fd_sc_hd__or2_1
*I *2894:X O *D sky130_fd_sc_hd__or3_1
*CAP
1 *3007:A 0.000110488
2 *2895:B 0.000156934
3 *2894:X 0.000134774
4 *266:6 0.000402196
5 *2895:B *666:48 0.000213064
6 *3007:A *3007:B 0.000164829
7 *266:6 *2981:B 7.86847e-05
8 *266:6 *666:48 0.000291645
9 *2981:C *266:6 2.19276e-05
10 *250:57 *3007:A 4.0752e-05
11 *262:18 *2895:B 4.62722e-05
12 *262:18 *266:6 2.56746e-05
13 *262:31 *266:6 9.99386e-06
*RES
1 *2894:X *266:6 18.9032
2 *266:6 *2895:B 18.0727
3 *266:6 *3007:A 16.691
*END
*D_NET *267 0.00447375
*CONN
*I *2907:S I *D sky130_fd_sc_hd__mux2_1
*I *2918:S I *D sky130_fd_sc_hd__mux2_1
*I *2901:S I *D sky130_fd_sc_hd__mux2_1
*I *2896:A I *D sky130_fd_sc_hd__buf_2
*I *2895:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *2907:S 0
2 *2918:S 0
3 *2901:S 0
4 *2896:A 0.000223869
5 *2895:X 0.000382177
6 *267:18 0.000382655
7 *267:13 0.000339844
8 *267:8 0.000563234
9 *2896:A *2902:A 0.000213676
10 *2896:A *666:55 9.46346e-05
11 *2896:A *670:28 8.3647e-05
12 *267:8 *805:DIODE 0.000413154
13 *267:8 *2908:B 0.000161234
14 *267:8 *2909:A 2.16355e-05
15 *267:8 *271:29 8.2101e-05
16 *267:8 *271:38 0.000212409
17 *267:8 *522:19 0.000368568
18 *267:8 *685:16 0.000367499
19 *267:13 *789:DIODE 0.000121696
20 *267:13 *2859:A0 2.41483e-05
21 *267:13 *2909:A 0
22 *267:13 *2918:A1 0.000222229
23 *267:13 *685:16 0.000192931
24 *267:18 *670:28 0
25 *2858:A *2896:A 2.41274e-06
26 *3351:D *267:8 0
*RES
1 *2895:X *267:8 30.1612
2 *267:8 *267:13 11.3501
3 *267:13 *267:18 12.0778
4 *267:18 *2896:A 25.4074
5 *267:18 *2901:S 9.24915
6 *267:13 *2918:S 9.24915
7 *267:8 *2907:S 13.7491
*END
*D_NET *268 0.00996098
*CONN
*I *2915:S I *D sky130_fd_sc_hd__mux2_1
*I *2912:S I *D sky130_fd_sc_hd__mux2_1
*I *2897:S I *D sky130_fd_sc_hd__mux2_1
*I *2904:S I *D sky130_fd_sc_hd__mux2_1
*I *2921:S I *D sky130_fd_sc_hd__mux2_1
*I *2896:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *2915:S 0.000114905
2 *2912:S 0.000356589
3 *2897:S 0.000128718
4 *2904:S 0.000133317
5 *2921:S 0.000264249
6 *2896:X 0.000110422
7 *268:41 0.00205569
8 *268:24 0.0021936
9 *268:22 0.000585625
10 *268:20 0.000494658
11 *268:5 0.000658699
12 *2897:S *665:11 0.000115934
13 *2897:S *665:25 0.000111722
14 *2904:S *2890:A 0
15 *2904:S *2890:D 3.57027e-05
16 *2904:S *2904:A1 5.04734e-05
17 *2912:S *2906:A 4.83831e-05
18 *2912:S *670:35 0.000413252
19 *2915:S *645:49 0.000103123
20 *2921:S *2890:A 2.65667e-05
21 *2921:S *2921:A0 3.01683e-06
22 *2921:S *348:21 9.87421e-05
23 *268:5 *2890:A 0.000147325
24 *268:20 *2890:A 0
25 *268:20 *2890:B 0.000101148
26 *268:20 *2890:D 5.16327e-05
27 *268:22 *2890:A 0
28 *268:22 *2890:D 3.9739e-05
29 *268:24 *2890:A 0
30 *268:24 *2890:D 4.97193e-05
31 *268:41 *2847:A0 0.000434572
32 *268:41 *2851:A1 0.000277502
33 *268:41 *2853:A1 0.000313481
34 *268:41 *2904:A1 5.65954e-05
35 *268:41 *3400:CLK 9.12601e-05
36 *268:41 *632:47 0.000258143
37 *268:41 *665:25 3.31733e-05
38 *3400:D *268:41 3.28898e-06
39 *3403:D *268:20 0
*RES
1 *2896:X *268:5 12.7456
2 *268:5 *2921:S 15.5427
3 *268:5 *268:20 11.8036
4 *268:20 *268:22 2.6625
5 *268:22 *268:24 3.493
6 *268:24 *2904:S 17.6574
7 *268:24 *268:41 49.322
8 *268:41 *2897:S 17.2697
9 *268:22 *2912:S 22.8157
10 *268:20 *2915:S 16.691
*END
*D_NET *269 0.000423364
*CONN
*I *2898:B I *D sky130_fd_sc_hd__and2_1
*I *2897:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *2898:B 0.000183859
2 *2897:X 0.000183859
3 *2898:B *2899:A 5.56461e-05
*RES
1 *2897:X *2898:B 23.538
*END
*D_NET *270 0.000493012
*CONN
*I *2899:A I *D sky130_fd_sc_hd__clkbuf_1
*I *2898:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *2899:A 0.000210796
2 *2898:X 0.000210796
3 *2899:A *3400:CLK 1.07248e-05
4 *2847:S *2899:A 0
5 *2849:A *2899:A 0
6 *2898:B *2899:A 5.56461e-05
7 *248:40 *2899:A 5.04829e-06
*RES
1 *2898:X *2899:A 31.5781
*END
*D_NET *271 0.010688
*CONN
*I *2908:A I *D sky130_fd_sc_hd__or2_1
*I *3009:A I *D sky130_fd_sc_hd__or2_1
*I *2919:A I *D sky130_fd_sc_hd__or2_1
*I *2902:A I *D sky130_fd_sc_hd__or2_1
*I *3051:A I *D sky130_fd_sc_hd__or2_1
*I *2900:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *2908:A 1.03162e-05
2 *3009:A 0.000281239
3 *2919:A 0
4 *2902:A 0.000328295
5 *3051:A 0.000600223
6 *2900:X 0
7 *271:40 0.000541939
8 *271:38 0.000971139
9 *271:29 0.00137444
10 *271:4 0.00148809
11 *2902:A *2850:A0 0
12 *2902:A *2862:A0 0.00119353
13 *2902:A *2901:A1 0.000543025
14 *2902:A *2921:A1 6.50727e-05
15 *2902:A *3404:CLK 0
16 *2902:A *666:55 0.000128094
17 *2902:A *670:28 0
18 *3009:A *3008:A0 1.80887e-05
19 *3009:A *666:78 0.00012123
20 *3051:A *3104:B1 5.04829e-06
21 *3051:A *310:9 1.65872e-05
22 *3051:A *670:28 0.00010828
23 *3051:A *674:78 0.000258114
24 *3051:A *676:24 0.000114594
25 *271:29 *2908:B 2.16355e-05
26 *271:29 *2909:A 0.000107496
27 *271:29 *3372:CLK 2.41274e-06
28 *271:29 *522:55 0.000128678
29 *271:29 *646:10 0.000186445
30 *271:29 *646:48 0.000108381
31 *271:29 *670:28 0
32 *271:29 *676:24 0.000182184
33 *271:29 *685:16 7.77309e-06
34 *271:29 *685:36 0.00010689
35 *271:38 *2908:B 4.87301e-05
36 *271:38 *348:9 5.19349e-05
37 *271:40 *348:9 9.28672e-05
38 *271:40 *670:28 0
39 *2896:A *2902:A 0.000213676
40 *3345:D *271:29 0
41 *3372:D *271:29 2.17381e-05
42 *3408:D *3051:A 0.000101148
43 *249:43 *3051:A 0
44 *249:43 *271:29 5.04829e-06
45 *250:57 *271:29 7.10804e-05
46 *254:11 *3051:A 7.23987e-05
47 *254:74 *3051:A 1.96574e-05
48 *262:9 *2902:A 0.000172691
49 *262:9 *271:38 0.00011497
50 *262:9 *271:40 0.000388279
51 *267:8 *271:29 8.2101e-05
52 *267:8 *271:38 0.000212409
*RES
1 *2900:X *271:4 9.24915
2 *271:4 *3051:A 33.8602
3 *271:4 *271:29 39.6222
4 *271:29 *271:38 15.428
5 *271:38 *271:40 7.23027
6 *271:40 *2902:A 40.7229
7 *271:40 *2919:A 13.7491
8 *271:38 *3009:A 20.5732
9 *271:29 *2908:A 9.82786
*END
*D_NET *272 0.000329304
*CONN
*I *2902:B I *D sky130_fd_sc_hd__or2_1
*I *2901:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *2902:B 0.000127212
2 *2901:X 0.000127212
3 *2902:B *3404:CLK 7.48797e-05
4 *2902:B *666:55 0
*RES
1 *2901:X *2902:B 20.9096
*END
*D_NET *273 0.000716268
*CONN
*I *2903:A I *D sky130_fd_sc_hd__clkbuf_1
*I *2902:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *2903:A 0.000102317
2 *2902:X 0.000102317
3 *2903:A *784:DIODE 0.000175485
4 *2903:A *2850:A0 1.32509e-05
5 *2903:A *2857:A1 9.43043e-05
6 *2903:A *3404:CLK 0
7 *2857:S *2903:A 0.000228593
*RES
1 *2902:X *2903:A 32.1327
*END
*D_NET *274 0.000816451
*CONN
*I *2905:B I *D sky130_fd_sc_hd__and2_1
*I *2904:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *2905:B 0.000194439
2 *2904:X 0.000194439
3 *2905:B *818:DIODE 0.000122083
4 *2905:B *2906:A 5.04829e-06
5 *2905:B *3352:CLK 6.66538e-05
6 *2905:B *645:32 5.9708e-05
7 *2905:B *667:90 4.7294e-05
8 *2905:B *670:35 0.000113968
9 *260:8 *2905:B 1.2819e-05
*RES
1 *2904:X *2905:B 34.3456
*END
*D_NET *275 0.000832312
*CONN
*I *2906:A I *D sky130_fd_sc_hd__clkbuf_1
*I *2905:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *2906:A 0.000360247
2 *2905:X 0.000360247
3 *2906:A *2912:A1 5.0459e-05
4 *2906:A *670:35 7.92757e-06
5 *2905:B *2906:A 5.04829e-06
6 *2912:S *2906:A 4.83831e-05
7 *260:8 *2906:A 0
*RES
1 *2905:X *2906:A 33.7966
*END
*D_NET *276 0.00141891
*CONN
*I *2908:B I *D sky130_fd_sc_hd__or2_1
*I *2907:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *2908:B 0.000321145
2 *2907:X 0.000321145
3 *2908:B *786:DIODE 2.41274e-06
4 *2908:B *2920:A 3.94679e-05
5 *2908:B *646:14 0.000196638
6 *2908:B *685:16 0.000306497
7 *267:8 *2908:B 0.000161234
8 *271:29 *2908:B 2.16355e-05
9 *271:38 *2908:B 4.87301e-05
*RES
1 *2907:X *2908:B 37.2874
*END
*D_NET *277 0.001323
*CONN
*I *2909:A I *D sky130_fd_sc_hd__clkbuf_1
*I *2908:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *2909:A 0.000446794
2 *2908:X 0.000446794
3 *2909:A *786:DIODE 6.50727e-05
4 *2909:A *2920:A 6.92705e-05
5 *2909:A *3345:CLK 9.18559e-06
6 *2909:A *747:8 0
7 *3345:D *2909:A 8.62625e-06
8 *3351:D *2909:A 0.000148129
9 *267:8 *2909:A 2.16355e-05
10 *267:13 *2909:A 0
11 *271:29 *2909:A 0.000107496
*RES
1 *2908:X *2909:A 38.6725
*END
*D_NET *278 0.00736574
*CONN
*I *2911:A I *D sky130_fd_sc_hd__clkbuf_2
*I *2931:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *2948:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3000:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *3021:A I *D sky130_fd_sc_hd__clkbuf_2
*I *2910:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *2911:A 0
2 *2931:A 0.000277173
3 *2948:A 4.68153e-05
4 *3000:A 0.000184799
5 *3021:A 0.000301672
6 *2910:X 3.01621e-05
7 *278:31 0.000509321
8 *278:26 0.00063092
9 *278:16 0.000928102
10 *278:5 0.00062955
11 *2931:A *2945:S 0.000136523
12 *2931:A *725:15 0.000527284
13 *2931:A *732:42 0
14 *2948:A *2950:B 6.23875e-05
15 *2948:A *695:32 3.12057e-05
16 *2948:A *725:15 6.08467e-05
17 *3000:A *334:14 3.05511e-05
18 *3000:A *358:12 0.000339108
19 *3000:A *617:19 2.84001e-05
20 *3021:A *857:DIODE 6.92705e-05
21 *3021:A *732:31 0.000367321
22 *278:5 *732:31 1.73057e-05
23 *278:16 *3341:A0 0.000313698
24 *278:16 *358:12 0.000565866
25 *278:16 *617:19 6.77328e-05
26 *278:26 *840:DIODE 0.000255439
27 *278:26 *3005:B 0.000207266
28 *278:26 *288:5 0.000209924
29 *278:26 *288:25 0.000257133
30 *278:31 *840:DIODE 6.92705e-05
31 *278:31 *2950:A 7.8756e-07
32 *278:31 *288:5 2.65831e-05
33 *278:31 *305:29 7.6707e-05
34 *278:31 *667:28 0
35 *278:31 *725:15 2.95757e-05
36 *3378:D *3000:A 7.70407e-05
*RES
1 *2910:X *278:5 9.97254
2 *278:5 *3021:A 18.3157
3 *278:5 *278:16 15.0523
4 *278:16 *3000:A 20.1489
5 *278:16 *278:26 18.5339
6 *278:26 *278:31 9.5469
7 *278:31 *2948:A 15.5817
8 *278:31 *2931:A 23.4709
9 *278:26 *2911:A 9.24915
*END
*D_NET *279 0.0145974
*CONN
*I *2922:A I *D sky130_fd_sc_hd__and2_1
*I *2913:A I *D sky130_fd_sc_hd__and2_1
*I *2916:A I *D sky130_fd_sc_hd__and2_1
*I *2929:A I *D sky130_fd_sc_hd__and2_1
*I *2926:A I *D sky130_fd_sc_hd__and2_1
*I *2911:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *2922:A 0.000210481
2 *2913:A 0.000458262
3 *2916:A 0
4 *2929:A 0
5 *2926:A 0.000335811
6 *2911:X 0
7 *279:49 0.00062895
8 *279:44 0.00101383
9 *279:43 0.00176432
10 *279:9 0.000608256
11 *279:4 0.0014041
12 *2913:A *2913:B 0.000101148
13 *2913:A *330:8 0
14 *2913:A *348:21 2.16355e-05
15 *2913:A *678:6 0.000727423
16 *2922:A *657:8 7.88424e-05
17 *2926:A *2926:B 6.66538e-05
18 *2926:A *2928:A1 6.50727e-05
19 *2926:A *2929:B 6.50727e-05
20 *2926:A *2930:A 0
21 *2926:A *3249:A1 0
22 *2926:A *622:26 0
23 *2926:A *622:41 0
24 *2926:A *731:8 0
25 *279:9 *2925:S 0.000211478
26 *279:9 *2928:A1 0.000419643
27 *279:9 *2929:B 0.000412587
28 *279:9 *288:5 0.000424548
29 *279:9 *695:32 0.000225519
30 *279:43 *3004:A0 1.81884e-05
31 *279:43 *3005:B 7.8874e-05
32 *279:43 *3338:A0 0.000264612
33 *279:43 *3341:S 0.000652912
34 *279:43 *288:5 0.000355875
35 *279:43 *288:25 0.000676529
36 *279:43 *332:5 0.000314433
37 *279:43 *332:9 0.000459711
38 *279:43 *640:7 0.000923433
39 *279:44 *2824:A1 0.000114715
40 *279:44 *2824:A2 0.000195124
41 *279:44 *2892:A 0
42 *279:44 *2985:D_N 2.1203e-06
43 *279:44 *3171:A1 0
44 *279:44 *351:25 0
45 *279:44 *351:38 0
46 *279:44 *622:11 0
47 *279:44 *656:8 0.000116986
48 *279:44 *667:75 2.86353e-06
49 *279:44 *673:25 0
50 *279:44 *675:16 4.28288e-05
51 *279:44 *678:6 0.000684085
52 *279:49 *348:21 3.07848e-05
53 *279:49 *351:8 0
54 *279:49 *351:25 0
55 *279:49 *678:6 0.000177326
56 *3338:A1 *279:43 0.000170675
57 *3357:D *2926:A 2.54809e-05
58 *3384:D *2913:A 4.61732e-05
59 *3434:D *2926:A 0
*RES
1 *2911:X *279:4 9.24915
2 *279:4 *279:9 12.9729
3 *279:9 *2926:A 27.2532
4 *279:9 *2929:A 9.24915
5 *279:4 *279:43 41.9719
6 *279:43 *279:44 20.1031
7 *279:44 *279:49 8.7164
8 *279:49 *2916:A 9.24915
9 *279:49 *2913:A 33.4578
10 *279:44 *2922:A 18.9094
*END
*D_NET *280 0.000544374
*CONN
*I *2913:B I *D sky130_fd_sc_hd__and2_1
*I *2912:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *2913:B 0.000157905
2 *2912:X 0.000157905
3 *2913:B *2912:A0 0.00011818
4 *2913:B *330:8 0
5 *2913:B *678:6 9.2346e-06
6 *2913:A *2913:B 0.000101148
*RES
1 *2912:X *2913:B 31.0235
*END
*D_NET *281 0.000304165
*CONN
*I *2914:A I *D sky130_fd_sc_hd__clkbuf_1
*I *2913:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *2914:A 0.000102577
2 *2913:X 0.000102577
3 *2914:A *669:11 9.90116e-05
*RES
1 *2913:X *2914:A 21.4401
*END
*D_NET *282 0.00183618
*CONN
*I *2916:B I *D sky130_fd_sc_hd__and2_1
*I *2915:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *2916:B 0.000342393
2 *2915:X 0.000342393
3 *2916:B *2917:A 8.90486e-05
4 *2916:B *2921:A0 0.00027329
5 *2916:B *330:8 0
6 *2916:B *348:21 0.00058323
7 *2916:B *670:54 1.84293e-05
8 *3353:D *2916:B 9.75356e-05
9 *260:8 *2916:B 8.9863e-05
*RES
1 *2915:X *2916:B 40.4756
*END
*D_NET *283 0.000916585
*CONN
*I *2917:A I *D sky130_fd_sc_hd__clkbuf_1
*I *2916:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *2917:A 0.000288707
2 *2916:X 0.000288707
3 *2917:A *2921:A0 6.50586e-05
4 *2917:A *330:8 0.0001549
5 *2916:B *2917:A 8.90486e-05
6 *3353:D *2917:A 3.01634e-05
7 *260:8 *2917:A 0
*RES
1 *2916:X *2917:A 36.4246
*END
*D_NET *284 0.000329811
*CONN
*I *2919:B I *D sky130_fd_sc_hd__or2_1
*I *2918:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *2919:B 0.000119696
2 *2918:X 0.000119696
3 *2919:B *3506:A 9.04199e-05
*RES
1 *2918:X *2919:B 20.9096
*END
*D_NET *285 0.00260487
*CONN
*I *2920:A I *D sky130_fd_sc_hd__clkbuf_1
*I *2919:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *2920:A 0.000588309
2 *2919:X 0.000588309
3 *2920:A *786:DIODE 0.000435297
4 *2920:A *789:DIODE 0.000315549
5 *2920:A *3010:A 0.000158371
6 *2920:A *3380:CLK 8.62602e-05
7 *2920:A *348:9 2.41483e-05
8 *2920:A *666:55 0.000151741
9 *2908:B *2920:A 3.94679e-05
10 *2909:A *2920:A 6.92705e-05
11 *262:9 *2920:A 0.000148144
*RES
1 *2919:X *2920:A 46.9918
*END
*D_NET *286 0.000238615
*CONN
*I *2922:B I *D sky130_fd_sc_hd__and2_1
*I *2921:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *2922:B 9.59232e-05
2 *2921:X 9.59232e-05
3 *2922:B *790:DIODE 3.96425e-05
4 *2922:B *2921:A0 7.12632e-06
5 *2922:B *2921:A1 0
*RES
1 *2921:X *2922:B 29.7455
*END
*D_NET *287 0.000607104
*CONN
*I *2923:A I *D sky130_fd_sc_hd__clkbuf_1
*I *2922:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *2923:A 0.000130427
2 *2922:X 0.000130427
3 *2923:A *790:DIODE 0
4 *2923:A *2921:A1 3.67528e-06
5 *2923:A *330:8 0
6 *2923:A *667:90 0.000171288
7 *2923:A *671:120 0.000171288
8 *260:8 *2923:A 0
*RES
1 *2922:X *2923:A 31.9934
*END
*D_NET *288 0.00742338
*CONN
*I *3342:A1 I *D sky130_fd_sc_hd__o21a_1
*I *3339:B_N I *D sky130_fd_sc_hd__or2b_1
*I *3340:A1 I *D sky130_fd_sc_hd__o211a_1
*I *2928:S I *D sky130_fd_sc_hd__mux2_1
*I *2925:S I *D sky130_fd_sc_hd__mux2_1
*I *2924:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3342:A1 0.000144772
2 *3339:B_N 0
3 *3340:A1 0.000417987
4 *2928:S 0
5 *2925:S 0.000257832
6 *2924:X 0
7 *288:31 0.00120567
8 *288:25 0.00103338
9 *288:5 0.000392072
10 *288:4 0.000235166
11 *2925:S *2925:A0 6.50586e-05
12 *2925:S *2928:A1 6.73186e-05
13 *2925:S *3484:A 6.49003e-05
14 *2925:S *3499:A 0.000209961
15 *2925:S *695:8 6.08697e-06
16 *2925:S *695:32 4.20322e-05
17 *3340:A1 *2821:A2 3.21865e-05
18 *3340:A1 *3004:A1 0
19 *3340:A1 *3167:A1 1.88152e-05
20 *3340:A1 *3175:A1 8.47525e-05
21 *3340:A1 *3340:A2 3.75382e-05
22 *3340:A1 *3340:B1 6.50586e-05
23 *3340:A1 *3501:A 8.33054e-05
24 *3340:A1 *522:19 1.5613e-05
25 *3340:A1 *616:19 0.000116755
26 *3340:A1 *621:15 6.36477e-05
27 *3342:A1 *358:12 3.96308e-05
28 *3342:A1 *669:59 0.000139288
29 *288:5 *840:DIODE 3.21548e-05
30 *288:25 *3005:B 6.08467e-05
31 *288:31 *3004:A1 2.8429e-05
32 *288:31 *3006:A 6.85778e-05
33 *288:31 *3167:A1 9.80242e-07
34 *288:31 *358:12 1.64462e-05
35 *288:31 *620:23 9.19632e-06
36 *288:31 *669:59 0.000125263
37 *3378:D *288:31 8.05974e-05
38 *278:26 *288:5 0.000209924
39 *278:26 *288:25 0.000257133
40 *278:31 *288:5 2.65831e-05
41 *279:9 *2925:S 0.000211478
42 *279:9 *288:5 0.000424548
43 *279:43 *288:5 0.000355875
44 *279:43 *288:25 0.000676529
*RES
1 *2924:X *288:4 9.24915
2 *288:4 *288:5 8.48785
3 *288:5 *2925:S 26.9318
4 *288:5 *2928:S 9.24915
5 *288:4 *288:25 11.8786
6 *288:25 *288:31 17.1936
7 *288:31 *3340:A1 29.7531
8 *288:31 *3339:B_N 9.24915
9 *288:25 *3342:A1 17.7307
*END
*D_NET *289 0.000266123
*CONN
*I *2926:B I *D sky130_fd_sc_hd__and2_1
*I *2925:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *2926:B 8.31479e-05
2 *2925:X 8.31479e-05
3 *2926:B *3434:CLK 3.31733e-05
4 *2926:B *622:26 0
5 *2926:B *695:8 0
6 *2926:A *2926:B 6.66538e-05
*RES
1 *2925:X *2926:B 30.1608
*END
*D_NET *290 0.0011556
*CONN
*I *2927:A I *D sky130_fd_sc_hd__clkbuf_1
*I *2926:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *2927:A 0.00040079
2 *2926:X 0.00040079
3 *2927:A *3375:CLK 8.85525e-05
4 *2927:A *3434:CLK 0
5 *2927:A *3492:A 0
6 *2927:A *622:26 1.92793e-05
7 *2927:A *695:8 0.000101133
8 *3356:D *2927:A 0.00014506
*RES
1 *2926:X *2927:A 39.192
*END
*D_NET *291 0.000872655
*CONN
*I *2929:B I *D sky130_fd_sc_hd__and2_1
*I *2928:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *2929:B 0.000173447
2 *2928:X 0.000173447
3 *2929:B *2928:A1 2.15184e-05
4 *2929:B *695:32 2.65831e-05
5 *2926:A *2929:B 6.50727e-05
6 *279:9 *2929:B 0.000412587
*RES
1 *2928:X *2929:B 24.816
*END
*D_NET *292 0.000462095
*CONN
*I *2930:A I *D sky130_fd_sc_hd__clkbuf_1
*I *2929:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *2930:A 0.000159067
2 *2929:X 0.000159067
3 *2930:A *2928:A1 0.000113968
4 *2930:A *3249:A1 0
5 *2926:A *2930:A 0
6 *3357:D *2930:A 2.99929e-05
*RES
1 *2929:X *2930:A 31.0235
*END
*D_NET *293 0.00361969
*CONN
*I *2946:A I *D sky130_fd_sc_hd__and2_1
*I *2943:A I *D sky130_fd_sc_hd__and2_1
*I *2940:A I *D sky130_fd_sc_hd__and2_1
*I *2937:A I *D sky130_fd_sc_hd__and2_1
*I *2934:A I *D sky130_fd_sc_hd__and2_1
*I *2931:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *2946:A 0
2 *2943:A 0
3 *2940:A 0.000159152
4 *2937:A 0
5 *2934:A 0.000441056
6 *2931:X 0.000103039
7 *293:26 0.000347916
8 *293:24 0.000546545
9 *293:14 0.000456714
10 *293:5 0.000643028
11 *2934:A *2935:A 0.000277488
12 *2940:A *2943:B 0.000122378
13 *293:5 *696:28 9.14669e-05
14 *293:14 *2937:B 8.07939e-05
15 *293:14 *622:41 0
16 *293:24 *2937:B 1.79196e-05
17 *293:24 *2944:A 2.82583e-05
18 *293:24 *2946:B 0.000118166
19 *293:24 *2947:A 5.04829e-06
20 *293:24 *3364:CLK 0
21 *293:24 *622:41 0
22 *293:24 *642:32 0
23 *293:24 *725:15 0
24 *293:26 *2943:B 0.000118166
25 *293:26 *2944:A 6.25562e-05
*RES
1 *2931:X *293:5 12.191
2 *293:5 *2934:A 20.51
3 *293:5 *293:14 7.57775
4 *293:14 *2937:A 13.7491
5 *293:14 *293:24 13.7022
6 *293:24 *293:26 5.16022
7 *293:26 *2940:A 13.3002
8 *293:26 *2943:A 9.24915
9 *293:24 *2946:A 9.24915
*END
*D_NET *294 0.00614911
*CONN
*I *2945:S I *D sky130_fd_sc_hd__mux2_1
*I *2936:S I *D sky130_fd_sc_hd__mux2_1
*I *2942:S I *D sky130_fd_sc_hd__mux2_1
*I *2939:S I *D sky130_fd_sc_hd__mux2_1
*I *2933:S I *D sky130_fd_sc_hd__mux2_1
*I *2932:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *2945:S 0.000361499
2 *2936:S 3.64428e-05
3 *2942:S 0.000436915
4 *2939:S 0
5 *2933:S 0.000169783
6 *2932:X 4.20076e-05
7 *294:19 0.000682253
8 *294:11 0.000673737
9 *294:7 0.000356976
10 *294:5 0.000465424
11 *2933:S *2934:B 0
12 *2933:S *3357:CLK 0
13 *2933:S *622:41 0
14 *2942:S *2940:B 0
15 *2942:S *2941:A 0
16 *2942:S *2942:A1 6.50727e-05
17 *2942:S *2943:B 0
18 *2942:S *622:41 0.000106206
19 *2942:S *642:13 9.82896e-06
20 *2942:S *642:27 7.34948e-06
21 *2945:S *2945:A0 6.50727e-05
22 *2945:S *2947:A 7.41058e-05
23 *2945:S *3364:CLK 6.50727e-05
24 *2945:S *667:28 0
25 *2945:S *725:15 0.000495372
26 *294:5 *2936:A0 0.00016553
27 *294:7 *2936:A0 0.000154145
28 *294:11 *2936:A0 0.000118166
29 *294:11 *2936:A1 0.000842351
30 *294:11 *2937:B 0.000115934
31 *294:11 *638:53 1.00846e-05
32 *294:19 *2934:B 0
33 *294:19 *2940:B 0
34 *294:19 *622:41 0
35 *2931:A *2945:S 0.000136523
36 *3358:D *2933:S 3.20069e-06
37 *3358:D *294:19 3.95141e-05
38 *3359:D *2945:S 0.000142332
39 *3362:D *2945:S 0.000190028
40 *169:17 *2942:S 0.00011818
*RES
1 *2932:X *294:5 11.0817
2 *294:5 *294:7 1.8326
3 *294:7 *294:11 14.0971
4 *294:11 *2933:S 17.6574
5 *294:11 *294:19 5.98452
6 *294:19 *2939:S 13.7491
7 *294:19 *2942:S 25.7429
8 *294:7 *2936:S 10.2378
9 *294:5 *2945:S 32.5049
*END
*D_NET *295 0.000640038
*CONN
*I *2934:B I *D sky130_fd_sc_hd__and2_1
*I *2933:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *2934:B 0.000296915
2 *2933:X 0.000296915
3 *2934:B *2933:A1 3.14978e-05
4 *2934:B *3357:CLK 1.47102e-05
5 *2934:B *543:22 0
6 *2934:B *721:13 0
7 *2933:S *2934:B 0
8 *3358:D *2934:B 0
9 *294:19 *2934:B 0
*RES
1 *2933:X *2934:B 33.791
*END
*D_NET *296 0.000441064
*CONN
*I *2935:A I *D sky130_fd_sc_hd__clkbuf_1
*I *2934:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *2935:A 8.1788e-05
2 *2934:X 8.1788e-05
3 *2934:A *2935:A 0.000277488
*RES
1 *2934:X *2935:A 21.4401
*END
*D_NET *297 0.000878288
*CONN
*I *2937:B I *D sky130_fd_sc_hd__and2_1
*I *2936:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *2937:B 0.000284364
2 *2936:X 0.000284364
3 *2937:B *3359:CLK 0
4 *2937:B *622:41 0
5 *2937:B *696:28 9.49135e-05
6 *2937:B *725:15 0
7 *293:14 *2937:B 8.07939e-05
8 *293:24 *2937:B 1.79196e-05
9 *294:11 *2937:B 0.000115934
*RES
1 *2936:X *2937:B 34.7608
*END
*D_NET *298 0.000347664
*CONN
*I *2938:A I *D sky130_fd_sc_hd__clkbuf_1
*I *2937:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *2938:A 6.48706e-05
2 *2937:X 6.48706e-05
3 *2938:A *3179:B2 0.000217923
*RES
1 *2937:X *2938:A 20.8855
*END
*D_NET *299 0.00067219
*CONN
*I *2940:B I *D sky130_fd_sc_hd__and2_1
*I *2939:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *2940:B 0.000298478
2 *2939:X 0.000298478
3 *2940:B *2941:A 7.15593e-05
4 *2940:B *2943:B 3.67528e-06
5 *2940:B *699:9 0
6 *2940:B *721:8 0
7 *2940:B *721:13 0
8 *2940:B *722:8 0
9 *2942:S *2940:B 0
10 *294:19 *2940:B 0
*RES
1 *2939:X *2940:B 35.1438
*END
*D_NET *300 0.00141959
*CONN
*I *2941:A I *D sky130_fd_sc_hd__clkbuf_1
*I *2940:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *2941:A 0.000324825
2 *2940:X 0.000324825
3 *2941:A *642:37 0.000334808
4 *2940:B *2941:A 7.15593e-05
5 *2942:S *2941:A 0
6 *3360:D *2941:A 0.000363576
*RES
1 *2940:X *2941:A 38.6486
*END
*D_NET *301 0.000571703
*CONN
*I *2943:B I *D sky130_fd_sc_hd__and2_1
*I *2942:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *2943:B 0.000163742
2 *2942:X 0.000163742
3 *2943:B *3261:A1 0
4 *2943:B *722:8 0
5 *2940:A *2943:B 0.000122378
6 *2940:B *2943:B 3.67528e-06
7 *2942:S *2943:B 0
8 *293:26 *2943:B 0.000118166
*RES
1 *2942:X *2943:B 32.1327
*END
*D_NET *302 0.000925076
*CONN
*I *2944:A I *D sky130_fd_sc_hd__clkbuf_1
*I *2943:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *2944:A 0.000401382
2 *2943:X 0.000401382
3 *2944:A *2942:A0 0
4 *2944:A *2946:B 3.14978e-05
5 *2944:A *642:32 0
6 *293:24 *2944:A 2.82583e-05
7 *293:26 *2944:A 6.25562e-05
*RES
1 *2943:X *2944:A 34.3512
*END
*D_NET *303 0.000411447
*CONN
*I *2946:B I *D sky130_fd_sc_hd__and2_1
*I *2945:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *2946:B 0.00011077
2 *2945:X 0.00011077
3 *2946:B *2947:A 4.02438e-05
4 *2944:A *2946:B 3.14978e-05
5 *293:24 *2946:B 0.000118166
*RES
1 *2945:X *2946:B 22.4287
*END
*D_NET *304 0.00209643
*CONN
*I *2947:A I *D sky130_fd_sc_hd__clkbuf_1
*I *2946:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *2947:A 0.000588642
2 *2946:X 0.000588642
3 *2947:A *3179:B2 0.000267404
4 *2947:A *3364:CLK 0.000313495
5 *2945:S *2947:A 7.41058e-05
6 *2946:B *2947:A 4.02438e-05
7 *3362:D *2947:A 0.000218852
8 *293:24 *2947:A 5.04829e-06
*RES
1 *2946:X *2947:A 41.7242
*END
*D_NET *305 0.00541127
*CONN
*I *2992:A I *D sky130_fd_sc_hd__and2_1
*I *2989:A I *D sky130_fd_sc_hd__and2_1
*I *2995:A I *D sky130_fd_sc_hd__and2_1
*I *2998:A I *D sky130_fd_sc_hd__and2_1
*I *2950:A I *D sky130_fd_sc_hd__and2_1
*I *2948:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *2992:A 6.85035e-05
2 *2989:A 2.31637e-05
3 *2995:A 2.31818e-05
4 *2998:A 0.000377998
5 *2950:A 0.000357454
6 *2948:X 6.37689e-05
7 *305:33 0.000826595
8 *305:31 0.000533252
9 *305:29 0.00061539
10 *305:10 0.000883437
11 *2950:A *2951:A 2.81584e-05
12 *2950:A *3363:CLK 6.0685e-05
13 *2950:A *3499:A 1.43848e-05
14 *2950:A *696:28 0
15 *2950:A *725:15 7.55264e-05
16 *2989:A *2989:B 6.50727e-05
17 *2992:A *2992:B 4.24529e-05
18 *2992:A *622:21 6.12686e-06
19 *2995:A *2995:B 6.50586e-05
20 *2998:A *2998:B 0.000112335
21 *2998:A *2999:A 5.04734e-05
22 *2998:A *667:10 0
23 *2998:A *670:67 0
24 *305:10 *2928:A0 1.77537e-06
25 *305:10 *2950:B 9.18559e-06
26 *305:10 *695:32 6.92705e-05
27 *305:10 *696:28 4.89469e-06
28 *305:29 *840:DIODE 0.00029238
29 *305:29 *2928:A0 0
30 *305:29 *3499:A 0
31 *305:29 *622:21 1.56657e-05
32 *305:29 *673:12 3.42625e-05
33 *305:31 *2989:B 1.09738e-05
34 *305:31 *622:21 2.69064e-05
35 *305:31 *667:28 0
36 *305:31 *673:12 7.90257e-05
37 *305:33 *2989:B 0.000147112
38 *305:33 *2990:A 0.000325354
39 *305:33 *2995:B 0
40 *305:33 *3375:CLK 0
41 *305:33 *667:10 0
42 *305:33 *667:28 0
43 *305:33 *673:12 2.39535e-05
44 *278:31 *2950:A 7.8756e-07
45 *278:31 *305:29 7.6707e-05
*RES
1 *2948:X *305:10 15.584
2 *305:10 *2950:A 22.91
3 *305:10 *305:29 11.9812
4 *305:29 *305:31 3.07775
5 *305:31 *305:33 13.4591
6 *305:33 *2998:A 23.2542
7 *305:33 *2995:A 14.4725
8 *305:31 *2989:A 14.4725
9 *305:29 *2992:A 15.6059
*END
*D_NET *306 0.00104014
*CONN
*I *2950:B I *D sky130_fd_sc_hd__and2_1
*I *2949:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *2950:B 0.000362293
2 *2949:X 0.000362293
3 *2950:B *2933:A0 1.77537e-06
4 *2950:B *3499:A 0.000101133
5 *2950:B *695:32 4.7081e-06
6 *2950:B *696:7 9.18559e-06
7 *2950:B *696:28 0.000127179
8 *2948:A *2950:B 6.23875e-05
9 *305:10 *2950:B 9.18559e-06
*RES
1 *2949:X *2950:B 34.3512
*END
*D_NET *307 0.00229153
*CONN
*I *2951:A I *D sky130_fd_sc_hd__clkbuf_1
*I *2950:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *2951:A 0.00068914
2 *2950:X 0.00068914
3 *2951:A *2949:A0 0.000157497
4 *2951:A *3363:CLK 2.65831e-05
5 *2951:A *3457:CLK 7.77309e-06
6 *2951:A *667:28 2.04806e-05
7 *2951:A *695:32 1.65872e-05
8 *2951:A *732:50 6.50586e-05
9 *2950:A *2951:A 2.81584e-05
10 *3363:D *2951:A 0.000591114
*RES
1 *2950:X *2951:A 40.6206
*END
*D_NET *308 0.00256105
*CONN
*I *2955:A I *D sky130_fd_sc_hd__or4_2
*I *2960:A_N I *D sky130_fd_sc_hd__and4bb_1
*I *3157:A I *D sky130_fd_sc_hd__or4b_2
*I *2952:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *2955:A 0
2 *2960:A_N 6.69366e-05
3 *3157:A 0.000159552
4 *2952:Y 7.12023e-05
5 *308:10 0.000331395
6 *308:7 0.000176109
7 *2960:A_N *685:63 0.000151453
8 *3157:A *3157:C 6.92705e-05
9 *3157:A *310:9 0
10 *3157:A *315:8 0.000167076
11 *3157:A *622:6 3.22726e-05
12 *3157:A *685:56 4.98393e-05
13 *308:7 *2955:C 0.000324166
14 *308:7 *3151:C 0.000179996
15 *308:7 *714:41 0.000169041
16 *308:10 *3151:A 1.44611e-05
17 *308:10 *369:14 0.000130777
18 *308:10 *622:6 4.66004e-05
19 *308:10 *685:56 2.36813e-05
20 *308:10 *685:109 1.3807e-05
21 *2955:B *308:7 1.67988e-05
22 *249:27 *2960:A_N 0.000366617
*RES
1 *2952:Y *308:7 13.8789
2 *308:7 *308:10 8.40826
3 *308:10 *3157:A 18.823
4 *308:10 *2960:A_N 17.8002
5 *308:7 *2955:A 9.24915
*END
*D_NET *309 0.00481829
*CONN
*I *3036:B I *D sky130_fd_sc_hd__or3b_4
*I *2955:C I *D sky130_fd_sc_hd__or4_2
*I *3157:B I *D sky130_fd_sc_hd__or4b_2
*I *2953:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *3036:B 6.14302e-05
2 *2955:C 0.000198449
3 *3157:B 0.000306816
4 *2953:X 0.000826627
5 *309:15 0.000354684
6 *309:6 0.00122825
7 *2955:C *3039:A 0
8 *2955:C *3051:B 0
9 *2955:C *3151:B 5.1573e-05
10 *2955:C *456:26 5.22654e-06
11 *2955:C *685:109 0.0001407
12 *3157:B *310:9 5.99691e-05
13 *3157:B *315:8 0.000315461
14 *309:6 *782:DIODE 0.000107128
15 *309:6 *2959:B 0.00017393
16 *309:6 *3035:C 2.99979e-05
17 *309:6 *3039:A 0
18 *309:6 *3051:B 0
19 *309:6 *3153:B_N 4.31563e-05
20 *309:6 *330:8 0
21 *309:6 *330:23 0
22 *309:6 *368:16 4.87343e-05
23 *309:6 *461:8 0
24 *309:6 *622:6 0
25 *309:6 *675:22 1.5714e-05
26 *309:6 *689:36 7.65861e-05
27 *309:6 *689:90 7.14746e-05
28 *309:15 *3051:B 0
29 *309:15 *3151:B 5.22654e-06
30 *309:15 *368:16 5.99658e-05
31 *2889:A *309:6 4.84392e-05
32 *2960:B_N *3036:B 0.000171273
33 *3036:A *3036:B 6.50586e-05
34 *249:27 *3036:B 2.82583e-05
35 *250:57 *309:6 0
36 *261:48 *309:6 0
37 *265:8 *309:6 0
38 *265:17 *309:6 0
39 *308:7 *2955:C 0.000324166
*RES
1 *2953:X *309:6 33.8522
2 *309:6 *3157:B 18.9094
3 *309:6 *309:15 2.6625
4 *309:15 *2955:C 20.4868
5 *309:15 *3036:B 16.3145
*END
*D_NET *310 0.00274461
*CONN
*I *2955:D I *D sky130_fd_sc_hd__or4_2
*I *3157:C I *D sky130_fd_sc_hd__or4b_2
*I *2954:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *2955:D 0.000169081
2 *3157:C 7.75713e-05
3 *2954:Y 0.000321977
4 *310:9 0.000568629
5 *2955:D *3151:B 5.1573e-05
6 *2955:D *368:16 9.14201e-05
7 *2955:D *369:14 1.2693e-05
8 *2955:D *685:56 0.000212491
9 *2955:D *685:109 0.000113374
10 *3157:C *315:8 5.99527e-05
11 *310:9 *2959:A 0.000173305
12 *310:9 *2959:B 6.58463e-05
13 *310:9 *3051:B 0.000158371
14 *310:9 *3052:A 3.31745e-05
15 *310:9 *315:8 3.53967e-05
16 *310:9 *674:78 0.000342205
17 *3051:A *310:9 1.65872e-05
18 *3157:A *3157:C 6.92705e-05
19 *3157:A *310:9 0
20 *3157:B *310:9 5.99691e-05
21 *254:11 *310:9 0.000111722
*RES
1 *2954:Y *310:9 20.7029
2 *310:9 *3157:C 11.6364
3 *310:9 *2955:D 24.6489
*END
*D_NET *311 0.0104461
*CONN
*I *2980:A2 I *D sky130_fd_sc_hd__o211a_1
*I *2978:A2 I *D sky130_fd_sc_hd__o211a_1
*I *2976:A2 I *D sky130_fd_sc_hd__o211a_1
*I *2956:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *2955:X O *D sky130_fd_sc_hd__or4_2
*CAP
1 *2980:A2 0.000385846
2 *2978:A2 0
3 *2976:A2 9.82842e-05
4 *2956:A 0
5 *2955:X 0.000991221
6 *311:39 0.000613822
7 *311:21 0.000780106
8 *311:14 0.000683948
9 *311:13 0.00122132
10 *2976:A2 *2976:B1 1.62451e-05
11 *2976:A2 *2976:C1 2.71226e-07
12 *2976:A2 *325:19 1.26162e-05
13 *2980:A2 *2978:A1 1.16726e-05
14 *2980:A2 *2978:C1 6.08467e-05
15 *2980:A2 *2980:A1 5.11223e-05
16 *2980:A2 *2980:B1 3.41459e-05
17 *2980:A2 *643:7 0.000167076
18 *2980:A2 *671:50 0.000406102
19 *2980:A2 *671:52 0.000360145
20 *311:13 *2964:B1 0.000568162
21 *311:13 *3151:A 7.6719e-06
22 *311:13 *3151:C 0.000260388
23 *311:13 *3160:A 7.6719e-06
24 *311:13 *3160:C 9.14669e-05
25 *311:13 *3160:D 6.08467e-05
26 *311:13 *3176:A2 0.000311261
27 *311:13 *3176:B1 2.16355e-05
28 *311:13 *3178:A1 0.000753227
29 *311:13 *472:8 0.000115934
30 *311:13 *666:124 0.000101118
31 *311:13 *714:41 1.88014e-05
32 *311:14 *312:12 1.07248e-05
33 *311:14 *312:31 1.3807e-05
34 *311:14 *319:25 0.000236007
35 *311:14 *319:48 0.000305845
36 *311:21 *2974:C1 0.000237667
37 *311:21 *2976:A1 5.38612e-06
38 *311:21 *312:12 0.000195124
39 *311:21 *319:48 6.88675e-05
40 *311:21 *622:61 3.51468e-05
41 *311:21 *732:14 0.000160085
42 *311:39 *802:DIODE 1.00981e-05
43 *311:39 *2978:A1 7.40769e-05
44 *311:39 *622:61 8.8679e-05
45 *311:39 *671:52 7.6719e-06
46 *311:39 *732:14 8.58403e-05
47 *2972:A *311:14 0.000591069
48 *2972:A *311:21 2.24484e-05
49 *1:29 *311:13 4.53604e-05
50 *168:21 *311:13 3.92275e-05
*RES
1 *2955:X *311:13 49.8759
2 *311:13 *311:14 10.9675
3 *311:14 *2956:A 13.7491
4 *311:14 *311:21 14.7048
5 *311:21 *2976:A2 16.1364
6 *311:21 *311:39 12.0653
7 *311:39 *2978:A2 9.24915
8 *311:39 *2980:A2 23.3795
*END
*D_NET *312 0.0052459
*CONN
*I *2974:A2 I *D sky130_fd_sc_hd__o211a_1
*I *2970:A2 I *D sky130_fd_sc_hd__o211a_1
*I *2964:A2 I *D sky130_fd_sc_hd__o211a_1
*I *2966:A2 I *D sky130_fd_sc_hd__o211a_1
*I *2968:A2 I *D sky130_fd_sc_hd__o211a_1
*I *2956:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *2974:A2 0.00011192
2 *2970:A2 0.000192852
3 *2964:A2 0.000298772
4 *2966:A2 7.59114e-05
5 *2968:A2 9.11315e-05
6 *2956:X 2.3451e-05
7 *312:33 0.000579319
8 *312:31 0.000275132
9 *312:12 0.000278174
10 *312:7 0.000210099
11 *2964:A2 *2964:B1 3.30786e-05
12 *2964:A2 *3188:B2 1.71477e-05
13 *2964:A2 *319:25 0.000277906
14 *2964:A2 *665:85 0.000172569
15 *2964:A2 *732:14 0.000277906
16 *2966:A2 *2966:C1 6.50727e-05
17 *2968:A2 *2966:C1 0.000107496
18 *2968:A2 *2968:B1 1.90494e-05
19 *2970:A2 *2970:A1 3.12764e-05
20 *2970:A2 *2970:B1 4.36938e-05
21 *2970:A2 *2970:C1 6.08467e-05
22 *2974:A2 *2974:A1 3.25772e-05
23 *2974:A2 *2974:B1 2.81808e-05
24 *2974:A2 *2974:C1 6.50586e-05
25 *2974:A2 *317:5 2.41274e-06
26 *2974:A2 *669:62 4.60733e-07
27 *312:7 *317:21 6.08467e-05
28 *312:7 *669:88 1.03403e-05
29 *312:12 *732:14 7.8406e-05
30 *312:31 *319:48 0.000133238
31 *312:31 *732:14 0.000183778
32 *312:33 *319:25 2.09495e-05
33 *312:33 *319:48 0.000181234
34 *312:33 *732:14 0.00020728
35 *169:15 *2974:A2 0.000304777
36 *170:11 *2966:A2 0.000205485
37 *170:11 *2968:A2 0.000268412
38 *311:14 *312:12 1.07248e-05
39 *311:14 *312:31 1.3807e-05
40 *311:21 *312:12 0.000195124
*RES
1 *2956:X *312:7 14.4725
2 *312:7 *312:12 8.7258
3 *312:12 *2968:A2 12.9566
4 *312:12 *2966:A2 12.2332
5 *312:7 *312:31 3.493
6 *312:31 *312:33 3.90826
7 *312:33 *2964:A2 23.4244
8 *312:33 *2970:A2 18.4271
9 *312:31 *2974:A2 18.0112
*END
*D_NET *313 0.00419337
*CONN
*I *2981:B I *D sky130_fd_sc_hd__or4_1
*I *3153:A_N I *D sky130_fd_sc_hd__and4bb_1
*I *3155:B_N I *D sky130_fd_sc_hd__and4bb_1
*I *3154:B_N I *D sky130_fd_sc_hd__and4bb_1
*I *2958:B I *D sky130_fd_sc_hd__nor2_1
*I *2957:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *2981:B 3.71713e-05
2 *3153:A_N 0
3 *3155:B_N 0.000158312
4 *3154:B_N 0
5 *2958:B 5.33148e-05
6 *2957:X 8.44271e-05
7 *313:23 0.000225595
8 *313:14 0.000637207
9 *313:7 0.000711125
10 *313:5 0.000316115
11 *2958:B *3153:C 0.000113968
12 *2958:B *465:5 3.81056e-05
13 *2981:B *666:48 9.22013e-06
14 *3155:B_N *3155:C 5.0715e-05
15 *3155:B_N *462:8 9.98029e-06
16 *3155:B_N *462:17 5.41145e-05
17 *3155:B_N *462:26 1.05272e-06
18 *3155:B_N *622:6 0.000251428
19 *313:7 *3153:B_N 4.30017e-06
20 *313:14 *2985:D_N 1.87469e-05
21 *313:14 *3153:B_N 3.91975e-05
22 *313:14 *3153:C 6.49003e-05
23 *313:14 *331:14 1.09738e-05
24 *313:14 *465:5 0.000266832
25 *313:14 *622:6 7.14746e-05
26 *313:23 *331:14 4.37999e-05
27 *313:23 *462:8 7.58217e-06
28 *313:23 *622:6 0.000143047
29 *2894:C *313:7 2.16355e-05
30 *2957:A *313:5 0.000213739
31 *2957:A *313:7 0.000107496
32 *2958:A *2958:B 8.39059e-05
33 *2981:C *2981:B 7.86847e-05
34 *245:5 *3155:B_N 0.000118166
35 *261:35 *313:7 3.51801e-05
36 *263:6 *2981:B 3.31733e-05
37 *266:6 *2981:B 7.86847e-05
*RES
1 *2957:X *313:5 11.6364
2 *313:5 *313:7 4.60562
3 *313:7 *313:14 13.8741
4 *313:14 *2958:B 16.1364
5 *313:14 *313:23 2.6625
6 *313:23 *3154:B_N 13.7491
7 *313:23 *3155:B_N 19.7659
8 *313:7 *3153:A_N 9.24915
9 *313:5 *2981:B 20.0811
*END
*D_NET *314 0.00457984
*CONN
*I *3151:A I *D sky130_fd_sc_hd__nand3_1
*I *3160:B I *D sky130_fd_sc_hd__and4_1
*I *2960:C I *D sky130_fd_sc_hd__and4bb_1
*I *2958:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *3151:A 0.000634584
2 *3160:B 0
3 *2960:C 8.10069e-05
4 *2958:Y 0.000506167
5 *314:19 0.000690883
6 *314:8 0.000643473
7 *2960:C *685:63 4.58003e-05
8 *3151:A *3151:C 9.80912e-05
9 *3151:A *3160:C 6.50727e-05
10 *3151:A *3160:D 2.16355e-05
11 *3151:A *3181:B 2.652e-05
12 *3151:A *3193:A1 6.08467e-05
13 *3151:A *3193:A2 6.89172e-05
14 *3151:A *369:14 0.000272957
15 *3151:A *424:34 0.000107496
16 *3151:A *472:8 8.03699e-06
17 *3151:A *622:6 0.000447016
18 *3151:A *718:12 2.95757e-05
19 *3151:A *718:40 6.08467e-05
20 *314:8 *3193:B1 0.000222525
21 *314:8 *462:8 6.23202e-05
22 *314:8 *462:17 1.14755e-05
23 *314:8 *481:11 0.000304404
24 *314:19 *3193:B1 3.92275e-05
25 *314:19 *481:11 3.60268e-05
26 *246:50 *3151:A 1.27966e-05
27 *308:10 *3151:A 1.44611e-05
28 *311:13 *3151:A 7.6719e-06
*RES
1 *2958:Y *314:8 29.1868
2 *314:8 *2960:C 15.0271
3 *314:8 *314:19 6.332
4 *314:19 *3160:B 9.24915
5 *314:19 *3151:A 38.0071
*END
*D_NET *315 0.00274587
*CONN
*I *3160:C I *D sky130_fd_sc_hd__and4_1
*I *2960:D I *D sky130_fd_sc_hd__and4bb_1
*I *2959:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *3160:C 0.000145315
2 *2960:D 0
3 *2959:X 0.000347655
4 *315:8 0.00049297
5 *3160:C *3160:A 0.000120066
6 *3160:C *481:11 0.000195139
7 *3160:C *685:85 8.32204e-06
8 *3160:C *714:41 8.62625e-06
9 *315:8 *481:11 0.000148144
10 *315:8 *685:85 2.24576e-05
11 *3151:A *3160:C 6.50727e-05
12 *3157:A *315:8 0.000167076
13 *3157:B *315:8 0.000315461
14 *3157:C *315:8 5.99527e-05
15 *246:39 *315:8 6.50586e-05
16 *246:50 *315:8 0.000360159
17 *249:27 *315:8 9.75356e-05
18 *310:9 *315:8 3.53967e-05
19 *311:13 *3160:C 9.14669e-05
*RES
1 *2959:X *315:8 26.0087
2 *315:8 *2960:D 13.7491
3 *315:8 *3160:C 19.6294
*END
*D_NET *316 0.0108636
*CONN
*I *2977:B I *D sky130_fd_sc_hd__or2_1
*I *2979:B I *D sky130_fd_sc_hd__or2_1
*I *2975:B I *D sky130_fd_sc_hd__or2_1
*I *2961:A I *D sky130_fd_sc_hd__clkbuf_1
*I *2960:X O *D sky130_fd_sc_hd__and4bb_1
*CAP
1 *2977:B 0.000364007
2 *2979:B 0.000853296
3 *2975:B 0
4 *2961:A 0.00030085
5 *2960:X 0.000896247
6 *316:40 0.000853296
7 *316:38 0.00117142
8 *316:19 0.00200451
9 *2961:A *2962:B 6.50586e-05
10 *2961:A *3163:A1 6.50727e-05
11 *2961:A *3163:A2 6.92705e-05
12 *2961:A *3163:B1 4.58003e-05
13 *2961:A *665:76 0.000107496
14 *2961:A *666:124 7.39022e-06
15 *2961:A *732:14 6.6932e-05
16 *2977:B *3190:A 0.000377259
17 *2977:B *3371:CLK 5.03872e-05
18 *2977:B *3504:A 0.000141194
19 *2977:B *626:7 0.000277488
20 *2977:B *643:17 5.24652e-05
21 *2977:B *671:79 0
22 *2977:B *672:9 4.0752e-05
23 *2979:B *2975:A 1.64789e-05
24 *2979:B *2979:A 9.37259e-05
25 *2979:B *325:65 2.16355e-05
26 *316:19 *3159:A1 3.99086e-06
27 *316:19 *3163:A1 0.000239798
28 *316:19 *3176:B1 6.39594e-05
29 *316:19 *3176:C1 0.000120868
30 *316:19 *3178:A2 0.000198498
31 *316:19 *3183:A2 4.20775e-06
32 *316:19 *3183:B2 1.5714e-05
33 *316:19 *3183:C1 5.0873e-05
34 *316:19 *3184:C1 6.11361e-06
35 *316:19 *468:10 2.1832e-05
36 *316:19 *469:16 3.61138e-05
37 *316:19 *487:17 0.000361631
38 *316:19 *618:36 0.000171342
39 *316:19 *685:63 2.41274e-06
40 *316:38 *2965:A 0.000145462
41 *316:38 *3162:A 0.00014686
42 *316:38 *3187:A2 0.000263022
43 *316:38 *3191:B1 0
44 *316:38 *3371:CLK 1.2601e-05
45 *316:38 *473:16 0
46 *316:38 *487:17 0
47 *316:38 *494:13 0.000463759
48 *316:38 *647:8 0.000153449
49 *316:38 *671:79 0
50 *3371:D *2979:B 1.87611e-05
51 *165:7 *2961:A 0.000158357
52 *165:10 *2961:A 0.00013788
53 *167:8 *2979:B 9.75356e-05
54 *169:15 *2961:A 2.65667e-05
*RES
1 *2960:X *316:19 40.5114
2 *316:19 *2961:A 27.7651
3 *316:19 *316:38 28.8289
4 *316:38 *316:40 4.5
5 *316:40 *2975:B 9.24915
6 *316:40 *2979:B 32.0895
7 *316:38 *2977:B 26.6914
*END
*D_NET *317 0.00635948
*CONN
*I *2965:B I *D sky130_fd_sc_hd__or2_1
*I *2967:B I *D sky130_fd_sc_hd__or2_1
*I *2971:B I *D sky130_fd_sc_hd__or2_1
*I *2969:B I *D sky130_fd_sc_hd__or2_1
*I *2962:B I *D sky130_fd_sc_hd__or2_1
*I *2961:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *2965:B 0.00021356
2 *2967:B 0
3 *2971:B 2.25273e-05
4 *2969:B 0.000283509
5 *2962:B 0.000253617
6 *2961:X 9.09994e-05
7 *317:41 0.00063387
8 *317:22 0.000406584
9 *317:21 0.000894645
10 *317:5 0.000718404
11 *2962:B *2974:B1 0
12 *2962:B *3182:A1 6.08467e-05
13 *2962:B *3182:A2 2.59398e-05
14 *2962:B *424:51 0.000300565
15 *2965:B *2967:A 0.000118166
16 *2965:B *2968:B1 2.16355e-05
17 *2965:B *3173:A 0.000186206
18 *2965:B *622:61 8.18715e-05
19 *2969:B *2969:A 0.000446137
20 *2969:B *2971:A 1.05272e-06
21 *2969:B *3177:A 5.23737e-05
22 *2969:B *667:28 0
23 *2969:B *668:24 1.77302e-05
24 *2969:B *668:35 0.000276889
25 *2969:B *669:88 0
26 *2971:B *800:DIODE 2.85139e-05
27 *2971:B *2974:B1 0.000118166
28 *317:21 *319:48 0.000247443
29 *317:21 *324:7 3.33904e-05
30 *317:21 *669:88 2.08649e-05
31 *317:21 *732:14 9.75356e-05
32 *317:22 *2971:A 1.27831e-06
33 *317:22 *651:8 3.58903e-05
34 *317:22 *669:88 0
35 *317:41 *2968:B1 0
36 *317:41 *3365:CLK 8.53577e-05
37 *317:41 *622:61 0.000113968
38 *317:41 *651:8 4.53805e-05
39 *317:41 *667:38 0
40 *2961:A *2962:B 6.50586e-05
41 *2972:A *317:21 3.82228e-05
42 *2974:A2 *317:5 2.41274e-06
43 *3366:D *317:41 4.27003e-05
44 *3368:D *317:22 4.27003e-05
45 *3368:D *317:41 0.000108628
46 *165:10 *317:21 3.92275e-05
47 *169:15 *2962:B 1.58551e-05
48 *169:15 *2969:B 0
49 *169:15 *317:5 8.90311e-06
50 *312:7 *317:21 6.08467e-05
*RES
1 *2961:X *317:5 10.5271
2 *317:5 *2962:B 16.0973
3 *317:5 *317:21 23.2652
4 *317:21 *317:22 2.6625
5 *317:22 *2969:B 24.3014
6 *317:22 *2971:B 15.0271
7 *317:21 *317:41 16.7455
8 *317:41 *2967:B 9.24915
9 *317:41 *2965:B 16.2902
*END
*D_NET *318 0.00298338
*CONN
*I *2964:B1 I *D sky130_fd_sc_hd__o211a_1
*I *2962:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *2964:B1 0.000451364
2 *2962:X 0.000451364
3 *2964:B1 *2964:C1 6.50586e-05
4 *2964:B1 *3179:B1 1.9827e-05
5 *2964:B1 *3188:A2 9.06436e-05
6 *2964:B1 *3188:B2 0.000148467
7 *2964:B1 *476:46 1.43848e-05
8 *2964:B1 *478:13 0.000453711
9 *2964:B1 *487:17 0.000461206
10 *2964:B1 *494:13 3.96379e-06
11 *2964:B1 *665:85 0.000222149
12 *2964:A2 *2964:B1 3.30786e-05
13 *311:13 *2964:B1 0.000568162
*RES
1 *2962:X *2964:B1 46.5653
*END
*D_NET *319 0.00720093
*CONN
*I *2964:C1 I *D sky130_fd_sc_hd__o211a_1
*I *2966:C1 I *D sky130_fd_sc_hd__o211a_1
*I *2968:C1 I *D sky130_fd_sc_hd__o211a_1
*I *2970:C1 I *D sky130_fd_sc_hd__o211a_1
*I *3342:B1 I *D sky130_fd_sc_hd__o21a_1
*I *2963:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *2964:C1 7.76553e-05
2 *2966:C1 6.45663e-05
3 *2968:C1 0
4 *2970:C1 2.7855e-05
5 *3342:B1 0.000374261
6 *2963:X 7.47734e-05
7 *319:48 0.000636538
8 *319:25 0.000758303
9 *319:23 0.000467591
10 *319:7 0.000680494
11 *2964:C1 *3188:B2 6.50586e-05
12 *2964:C1 *732:14 1.31072e-05
13 *3342:B1 *857:DIODE 0.000296127
14 *3342:B1 *668:35 0
15 *3342:B1 *669:59 0.000479643
16 *3342:B1 *695:32 3.14978e-05
17 *319:7 *685:85 0.000113968
18 *319:23 *857:DIODE 4.70104e-05
19 *319:23 *3179:B2 0.000112149
20 *319:23 *3341:A0 7.3979e-05
21 *319:23 *665:85 1.07248e-05
22 *319:23 *665:87 0.000115772
23 *319:23 *669:59 1.87469e-05
24 *319:23 *685:85 0.000304791
25 *319:23 *732:14 2.59119e-05
26 *319:23 *732:31 3.56464e-05
27 *319:25 *665:85 4.33979e-05
28 *319:25 *732:14 2.1384e-05
29 *319:48 *2974:C1 9.71095e-05
30 *319:48 *669:88 0.000132276
31 *2964:A2 *319:25 0.000277906
32 *2964:B1 *2964:C1 6.50586e-05
33 *2966:A2 *2966:C1 6.50727e-05
34 *2968:A2 *2966:C1 0.000107496
35 *2970:A2 *2970:C1 6.08467e-05
36 *2972:A *319:25 3.57202e-05
37 *3368:D *319:48 0
38 *3457:D *3342:B1 3.42931e-05
39 *170:11 *319:48 0.000160617
40 *311:14 *319:25 0.000236007
41 *311:14 *319:48 0.000305845
42 *311:21 *319:48 6.88675e-05
43 *312:31 *319:48 0.000133238
44 *312:33 *319:25 2.09495e-05
45 *312:33 *319:48 0.000181234
46 *317:21 *319:48 0.000247443
*RES
1 *2963:X *319:7 15.0271
2 *319:7 *3342:B1 26.6858
3 *319:7 *319:23 16.9909
4 *319:23 *319:25 6.81502
5 *319:25 *2970:C1 14.4725
6 *319:25 *319:48 38.1687
7 *319:48 *2968:C1 9.24915
8 *319:48 *2966:C1 11.0817
9 *319:23 *2964:C1 15.7672
*END
*D_NET *320 0.000886204
*CONN
*I *2966:B1 I *D sky130_fd_sc_hd__o211a_1
*I *2965:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *2966:B1 0.000202051
2 *2965:X 0.000202051
3 *2966:B1 *2965:A 2.44829e-05
4 *2966:B1 *732:14 0.000322347
5 *165:10 *2966:B1 0.000135273
*RES
1 *2965:X *2966:B1 34.3456
*END
*D_NET *321 0.000865068
*CONN
*I *2968:B1 I *D sky130_fd_sc_hd__o211a_1
*I *2967:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *2968:B1 0.000218157
2 *2967:X 0.000218157
3 *2968:B1 *2968:A1 2.87136e-06
4 *2968:B1 *2974:C1 0.000310126
5 *2968:B1 *3365:CLK 0
6 *2968:B1 *649:8 7.50722e-05
7 *2965:B *2968:B1 2.16355e-05
8 *2968:A2 *2968:B1 1.90494e-05
9 *3366:D *2968:B1 0
10 *317:41 *2968:B1 0
*RES
1 *2967:X *2968:B1 33.9899
*END
*D_NET *322 0.00114319
*CONN
*I *2970:B1 I *D sky130_fd_sc_hd__o211a_1
*I *2969:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *2970:B1 0.000345048
2 *2969:X 0.000345048
3 *2970:B1 *2969:A 9.98245e-05
4 *2970:B1 *2970:A1 1.78528e-05
5 *2970:B1 *669:59 3.11571e-05
6 *2970:A2 *2970:B1 4.36938e-05
7 *3364:D *2970:B1 0.000156823
8 *169:15 *2970:B1 0.000103748
*RES
1 *2969:X *2970:B1 38.0267
*END
*D_NET *323 0.00102989
*CONN
*I *2974:B1 I *D sky130_fd_sc_hd__o211a_1
*I *2971:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *2974:B1 0.000367254
2 *2971:X 0.000367254
3 *2974:B1 *800:DIODE 5.94362e-05
4 *2974:B1 *2971:A 6.50586e-05
5 *2974:B1 *2974:A1 2.25129e-05
6 *2974:B1 *669:62 2.02853e-06
7 *2962:B *2974:B1 0
8 *2971:B *2974:B1 0.000118166
9 *2974:A2 *2974:B1 2.81808e-05
*RES
1 *2971:X *2974:B1 27.6131
*END
*D_NET *324 0.00909872
*CONN
*I *3271:A I *D sky130_fd_sc_hd__and2_1
*I *2973:A I *D sky130_fd_sc_hd__clkbuf_2
*I *3268:A I *D sky130_fd_sc_hd__and2_1
*I *3265:A I *D sky130_fd_sc_hd__and2_1
*I *3262:A I *D sky130_fd_sc_hd__and2_1
*I *2972:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *3271:A 0.00029815
2 *2973:A 0.000691468
3 *3268:A 0
4 *3265:A 0
5 *3262:A 0.000203985
6 *2972:X 0.00124059
7 *324:36 0.00107117
8 *324:29 0.000366457
9 *324:12 0.00084907
10 *324:7 0.00217058
11 *2973:A *725:8 0.000271745
12 *3262:A *2942:A1 2.71542e-05
13 *3262:A *3261:A1 6.50727e-05
14 *3262:A *3262:B 7.97944e-05
15 *3262:A *543:16 7.6719e-06
16 *3262:A *723:8 4.87343e-05
17 *3271:A *3271:B 0.000122378
18 *3271:A *3272:A 7.48797e-05
19 *3271:A *3441:CLK 5.41377e-05
20 *324:7 *3248:A 4.88955e-05
21 *324:7 *724:7 1.36928e-05
22 *324:12 *3248:A 0.000527877
23 *324:12 *3265:B 7.06933e-05
24 *324:12 *3266:A 8.62625e-06
25 *324:12 *723:8 3.98327e-05
26 *324:29 *3248:A 6.74071e-05
27 *324:29 *3441:CLK 0.000231378
28 *324:29 *542:24 6.25268e-05
29 *324:29 *622:41 0
30 *324:36 *3441:CLK 0.000148129
31 *324:36 *622:41 0
32 *3440:D *324:7 3.18826e-06
33 *3441:D *2973:A 0.000200042
34 *317:21 *324:7 3.33904e-05
*RES
1 *2972:X *324:7 27.5993
2 *324:7 *324:12 16.0684
3 *324:12 *3262:A 19.7687
4 *324:12 *3265:A 13.7491
5 *324:7 *324:29 13.3913
6 *324:29 *3268:A 13.7491
7 *324:29 *324:36 2.6625
8 *324:36 *2973:A 29.6397
9 *324:36 *3271:A 22.1237
*END
*D_NET *325 0.0121018
*CONN
*I *3092:C1 I *D sky130_fd_sc_hd__o211a_1
*I *2980:C1 I *D sky130_fd_sc_hd__o211a_1
*I *2978:C1 I *D sky130_fd_sc_hd__o211a_1
*I *2976:C1 I *D sky130_fd_sc_hd__o211a_1
*I *2974:C1 I *D sky130_fd_sc_hd__o211a_1
*I *2973:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3092:C1 0
2 *2980:C1 0
3 *2978:C1 2.86212e-05
4 *2976:C1 3.58639e-05
5 *2974:C1 0.000450854
6 *2973:X 0.000272124
7 *325:65 0.00167971
8 *325:47 0.00237071
9 *325:30 0.00108363
10 *325:19 0.000547394
11 *325:7 0.000870498
12 *2974:C1 *622:61 9.24241e-05
13 *2974:C1 *649:8 2.15634e-05
14 *2974:C1 *669:88 0.000230418
15 *2976:C1 *2976:B1 9.95922e-06
16 *325:7 *2976:A1 8.65421e-06
17 *325:19 *2976:A1 5.04829e-06
18 *325:19 *2976:B1 3.6926e-05
19 *325:30 *2976:B1 2.63704e-05
20 *325:30 *3185:A 0.000113939
21 *325:30 *671:79 4.69281e-05
22 *325:47 *670:99 0
23 *325:47 *671:79 6.14003e-06
24 *325:47 *672:23 0.000297005
25 *325:65 *2980:B1 0.00016553
26 *325:65 *3065:A 0.00035535
27 *325:65 *3092:B1 0.000143032
28 *325:65 *3448:CLK 3.67708e-05
29 *325:65 *3496:A 4.0327e-05
30 *325:65 *387:7 5.99233e-06
31 *325:65 *387:45 3.52332e-05
32 *325:65 *522:69 0.000164843
33 *325:65 *671:42 4.88955e-05
34 *325:65 *671:50 0.00101447
35 *325:65 *717:7 0.000372922
36 *2968:B1 *2974:C1 0.000310126
37 *2972:A *2974:C1 0.000184978
38 *2974:A2 *2974:C1 6.50586e-05
39 *2976:A2 *2976:C1 2.71226e-07
40 *2976:A2 *325:19 1.26162e-05
41 *2979:B *325:65 2.16355e-05
42 *2980:A2 *2978:C1 6.08467e-05
43 *3368:D *2974:C1 0
44 *3393:D *325:65 2.27595e-05
45 *165:10 *325:30 0.000439157
46 *165:10 *325:47 3.14242e-05
47 *167:8 *325:47 0
48 *311:21 *2974:C1 0.000237667
49 *319:48 *2974:C1 9.71095e-05
*RES
1 *2973:X *325:7 13.3243
2 *325:7 *2974:C1 34.5079
3 *325:7 *325:19 2.38721
4 *325:19 *2976:C1 10.2378
5 *325:19 *325:30 15.0873
6 *325:30 *2978:C1 14.4725
7 *325:30 *325:47 14.405
8 *325:47 *2980:C1 9.24915
9 *325:47 *325:65 47.5671
10 *325:65 *3092:C1 9.24915
*END
*D_NET *326 0.00111107
*CONN
*I *2976:B1 I *D sky130_fd_sc_hd__o211a_1
*I *2975:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *2976:B1 0.00041779
2 *2975:X 0.00041779
3 *2976:B1 *2975:A 1.4091e-06
4 *2976:B1 *652:5 5.4694e-06
5 *2976:B1 *732:14 0.000127194
6 *2976:A2 *2976:B1 1.62451e-05
7 *2976:C1 *2976:B1 9.95922e-06
8 *165:10 *2976:B1 5.19205e-05
9 *325:19 *2976:B1 3.6926e-05
10 *325:30 *2976:B1 2.63704e-05
*RES
1 *2975:X *2976:B1 36.2501
*END
*D_NET *327 0.000900677
*CONN
*I *2978:B1 I *D sky130_fd_sc_hd__o211a_1
*I *2977:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *2978:B1 0.000178929
2 *2977:X 0.000178929
3 *2978:B1 *626:7 2.16355e-05
4 *2978:B1 *653:10 7.50722e-05
5 *2978:B1 *732:14 0.000331044
6 *165:10 *2978:B1 0.000115067
*RES
1 *2977:X *2978:B1 34.2062
*END
*D_NET *328 0.000460585
*CONN
*I *2980:B1 I *D sky130_fd_sc_hd__o211a_1
*I *2979:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *2980:B1 7.17763e-05
2 *2979:X 7.17763e-05
3 *2980:B1 *671:50 0.000117356
4 *2980:A2 *2980:B1 3.41459e-05
5 *325:65 *2980:B1 0.00016553
*RES
1 *2979:X *2980:B1 22.0188
*END
*D_NET *329 0.00284176
*CONN
*I *2982:B I *D sky130_fd_sc_hd__nor2_1
*I *2981:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *2982:B 0.000799558
2 *2981:X 0.000799558
3 *2982:B *780:DIODE 9.77091e-06
4 *2982:B *3096:A1 9.14669e-05
5 *2982:B *3372:CLK 2.61028e-05
6 *2982:B *3507:A 0.000329576
7 *2982:B *392:16 0.000657208
8 *3372:D *2982:B 5.07314e-05
9 *3407:D *2982:B 5.22164e-06
10 *249:43 *2982:B 5.69234e-05
11 *259:29 *2982:B 1.56419e-05
*RES
1 *2981:X *2982:B 47.4687
*END
*D_NET *330 0.00837318
*CONN
*I *3153:B_N I *D sky130_fd_sc_hd__and4bb_1
*I *2985:C I *D sky130_fd_sc_hd__or4b_2
*I *3007:B I *D sky130_fd_sc_hd__or2_2
*I *2983:X O *D sky130_fd_sc_hd__or2b_1
*CAP
1 *3153:B_N 0.000275576
2 *2985:C 0
3 *3007:B 0.000419704
4 *2983:X 0.000126033
5 *330:23 0.000357297
6 *330:8 0.00254764
7 *330:7 0.00217225
8 *3007:B *673:34 6.59414e-05
9 *3007:B *675:19 0.000142393
10 *3153:B_N *3153:C 5.04829e-06
11 *3153:B_N *461:8 6.2708e-05
12 *3153:B_N *622:6 0
13 *330:8 *782:DIODE 0
14 *330:8 *790:DIODE 0.000238514
15 *330:8 *2912:A1 9.23542e-05
16 *330:8 *2921:A0 8.59412e-05
17 *330:8 *3016:A 0
18 *330:8 *622:6 4.20184e-06
19 *330:8 *622:11 8.49831e-05
20 *330:8 *678:6 0
21 *330:23 *461:8 0.000116971
22 *330:23 *622:6 2.81361e-06
23 *2889:A *330:8 0
24 *2893:A *3153:B_N 0.000160617
25 *2913:A *330:8 0
26 *2913:B *330:8 0
27 *2916:B *330:8 0
28 *2917:A *330:8 0.0001549
29 *2923:A *330:8 0
30 *2985:A *3153:B_N 0.000164829
31 *3007:A *3007:B 0.000164829
32 *3380:D *330:8 8.3415e-05
33 *3384:D *330:8 0
34 *250:57 *3007:B 0.000329246
35 *260:8 *330:8 0
36 *261:7 *3007:B 0.000111708
37 *261:35 *3153:B_N 0.000271058
38 *264:10 *330:23 4.55535e-05
39 *309:6 *3153:B_N 4.31563e-05
40 *309:6 *330:8 0
41 *309:6 *330:23 0
42 *313:7 *3153:B_N 4.30017e-06
43 *313:14 *3153:B_N 3.91975e-05
*RES
1 *2983:X *330:7 15.5817
2 *330:7 *330:8 52.908
3 *330:8 *3007:B 26.3121
4 *330:8 *330:23 7.1625
5 *330:23 *2985:C 9.24915
6 *330:23 *3153:B_N 28.2509
*END
*D_NET *331 0.00286377
*CONN
*I *3153:C I *D sky130_fd_sc_hd__and4bb_1
*I *2985:D_N I *D sky130_fd_sc_hd__or4b_2
*I *3154:C I *D sky130_fd_sc_hd__and4bb_1
*I *2984:Y O *D sky130_fd_sc_hd__nor3b_1
*CAP
1 *3153:C 8.24414e-05
2 *2985:D_N 0.000131764
3 *3154:C 0.000114468
4 *2984:Y 0.000305574
5 *331:14 0.000286774
6 *331:5 0.00049261
7 *2985:D_N *3171:A1 0
8 *2985:D_N *462:8 5.41377e-05
9 *2985:D_N *622:6 3.57618e-05
10 *3153:C *3150:A 0.000220183
11 *3153:C *3153:D 0.000103983
12 *3153:C *465:5 0.000366603
13 *3154:C *689:33 6.73022e-05
14 *331:5 *689:33 0.000197119
15 *331:14 *462:8 0.000132307
16 *2958:A *3153:C 5.51483e-06
17 *2958:B *3153:C 0.000113968
18 *3153:B_N *3153:C 5.04829e-06
19 *261:35 *3153:C 7.6719e-06
20 *279:44 *2985:D_N 2.1203e-06
21 *313:14 *2985:D_N 1.87469e-05
22 *313:14 *3153:C 6.49003e-05
23 *313:14 *331:14 1.09738e-05
24 *313:23 *331:14 4.37999e-05
*RES
1 *2984:Y *331:5 14.4094
2 *331:5 *3154:C 11.0817
3 *331:5 *331:14 7.1625
4 *331:14 *2985:D_N 17.2421
5 *331:14 *3153:C 19.464
*END
*D_NET *332 0.00363569
*CONN
*I *3338:S I *D sky130_fd_sc_hd__mux2_1
*I *2986:B I *D sky130_fd_sc_hd__or2_1
*I *3341:S I *D sky130_fd_sc_hd__mux2_1
*I *2985:X O *D sky130_fd_sc_hd__or4b_2
*CAP
1 *3338:S 0
2 *2986:B 0
3 *3341:S 0.000305975
4 *2985:X 0.000165545
5 *332:9 0.000484274
6 *332:5 0.000343844
7 *3341:S *2987:A 8.86032e-05
8 *3341:S *3456:CLK 6.33291e-06
9 *3341:S *333:5 0.00054752
10 *3341:S *617:19 1.78514e-05
11 *3341:S *619:47 6.39394e-05
12 *3341:S *640:7 7.92757e-06
13 *3341:S *689:16 0.000132632
14 *3338:A1 *332:5 4.41919e-05
15 *279:43 *3341:S 0.000652912
16 *279:43 *332:5 0.000314433
17 *279:43 *332:9 0.000459711
*RES
1 *2985:X *332:5 14.8072
2 *332:5 *332:9 6.14887
3 *332:9 *3341:S 32.0867
4 *332:9 *2986:B 9.24915
5 *332:5 *3338:S 9.24915
*END
*D_NET *333 0.00214932
*CONN
*I *3004:S I *D sky130_fd_sc_hd__mux2_1
*I *2987:A I *D sky130_fd_sc_hd__clkbuf_2
*I *2986:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *3004:S 0
2 *2987:A 0.000216609
3 *2986:X 0.000109885
4 *333:5 0.000326494
5 *2987:A *3004:A1 0.000141634
6 *2987:A *619:47 0.000378553
7 *2987:A *640:7 2.16355e-05
8 *2987:A *689:16 8.92953e-05
9 *333:5 *3456:CLK 0.000105636
10 *333:5 *640:7 0.000123458
11 *3341:S *2987:A 8.86032e-05
12 *3341:S *333:5 0.00054752
*RES
1 *2986:X *333:5 15.5186
2 *333:5 *2987:A 26.2028
3 *333:5 *3004:S 9.24915
*END
*D_NET *334 0.00599818
*CONN
*I *3001:S I *D sky130_fd_sc_hd__mux2_1
*I *2994:S I *D sky130_fd_sc_hd__mux2_1
*I *2997:S I *D sky130_fd_sc_hd__mux2_1
*I *2988:S I *D sky130_fd_sc_hd__mux2_1
*I *2991:S I *D sky130_fd_sc_hd__mux2_1
*I *2987:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3001:S 0.000106732
2 *2994:S 0
3 *2997:S 0.00023128
4 *2988:S 0.000172855
5 *2991:S 0.000263637
6 *2987:X 8.63645e-05
7 *334:30 0.000406029
8 *334:19 0.000642935
9 *334:14 0.00060304
10 *334:5 0.000550979
11 *2988:S *2988:A0 9.9774e-06
12 *2988:S *2988:A1 1.61631e-05
13 *2988:S *667:25 1.43848e-05
14 *2988:S *667:69 6.68703e-05
15 *2991:S *2991:A1 1.03403e-05
16 *2991:S *3005:A 0.000111722
17 *2991:S *3006:A 5.97411e-05
18 *2991:S *343:20 8.41174e-05
19 *2991:S *616:19 9.48729e-05
20 *2997:S *2997:A1 0.00017614
21 *2997:S *669:30 0
22 *2997:S *669:42 0
23 *2997:S *670:67 0
24 *3001:S *2821:B1 6.50727e-05
25 *3001:S *343:38 6.50727e-05
26 *3001:S *522:39 0.000269667
27 *3001:S *615:33 0.000327695
28 *3001:S *619:7 4.0752e-05
29 *3001:S *619:11 0.000107496
30 *334:5 *3006:A 5.481e-05
31 *334:5 *343:20 6.63489e-05
32 *334:14 *358:12 0.000136013
33 *334:14 *617:19 5.64929e-05
34 *334:19 *809:DIODE 0.000122378
35 *334:19 *3002:A 0.000324166
36 *334:19 *3002:B 6.50586e-05
37 *334:19 *3003:A 0.000122378
38 *334:19 *358:12 7.09685e-05
39 *334:19 *617:19 6.74811e-05
40 *334:19 *667:69 7.18738e-05
41 *334:30 *3002:B 0
42 *334:30 *667:28 0
43 *334:30 *669:42 0
44 *3000:A *334:14 3.05511e-05
45 *3378:D *334:14 0.000225722
*RES
1 *2987:X *334:5 11.6364
2 *334:5 *2991:S 17.1824
3 *334:5 *334:14 11.315
4 *334:14 *334:19 14.9564
5 *334:19 *2988:S 13.7342
6 *334:19 *334:30 8.40826
7 *334:30 *2997:S 19.49
8 *334:30 *2994:S 13.7491
9 *334:14 *3001:S 19.464
*END
*D_NET *335 0.000777692
*CONN
*I *2989:B I *D sky130_fd_sc_hd__and2_1
*I *2988:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *2989:B 0.00027606
2 *2988:X 0.00027606
3 *2989:B *2988:A1 0
4 *2989:B *2990:A 2.41274e-06
5 *2989:B *667:28 0
6 *2989:A *2989:B 6.50727e-05
7 *305:31 *2989:B 1.09738e-05
8 *305:33 *2989:B 0.000147112
*RES
1 *2988:X *2989:B 35.3154
*END
*D_NET *336 0.000901486
*CONN
*I *2990:A I *D sky130_fd_sc_hd__clkbuf_1
*I *2989:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *2990:A 0.000229772
2 *2989:X 0.000229772
3 *2990:A *3374:CLK 0
4 *2990:A *3375:CLK 0
5 *2990:A *673:12 7.14746e-05
6 *2989:B *2990:A 2.41274e-06
7 *3373:D *2990:A 4.27003e-05
8 *305:33 *2990:A 0.000325354
*RES
1 *2989:X *2990:A 34.3456
*END
*D_NET *337 0.000420692
*CONN
*I *2992:B I *D sky130_fd_sc_hd__and2_1
*I *2991:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *2992:B 0.000163762
2 *2991:X 0.000163762
3 *2992:B *622:21 5.0715e-05
4 *2992:A *2992:B 4.24529e-05
*RES
1 *2991:X *2992:B 23.1521
*END
*D_NET *338 0.000284009
*CONN
*I *2993:A I *D sky130_fd_sc_hd__clkbuf_1
*I *2992:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *2993:A 0.000109641
2 *2992:X 0.000109641
3 *2993:A *622:21 6.47268e-05
*RES
1 *2992:X *2993:A 21.4401
*END
*D_NET *339 0.000971522
*CONN
*I *2995:B I *D sky130_fd_sc_hd__and2_1
*I *2994:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *2995:B 0.00031673
2 *2994:X 0.00031673
3 *2995:B *810:DIODE 0.000270186
4 *2995:B *670:67 2.81678e-06
5 *2995:A *2995:B 6.50586e-05
6 *305:33 *2995:B 0
*RES
1 *2994:X *2995:B 36.015
*END
*D_NET *340 0.00109785
*CONN
*I *2996:A I *D sky130_fd_sc_hd__clkbuf_1
*I *2995:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *2996:A 0.000548923
2 *2995:X 0.000548923
3 *2996:A *667:10 0
*RES
1 *2995:X *2996:A 36.015
*END
*D_NET *341 0.00104011
*CONN
*I *2998:B I *D sky130_fd_sc_hd__and2_1
*I *2997:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *2998:B 0.000367001
2 *2997:X 0.000367001
3 *2998:B *810:DIODE 7.16893e-05
4 *2998:B *2999:A 0.000122083
5 *2998:B *667:10 0
6 *2998:A *2998:B 0.000112335
*RES
1 *2997:X *2998:B 35.6236
*END
*D_NET *342 0.000578294
*CONN
*I *2999:A I *D sky130_fd_sc_hd__clkbuf_1
*I *2998:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *2999:A 0.000145885
2 *2998:X 0.000145885
3 *2998:A *2999:A 5.04734e-05
4 *2998:B *2999:A 0.000122083
5 *3376:D *2999:A 0.000113968
*RES
1 *2998:X *2999:A 32.1327
*END
*D_NET *343 0.00797171
*CONN
*I *3019:A I *D sky130_fd_sc_hd__and2_1
*I *3016:A I *D sky130_fd_sc_hd__and2_1
*I *3013:A I *D sky130_fd_sc_hd__and2_1
*I *3002:A I *D sky130_fd_sc_hd__and2_1
*I *3005:A I *D sky130_fd_sc_hd__and2_1
*I *3000:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *3019:A 6.80649e-05
2 *3016:A 0.000316264
3 *3013:A 0
4 *3002:A 0.00038193
5 *3005:A 0.000259854
6 *3000:X 0
7 *343:38 0.000607245
8 *343:22 0.000741918
9 *343:20 0.000419472
10 *343:4 0.000542254
11 *3002:A *815:DIODE 6.08467e-05
12 *3002:A *667:69 0.000199733
13 *3002:A *671:85 3.10924e-05
14 *3002:A *671:87 3.62762e-05
15 *3002:A *671:89 3.5534e-06
16 *3005:A *3377:CLK 0
17 *3005:A *616:10 0
18 *3005:A *616:19 4.0752e-05
19 *3005:A *640:8 0
20 *3005:A *668:35 0
21 *3005:A *669:59 0.000366379
22 *3016:A *2823:A2 0.000240485
23 *3016:A *656:8 6.46887e-05
24 *3016:A *678:6 5.01511e-05
25 *3019:A *3018:A0 0.00019405
26 *3019:A *3019:B 2.16355e-05
27 *3019:A *3501:A 1.34424e-05
28 *3019:A *624:5 2.41274e-06
29 *343:20 *3020:A 0.00015887
30 *343:20 *616:19 0.00065071
31 *343:20 *671:85 9.2346e-06
32 *343:22 *671:85 4.20662e-05
33 *343:38 *2821:B1 0.00010466
34 *343:38 *2823:A1 0.000311249
35 *343:38 *2823:A2 0.000116816
36 *343:38 *2823:B1 1.00981e-05
37 *343:38 *522:39 0.000517185
38 *343:38 *615:33 1.10258e-05
39 *2824:C1 *3016:A 2.15348e-05
40 *2824:C1 *343:38 0.000216458
41 *2991:S *3005:A 0.000111722
42 *2991:S *343:20 8.41174e-05
43 *3001:S *343:38 6.50727e-05
44 *3382:D *3002:A 0.000200081
45 *3382:D *343:20 0.000183048
46 *3382:D *343:22 0.000104747
47 *330:8 *3016:A 0
48 *334:5 *343:20 6.63489e-05
49 *334:19 *3002:A 0.000324166
*RES
1 *3000:X *343:4 9.24915
2 *343:4 *3005:A 26.3422
3 *343:4 *343:20 15.9504
4 *343:20 *343:22 2.24725
5 *343:22 *3002:A 26.3087
6 *343:22 *343:38 16.8942
7 *343:38 *3013:A 9.24915
8 *343:38 *3016:A 28.0116
9 *343:20 *3019:A 16.1605
*END
*D_NET *344 0.00150001
*CONN
*I *3002:B I *D sky130_fd_sc_hd__and2_1
*I *3001:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *3002:B 0.000319872
2 *3001:X 0.000319872
3 *3002:B *3003:A 0.000101133
4 *3002:B *615:33 0.000570964
5 *3002:B *667:69 3.14978e-05
6 *3002:B *669:42 5.41377e-05
7 *3002:B *669:59 3.74738e-05
8 *334:19 *3002:B 6.50586e-05
9 *334:30 *3002:B 0
*RES
1 *3001:X *3002:B 37.7323
*END
*D_NET *345 0.000881027
*CONN
*I *3003:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3002:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *3003:A 0.000274894
2 *3002:X 0.000274894
3 *3003:A *3377:CLK 0
4 *3003:A *667:28 0
5 *3003:A *669:59 6.62271e-05
6 *3002:B *3003:A 0.000101133
7 *3377:D *3003:A 4.15008e-05
8 *334:19 *3003:A 0.000122378
*RES
1 *3002:X *3003:A 34.7608
*END
*D_NET *346 0.000561614
*CONN
*I *3005:B I *D sky130_fd_sc_hd__and2_1
*I *3004:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *3005:B 0.000107313
2 *3004:X 0.000107313
3 *278:26 *3005:B 0.000207266
4 *279:43 *3005:B 7.8874e-05
5 *288:25 *3005:B 6.08467e-05
*RES
1 *3004:X *3005:B 22.4287
*END
*D_NET *347 0.00186922
*CONN
*I *3006:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3005:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *3006:A 0.000444606
2 *3005:X 0.000444606
3 *3006:A *358:12 0.00025659
4 *3006:A *617:19 0.000224285
5 *3006:A *669:59 9.17188e-05
6 *3006:A *689:16 0.000224285
7 *2991:S *3006:A 5.97411e-05
8 *288:31 *3006:A 6.85778e-05
9 *334:5 *3006:A 5.481e-05
*RES
1 *3005:X *3006:A 49.057
*END
*D_NET *348 0.00755433
*CONN
*I *3008:S I *D sky130_fd_sc_hd__mux2_1
*I *3011:A I *D sky130_fd_sc_hd__clkbuf_2
*I *3031:S I *D sky130_fd_sc_hd__mux2_1
*I *3028:S I *D sky130_fd_sc_hd__mux2_1
*I *3007:X O *D sky130_fd_sc_hd__or2_2
*CAP
1 *3008:S 0
2 *3011:A 0
3 *3031:S 0
4 *3028:S 0.00034176
5 *3007:X 0.00028423
6 *348:33 0.000761097
7 *348:21 0.00142811
8 *348:9 0.00129301
9 *3028:S *819:DIODE 5.02462e-05
10 *3028:S *2821:A1 2.12993e-05
11 *3028:S *3500:A 5.80512e-05
12 *3028:S *661:10 9.49135e-05
13 *3028:S *662:11 0.000225482
14 *3028:S *671:89 7.07115e-06
15 *348:9 *804:DIODE 3.00073e-05
16 *348:9 *3010:A 0.000197295
17 *348:9 *3380:CLK 0.000260388
18 *348:9 *665:48 0.000388262
19 *348:9 *666:48 0
20 *348:9 *670:28 0
21 *348:21 *2890:C 9.75356e-05
22 *348:21 *2921:A0 2.15348e-05
23 *348:21 *3008:A0 3.34802e-05
24 *348:21 *3010:A 6.08467e-05
25 *348:21 *3380:CLK 6.08467e-05
26 *348:21 *664:18 4.15236e-05
27 *348:21 *666:55 0.000435243
28 *348:21 *667:90 6.90997e-05
29 *348:21 *670:28 0
30 *348:33 *2821:A1 9.39633e-05
31 *348:33 *2822:A2 7.11935e-05
32 *348:33 *2822:B2 0.000186435
33 *2913:A *348:21 2.16355e-05
34 *2916:B *348:21 0.00058323
35 *2920:A *348:9 2.41483e-05
36 *2921:S *348:21 9.87421e-05
37 *3379:D *348:9 1.61918e-05
38 *260:8 *348:21 0
39 *262:9 *348:21 2.18741e-05
40 *271:38 *348:9 5.19349e-05
41 *271:40 *348:9 9.28672e-05
42 *279:49 *348:21 3.07848e-05
*RES
1 *3007:X *348:9 30.0822
2 *348:9 *348:21 38.1088
3 *348:21 *348:33 11.3814
4 *348:33 *3028:S 27.757
5 *348:33 *3031:S 9.24915
6 *348:21 *3011:A 9.24915
7 *348:9 *3008:S 9.24915
*END
*D_NET *349 0.000238258
*CONN
*I *3009:B I *D sky130_fd_sc_hd__or2_1
*I *3008:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *3009:B 8.68028e-05
2 *3008:X 8.68028e-05
3 *3009:B *655:8 2.12377e-05
4 *3009:B *666:48 0
5 *3009:B *666:55 0
6 *260:8 *3009:B 4.34143e-05
*RES
1 *3008:X *3009:B 30.1608
*END
*D_NET *350 0.00175713
*CONN
*I *3010:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3009:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *3010:A 0.000251858
2 *3009:X 0.000251858
3 *3010:A *2823:A2 0.000377259
4 *3010:A *3008:A1 0.000111722
5 *3010:A *3380:CLK 0.000194684
6 *2920:A *3010:A 0.000158371
7 *3380:D *3010:A 0
8 *260:8 *3010:A 0.00015324
9 *348:9 *3010:A 0.000197295
10 *348:21 *3010:A 6.08467e-05
*RES
1 *3009:X *3010:A 38.6486
*END
*D_NET *351 0.00632632
*CONN
*I *3012:S I *D sky130_fd_sc_hd__mux2_1
*I *3018:S I *D sky130_fd_sc_hd__mux2_1
*I *3015:S I *D sky130_fd_sc_hd__mux2_1
*I *3025:S I *D sky130_fd_sc_hd__mux2_1
*I *3022:S I *D sky130_fd_sc_hd__mux2_1
*I *3011:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3012:S 9.58785e-05
2 *3018:S 0.000245322
3 *3015:S 5.93699e-05
4 *3025:S 5.73425e-05
5 *3022:S 0.00024843
6 *3011:X 0
7 *351:38 0.000642174
8 *351:25 0.000626173
9 *351:8 0.000583449
10 *351:5 0.000543507
11 *3012:S *3012:A0 0.000103983
12 *3012:S *3014:A 2.59398e-05
13 *3012:S *622:11 0.000260374
14 *3012:S *666:78 0
15 *3015:S *3017:A 0.000267462
16 *3015:S *671:120 0.000183992
17 *3018:S *3012:A0 1.41976e-05
18 *3018:S *3018:A1 6.08467e-05
19 *3018:S *622:11 0.000493194
20 *3018:S *666:80 0.000261004
21 *3018:S *666:89 0.00016553
22 *3022:S *3022:A1 2.65667e-05
23 *3022:S *3023:B 0
24 *3022:S *3025:A1 0.000530006
25 *3025:S *3025:A1 6.50727e-05
26 *3025:S *670:54 2.41483e-05
27 *351:8 *2822:A1 0
28 *351:8 *3025:A1 0
29 *351:8 *670:67 0.000354669
30 *351:8 *678:6 0
31 *351:25 *2822:B1 0
32 *351:25 *657:8 1.49697e-05
33 *351:38 *2824:A2 9.98029e-06
34 *351:38 *2824:B2 4.18216e-05
35 *351:38 *3013:B 0.000148129
36 *351:38 *3014:A 9.96342e-05
37 *351:38 *656:8 8.92568e-06
38 *351:38 *657:8 6.61829e-06
39 *351:38 *667:75 1.66626e-05
40 *2822:C1 *351:25 0
41 *2824:C1 *351:38 4.09467e-05
42 *279:44 *351:25 0
43 *279:44 *351:38 0
44 *279:49 *351:8 0
45 *279:49 *351:25 0
*RES
1 *3011:X *351:5 13.7491
2 *351:5 *351:8 12.5608
3 *351:8 *3022:S 16.6519
4 *351:8 *3025:S 11.1059
5 *351:5 *351:25 5.56926
6 *351:25 *3015:S 17.2697
7 *351:25 *351:38 12.5608
8 *351:38 *3018:S 18.3398
9 *351:38 *3012:S 13.1796
*END
*D_NET *352 0.000491904
*CONN
*I *3013:B I *D sky130_fd_sc_hd__and2_1
*I *3012:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *3013:B 0.000118917
2 *3012:X 0.000118917
3 *3013:B *2824:B2 2.41267e-05
4 *3013:B *666:80 1.03403e-05
5 *2824:C1 *3013:B 7.14746e-05
6 *351:38 *3013:B 0.000148129
*RES
1 *3012:X *3013:B 30.8842
*END
*D_NET *353 0.00133122
*CONN
*I *3014:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3013:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *3014:A 0.000234313
2 *3013:X 0.000234313
3 *3014:A *3012:A0 2.65831e-05
4 *3014:A *622:11 3.82654e-05
5 *3014:A *656:8 3.77659e-05
6 *3014:A *666:78 0.000634404
7 *3012:S *3014:A 2.59398e-05
8 *351:38 *3014:A 9.96342e-05
*RES
1 *3013:X *3014:A 37.143
*END
*D_NET *354 0.00065609
*CONN
*I *3016:B I *D sky130_fd_sc_hd__and2_1
*I *3015:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *3016:B 8.58011e-05
2 *3015:X 8.58011e-05
3 *3016:B *667:90 0.00021569
4 *3016:B *671:120 0.000268798
*RES
1 *3015:X *3016:B 22.4287
*END
*D_NET *355 0.00270844
*CONN
*I *3017:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3016:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *3017:A 0.00100497
2 *3016:X 0.00100497
3 *3017:A *819:DIODE 7.09666e-06
4 *3017:A *2821:B1 2.65904e-05
5 *3017:A *671:89 0.000140436
6 *3017:A *671:106 8.20087e-05
7 *3017:A *671:120 0.000174908
8 *2823:C1 *3017:A 0
9 *3015:S *3017:A 0.000267462
*RES
1 *3016:X *3017:A 44.7493
*END
*D_NET *356 0.000539683
*CONN
*I *3019:B I *D sky130_fd_sc_hd__and2_1
*I *3018:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *3019:B 8.99123e-05
2 *3018:X 8.99123e-05
3 *3019:B *3018:A0 0.000111673
4 *3019:B *3501:A 0.000203604
5 *3019:B *622:11 2.29454e-05
6 *3019:A *3019:B 2.16355e-05
*RES
1 *3018:X *3019:B 22.4287
*END
*D_NET *357 0.000672298
*CONN
*I *3020:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3019:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *3020:A 0.000131813
2 *3019:X 0.000131813
3 *3020:A *2821:A2 1.03403e-05
4 *3020:A *616:19 3.82228e-05
5 *3020:A *671:85 0.000184931
6 *3382:D *3020:A 1.63087e-05
7 *343:20 *3020:A 0.00015887
*RES
1 *3019:X *3020:A 32.2693
*END
*D_NET *358 0.0154702
*CONN
*I *3039:A I *D sky130_fd_sc_hd__and2_1
*I *3026:A I *D sky130_fd_sc_hd__and2_1
*I *3029:A I *D sky130_fd_sc_hd__and2_1
*I *3032:A I *D sky130_fd_sc_hd__and2_1
*I *3023:A I *D sky130_fd_sc_hd__and2_1
*I *3021:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3039:A 0.00205848
2 *3026:A 0.000356663
3 *3029:A 0
4 *3032:A 0
5 *3023:A 0.000174615
6 *3021:X 0.000224064
7 *358:30 0.000366012
8 *358:16 0.000320328
9 *358:12 0.00137674
10 *358:9 0.00350423
11 *3023:A *3032:B 0.000481227
12 *3023:A *3033:A 2.65831e-05
13 *3023:A *669:30 1.41291e-05
14 *3026:A *820:DIODE 7.97944e-05
15 *3026:A *3029:B 0.000122378
16 *3026:A *3376:CLK 5.75657e-05
17 *3026:A *618:12 0.000615083
18 *3039:A *3035:C 4.51706e-05
19 *3039:A *3037:A 3.45797e-05
20 *3039:A *3051:B 0.000320851
21 *3039:A *3171:B2 0.00146166
22 *3039:A *456:26 5.77061e-05
23 *3039:A *457:53 0.00034764
24 *3039:A *668:92 8.92568e-06
25 *358:9 *3171:B2 0.000736767
26 *358:9 *457:53 0.000161062
27 *358:9 *667:28 0
28 *358:9 *668:35 5.45571e-05
29 *358:12 *3029:B 8.14166e-05
30 *358:12 *3030:A 8.53665e-05
31 *358:12 *3341:A0 1.11638e-05
32 *358:12 *617:19 0
33 *358:12 *669:30 0
34 *358:12 *669:42 0
35 *358:12 *669:59 0.000150627
36 *358:12 *689:16 0
37 *358:16 *669:30 0
38 *358:16 *689:16 0
39 *358:30 *3029:B 6.50727e-05
40 *358:30 *618:12 6.50727e-05
41 *2955:B *3039:A 0.000245764
42 *2955:C *3039:A 0
43 *3000:A *358:12 0.000339108
44 *3006:A *358:12 0.00025659
45 *3342:A1 *358:12 3.96308e-05
46 *265:17 *3039:A 0.000334294
47 *278:16 *358:12 0.000565866
48 *288:31 *358:12 1.64462e-05
49 *309:6 *3039:A 0
50 *334:14 *358:12 0.000136013
51 *334:19 *358:12 7.09685e-05
*RES
1 *3021:X *358:9 19.2948
2 *358:9 *358:12 40.7048
3 *358:12 *358:16 7.57775
4 *358:16 *3023:A 15.5427
5 *358:16 *3032:A 9.24915
6 *358:12 *358:30 5.2234
7 *358:30 *3029:A 9.24915
8 *358:30 *3026:A 22.9213
9 *358:9 *3039:A 34.5169
*END
*D_NET *359 0.00036298
*CONN
*I *3023:B I *D sky130_fd_sc_hd__and2_1
*I *3022:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *3023:B 0.000121103
2 *3022:X 0.000121103
3 *3023:B *669:30 0.000120773
4 *3022:S *3023:B 0
*RES
1 *3022:X *3023:B 22.4287
*END
*D_NET *360 0.000282672
*CONN
*I *3024:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3023:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *3024:A 9.13265e-05
2 *3023:X 9.13265e-05
3 *3024:A *3420:CLK 1.25946e-05
4 *3024:A *662:11 4.72932e-05
5 *3024:A *669:18 0
6 *168:21 *3024:A 4.01315e-05
*RES
1 *3023:X *3024:A 30.1608
*END
*D_NET *361 0.000484026
*CONN
*I *3026:B I *D sky130_fd_sc_hd__and2_1
*I *3025:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *3026:B 0.000183022
2 *3025:X 0.000183022
3 *3026:B *3025:A1 0
4 *3026:B *3027:A 1.80134e-05
5 *3026:B *660:7 6.92613e-05
6 *3026:B *670:67 3.07073e-05
*RES
1 *3025:X *3026:B 32.6874
*END
*D_NET *362 0.00131539
*CONN
*I *3027:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3026:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *3027:A 0.000357319
2 *3026:X 0.000357319
3 *3027:A *3025:A1 0.00016946
4 *3027:A *670:54 0.00035243
5 *3027:A *670:67 6.08467e-05
6 *3026:B *3027:A 1.80134e-05
*RES
1 *3026:X *3027:A 37.8422
*END
*D_NET *363 0.000940347
*CONN
*I *3029:B I *D sky130_fd_sc_hd__and2_1
*I *3028:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *3029:B 0.000238539
2 *3028:X 0.000238539
3 *3029:B *3376:CLK 9.14669e-05
4 *3029:B *618:12 2.15184e-05
5 *3029:B *689:16 8.14166e-05
6 *3026:A *3029:B 0.000122378
7 *358:12 *3029:B 8.14166e-05
8 *358:30 *3029:B 6.50727e-05
*RES
1 *3028:X *3029:B 36.0335
*END
*D_NET *364 0.000710747
*CONN
*I *3030:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3029:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *3030:A 0.000234802
2 *3029:X 0.000234802
3 *3030:A *617:13 2.65831e-05
4 *3030:A *669:30 0
5 *3030:A *669:42 7.09666e-06
6 *3385:D *3030:A 0.000122098
7 *358:12 *3030:A 8.53665e-05
*RES
1 *3029:X *3030:A 34.2062
*END
*D_NET *365 0.00153341
*CONN
*I *3032:B I *D sky130_fd_sc_hd__and2_1
*I *3031:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *3032:B 0.000234923
2 *3031:X 0.000234923
3 *3032:B *3500:A 4.34143e-05
4 *3032:B *639:16 0
5 *3032:B *662:11 0.000310124
6 *3032:B *669:30 0.000228796
7 *3023:A *3032:B 0.000481227
*RES
1 *3031:X *3032:B 38.7824
*END
*D_NET *366 0.000603978
*CONN
*I *3033:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3032:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *3033:A 0.000267348
2 *3032:X 0.000267348
3 *3033:A *689:16 0
4 *3023:A *3033:A 2.65831e-05
5 *3386:D *3033:A 4.27003e-05
*RES
1 *3032:X *3033:A 33.791
*END
*D_NET *367 0.0141746
*CONN
*I *3274:A1 I *D sky130_fd_sc_hd__a21o_1
*I *3098:B I *D sky130_fd_sc_hd__nor2_1
*I *3038:A1 I *D sky130_fd_sc_hd__mux2_1
*I *3159:A1 I *D sky130_fd_sc_hd__o211a_1
*I *3095:A1 I *D sky130_fd_sc_hd__a211o_1
*I *3034:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3274:A1 9.40491e-05
2 *3098:B 0
3 *3038:A1 0
4 *3159:A1 0.00161584
5 *3095:A1 0.000540611
6 *3034:X 0.000386567
7 *367:40 0.00259901
8 *367:22 0.00184759
9 *367:14 0.000714015
10 *367:8 0.000870818
11 *3095:A1 *793:DIODE 1.5714e-05
12 *3095:A1 *806:DIODE 6.14003e-06
13 *3095:A1 *3095:A2 6.37553e-05
14 *3095:A1 *3108:A1 5.68225e-06
15 *3095:A1 *3114:A2 0.000248745
16 *3095:A1 *427:16 9.20398e-05
17 *3095:A1 *436:15 0
18 *3095:A1 *674:18 1.94916e-05
19 *3095:A1 *674:30 6.14274e-05
20 *3095:A1 *766:15 0.000102028
21 *3095:A1 *767:21 0.000217937
22 *3095:A1 *768:8 2.55493e-05
23 *3159:A1 *3038:S 6.50727e-05
24 *3159:A1 *3159:A2 4.83958e-05
25 *3159:A1 *3163:A1 2.61955e-05
26 *3159:A1 *478:13 6.51527e-05
27 *3159:A1 *647:8 6.85778e-05
28 *3159:A1 *714:41 4.39365e-05
29 *3274:A1 *3274:A2 1.09551e-05
30 *3274:A1 *387:45 2.68066e-05
31 *3274:A1 *716:10 7.86847e-05
32 *367:8 *382:39 0.000304791
33 *367:8 *493:21 4.70708e-05
34 *367:8 *716:10 3.32165e-06
35 *367:14 *3113:B 0.000115573
36 *367:14 *3114:A2 7.18816e-06
37 *367:14 *3124:A 4.5415e-05
38 *367:14 *3131:A 6.92015e-05
39 *367:14 *387:45 2.99929e-05
40 *367:14 *622:140 8.39572e-05
41 *367:14 *716:10 6.79599e-05
42 *367:14 *716:12 0.000234746
43 *367:22 *3114:A2 0.000289805
44 *367:22 *3115:A1 0
45 *367:22 *421:5 0.000213725
46 *367:22 *436:15 0
47 *367:22 *622:140 0
48 *367:40 *821:DIODE 2.90905e-05
49 *367:40 *3037:A 0.000276573
50 *367:40 *3038:S 0.000401301
51 *367:40 *3039:B 8.60694e-05
52 *367:40 *3040:A 0.000146388
53 *367:40 *3099:A 8.12793e-05
54 *367:40 *3099:B 0.00016553
55 *367:40 *3100:B 0.000161172
56 *367:40 *3108:B1 0.000100493
57 *367:40 *370:8 0.000375027
58 *3103:A *367:22 0.000170592
59 *3387:D *367:40 6.50586e-05
60 *1:29 *3159:A1 0.000638504
61 *316:19 *3159:A1 3.99086e-06
*RES
1 *3034:X *367:8 20.1968
2 *367:8 *367:14 11.8713
3 *367:14 *367:22 17.958
4 *367:22 *3095:A1 30.1741
5 *367:22 *367:40 30.3735
6 *367:40 *3159:A1 43.1763
7 *367:40 *3038:A1 9.24915
8 *367:14 *3098:B 13.7491
9 *367:8 *3274:A1 16.5645
*END
*D_NET *368 0.00461841
*CONN
*I *3151:B I *D sky130_fd_sc_hd__nand3_1
*I *3036:C_N I *D sky130_fd_sc_hd__or3b_4
*I *3155:C I *D sky130_fd_sc_hd__and4bb_1
*I *3035:X O *D sky130_fd_sc_hd__and3b_1
*CAP
1 *3151:B 0.000614091
2 *3036:C_N 0
3 *3155:C 0.000163815
4 *3035:X 1.81917e-05
5 *368:16 0.000881117
6 *368:5 0.000449033
7 *3151:B *821:DIODE 3.09155e-05
8 *3151:B *3038:A0 1.9101e-05
9 *3151:B *3043:A0 0.000110777
10 *3151:B *3151:C 0.000220657
11 *3151:B *3193:A1 0
12 *3151:B *369:14 0
13 *3151:B *424:34 0.000204151
14 *3151:B *456:26 0
15 *3151:B *665:76 0.000171636
16 *3151:B *714:41 9.24241e-05
17 *3151:B *718:40 0.000129633
18 *3155:C *3035:A_N 6.50727e-05
19 *3155:C *3155:D 8.39059e-05
20 *3155:C *457:19 0.000160378
21 *368:5 *457:19 1.09551e-05
22 *368:16 *2959:B 0.000126934
23 *368:16 *3035:A_N 0.000118166
24 *368:16 *457:19 8.60694e-05
25 *368:16 *685:47 4.86172e-06
26 *368:16 *685:56 3.90935e-05
27 *2955:C *3151:B 5.1573e-05
28 *2955:D *3151:B 5.1573e-05
29 *2955:D *368:16 9.14201e-05
30 *3155:A_N *3155:C 0.000317693
31 *3155:B_N *3155:C 5.0715e-05
32 *3388:D *3151:B 6.28753e-05
33 *245:5 *3155:C 7.76578e-05
34 *309:6 *368:16 4.87343e-05
35 *309:15 *3151:B 5.22654e-06
36 *309:15 *368:16 5.99658e-05
*RES
1 *3035:X *368:5 9.82786
2 *368:5 *3155:C 17.1824
3 *368:5 *368:16 13.0083
4 *368:16 *3036:C_N 13.7491
5 *368:16 *3151:B 32.8344
*END
*D_NET *369 0.00875988
*CONN
*I *3037:A I *D sky130_fd_sc_hd__clkbuf_2
*I *3050:S I *D sky130_fd_sc_hd__mux2_1
*I *3054:S I *D sky130_fd_sc_hd__mux2_1
*I *3061:S I *D sky130_fd_sc_hd__mux2_1
*I *3064:S I *D sky130_fd_sc_hd__mux2_1
*I *3036:X O *D sky130_fd_sc_hd__or3b_4
*CAP
1 *3037:A 0.000150337
2 *3050:S 5.91727e-05
3 *3054:S 0
4 *3061:S 0.000257294
5 *3064:S 0.000120044
6 *3036:X 0
7 *369:44 0.000539747
8 *369:20 0.000758081
9 *369:14 0.00131496
10 *369:4 0.00126445
11 *3037:A *821:DIODE 6.85321e-05
12 *3037:A *3039:B 5.04829e-06
13 *3037:A *3040:A 0.000222149
14 *3037:A *668:92 0.000144531
15 *3050:S *714:23 6.92705e-05
16 *3050:S *714:41 0.000111722
17 *3061:S *3061:A0 3.01683e-06
18 *3061:S *3062:B 0
19 *3061:S *3064:A1 0.00016491
20 *3061:S *3189:A1 4.58003e-05
21 *3064:S *3064:A1 0.000224381
22 *369:14 *828:DIODE 8.16827e-05
23 *369:14 *3044:B 0.000305476
24 *369:14 *3045:A 9.03932e-05
25 *369:14 *3151:C 8.8599e-05
26 *369:14 *3189:A1 0
27 *369:14 *685:109 7.50872e-05
28 *369:14 *718:12 0.000383385
29 *369:20 *828:DIODE 7.92757e-06
30 *369:20 *3044:A 0
31 *369:20 *3054:A1 4.45999e-05
32 *369:20 *3055:B 0.000140487
33 *369:20 *3180:A1 2.65667e-05
34 *369:20 *3195:A2 0
35 *369:20 *382:14 0.0001454
36 *369:20 *493:23 0.000205101
37 *369:20 *495:13 0
38 *369:20 *718:12 8.96188e-05
39 *369:44 *666:46 0
40 *369:44 *668:92 5.41227e-05
41 *2955:B *3037:A 4.84944e-05
42 *2955:B *369:44 0.000143047
43 *2955:D *369:14 1.2693e-05
44 *2960:B_N *369:14 0.000311249
45 *2960:B_N *369:44 0.000252635
46 *3039:A *3037:A 3.45797e-05
47 *3151:A *369:14 0.000272957
48 *3151:B *369:14 0
49 *247:34 *369:14 4.19401e-06
50 *249:27 *369:44 2.86013e-06
51 *265:20 *369:44 7.92757e-06
52 *308:10 *369:14 0.000130777
53 *367:40 *3037:A 0.000276573
*RES
1 *3036:X *369:4 9.24915
2 *369:4 *369:14 35.9948
3 *369:14 *369:20 20.6937
4 *369:20 *3064:S 12.7697
5 *369:20 *3061:S 15.5427
6 *369:14 *3054:S 9.24915
7 *369:4 *369:44 11.2135
8 *369:44 *3050:S 15.5817
9 *369:44 *3037:A 21.0655
*END
*D_NET *370 0.00601975
*CONN
*I *3058:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *3059:A2 I *D sky130_fd_sc_hd__o21a_1
*I *3047:S I *D sky130_fd_sc_hd__mux2_1
*I *3043:S I *D sky130_fd_sc_hd__mux2_1
*I *3038:S I *D sky130_fd_sc_hd__mux2_1
*I *3037:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3058:A2 6.96712e-06
2 *3059:A2 0.000113083
3 *3047:S 0
4 *3043:S 7.61928e-05
5 *3038:S 0.000250164
6 *3037:X 0.000235288
7 *370:44 0.000505878
8 *370:34 0.000721331
9 *370:23 0.000665913
10 *370:8 0.000739669
11 *3038:S *821:DIODE 3.99086e-06
12 *3038:S *3038:A0 6.08467e-05
13 *3038:S *3039:B 0.000171288
14 *3038:S *456:26 7.02269e-06
15 *3038:S *668:92 3.09152e-06
16 *3038:S *714:41 5.20546e-06
17 *3043:S *3181:B 2.41483e-05
18 *3043:S *3182:A2 0.00021569
19 *3043:S *374:21 0.000112985
20 *3058:A2 *3495:A 6.50727e-05
21 *3058:A2 *382:14 3.29619e-05
22 *3059:A2 *3058:A1 3.88655e-05
23 *3059:A2 *3082:B 0.000153809
24 *3059:A2 *663:63 6.08467e-05
25 *370:8 *668:92 1.9101e-05
26 *370:23 *3387:CLK 0
27 *370:23 *456:26 0
28 *370:23 *666:46 0
29 *370:23 *668:92 4.70237e-05
30 *370:34 *3181:A 1.91246e-05
31 *370:34 *3387:CLK 0
32 *370:34 *634:10 0
33 *370:34 *666:46 0
34 *370:34 *712:14 0
35 *370:44 *3082:B 0
36 *370:44 *3495:A 0.000211478
37 *370:44 *373:33 0.00027329
38 *370:44 *377:10 0.000108413
39 *370:44 *382:14 6.49003e-05
40 *370:44 *634:7 7.48797e-05
41 *370:44 *634:8 0
42 *370:44 *634:10 0
43 *370:44 *634:52 1.67988e-05
44 *370:44 *667:55 2.33103e-06
45 *370:44 *712:14 0
46 *829:DIODE *3059:A2 2.16355e-05
47 *3159:A1 *3038:S 6.50727e-05
48 *254:41 *3059:A2 4.90621e-05
49 *367:40 *3038:S 0.000401301
50 *367:40 *370:8 0.000375027
*RES
1 *3037:X *370:8 18.1788
2 *370:8 *3038:S 21.9865
3 *370:8 *370:23 5.36164
4 *370:23 *3043:S 17.4238
5 *370:23 *370:34 6.81502
6 *370:34 *3047:S 13.7491
7 *370:34 *370:44 16.3359
8 *370:44 *3059:A2 22.0503
9 *370:44 *3058:A2 9.97254
*END
*D_NET *371 0.000500591
*CONN
*I *3039:B I *D sky130_fd_sc_hd__and2_1
*I *3038:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *3039:B 8.99582e-05
2 *3038:X 8.99582e-05
3 *3039:B *821:DIODE 5.82695e-05
4 *3037:A *3039:B 5.04829e-06
5 *3038:S *3039:B 0.000171288
6 *367:40 *3039:B 8.60694e-05
*RES
1 *3038:X *3039:B 22.4287
*END
*D_NET *372 0.00086756
*CONN
*I *3040:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3039:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *3040:A 0.000128119
2 *3039:X 0.000128119
3 *3040:A *821:DIODE 0.000242786
4 *3037:A *3040:A 0.000222149
5 *367:40 *3040:A 0.000146388
*RES
1 *3039:X *3040:A 25.3464
*END
*D_NET *373 0.00636665
*CONN
*I *3065:A I *D sky130_fd_sc_hd__and2_1
*I *3062:A I *D sky130_fd_sc_hd__and2_1
*I *3048:A I *D sky130_fd_sc_hd__and2_1
*I *3055:A I *D sky130_fd_sc_hd__and2_1
*I *3044:A I *D sky130_fd_sc_hd__and2_1
*I *3041:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3065:A 0.000615083
2 *3062:A 0
3 *3048:A 0
4 *3055:A 0
5 *3044:A 0.000138619
6 *3041:X 0
7 *373:33 0.00096283
8 *373:24 0.000613305
9 *373:18 0.000397057
10 *373:5 0.000270118
11 *3044:A *3055:B 0
12 *3044:A *3180:A1 5.87127e-05
13 *3044:A *3189:A1 0.000118166
14 *3044:A *3195:A2 0.000234153
15 *3044:A *382:14 0
16 *3044:A *463:18 0.000113968
17 *3065:A *3063:A 0
18 *3065:A *3082:B 6.31809e-05
19 *3065:A *3087:B 0
20 *3065:A *456:69 0.000167076
21 *373:18 *3055:B 0
22 *373:18 *3195:A2 0.000113421
23 *373:24 *3049:A 0.000260374
24 *373:24 *3056:A 0.000101806
25 *373:24 *382:14 0.000644346
26 *373:24 *634:7 2.41274e-06
27 *373:33 *830:DIODE 9.88568e-05
28 *373:33 *3059:A1 3.73224e-05
29 *373:33 *3082:B 9.95569e-05
30 *373:33 *3087:B 0
31 *373:33 *3393:CLK 0
32 *373:33 *382:14 0.00046929
33 *373:33 *634:7 5.03285e-05
34 *373:33 *634:8 0
35 *373:33 *634:33 0
36 *3041:A *3044:A 0
37 *3393:D *3065:A 0.000108025
38 *325:65 *3065:A 0.00035535
39 *369:20 *3044:A 0
40 *370:44 *373:33 0.00027329
*RES
1 *3041:X *373:5 13.7491
2 *373:5 *3044:A 19.3507
3 *373:5 *373:18 8.02525
4 *373:18 *3055:A 9.24915
5 *373:18 *373:24 9.59705
6 *373:24 *3048:A 9.24915
7 *373:24 *373:33 16.8905
8 *373:33 *3062:A 13.7491
9 *373:33 *3065:A 30.4696
*END
*D_NET *374 0.00768702
*CONN
*I *3099:A I *D sky130_fd_sc_hd__and2_1
*I *3098:A I *D sky130_fd_sc_hd__nor2_1
*I *3112:A2 I *D sky130_fd_sc_hd__o31ai_1
*I *3043:A1 I *D sky130_fd_sc_hd__mux2_1
*I *3168:A1 I *D sky130_fd_sc_hd__o211a_1
*I *3042:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *3099:A 0.000241157
2 *3098:A 0.000213034
3 *3112:A2 0
4 *3043:A1 0
5 *3168:A1 0.000193985
6 *3042:X 0.000221072
7 *374:21 0.000577747
8 *374:11 0.000535948
9 *374:10 0.000365219
10 *374:8 0.000462228
11 *3098:A *3113:B 2.137e-05
12 *3098:A *419:5 0.000132219
13 *3098:A *421:5 0.000275256
14 *3098:A *714:75 3.07848e-05
15 *3099:A *821:DIODE 0.000139101
16 *3099:A *3099:B 0.000117376
17 *3099:A *3100:B 0.000306497
18 *3099:A *3106:A 6.04268e-05
19 *3099:A *522:55 2.82537e-05
20 *3099:A *714:59 0.000207394
21 *3168:A1 *3043:A0 2.65831e-05
22 *3168:A1 *3168:A2 5.07112e-05
23 *3168:A1 *3172:A1 0.000369796
24 *3168:A1 *3182:A2 0.00100963
25 *3168:A1 *464:48 3.8122e-05
26 *3168:A1 *478:13 0
27 *3168:A1 *481:11 0.000313495
28 *374:8 *3100:B 7.77309e-06
29 *374:8 *3106:A 4.70559e-05
30 *374:8 *522:55 2.53624e-06
31 *374:8 *714:75 0.000137956
32 *374:8 *718:40 5.52748e-05
33 *374:8 *730:32 0
34 *374:11 *3106:A 6.66414e-05
35 *374:11 *3112:B1 0.000114584
36 *374:11 *714:75 9.32983e-05
37 *374:21 *795:DIODE 3.97002e-05
38 *374:21 *824:DIODE 2.65667e-05
39 *374:21 *3043:A0 2.21473e-05
40 *374:21 *3106:A 3.48603e-05
41 *374:21 *3112:A1 0
42 *374:21 *3172:A1 0.000119394
43 *374:21 *3181:B 6.11359e-06
44 *374:21 *3182:A2 5.65809e-05
45 *374:21 *377:29 0.000724866
46 *3043:S *374:21 0.000112985
47 *367:40 *3099:A 8.12793e-05
*RES
1 *3042:X *374:8 19.6294
2 *374:8 *374:10 4.5
3 *374:10 *374:11 4.32832
4 *374:11 *374:21 14.9622
5 *374:21 *3168:A1 21.0887
6 *374:21 *3043:A1 9.24915
7 *374:11 *3112:A2 9.24915
8 *374:10 *3098:A 16.0973
9 *374:8 *3099:A 24.7934
*END
*D_NET *375 0.0016201
*CONN
*I *3044:B I *D sky130_fd_sc_hd__and2_1
*I *3043:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *3044:B 0.000225241
2 *3043:X 0.000225241
3 *3044:B *3045:A 0.000245235
4 *3044:B *3189:A1 0.000311885
5 *2871:A *3044:B 0.000307023
6 *369:14 *3044:B 0.000305476
*RES
1 *3043:X *3044:B 36.9792
*END
*D_NET *376 0.00204266
*CONN
*I *3045:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3044:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *3045:A 0.000545737
2 *3044:X 0.000545737
3 *3045:A *3043:A0 0
4 *3045:A *3151:C 0.000331492
5 *3045:A *3184:A1 0.000251655
6 *3045:A *622:138 3.24105e-05
7 *3044:B *3045:A 0.000245235
8 *369:14 *3045:A 9.03932e-05
*RES
1 *3044:X *3045:A 41.5792
*END
*D_NET *377 0.0120709
*CONN
*I *3047:A1 I *D sky130_fd_sc_hd__mux2_1
*I *3085:B I *D sky130_fd_sc_hd__xnor2_1
*I *3106:A I *D sky130_fd_sc_hd__xnor2_1
*I *3112:A1 I *D sky130_fd_sc_hd__o31ai_1
*I *3172:A1 I *D sky130_fd_sc_hd__o21a_1
*I *3046:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3047:A1 0
2 *3085:B 0.000746416
3 *3106:A 0.000304407
4 *3112:A1 2.06324e-05
5 *3172:A1 0.00034341
6 *3046:X 0.000815095
7 *377:29 0.000929943
8 *377:16 0.0011172
9 *377:13 0.00102202
10 *377:10 0.000921813
11 *3085:B *3048:B 0.000315014
12 *3085:B *3056:A 9.22013e-06
13 *3085:B *3061:A0 0.000100655
14 *3085:B *3080:B 7.09013e-05
15 *3085:B *3086:C1 0.000118166
16 *3085:B *3087:A 1.44467e-05
17 *3085:B *3087:B 0
18 *3085:B *3393:CLK 0.00037073
19 *3085:B *456:26 4.43966e-05
20 *3085:B *456:69 2.75563e-05
21 *3085:B *456:74 0
22 *3085:B *634:8 0.000227589
23 *3085:B *634:10 0.000148144
24 *3085:B *634:33 0.000104783
25 *3085:B *671:28 5.19349e-05
26 *3106:A *3112:B1 0.000114584
27 *3106:A *3113:B 0.000165521
28 *3106:A *622:138 7.92757e-06
29 *3106:A *714:59 0.000265808
30 *3106:A *714:75 6.08467e-05
31 *3172:A1 *3043:A0 9.49926e-05
32 *377:10 *382:14 0.000791359
33 *377:10 *634:10 4.52614e-05
34 *377:16 *3048:B 8.62625e-06
35 *377:16 *3387:CLK 0.000178667
36 *377:16 *456:26 0.000325003
37 *377:16 *634:10 0.000170162
38 *2872:A *377:13 0.000164829
39 *3099:A *3106:A 6.04268e-05
40 *3103:A *3106:A 0.000110297
41 *3168:A1 *3172:A1 0.000369796
42 *3389:D *377:10 0.000149628
43 *3393:D *3085:B 6.14128e-05
44 *370:44 *377:10 0.000108413
45 *374:8 *3106:A 4.70559e-05
46 *374:11 *3106:A 6.66414e-05
47 *374:21 *3106:A 3.48603e-05
48 *374:21 *3112:A1 0
49 *374:21 *3172:A1 0.000119394
50 *374:21 *377:29 0.000724866
*RES
1 *3046:X *377:10 34.8034
2 *377:10 *377:13 6.3326
3 *377:13 *377:16 11.315
4 *377:16 *3172:A1 17.2306
5 *377:16 *377:29 10.7304
6 *377:29 *3112:A1 9.82786
7 *377:29 *3106:A 28.4563
8 *377:13 *3085:B 39.2856
9 *377:10 *3047:A1 9.24915
*END
*D_NET *378 0.000993562
*CONN
*I *3048:B I *D sky130_fd_sc_hd__and2_1
*I *3047:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *3048:B 0.000169825
2 *3047:X 0.000169825
3 *3048:B *3056:A 0.000212267
4 *3048:B *456:26 4.87343e-05
5 *2872:A *3048:B 6.92705e-05
6 *3085:B *3048:B 0.000315014
7 *377:16 *3048:B 8.62625e-06
*RES
1 *3047:X *3048:B 34.2062
*END
*D_NET *379 0.000607686
*CONN
*I *3049:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3048:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *3049:A 4.3469e-05
2 *3048:X 4.3469e-05
3 *3049:A *3056:A 0.000260374
4 *373:24 *3049:A 0.000260374
*RES
1 *3048:X *3049:A 21.4401
*END
*D_NET *380 0.00114414
*CONN
*I *3051:B I *D sky130_fd_sc_hd__or2_1
*I *3050:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *3051:B 0.00025117
2 *3050:X 0.00025117
3 *3051:B *674:78 0.000162583
4 *2955:C *3051:B 0
5 *3039:A *3051:B 0.000320851
6 *309:6 *3051:B 0
7 *309:15 *3051:B 0
8 *310:9 *3051:B 0.000158371
*RES
1 *3050:X *3051:B 35.3154
*END
*D_NET *381 0.00151139
*CONN
*I *3052:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3051:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *3052:A 0.00033489
2 *3051:X 0.00033489
3 *3052:A *795:DIODE 0.000298473
4 *3052:A *665:48 0.000298473
5 *254:11 *3052:A 0.000211492
6 *310:9 *3052:A 3.31745e-05
*RES
1 *3051:X *3052:A 36.564
*END
*D_NET *382 0.0113452
*CONN
*I *3130:A2 I *D sky130_fd_sc_hd__o31a_1
*I *3118:A I *D sky130_fd_sc_hd__xnor2_2
*I *3123:A I *D sky130_fd_sc_hd__nor2_1
*I *3054:A1 I *D sky130_fd_sc_hd__mux2_1
*I *3180:A1 I *D sky130_fd_sc_hd__o211a_1
*I *3053:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3130:A2 0
2 *3118:A 0
3 *3123:A 0.000119982
4 *3054:A1 3.46513e-05
5 *3180:A1 0.000914813
6 *3053:X 0
7 *382:54 0.000584671
8 *382:39 0.000631107
9 *382:14 0.00158715
10 *382:4 0.000804103
11 *3054:A1 *828:DIODE 6.08467e-05
12 *3123:A *3118:B 0.000183427
13 *3123:A *3140:A 6.50727e-05
14 *3123:A *3279:A2 5.56217e-05
15 *3123:A *3285:B 8.92568e-06
16 *3123:A *441:5 3.14978e-05
17 *3180:A1 *3152:A 8.62625e-06
18 *3180:A1 *3180:A2 0.000847656
19 *3180:A1 *3193:B1 5.05252e-05
20 *3180:A1 *3195:A2 3.07773e-05
21 *3180:A1 *463:18 0.000247891
22 *3180:A1 *472:20 0
23 *3180:A1 *487:17 7.92757e-06
24 *3180:A1 *493:23 7.68538e-06
25 *382:14 *3495:A 9.9803e-05
26 *382:14 *718:12 7.83365e-05
27 *382:39 *3130:A3 0.00020502
28 *382:39 *493:21 5.04829e-06
29 *382:39 *522:59 0.000137956
30 *382:39 *714:97 0.000141554
31 *382:54 *3132:A 0.000163323
32 *382:54 *3279:A2 2.31127e-05
33 *382:54 *3285:B 3.56428e-05
34 *382:54 *3305:C 0.000380913
35 *382:54 *446:5 0.000536581
36 *3041:A *3180:A1 0.000151442
37 *3041:A *382:14 1.09738e-05
38 *3044:A *3180:A1 5.87127e-05
39 *3044:A *382:14 0
40 *3058:A2 *382:14 3.29619e-05
41 *3058:B1 *382:14 0.000107496
42 *3389:D *382:14 0.000391603
43 *246:50 *3180:A1 1.04965e-05
44 *367:8 *382:39 0.000304791
45 *369:20 *3054:A1 4.45999e-05
46 *369:20 *3180:A1 2.65667e-05
47 *369:20 *382:14 0.0001454
48 *370:44 *382:14 6.49003e-05
49 *373:24 *382:14 0.000644346
50 *373:33 *382:14 0.00046929
51 *377:10 *382:14 0.000791359
*RES
1 *3053:X *382:4 9.24915
2 *382:4 *382:14 35.5934
3 *382:14 *3180:A1 38.4523
4 *382:14 *3054:A1 10.5271
5 *382:4 *382:39 15.7135
6 *382:39 *382:54 19.929
7 *382:54 *3123:A 18.3808
8 *382:54 *3118:A 13.7491
9 *382:39 *3130:A2 9.24915
*END
*D_NET *383 0.00047521
*CONN
*I *3055:B I *D sky130_fd_sc_hd__and2_1
*I *3054:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *3055:B 0.000132726
2 *3054:X 0.000132726
3 *3055:B *3195:A2 0
4 *3055:B *493:23 6.92705e-05
5 *3044:A *3055:B 0
6 *369:20 *3055:B 0.000140487
7 *373:18 *3055:B 0
*RES
1 *3054:X *3055:B 30.8842
*END
*D_NET *384 0.00144394
*CONN
*I *3056:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3055:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *3056:A 0.000315327
2 *3055:X 0.000315327
3 *3056:A *456:26 0.000229619
4 *3048:B *3056:A 0.000212267
5 *3049:A *3056:A 0.000260374
6 *3085:B *3056:A 9.22013e-06
7 *373:24 *3056:A 0.000101806
*RES
1 *3055:X *3056:A 37.5366
*END
*D_NET *385 0.00274476
*CONN
*I *3086:B1 I *D sky130_fd_sc_hd__o221a_1
*I *3088:B1 I *D sky130_fd_sc_hd__a221oi_1
*I *3058:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *3057:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *3086:B1 1.38542e-05
2 *3088:B1 9.71851e-05
3 *3058:A1 0.000340108
4 *3057:Y 0
5 *385:18 0.00043856
6 *385:4 0.000667629
7 *3058:A1 *3057:A 1.03403e-05
8 *3058:A1 *670:18 9.52443e-05
9 *3058:A1 *670:26 0.000184222
10 *3086:B1 *3088:A1 2.65831e-05
11 *3086:B1 *3088:B2 2.15348e-05
12 *3088:B1 *3086:B2 1.64789e-05
13 *3088:B1 *3088:A1 6.80117e-05
14 *3088:B1 *3088:B2 0.00036437
15 *3088:B1 *3089:A3 2.16355e-05
16 *3088:B1 *671:28 1.00981e-05
17 *385:18 *3057:A 7.90605e-05
18 *385:18 *3060:A 0
19 *385:18 *3086:A2 0
20 *385:18 *3086:C1 1.07248e-05
21 *385:18 *3089:A3 5.68225e-06
22 *385:18 *3442:CLK 3.31882e-05
23 *385:18 *3495:A 2.7652e-05
24 *385:18 *663:52 0
25 *385:18 *670:18 2.60879e-06
26 *385:18 *714:97 0
27 *3059:A2 *3058:A1 3.88655e-05
28 *254:41 *3058:A1 0.000171122
29 *254:41 *385:18 0
*RES
1 *3057:Y *385:4 9.24915
2 *385:4 *3058:A1 26.2056
3 *385:4 *385:18 17.0608
4 *385:18 *3088:B1 13.8789
5 *385:18 *3086:B1 9.97254
*END
*D_NET *386 0.000952039
*CONN
*I *3059:B1 I *D sky130_fd_sc_hd__o21a_1
*I *3058:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *3059:B1 0.000158915
2 *3058:Y 0.000158915
3 *3059:B1 *3057:A 0.000187949
4 *3059:B1 *663:63 0.000154145
5 *3059:B1 *670:26 0.000193046
6 *829:DIODE *3059:B1 5.04829e-06
7 *3392:D *3059:B1 6.08467e-05
8 *254:26 *3059:B1 3.31745e-05
*RES
1 *3058:Y *3059:B1 33.2392
*END
*D_NET *387 0.00911832
*CONN
*I *3130:B1 I *D sky130_fd_sc_hd__o31a_1
*I *3131:A I *D sky130_fd_sc_hd__or4_2
*I *3088:A2 I *D sky130_fd_sc_hd__a221oi_1
*I *3061:A1 I *D sky130_fd_sc_hd__mux2_1
*I *3189:A1 I *D sky130_fd_sc_hd__o211a_1
*I *3060:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3130:B1 0
2 *3131:A 8.19925e-05
3 *3088:A2 0.00012714
4 *3061:A1 0
5 *3189:A1 0.000773856
6 *3060:X 0
7 *387:45 0.000639793
8 *387:13 0.00119049
9 *387:7 0.000921228
10 *387:4 0.000935255
11 *3088:A2 *3082:B 0.000119972
12 *3131:A *622:140 0.000165287
13 *3189:A1 *828:DIODE 0.000126477
14 *3189:A1 *3061:A0 6.50727e-05
15 *3189:A1 *3184:A1 0.000863167
16 *3189:A1 *3189:A2 9.95922e-06
17 *3189:A1 *3189:B1 1.10717e-05
18 *3189:A1 *456:26 0.000126876
19 *3189:A1 *456:69 5.65074e-05
20 *3189:A1 *463:18 0.000100721
21 *3189:A1 *718:8 0
22 *3189:A1 *718:12 0
23 *387:7 *717:7 6.67308e-05
24 *387:13 *830:DIODE 1.93033e-05
25 *387:13 *3061:A0 3.01723e-05
26 *387:13 *3062:B 0.000277502
27 *387:13 *3063:A 6.92705e-05
28 *387:13 *3082:B 4.27148e-05
29 *387:45 *3092:B1 5.0715e-05
30 *387:45 *3274:B1 7.66022e-05
31 *387:45 *3490:A 5.99111e-05
32 *387:45 *3496:A 0.000120985
33 *387:45 *522:69 2.42273e-05
34 *387:45 *622:140 0.000440104
35 *387:45 *716:10 7.97864e-05
36 *2871:A *3189:A1 2.15348e-05
37 *2872:A *3189:A1 2.65667e-05
38 *3044:A *3189:A1 0.000118166
39 *3044:B *3189:A1 0.000311885
40 *3061:S *3189:A1 4.58003e-05
41 *3274:A1 *387:45 2.68066e-05
42 *3391:D *3189:A1 0.000258733
43 *3442:D *387:45 0.000115772
44 *247:34 *3189:A1 1.91246e-05
45 *254:41 *3088:A2 0.000263084
46 *254:41 *387:13 9.75356e-05
47 *325:65 *387:7 5.99233e-06
48 *325:65 *387:45 3.52332e-05
49 *367:14 *3131:A 6.92015e-05
50 *367:14 *387:45 2.99929e-05
51 *369:14 *3189:A1 0
*RES
1 *3060:X *387:4 9.24915
2 *387:4 *387:7 10.2148
3 *387:7 *387:13 15.3986
4 *387:13 *3189:A1 44.6864
5 *387:13 *3061:A1 9.24915
6 *387:7 *3088:A2 18.4879
7 *387:4 *387:45 23.2827
8 *387:45 *3131:A 16.8269
9 *387:45 *3130:B1 13.7491
*END
*D_NET *388 0.000490778
*CONN
*I *3062:B I *D sky130_fd_sc_hd__and2_1
*I *3061:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *3062:B 0.000106638
2 *3061:X 0.000106638
3 *3062:B *3061:A0 0
4 *3061:S *3062:B 0
5 *387:13 *3062:B 0.000277502
*RES
1 *3061:X *3062:B 22.4287
*END
*D_NET *389 0.000873744
*CONN
*I *3063:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3062:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *3063:A 0.000304946
2 *3062:X 0.000304946
3 *3063:A *3082:B 0
4 *3063:A *3087:B 0.000140154
5 *3063:A *3088:C1 8.62625e-06
6 *3063:A *671:28 4.58003e-05
7 *3065:A *3063:A 0
8 *387:13 *3063:A 6.92705e-05
*RES
1 *3062:X *3063:A 35.4842
*END
*D_NET *390 0.00056699
*CONN
*I *3065:B I *D sky130_fd_sc_hd__and2_1
*I *3064:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *3065:B 0.000231524
2 *3064:X 0.000231524
3 *3065:B *3064:A0 0.000103943
4 *3065:B *495:13 0
*RES
1 *3064:X *3065:B 32.1327
*END
*D_NET *391 0.000640381
*CONN
*I *3066:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3065:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *3066:A 0.00022382
2 *3065:X 0.00022382
3 *3066:A *495:13 2.652e-05
4 *3066:A *672:117 6.50727e-05
5 *3394:D *3066:A 0.000101148
6 *167:8 *3066:A 0
*RES
1 *3065:X *3066:A 33.791
*END
*D_NET *392 0.00671363
*CONN
*I *3068:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3067:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *3068:A 0
2 *3067:X 0.00236329
3 *392:16 0.00236329
4 *392:16 *839:DIODE 0
5 *392:16 *3507:A 1.65872e-05
6 *392:16 *674:11 0
7 *392:16 *676:24 0.000290332
8 *392:16 *685:36 0.000107496
9 *2982:B *392:16 0.000657208
10 *3146:A1 *392:16 0
11 *3395:D *392:16 4.76198e-05
12 *243:26 *392:16 0.00031505
13 *248:40 *392:16 0
14 *250:48 *392:16 0.000533662
15 *250:57 *392:16 1.9101e-05
*RES
1 *3067:X *392:16 41.9881
2 *392:16 *3068:A 9.24915
*END
*D_NET *393 0.00042477
*CONN
*I *3070:B I *D sky130_fd_sc_hd__or2_1
*I *3069:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *3070:B 0.000139031
2 *3069:X 0.000139031
3 *3070:A *3070:B 0.00011818
4 *212:33 *3070:B 0
5 *220:13 *3070:B 2.85274e-05
*RES
1 *3069:X *3070:B 31.4388
*END
*D_NET *394 0.00141606
*CONN
*I *3071:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3070:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *3071:A 0.000249974
2 *3070:X 0.000249974
3 *2835:A *3071:A 0.00033061
4 *3396:D *3071:A 3.82654e-05
5 *220:7 *3071:A 0.000547237
*RES
1 *3070:X *3071:A 28.6741
*END
*D_NET *395 0.00163059
*CONN
*I *3291:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *3075:A I *D sky130_fd_sc_hd__or3_2
*I *3245:A I *D sky130_fd_sc_hd__nor3_2
*I *3072:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *3291:A 0
2 *3075:A 7.77882e-05
3 *3245:A 0.000144113
4 *3072:X 4.77839e-05
5 *395:11 0.000246929
6 *395:7 7.28124e-05
7 *3075:A *3075:C 0.000175725
8 *3075:A *3245:B 0.000175259
9 *3245:A *3075:C 0.000165495
10 *3245:A *3245:B 0.000169093
11 *3245:A *540:15 5.04829e-06
12 *3245:A *733:41 0.000154145
13 *395:7 *3072:C 8.31819e-05
14 *395:7 *413:46 6.99486e-05
15 *395:11 *3072:C 2.16355e-05
16 *395:11 *413:46 2.16355e-05
*RES
1 *3072:X *395:7 11.6605
2 *395:7 *395:11 5.2234
3 *395:11 *3245:A 19.2382
4 *395:11 *3075:A 17.2421
5 *395:7 *3291:A 9.24915
*END
*D_NET *396 0.00201407
*CONN
*I *3075:B I *D sky130_fd_sc_hd__or3_2
*I *3306:B I *D sky130_fd_sc_hd__nor2_1
*I *3245:B I *D sky130_fd_sc_hd__nor3_2
*I *3073:X O *D sky130_fd_sc_hd__or3_1
*CAP
1 *3075:B 0
2 *3306:B 9.00348e-05
3 *3245:B 0.000168085
4 *3073:X 4.39938e-05
5 *396:8 0.000289571
6 *396:6 7.54451e-05
7 *3245:B *3075:C 4.8363e-06
8 *3245:B *3279:A1 0.000161249
9 *3245:B *3297:A1 0.000226037
10 *3245:B *397:12 3.5534e-06
11 *3245:B *563:41 0
12 *3306:B *576:17 0.000151525
13 *3306:B *587:17 0.000121249
14 *3306:B *630:8 0.000220665
15 *396:6 *3297:A1 6.79599e-05
16 *396:6 *587:17 0
17 *396:8 *3297:A1 4.55115e-05
18 *396:8 *587:17 0
19 *3075:A *3245:B 0.000175259
20 *3245:A *3245:B 0.000169093
*RES
1 *3073:X *396:6 15.1659
2 *396:6 *396:8 1.00149
3 *396:8 *3245:B 20.9794
4 *396:8 *3306:B 18.3789
5 *396:6 *3075:B 13.7491
*END
*D_NET *397 0.0037379
*CONN
*I *3075:C I *D sky130_fd_sc_hd__or3_2
*I *3245:C I *D sky130_fd_sc_hd__nor3_2
*I *3074:X O *D sky130_fd_sc_hd__or3_1
*CAP
1 *3075:C 0.000253156
2 *3245:C 0
3 *3074:X 0.00114765
4 *397:12 0.00140081
5 *3075:C *563:41 9.22189e-05
6 *3075:C *576:17 6.50727e-05
7 *3075:C *587:17 2.89474e-05
8 *397:12 *3279:A1 8.59445e-05
9 *397:12 *3279:C1 6.71467e-05
10 *397:12 *493:67 0.000212487
11 *397:12 *563:41 2.77312e-05
12 *397:12 *668:12 0
13 *397:12 *674:8 7.12632e-06
14 *3075:A *3075:C 0.000175725
15 *3245:A *3075:C 0.000165495
16 *3245:B *3075:C 4.8363e-06
17 *3245:B *397:12 3.5534e-06
18 *166:15 *397:12 0
19 *172:11 *397:12 0
*RES
1 *3074:X *397:12 28.7245
2 *397:12 *3245:C 13.7491
3 *397:12 *3075:C 22.1913
*END
*D_NET *398 0.00929666
*CONN
*I *3324:B I *D sky130_fd_sc_hd__nor2_1
*I *3336:C I *D sky130_fd_sc_hd__or4_1
*I *3325:B I *D sky130_fd_sc_hd__nand2_1
*I *3076:A I *D sky130_fd_sc_hd__clkbuf_2
*I *3198:A1 I *D sky130_fd_sc_hd__o21bai_2
*I *3075:X O *D sky130_fd_sc_hd__or3_2
*CAP
1 *3324:B 7.52481e-05
2 *3336:C 0
3 *3325:B 1.37992e-05
4 *3076:A 0
5 *3198:A1 0.000384928
6 *3075:X 0.000597192
7 *398:64 0.00044465
8 *398:35 0.000549603
9 *398:29 0.000974333
10 *398:12 0.00176245
11 *3198:A1 *3083:A_N 0.00027329
12 *3198:A1 *522:93 3.06126e-05
13 *3198:A1 *743:18 0
14 *3324:B *3077:D 6.78549e-05
15 *3324:B *3324:A 0.000123368
16 *3324:B *3336:A 0
17 *3324:B *507:49 9.68627e-06
18 *3324:B *601:11 7.22498e-05
19 *3324:B *728:13 2.29454e-05
20 *398:12 *3072:A 5.92342e-05
21 *398:12 *3302:A2 0.000275845
22 *398:12 *3326:A 3.60268e-05
23 *398:12 *3446:CLK 5.56367e-05
24 *398:12 *563:20 4.07165e-05
25 *398:12 *563:41 0.000246529
26 *398:12 *572:6 0.000220631
27 *398:12 *576:17 0.000307937
28 *398:12 *577:5 2.41274e-06
29 *398:29 *3247:B 0
30 *398:29 *3296:B 0.000127721
31 *398:29 *3302:A2 1.27836e-05
32 *398:29 *3302:B1_N 0.000430192
33 *398:29 *3332:A1 0.000271044
34 *398:29 *3337:A1_N 0.000271044
35 *398:29 *3446:CLK 2.16355e-05
36 *398:29 *3447:CLK 3.25887e-05
37 *398:29 *563:5 0.00014642
38 *398:29 *602:13 1.92336e-05
39 *398:29 *737:11 5.03285e-05
40 *398:29 *737:16 1.43983e-05
41 *398:29 *738:5 0.000164815
42 *398:35 *3083:A_N 6.50727e-05
43 *398:35 *3325:A 6.92705e-05
44 *398:35 *602:13 2.65667e-05
45 *398:35 *663:27 3.61136e-05
46 *398:64 *3077:C 4.98393e-05
47 *398:64 *3077:D 6.50727e-05
48 *398:64 *3326:A 5.47736e-05
49 *398:64 *3337:B1 0
50 *398:64 *3446:CLK 0.000193657
51 *398:64 *3455:CLK 6.74071e-05
52 *398:64 *507:49 1.43983e-05
53 *398:64 *605:14 1.85012e-05
54 *398:64 *670:10 0
55 *3447:D *398:29 0.000277502
56 *3455:D *398:64 0.000149097
*RES
1 *3075:X *398:12 31.0566
2 *398:12 *398:29 36.9775
3 *398:29 *398:35 4.79853
4 *398:35 *3198:A1 28.006
5 *398:35 *3076:A 9.24915
6 *398:29 *3325:B 9.82786
7 *398:12 *398:64 15.849
8 *398:64 *3336:C 9.24915
9 *398:64 *3324:B 13.3243
*END
*D_NET *399 0.0105687
*CONN
*I *3333:A2 I *D sky130_fd_sc_hd__o31ai_1
*I *3092:A1 I *D sky130_fd_sc_hd__o211a_1
*I *3297:A1 I *D sky130_fd_sc_hd__o211a_1
*I *3279:A1 I *D sky130_fd_sc_hd__o211a_1
*I *3343:A I *D sky130_fd_sc_hd__nor2_1
*I *3076:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3333:A2 0.000557929
2 *3092:A1 0.00013377
3 *3297:A1 0.000400802
4 *3279:A1 0.000351406
5 *3343:A 0.000250326
6 *3076:X 0
7 *399:24 0.00158658
8 *399:17 0.00121523
9 *399:6 0.000591788
10 *399:5 0.000652301
11 *3092:A1 *3092:A2 6.50727e-05
12 *3092:A1 *3448:CLK 0.000636366
13 *3092:A1 *671:20 0.00019069
14 *3279:A1 *3279:A2 2.09299e-05
15 *3279:A1 *3279:B1 8.12632e-07
16 *3279:A1 *3279:C1 0
17 *3279:A1 *3283:A1 1.55462e-05
18 *3279:A1 *3283:A2 0.000101118
19 *3279:A1 *3497:A 0.000286051
20 *3279:A1 *734:15 0
21 *3297:A1 *3073:C 5.05252e-05
22 *3297:A1 *3297:B1 5.42453e-07
23 *3297:A1 *3497:A 0.00023836
24 *3297:A1 *3498:A 0.000125443
25 *3297:A1 *436:33 0.000265056
26 *3297:A1 *587:17 0
27 *3297:A1 *737:18 0.000117007
28 *3333:A2 *3078:B 3.64685e-05
29 *3333:A2 *3083:A_N 0.000122098
30 *3333:A2 *3247:B 0
31 *3333:A2 *3325:A 5.96936e-05
32 *3333:A2 *507:33 6.24677e-05
33 *3333:A2 *507:49 1.51406e-05
34 *3333:A2 *743:18 1.37925e-05
35 *399:6 *3247:B 0
36 *399:6 *743:18 6.49002e-05
37 *399:17 *3247:B 0
38 *399:17 *671:20 0
39 *399:17 *743:18 0.000123173
40 *399:24 *870:DIODE 0.000248437
41 *399:24 *3072:C 0.000311261
42 *399:24 *3247:B 0
43 *399:24 *3275:A 0
44 *399:24 *3310:A1 1.75625e-05
45 *399:24 *3310:B1 5.41227e-05
46 *399:24 *413:46 1.34424e-05
47 *399:24 *522:93 0
48 *399:24 *575:7 0.00017832
49 *399:24 *622:162 0.000736136
50 *399:24 *671:20 0
51 *3245:B *3279:A1 0.000161249
52 *3245:B *3297:A1 0.000226037
53 *3448:D *399:17 3.20069e-06
54 *3448:D *399:24 6.80864e-05
55 *396:6 *3297:A1 6.79599e-05
56 *396:8 *3297:A1 4.55115e-05
57 *397:12 *3279:A1 8.59445e-05
*RES
1 *3076:X *399:5 13.7491
2 *399:5 *399:6 2.6625
3 *399:6 *3343:A 19.6422
4 *399:6 *399:17 6.81502
5 *399:17 *399:24 32.8978
6 *399:24 *3279:A1 25.3045
7 *399:24 *3297:A1 27.4622
8 *399:17 *3092:A1 21.1278
9 *399:5 *3333:A2 23.9282
*END
*D_NET *400 0.00402426
*CONN
*I *3246:B I *D sky130_fd_sc_hd__nor2_1
*I *3078:B I *D sky130_fd_sc_hd__nand2_1
*I *3077:Y O *D sky130_fd_sc_hd__nor4_1
*CAP
1 *3246:B 0
2 *3078:B 0.000153943
3 *3077:Y 0.00115951
4 *400:7 0.00131345
5 *3078:B *3210:A 6.08467e-05
6 *3078:B *507:23 0.000328363
7 *3078:B *507:33 9.55447e-05
8 *3078:B *512:15 0.000169093
9 *3078:B *541:8 4.70005e-05
10 *3078:B *663:27 1.65078e-05
11 *3078:B *743:21 0.000171273
12 *400:7 *3077:C 4.58003e-05
13 *400:7 *541:8 5.4694e-06
14 *400:7 *727:7 0.000375025
15 *400:7 *727:18 5.51483e-06
16 *3333:A2 *3078:B 3.64685e-05
17 *3453:D *400:7 4.04542e-05
*RES
1 *3077:Y *400:7 27.4546
2 *400:7 *3078:B 25.9325
3 *400:7 *3246:B 9.24915
*END
*D_NET *401 0.00287868
*CONN
*I *3198:A2 I *D sky130_fd_sc_hd__o21bai_2
*I *3343:B I *D sky130_fd_sc_hd__nor2_1
*I *3092:A2 I *D sky130_fd_sc_hd__o211a_1
*I *3078:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *3198:A2 0.000109038
2 *3343:B 0
3 *3092:A2 0.000187536
4 *3078:Y 0.000125644
5 *401:8 0.000327291
6 *401:7 0.000374437
7 *3092:A2 *3092:B1 3.75603e-05
8 *3092:A2 *3448:CLK 1.65872e-05
9 *3092:A2 *522:93 0.000367283
10 *3092:A2 *663:52 0.000112185
11 *3198:A2 *3197:B 1.18938e-05
12 *3198:A2 *3205:B1 0.000135529
13 *3198:A2 *522:93 0.00019395
14 *3198:A2 *635:27 0
15 *401:7 *3197:A 0.000111722
16 *401:7 *743:21 6.50727e-05
17 *401:7 *743:23 6.24655e-05
18 *401:8 *3197:B 2.18741e-05
19 *401:8 *522:93 0.000336155
20 *401:8 *663:52 9.89011e-05
21 *3092:A1 *3092:A2 6.50727e-05
22 *3406:D *3092:A2 0.000118485
*RES
1 *3078:Y *401:7 17.2456
2 *401:7 *401:8 5.98452
3 *401:8 *3092:A2 22.0056
4 *401:8 *3343:B 13.7491
5 *401:7 *3198:A2 18.3902
*END
*D_NET *402 0.00233726
*CONN
*I *3083:A_N I *D sky130_fd_sc_hd__and4b_1
*I *3079:X O *D sky130_fd_sc_hd__or3_1
*CAP
1 *3083:A_N 0.000551595
2 *3079:X 0.000551595
3 *3083:A_N *3246:A 6.81008e-05
4 *3083:A_N *3325:A 1.45944e-05
5 *3083:A_N *512:15 4.7512e-05
6 *3083:A_N *522:93 0
7 *3083:A_N *522:102 0
8 *3083:A_N *635:7 0.000164843
9 *3083:A_N *663:27 0.00017315
10 *3083:A_N *663:31 0.000129589
11 *3083:A_N *711:16 5.22654e-06
12 *3083:A_N *743:18 0.000170592
13 *3198:A1 *3083:A_N 0.00027329
14 *3333:A2 *3083:A_N 0.000122098
15 *398:35 *3083:A_N 6.50727e-05
*RES
1 *3079:X *3083:A_N 46.5412
*END
*D_NET *403 0.000322428
*CONN
*I *3083:B I *D sky130_fd_sc_hd__and4b_1
*I *3080:Y O *D sky130_fd_sc_hd__xnor2_1
*CAP
1 *3083:B 8.97407e-05
2 *3080:Y 8.97407e-05
3 *3083:B *3089:A1 7.50872e-05
4 *206:13 *3083:B 6.78596e-05
*RES
1 *3080:Y *3083:B 29.6384
*END
*D_NET *404 0.00303927
*CONN
*I *3083:C I *D sky130_fd_sc_hd__and4b_1
*I *3081:Y O *D sky130_fd_sc_hd__xnor2_1
*CAP
1 *3083:C 0.000836138
2 *3081:Y 0.000836138
3 *3083:C *3082:B 7.50872e-05
4 *3083:C *3083:D 0.00061887
5 *3083:C *3089:A1 1.24013e-05
6 *206:13 *3083:C 0.000634119
7 *254:41 *3083:C 2.652e-05
*RES
1 *3081:Y *3083:C 43.1177
*END
*D_NET *405 0.0012245
*CONN
*I *3083:D I *D sky130_fd_sc_hd__and4b_1
*I *3082:Y O *D sky130_fd_sc_hd__xnor2_1
*CAP
1 *3083:D 0.000151837
2 *3082:Y 0.000151837
3 *3083:D *3089:A1 2.78851e-05
4 *3083:D *504:42 0.000274075
5 *3083:C *3083:D 0.00061887
*RES
1 *3082:Y *3083:D 26.3049
*END
*D_NET *406 0.0012943
*CONN
*I *3089:A1 I *D sky130_fd_sc_hd__a31o_1
*I *3083:X O *D sky130_fd_sc_hd__and4b_1
*CAP
1 *3089:A1 0.00032335
2 *3083:X 0.00032335
3 *3089:A1 *3089:A2 1.09551e-05
4 *3089:A1 *3089:B1 1.09551e-05
5 *3089:A1 *407:11 0.000388232
6 *3089:A1 *714:97 0.000122083
7 *3083:B *3089:A1 7.50872e-05
8 *3083:C *3089:A1 1.24013e-05
9 *3083:D *3089:A1 2.78851e-05
*RES
1 *3083:X *3089:A1 38.2438
*END
*D_NET *407 0.00357481
*CONN
*I *3086:A1 I *D sky130_fd_sc_hd__o221a_1
*I *3088:A1 I *D sky130_fd_sc_hd__a221oi_1
*I *3084:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *3086:A1 0
2 *3088:A1 0.000174396
3 *3084:Y 0.000394298
4 *407:11 0.000568694
5 *3088:A1 *3086:A2 6.36477e-05
6 *3088:A1 *3086:B2 2.91008e-06
7 *3088:A1 *3088:B2 1.1709e-05
8 *3088:A1 *3089:A3 6.50727e-05
9 *3088:A1 *671:28 0.000559227
10 *3088:A1 *707:15 2.12616e-05
11 *407:11 *3080:A 0.000316675
12 *407:11 *3092:B1 7.77309e-06
13 *407:11 *663:52 4.31703e-05
14 *407:11 *671:28 3.49679e-05
15 *407:11 *707:7 0.000118166
16 *407:11 *707:15 0.000522342
17 *407:11 *714:97 0.000187673
18 *3086:B1 *3088:A1 2.65831e-05
19 *3088:B1 *3088:A1 6.80117e-05
20 *3089:A1 *407:11 0.000388232
*RES
1 *3084:Y *407:11 34.6823
2 *407:11 *3088:A1 17.8334
3 *407:11 *3086:A1 9.24915
*END
*D_NET *408 0.00164476
*CONN
*I *3086:C1 I *D sky130_fd_sc_hd__o221a_1
*I *3085:Y O *D sky130_fd_sc_hd__xnor2_1
*CAP
1 *3086:C1 0.000608968
2 *3085:Y 0.000608968
3 *3086:C1 *3080:B 0.000144935
4 *3086:C1 *3087:A 2.65831e-05
5 *3086:C1 *3089:A3 0.00011182
6 *3086:C1 *714:97 0
7 *3085:B *3086:C1 0.000118166
8 *254:41 *3086:C1 1.45944e-05
9 *385:18 *3086:C1 1.07248e-05
*RES
1 *3085:Y *3086:C1 42.6674
*END
*D_NET *409 0.000428813
*CONN
*I *3089:A2 I *D sky130_fd_sc_hd__a31o_1
*I *3086:X O *D sky130_fd_sc_hd__o221a_1
*CAP
1 *3089:A2 0.000127667
2 *3086:X 0.000127667
3 *3089:A2 *3089:B1 1.95771e-05
4 *3089:A2 *707:15 6.78596e-05
5 *3089:A2 *714:97 7.50872e-05
6 *3089:A1 *3089:A2 1.09551e-05
*RES
1 *3086:X *3089:A2 30.6271
*END
*D_NET *410 0.000438227
*CONN
*I *3088:C1 I *D sky130_fd_sc_hd__a221oi_1
*I *3087:X O *D sky130_fd_sc_hd__xor2_1
*CAP
1 *3088:C1 0.000158861
2 *3087:X 0.000158861
3 *3088:C1 *3082:B 0
4 *3088:C1 *3087:B 5.62332e-05
5 *3088:C1 *671:28 5.56461e-05
6 *3063:A *3088:C1 8.62625e-06
*RES
1 *3087:X *3088:C1 32.2693
*END
*D_NET *411 0.0011309
*CONN
*I *3089:A3 I *D sky130_fd_sc_hd__a31o_1
*I *3088:Y O *D sky130_fd_sc_hd__a221oi_1
*CAP
1 *3089:A3 0.000297165
2 *3088:Y 0.000297165
3 *3089:A3 *3080:B 0.000110701
4 *3089:A3 *412:9 3.16131e-05
5 *3086:C1 *3089:A3 0.00011182
6 *3088:A1 *3089:A3 6.50727e-05
7 *3088:B1 *3089:A3 2.16355e-05
8 *254:41 *3089:A3 0.000190042
9 *385:18 *3089:A3 5.68225e-06
*RES
1 *3088:Y *3089:A3 37.4295
*END
*D_NET *412 0.0068373
*CONN
*I *3277:A I *D sky130_fd_sc_hd__clkbuf_2
*I *3090:A I *D sky130_fd_sc_hd__clkbuf_2
*I *3281:A I *D sky130_fd_sc_hd__clkbuf_2
*I *3287:A I *D sky130_fd_sc_hd__clkbuf_2
*I *3301:D_N I *D sky130_fd_sc_hd__or4b_1
*I *3089:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *3277:A 4.23165e-05
2 *3090:A 0
3 *3281:A 0
4 *3287:A 8.35697e-05
5 *3301:D_N 2.06324e-05
6 *3089:X 0.00177537
7 *412:46 0.000175619
8 *412:26 0.000112088
9 *412:25 0.000619699
10 *412:9 0.00225388
11 *3277:A *3446:CLK 0.000268892
12 *3277:A *563:5 0.000114431
13 *3287:A *3302:A2 0.00012568
14 *3287:A *572:6 1.07248e-05
15 *3287:A *587:33 6.78549e-05
16 *3287:A *670:18 3.12316e-05
17 *3301:D_N *3297:C1 0
18 *412:9 *3089:B1 4.74108e-05
19 *412:9 *3296:B 0.000107496
20 *412:9 *3301:B 2.65667e-05
21 *412:9 *3304:A1 3.14978e-05
22 *412:9 *671:20 4.41134e-05
23 *412:9 *743:5 3.28898e-06
24 *412:25 *3296:B 4.89469e-06
25 *412:25 *3297:B1 0.000474839
26 *412:25 *3301:B 6.75453e-05
27 *412:25 *3498:A 5.39635e-06
28 *412:25 *671:20 3.7124e-05
29 *412:26 *3302:A2 5.54527e-05
30 *412:26 *670:18 1.72564e-05
31 *412:46 *3302:A2 3.73904e-05
32 *412:46 *3326:A 7.50872e-05
33 *412:46 *670:18 6.6732e-05
34 *3089:A3 *412:9 3.16131e-05
35 *3406:D *412:9 1.60502e-06
*RES
1 *3089:X *412:9 37.1963
2 *412:9 *3301:D_N 9.82786
3 *412:9 *412:25 14.493
4 *412:25 *412:26 1.20912
5 *412:26 *3287:A 16.7198
6 *412:26 *3281:A 13.7491
7 *412:25 *412:46 8.51818
8 *412:46 *3090:A 9.24915
9 *412:46 *3277:A 12.191
*END
*D_NET *413 0.00870432
*CONN
*I *3294:A3 I *D sky130_fd_sc_hd__a31o_1
*I *3292:C_N I *D sky130_fd_sc_hd__or3b_1
*I *3091:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *3321:A3 I *D sky130_fd_sc_hd__a31o_1
*I *3326:A I *D sky130_fd_sc_hd__nand2_1
*I *3090:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3294:A3 1.98947e-05
2 *3292:C_N 0.000156406
3 *3091:A 0
4 *3321:A3 0.000352815
5 *3326:A 0.000175524
6 *3090:X 0
7 *413:46 0.00078778
8 *413:26 0.00105673
9 *413:24 0.000548791
10 *413:4 0.00063188
11 *3292:C_N *870:DIODE 6.50586e-05
12 *3292:C_N *3278:B 2.28508e-05
13 *3292:C_N *436:33 0
14 *3292:C_N *576:17 5.53928e-05
15 *3292:C_N *733:29 5.89555e-05
16 *3294:A3 *3294:A1 2.16355e-05
17 *3294:A3 *736:11 6.08467e-05
18 *3321:A3 *3319:A1 0.000115585
19 *3321:A3 *567:12 0.000377069
20 *3321:A3 *567:14 6.25467e-05
21 *3321:A3 *567:18 3.20069e-06
22 *3321:A3 *587:33 0.00069118
23 *3321:A3 *666:15 6.08467e-05
24 *3326:A *3302:A2 8.62625e-06
25 *3326:A *3337:A1_N 0.000211478
26 *3326:A *3337:A2_N 0.000211478
27 *3326:A *670:18 3.86242e-05
28 *413:24 *3299:A2 4.55115e-05
29 *413:24 *3299:B1_N 5.19205e-05
30 *413:24 *567:12 0.000327446
31 *413:24 *587:33 0.000157702
32 *413:24 *670:18 0.000388232
33 *413:24 *737:11 0.000205101
34 *413:26 *567:12 0.000177819
35 *413:26 *587:33 0.000186445
36 *413:46 *870:DIODE 4.0752e-05
37 *413:46 *3072:B 0.000258208
38 *413:46 *3072:C 4.01933e-05
39 *413:46 *3072:D 3.04443e-05
40 *413:46 *3298:A 8.52278e-05
41 *413:46 *572:6 5.26446e-05
42 *413:46 *575:7 0.000417476
43 *413:46 *670:18 1.81081e-06
44 *413:46 *733:29 0.000171273
45 *172:12 *413:24 0
46 *395:7 *413:46 6.99486e-05
47 *395:11 *413:46 2.16355e-05
48 *398:12 *3326:A 3.60268e-05
49 *398:64 *3326:A 5.47736e-05
50 *399:24 *413:46 1.34424e-05
51 *412:46 *3326:A 7.50872e-05
*RES
1 *3090:X *413:4 9.24915
2 *413:4 *3326:A 24.9599
3 *413:4 *413:24 26.6427
4 *413:24 *413:26 3.493
5 *413:26 *3321:A3 27.5163
6 *413:26 *413:46 31.5013
7 *413:46 *3091:A 9.24915
8 *413:46 *3292:C_N 22.8808
9 *413:24 *3294:A3 14.4725
*END
*D_NET *414 0.00494916
*CONN
*I *3309:B1 I *D sky130_fd_sc_hd__o21ai_1
*I *3310:A2 I *D sky130_fd_sc_hd__o211a_1
*I *3275:A I *D sky130_fd_sc_hd__nand2_1
*I *3276:A2 I *D sky130_fd_sc_hd__o211a_1
*I *3092:B1 I *D sky130_fd_sc_hd__o211a_1
*I *3091:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *3309:B1 0
2 *3310:A2 1.58386e-05
3 *3275:A 0.000452221
4 *3276:A2 2.06324e-05
5 *3092:B1 0.000293355
6 *3091:X 0.000152989
7 *414:21 0.000388535
8 *414:19 0.000638689
9 *414:17 0.000269073
10 *414:9 0.000294303
11 *3092:B1 *3448:CLK 3.08557e-05
12 *3092:B1 *3496:A 8.62321e-06
13 *3092:B1 *522:69 0.000285505
14 *3092:B1 *663:52 1.80257e-05
15 *3092:B1 *707:15 8.62625e-06
16 *3092:B1 *714:97 3.43906e-05
17 *3275:A *3274:B1 0
18 *3275:A *3276:B1 0
19 *3275:A *3290:A 6.81742e-05
20 *3275:A *3290:B 3.84518e-05
21 *3275:A *622:162 3.25751e-05
22 *3310:A2 *3310:A1 7.76697e-06
23 *414:9 *3309:A2 6.73186e-05
24 *414:9 *3491:A 0.000145106
25 *414:9 *436:33 8.16329e-05
26 *414:9 *575:23 0
27 *414:9 *585:14 0
28 *414:17 *3309:A2 2.41483e-05
29 *414:17 *3310:A1 9.30857e-05
30 *414:17 *3310:B1 0.000487868
31 *414:19 *3310:B1 4.66492e-05
32 *414:19 *3310:C1 0.00015709
33 *414:21 *856:DIODE 0.00015709
34 *414:21 *3310:C1 0.000220183
35 *414:21 *522:69 0.000171273
36 *3092:A2 *3092:B1 3.75603e-05
37 *325:65 *3092:B1 0.000143032
38 *387:45 *3092:B1 5.0715e-05
39 *399:24 *3275:A 0
40 *407:11 *3092:B1 7.77309e-06
*RES
1 *3091:X *414:9 23.5748
2 *414:9 *414:17 6.31766
3 *414:17 *414:19 3.49641
4 *414:19 *414:21 4.05102
5 *414:21 *3092:B1 27.5105
6 *414:21 *3276:A2 9.82786
7 *414:19 *3275:A 29.119
8 *414:17 *3310:A2 9.82786
9 *414:9 *3309:B1 9.24915
*END
*D_NET *415 0.000856887
*CONN
*I *3096:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *3093:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *3096:A1 0.000327067
2 *3093:Y 0.000327067
3 *2982:B *3096:A1 9.14669e-05
4 *259:29 *3096:A1 0.000111285
*RES
1 *3093:Y *3096:A1 26.9861
*END
*D_NET *416 0.0032585
*CONN
*I *3114:A1 I *D sky130_fd_sc_hd__a211o_1
*I *3108:A1 I *D sky130_fd_sc_hd__a21o_1
*I *3095:A2 I *D sky130_fd_sc_hd__a211o_1
*I *3094:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *3114:A1 2.29644e-05
2 *3108:A1 7.70594e-05
3 *3095:A2 0.000324162
4 *3094:Y 0.000243347
5 *416:13 0.000649865
6 *416:9 0.000514954
7 *3095:A2 *806:DIODE 0
8 *3095:A2 *3097:A1 0
9 *3095:A2 *456:131 2.21765e-05
10 *3095:A2 *674:18 6.09999e-05
11 *3095:A2 *674:30 0.000170177
12 *3108:A1 *3108:A2 1.07248e-05
13 *3108:A1 *3114:A2 9.95063e-05
14 *3114:A1 *3114:B1 2.91559e-06
15 *416:9 *2831:A 2.69064e-05
16 *416:9 *3114:B1 4.81015e-05
17 *416:9 *3114:C1 6.50586e-05
18 *416:13 *3114:A2 0.000474797
19 *416:13 *3114:B1 2.41483e-05
20 *3095:A1 *3095:A2 6.37553e-05
21 *3095:A1 *3108:A1 5.68225e-06
22 *3095:B1 *3095:A2 3.67528e-06
23 *3115:B1 *3095:A2 0.000102318
24 *3115:B1 *3108:A1 0.0002452
25 *248:29 *416:9 0
26 *259:48 *3095:A2 0
*RES
1 *3094:Y *416:9 24.1322
2 *416:9 *416:13 11.324
3 *416:13 *3095:A2 23.0557
4 *416:13 *3108:A1 18.0727
5 *416:9 *3114:A1 9.82786
*END
*D_NET *417 0.00118484
*CONN
*I *3096:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *3095:X O *D sky130_fd_sc_hd__a211o_1
*CAP
1 *3096:A2 0.000328799
2 *3095:X 0.000328799
3 *3096:A2 *806:DIODE 0
4 *3096:A2 *457:31 0.000224395
5 *3096:A2 *766:15 0
6 *3095:B1 *3096:A2 0.00023785
7 *250:57 *3096:A2 6.49917e-05
8 *259:37 *3096:A2 0
*RES
1 *3095:X *3096:A2 35.4548
*END
*D_NET *418 0.000377821
*CONN
*I *3104:A1 I *D sky130_fd_sc_hd__o21a_1
*I *3097:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *3104:A1 2.76768e-05
2 *3097:X 2.76768e-05
3 *3104:A1 *3104:A2 0.000161234
4 *254:74 *3104:A1 0.000161234
*RES
1 *3097:X *3104:A1 20.3309
*END
*D_NET *419 0.00124475
*CONN
*I *3100:A I *D sky130_fd_sc_hd__or2_1
*I *3106:B I *D sky130_fd_sc_hd__xnor2_1
*I *3098:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *3100:A 0
2 *3106:B 0.000118622
3 *3098:Y 6.87491e-05
4 *419:5 0.000187371
5 *3106:B *3108:A2 0
6 *3106:B *3114:A2 0
7 *3106:B *522:55 0.0002212
8 *3106:B *622:138 3.82228e-05
9 *3106:B *622:140 0.000170592
10 *419:5 *3113:B 0.0002646
11 *3098:A *419:5 0.000132219
12 *3103:A *3106:B 4.31703e-05
*RES
1 *3098:Y *419:5 12.7456
2 *419:5 *3106:B 23.4354
3 *419:5 *3100:A 9.24915
*END
*D_NET *420 0.00125758
*CONN
*I *3100:B I *D sky130_fd_sc_hd__or2_1
*I *3099:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *3100:B 0.000225534
2 *3099:X 0.000225534
3 *3100:B *522:55 0.000331074
4 *3099:A *3100:B 0.000306497
5 *367:40 *3100:B 0.000161172
6 *374:8 *3100:B 7.77309e-06
*RES
1 *3099:X *3100:B 35.3154
*END
*D_NET *421 0.00727451
*CONN
*I *3279:A2 I *D sky130_fd_sc_hd__o211a_1
*I *3102:A2 I *D sky130_fd_sc_hd__o21ba_1
*I *3100:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *3279:A2 0.00142387
2 *3102:A2 0.000560352
3 *3100:X 0.000296404
4 *421:5 0.00228063
5 *3102:A2 *2831:A 3.9739e-05
6 *3102:A2 *2831:B 3.94365e-05
7 *3102:A2 *3115:A2 8.41807e-05
8 *3102:A2 *3285:B 0.000123597
9 *3102:A2 *427:10 3.71594e-05
10 *3102:A2 *436:15 0
11 *3102:A2 *769:20 0
12 *3279:A2 *3132:A 0.000454366
13 *3279:A2 *3136:A 0
14 *3279:A2 *3279:B1 3.52128e-05
15 *3279:A2 *3283:A1 4.6137e-06
16 *3279:A2 *3285:B 0
17 *3279:A2 *3309:A1 2.86013e-06
18 *3279:A2 *447:11 0.000171288
19 *3279:A2 *447:21 0.000102003
20 *421:5 *447:11 0.000317693
21 *3094:A *3102:A2 0.000229926
22 *3097:A2 *3102:A2 5.41227e-05
23 *3098:A *421:5 0.000275256
24 *3123:A *3279:A2 5.56217e-05
25 *3279:A1 *3279:A2 2.09299e-05
26 *3410:D *3102:A2 0.000203833
27 *3443:D *3279:A2 0.000213848
28 *243:53 *3102:A2 1.07248e-05
29 *248:29 *3279:A2 0
30 *367:22 *421:5 0.000213725
31 *382:54 *3279:A2 2.31127e-05
*RES
1 *3100:X *421:5 18.2916
2 *421:5 *3102:A2 35.5188
3 *421:5 *3279:A2 46.7009
*END
*D_NET *422 0.00263685
*CONN
*I *3107:B I *D sky130_fd_sc_hd__or2_1
*I *3105:B I *D sky130_fd_sc_hd__nand2_1
*I *3102:B1_N I *D sky130_fd_sc_hd__o21ba_1
*I *3101:X O *D sky130_fd_sc_hd__or3_1
*CAP
1 *3107:B 2.06324e-05
2 *3105:B 0.000108776
3 *3102:B1_N 0.000182511
4 *3101:X 5.61218e-05
5 *422:22 0.000344417
6 *422:6 0.00045364
7 *3102:B1_N *3125:A2 1.09551e-05
8 *3105:B *3105:A 4.80635e-06
9 *3105:B *3107:A 0.000167076
10 *3105:B *456:131 0.000223861
11 *3105:B *768:19 6.52872e-05
12 *3107:B *768:19 0
13 *422:22 *2831:A 4.97193e-05
14 *422:22 *456:131 0.000201774
15 *3094:A *3105:B 2.65831e-05
16 *3094:A *3107:B 0
17 *3094:A *422:22 9.14669e-05
18 *3102:A1 *3102:B1_N 6.50727e-05
19 *3119:A *3102:B1_N 0.000213739
20 *3125:A1 *3102:B1_N 6.08467e-05
21 *3125:A1 *422:6 7.21868e-05
22 *3125:A1 *422:22 4.33979e-05
23 *248:29 *422:6 0
24 *248:29 *422:22 0
25 *254:74 *3102:B1_N 0.000173985
*RES
1 *3101:X *422:6 15.1659
2 *422:6 *3102:B1_N 20.0668
3 *422:6 *422:22 11.2107
4 *422:22 *3105:B 14.4335
5 *422:22 *3107:B 9.82786
*END
*D_NET *423 0.00180902
*CONN
*I *3104:A2 I *D sky130_fd_sc_hd__o21a_1
*I *3102:X O *D sky130_fd_sc_hd__o21ba_1
*CAP
1 *3104:A2 0.000261225
2 *3102:X 0.000261225
3 *3104:A2 *793:DIODE 6.08467e-05
4 *3104:A2 *3097:B1 6.08467e-05
5 *3097:A2 *3104:A2 0.000678803
6 *3104:A1 *3104:A2 0.000161234
7 *254:74 *3104:A2 0.000324842
*RES
1 *3102:X *3104:A2 30.3138
*END
*D_NET *424 0.0107567
*CONN
*I *3182:B1 I *D sky130_fd_sc_hd__o21a_1
*I *3163:B1 I *D sky130_fd_sc_hd__o21a_1
*I *3178:B1 I *D sky130_fd_sc_hd__o21a_1
*I *3170:B1 I *D sky130_fd_sc_hd__o21a_1
*I *3104:B1 I *D sky130_fd_sc_hd__o21a_1
*I *3103:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3182:B1 0
2 *3163:B1 4.84391e-05
3 *3178:B1 0.000162275
4 *3170:B1 0
5 *3104:B1 0.000543178
6 *3103:X 0
7 *424:53 0.000355205
8 *424:51 0.00104278
9 *424:34 0.00160339
10 *424:5 0.00124828
11 *3104:B1 *3099:B 0.000172706
12 *3104:B1 *670:26 0
13 *3104:B1 *714:14 0
14 *3104:B1 *714:59 0
15 *3104:B1 *730:21 2.6046e-05
16 *3178:B1 *666:124 6.77221e-05
17 *3178:B1 *671:79 6.07763e-05
18 *424:34 *3042:A 0.00042169
19 *424:34 *3180:A2 0.000213803
20 *424:34 *3193:A1 2.15184e-05
21 *424:34 *3193:B1 0.000107496
22 *424:34 *3388:CLK 5.02973e-05
23 *424:34 *463:5 2.35405e-05
24 *424:34 *669:88 0.000486757
25 *424:34 *718:40 0.000956739
26 *424:34 *730:21 0.000198737
27 *424:34 *730:32 0.000133176
28 *424:51 *2962:A 2.65831e-05
29 *424:51 *3168:B1 0.000161262
30 *424:51 *3170:A1 2.1588e-05
31 *424:51 *3177:B 3.82228e-05
32 *424:51 *3180:A2 0.000121282
33 *424:51 *3182:A2 7.67523e-06
34 *424:51 *3184:C1 0.000129489
35 *424:51 *478:13 5.18123e-05
36 *424:51 *666:124 1.6644e-05
37 *424:51 *669:88 0.000258157
38 *424:51 *671:79 1.67245e-05
39 *424:53 *665:76 5.01835e-05
40 *424:53 *666:124 5.17829e-05
41 *424:53 *671:79 7.48069e-05
42 *2961:A *3163:B1 4.58003e-05
43 *2962:B *424:51 0.000300565
44 *3051:A *3104:B1 5.04829e-06
45 *3142:A *3104:B1 0
46 *3151:A *424:34 0.000107496
47 *3151:B *424:34 0.000204151
48 *3388:D *424:34 9.17771e-05
49 *1:29 *3178:B1 0.000164843
50 *254:14 *3104:B1 0.00044159
51 *254:14 *424:34 0.000321188
52 *254:74 *3104:B1 0.000103493
*RES
1 *3103:X *424:5 13.7491
2 *424:5 *3104:B1 29.4141
3 *424:5 *424:34 36.0204
4 *424:34 *3170:B1 9.24915
5 *424:34 *424:51 31.9454
6 *424:51 *424:53 3.90826
7 *424:53 *3178:B1 18.6595
8 *424:53 *3163:B1 15.0271
9 *424:51 *3182:B1 13.7491
*END
*D_NET *425 0.000974002
*CONN
*I *3109:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *3105:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *3109:A1 0.000370735
2 *3105:Y 0.000370735
3 *3109:A1 *3105:A 1.61631e-05
4 *3109:A1 *456:131 4.18487e-05
5 *3109:B1 *3109:A1 1.61631e-05
6 *3115:B1 *3109:A1 0.000158357
*RES
1 *3105:Y *3109:A1 24.2131
*END
*D_NET *426 0.00335461
*CONN
*I *3285:B I *D sky130_fd_sc_hd__nand2_1
*I *3108:A2 I *D sky130_fd_sc_hd__a21o_1
*I *3106:Y O *D sky130_fd_sc_hd__xnor2_1
*CAP
1 *3285:B 0.00082396
2 *3108:A2 0.000106007
3 *3106:Y 0.000113959
4 *426:5 0.00104393
5 *3108:A2 *3114:A2 0.000216088
6 *3108:A2 *522:55 0
7 *3285:B *3115:A2 2.65831e-05
8 *3285:B *3118:B 4.72872e-05
9 *3285:B *3132:A 0
10 *3285:B *3136:A 0.000188325
11 *3285:B *3290:B 7.97721e-05
12 *3285:B *3305:B 0
13 *3285:B *3443:CLK 0
14 *3285:B *436:15 0.00019867
15 *3285:B *542:15 0
16 *3285:B *718:40 3.85006e-05
17 *426:5 *622:138 6.92705e-05
18 *3102:A2 *3285:B 0.000123597
19 *3103:A *3285:B 2.37827e-05
20 *3103:A *426:5 8.50305e-05
21 *3106:B *3108:A2 0
22 *3108:A1 *3108:A2 1.07248e-05
23 *3115:B1 *3108:A2 4.52469e-05
24 *3115:B1 *3285:B 6.93088e-05
25 *3123:A *3285:B 8.92568e-06
26 *3279:A2 *3285:B 0
27 *382:54 *3285:B 3.56428e-05
*RES
1 *3106:Y *426:5 12.7456
2 *426:5 *3108:A2 22.1574
3 *426:5 *3285:B 40.3298
*END
*D_NET *427 0.00202705
*CONN
*I *3114:B1 I *D sky130_fd_sc_hd__a211o_1
*I *3110:B I *D sky130_fd_sc_hd__nand2_1
*I *3108:B1 I *D sky130_fd_sc_hd__a21o_1
*I *3107:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *3114:B1 9.87296e-05
2 *3110:B 0
3 *3108:B1 0.000201122
4 *3107:X 0.000134809
5 *427:16 0.000383543
6 *427:10 0.00041596
7 *3108:B1 *3110:A 1.07974e-05
8 *3114:B1 *3114:A2 5.08751e-05
9 *427:10 *3107:A 2.15348e-05
10 *427:10 *436:15 5.82465e-05
11 *427:10 *768:19 1.61631e-05
12 *427:16 *3114:A2 0.000271044
13 *427:16 *436:15 5.93657e-05
14 *3095:A1 *427:16 9.20398e-05
15 *3102:A2 *427:10 3.71594e-05
16 *3114:A1 *3114:B1 2.91559e-06
17 *367:40 *3108:B1 0.000100493
18 *416:9 *3114:B1 4.81015e-05
19 *416:13 *3114:B1 2.41483e-05
*RES
1 *3107:X *427:10 21.635
2 *427:10 *427:16 15.4348
3 *427:16 *3108:B1 14.6264
4 *427:16 *3110:B 9.24915
5 *427:10 *3114:B1 12.2151
*END
*D_NET *428 0.000597866
*CONN
*I *3109:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *3108:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *3109:A2 0.000151519
2 *3108:X 0.000151519
3 *3109:A2 *456:131 0.000116971
4 *3109:A2 *522:55 5.32652e-05
5 *3115:B1 *3109:A2 6.08473e-05
6 *3409:D *3109:A2 5.302e-05
7 *259:48 *3109:A2 1.07248e-05
*RES
1 *3108:X *3109:A2 33.0676
*END
*D_NET *429 0.000671797
*CONN
*I *3115:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *3110:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *3115:A1 0.000192623
2 *3110:Y 0.000192623
3 *3115:A1 *436:15 7.50722e-05
4 *3115:B1 *3115:A1 0.000211478
5 *367:22 *3115:A1 0
*RES
1 *3110:Y *3115:A1 31.3022
*END
*D_NET *430 0.00430479
*CONN
*I *3131:D I *D sky130_fd_sc_hd__or4_2
*I *3130:A3 I *D sky130_fd_sc_hd__o31a_1
*I *3113:A I *D sky130_fd_sc_hd__and2_1
*I *3123:B I *D sky130_fd_sc_hd__nor2_1
*I *3118:B I *D sky130_fd_sc_hd__xnor2_2
*I *3111:X O *D sky130_fd_sc_hd__or4_2
*CAP
1 *3131:D 0
2 *3130:A3 0.000156537
3 *3113:A 0
4 *3123:B 0
5 *3118:B 0.000101971
6 *3111:X 9.98025e-05
7 *430:19 0.000389017
8 *430:13 0.000507376
9 *430:9 0.000376868
10 *430:7 9.98025e-05
11 *3118:B *3136:A 2.77419e-05
12 *3118:B *718:40 4.42142e-05
13 *3130:A3 *3131:C 0.000187735
14 *3130:A3 *522:59 0.000180608
15 *430:7 *3111:A 5.36612e-05
16 *430:7 *666:27 2.59398e-05
17 *430:7 *716:15 1.41976e-05
18 *430:7 *716:21 0.00026099
19 *430:13 *3131:C 3.67708e-05
20 *430:13 *522:59 0.000209164
21 *430:13 *622:140 6.35042e-05
22 *430:13 *718:40 5.05976e-05
23 *430:19 *3124:A 0.000324151
24 *430:19 *3124:B 7.07389e-05
25 *430:19 *3140:A 2.65831e-05
26 *430:19 *3290:B 0.000313509
27 *430:19 *432:5 6.50586e-05
28 *430:19 *441:5 0.000162583
29 *430:19 *718:40 1.99289e-05
30 *3123:A *3118:B 0.000183427
31 *3285:B *3118:B 4.72872e-05
32 *382:39 *3130:A3 0.00020502
*RES
1 *3111:X *430:7 13.1796
2 *430:7 *430:9 4.5
3 *430:9 *430:13 10.7955
4 *430:13 *430:19 10.1999
5 *430:19 *3118:B 21.7421
6 *430:19 *3123:B 9.24915
7 *430:13 *3113:A 9.24915
8 *430:9 *3130:A3 19.6294
9 *430:7 *3131:D 9.24915
*END
*D_NET *431 0.00172688
*CONN
*I *3113:B I *D sky130_fd_sc_hd__and2_1
*I *3112:Y O *D sky130_fd_sc_hd__o31ai_1
*CAP
1 *3113:B 0.000530161
2 *3112:Y 0.000530161
3 *3113:B *3114:A2 1.79807e-05
4 *3113:B *3124:A 9.12416e-06
5 *3113:B *714:75 7.23857e-05
6 *3098:A *3113:B 2.137e-05
7 *3103:A *3113:B 0
8 *3106:A *3113:B 0.000165521
9 *367:14 *3113:B 0.000115573
10 *419:5 *3113:B 0.0002646
*RES
1 *3112:Y *3113:B 37.1242
*END
*D_NET *432 0.00506161
*CONN
*I *3290:B I *D sky130_fd_sc_hd__and2_1
*I *3114:A2 I *D sky130_fd_sc_hd__a211o_1
*I *3113:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *3290:B 0.000711147
2 *3114:A2 0.000404997
3 *3113:X 4.18965e-05
4 *432:5 0.00115804
5 *3114:A2 *622:140 0
6 *3290:B *3290:A 6.34564e-05
7 *3290:B *3305:C 6.07908e-05
8 *3290:B *436:15 0.000292006
9 *3290:B *447:11 7.45698e-05
10 *3290:B *542:15 0
11 *3290:B *716:10 0
12 *3290:B *716:12 0
13 *3095:A1 *3114:A2 0.000248745
14 *3103:A *3114:A2 8.18789e-05
15 *3106:B *3114:A2 0
16 *3108:A1 *3114:A2 9.95063e-05
17 *3108:A2 *3114:A2 0.000216088
18 *3113:B *3114:A2 1.79807e-05
19 *3114:B1 *3114:A2 5.08751e-05
20 *3275:A *3290:B 3.84518e-05
21 *3285:B *3290:B 7.97721e-05
22 *367:14 *3114:A2 7.18816e-06
23 *367:22 *3114:A2 0.000289805
24 *416:13 *3114:A2 0.000474797
25 *427:16 *3114:A2 0.000271044
26 *430:19 *3290:B 0.000313509
27 *430:19 *432:5 6.50586e-05
*RES
1 *3113:X *432:5 9.97254
2 *432:5 *3114:A2 36.0379
3 *432:5 *3290:B 36.0351
*END
*D_NET *433 0.000787252
*CONN
*I *3115:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *3114:X O *D sky130_fd_sc_hd__a211o_1
*CAP
1 *3115:A2 0.000148862
2 *3114:X 0.000148862
3 *3115:A2 *436:15 0.000313692
4 *3102:A2 *3115:A2 8.41807e-05
5 *3115:B1 *3115:A2 6.50727e-05
6 *3285:B *3115:A2 2.65831e-05
*RES
1 *3114:X *3115:A2 33.791
*END
*D_NET *434 0.00081358
*CONN
*I *3122:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *3116:Y O *D sky130_fd_sc_hd__o21ai_1
*CAP
1 *3122:A1 0.000211051
2 *3116:Y 0.000211051
3 *3122:A1 *3120:B 6.92705e-05
4 *3122:A1 *3122:A2 0
5 *3122:A1 *3122:B1 1.44467e-05
6 *3122:A1 *3126:A 0.000164044
7 *3411:D *3122:A1 0
8 *216:24 *3122:A1 9.46346e-05
9 *218:12 *3122:A1 4.90829e-05
*RES
1 *3116:Y *3122:A1 33.6866
*END
*D_NET *435 0.00258908
*CONN
*I *3120:B I *D sky130_fd_sc_hd__or3_1
*I *3125:A2 I *D sky130_fd_sc_hd__o21ai_1
*I *3117:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *3120:B 0.000212574
2 *3125:A2 0.000294031
3 *3117:X 0
4 *435:4 0.000506605
5 *3120:B *3116:B1 0.000217873
6 *3120:B *3412:CLK 6.03102e-05
7 *3125:A2 *823:DIODE 4.19401e-06
8 *3125:A2 *3128:A2 3.20069e-06
9 *3125:A2 *3128:B1 0.000111708
10 *3125:A2 *3412:CLK 0
11 *3125:A2 *457:31 0.000164815
12 *3101:C *3125:A2 0.000124942
13 *3102:B1_N *3125:A2 1.09551e-05
14 *3116:A1 *3120:B 0.000118166
15 *3116:A2 *3120:B 9.97706e-05
16 *3120:A *3120:B 3.59437e-05
17 *3122:A1 *3120:B 6.92705e-05
18 *216:9 *3120:B 6.50727e-05
19 *216:17 *3120:B 0.000123582
20 *218:12 *3120:B 5.94319e-06
21 *248:29 *3125:A2 0.000161437
22 *254:74 *3125:A2 0.000198681
*RES
1 *3117:X *435:4 9.24915
2 *435:4 *3125:A2 27.7892
3 *435:4 *3120:B 26.6506
*END
*D_NET *436 0.00955049
*CONN
*I *3297:A2 I *D sky130_fd_sc_hd__o211a_1
*I *3119:B I *D sky130_fd_sc_hd__nor2_1
*I *3118:Y O *D sky130_fd_sc_hd__xnor2_2
*CAP
1 *3297:A2 0
2 *3119:B 0
3 *3118:Y 0
4 *436:33 0.0019712
5 *436:15 0.00140935
6 *436:4 0.00338056
7 *436:15 *806:DIODE 2.78622e-05
8 *436:15 *2831:B 0.00012175
9 *436:15 *2831:D 8.62625e-06
10 *436:15 *447:11 5.41844e-05
11 *436:15 *666:15 0.000729678
12 *436:15 *767:21 6.92705e-05
13 *436:15 *768:8 4.27148e-05
14 *436:33 *3132:A 0
15 *436:33 *3247:B 0
16 *436:33 *3297:B1 6.87722e-05
17 *436:33 *3297:C1 6.69025e-06
18 *436:33 *3301:B 2.16355e-05
19 *436:33 *3309:A1 0
20 *436:33 *3443:CLK 4.47578e-05
21 *436:33 *3491:A 4.59164e-06
22 *436:33 *560:41 0
23 *436:33 *563:20 3.88213e-05
24 *436:33 *563:41 5.93205e-05
25 *436:33 *575:23 0
26 *436:33 *576:17 0
27 *436:33 *585:14 0
28 *436:33 *587:17 4.40531e-05
29 *436:33 *670:18 0
30 *436:33 *671:20 2.41274e-06
31 *436:33 *737:18 5.1493e-06
32 *436:33 *738:13 0
33 *3095:A1 *436:15 0
34 *3102:A2 *436:15 0
35 *3103:A *436:15 0
36 *3115:A1 *436:15 7.50722e-05
37 *3115:A2 *436:15 0.000313692
38 *3119:A *436:15 9.53429e-05
39 *3285:B *436:15 0.00019867
40 *3290:B *436:15 0.000292006
41 *3292:C_N *436:33 0
42 *3297:A1 *436:33 0.000265056
43 *367:22 *436:15 0
44 *414:9 *436:33 8.16329e-05
45 *427:10 *436:15 5.82465e-05
46 *427:16 *436:15 5.93657e-05
*RES
1 *3118:Y *436:4 9.24915
2 *436:4 *436:15 46.1381
3 *436:15 *3119:B 9.24915
4 *436:4 *436:33 42.6472
5 *436:33 *3297:A2 9.24915
*END
*D_NET *437 0.00366095
*CONN
*I *3120:C I *D sky130_fd_sc_hd__or3_1
*I *3119:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *3120:C 0.000708199
2 *3119:Y 0.000708199
3 *3120:C *806:DIODE 0.000112657
4 *3120:C *2831:D 3.00073e-05
5 *3120:C *674:11 6.31471e-05
6 *3120:C *674:13 0.000122148
7 *3120:C *676:24 0.00188023
8 *3120:C *766:42 0
9 *3411:D *3120:C 3.63593e-05
*RES
1 *3119:Y *3120:C 39.5669
*END
*D_NET *438 0.000684645
*CONN
*I *3122:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *3120:X O *D sky130_fd_sc_hd__or3_1
*CAP
1 *3122:A2 0.000143661
2 *3120:X 0.000143661
3 *3122:A2 *3116:B1 2.65667e-05
4 *3122:A2 *3117:A 1.92172e-05
5 *3122:A2 *3126:A 2.15184e-05
6 *3122:A1 *3122:A2 0
7 *3126:D *3122:A2 6.08467e-05
8 *3411:D *3122:A2 2.99929e-05
9 *216:24 *3122:A2 9.46343e-05
10 *221:47 *3122:A2 0.000144546
*RES
1 *3120:X *3122:A2 32.1622
*END
*D_NET *439 0.00922198
*CONN
*I *3289:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *3295:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *3323:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *3135:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *3122:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *3121:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3289:B1 5.26582e-05
2 *3295:B1 0.000192574
3 *3323:B1 0
4 *3135:B1 2.86212e-05
5 *3122:B1 0.000600374
6 *3121:X 0
7 *439:60 0.000819127
8 *439:42 0.000843953
9 *439:14 0.00145275
10 *439:4 0.00109381
11 *3122:B1 *3126:A 0.000100777
12 *3122:B1 *3128:B2 0.000207266
13 *3122:B1 *771:8 2.68066e-05
14 *3135:B1 *3410:CLK 6.08467e-05
15 *3295:B1 *3284:A 4.73136e-05
16 *3295:B1 *3286:A 4.86688e-06
17 *3295:B1 *3299:A1 0.000125695
18 *3295:B1 *569:8 7.56859e-06
19 *3295:B1 *569:10 3.58457e-05
20 *3295:B1 *736:11 6.08467e-05
21 *439:14 *3134:A 9.80242e-07
22 *439:14 *3134:B 0.000115779
23 *439:14 *3135:A2 1.97174e-05
24 *439:14 *3315:B1 6.50727e-05
25 *439:42 *3139:A2 9.75356e-05
26 *439:42 *3312:B 0.000113968
27 *439:42 *3315:B1 0.000118166
28 *439:42 *3322:B 0.000113968
29 *439:42 *3322:C 3.5534e-06
30 *439:42 *3323:A1 6.50727e-05
31 *439:42 *3323:A2 6.50727e-05
32 *439:42 *567:18 9.13616e-06
33 *439:42 *572:18 0
34 *439:42 *587:33 0
35 *439:60 *3286:A 0.000138836
36 *439:60 *3289:A1 0.00011818
37 *439:60 *3289:A2 6.50727e-05
38 *439:60 *3312:B 7.54727e-05
39 *439:60 *3319:A2 2.7985e-05
40 *439:60 *3321:A1 0.000163982
41 *439:60 *493:67 1.66771e-05
42 *439:60 *587:33 0.000326243
43 *439:60 *591:8 2.1203e-06
44 *439:60 *591:17 5.92307e-05
45 *439:60 *591:32 2.79471e-05
46 *439:60 *636:18 0
47 *439:60 *636:63 0
48 *2833:C *3122:B1 0
49 *3122:A1 *3122:B1 1.44467e-05
50 *3132:B *3122:B1 0.000633693
51 *3132:B *439:14 0.000243701
52 *3445:D *3295:B1 1.41976e-05
53 *3451:D *439:60 0.000113968
54 *172:12 *3295:B1 0.000390581
55 *218:12 *3122:B1 0
56 *254:115 *439:14 0.000164843
57 *258:26 *439:14 7.50872e-05
58 *258:26 *439:42 0
*RES
1 *3121:X *439:4 9.24915
2 *439:4 *439:14 29.5607
3 *439:14 *3122:B1 38.7664
4 *439:14 *3135:B1 14.4725
5 *439:4 *439:42 16.5735
6 *439:42 *3323:B1 9.24915
7 *439:42 *439:60 26.6441
8 *439:60 *3295:B1 26.3663
9 *439:60 *3289:B1 10.5271
*END
*D_NET *440 0.000575669
*CONN
*I *3124:B I *D sky130_fd_sc_hd__xnor2_1
*I *3123:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *3124:B 0.00012268
2 *3123:Y 0.00012268
3 *3124:B *3140:A 6.08467e-05
4 *3124:B *718:40 0.000198723
5 *430:19 *3124:B 7.07389e-05
*RES
1 *3123:Y *3124:B 22.7422
*END
*D_NET *441 0.00472182
*CONN
*I *3303:A3 I *D sky130_fd_sc_hd__a31o_1
*I *3128:A2 I *D sky130_fd_sc_hd__a221oi_1
*I *3124:Y O *D sky130_fd_sc_hd__xnor2_1
*CAP
1 *3303:A3 0.000548267
2 *3128:A2 0.000678474
3 *3124:Y 0.000585958
4 *441:5 0.0018127
5 *3128:A2 *3128:B1 1.55035e-05
6 *3128:A2 *3128:B2 0
7 *3128:A2 *3135:A1 0
8 *3128:A2 *3135:A2 3.90891e-05
9 *3128:A2 *3140:B 0.000118485
10 *3128:A2 *3412:CLK 4.6659e-05
11 *3303:A3 *3303:A2 3.41459e-05
12 *3303:A3 *454:11 4.65017e-05
13 *3303:A3 *560:41 0.000125778
14 *3303:A3 *560:53 1.19856e-05
15 *441:5 *3140:A 9.63433e-05
16 *441:5 *454:11 5.20546e-06
17 *3123:A *441:5 3.14978e-05
18 *3125:A2 *3128:A2 3.20069e-06
19 *3128:A1 *3128:A2 4.11147e-05
20 *3132:B *3128:A2 1.05746e-05
21 *3412:D *3128:A2 0
22 *248:29 *3128:A2 0.000294786
23 *254:74 *3128:A2 1.29724e-05
24 *430:19 *441:5 0.000162583
*RES
1 *3124:Y *441:5 18.2916
2 *441:5 *3128:A2 38.582
3 *441:5 *3303:A3 18.7256
*END
*D_NET *442 0.000650589
*CONN
*I *3128:B1 I *D sky130_fd_sc_hd__a221oi_1
*I *3125:Y O *D sky130_fd_sc_hd__o21ai_1
*CAP
1 *3128:B1 0.000231881
2 *3125:Y 0.000231881
3 *3128:B1 *3128:B2 4.80635e-06
4 *3125:A2 *3128:B1 0.000111708
5 *3128:A2 *3128:B1 1.55035e-05
6 *254:74 *3128:B1 5.48097e-05
*RES
1 *3125:Y *3128:B1 22.5734
*END
*D_NET *443 0.0015643
*CONN
*I *3127:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *3145:D I *D sky130_fd_sc_hd__or4_1
*I *3126:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *3127:A 0.000289797
2 *3145:D 0
3 *3126:X 0.000372511
4 *443:8 0.000662307
5 *3127:A *2832:A 0.000127271
6 *3127:A *2832:B 0
7 *3127:A *3145:B 4.27003e-05
8 *3127:A *3145:C 0
9 *3127:A *3415:CLK 2.64881e-05
10 *443:8 *3415:CLK 2.39313e-05
11 *443:8 *676:8 1.92926e-05
12 *258:26 *3127:A 0
13 *258:26 *443:8 0
*RES
1 *3126:X *443:8 19.6322
2 *443:8 *3145:D 13.7491
3 *443:8 *3127:A 21.3173
*END
*D_NET *444 0.00673915
*CONN
*I *3137:A2 I *D sky130_fd_sc_hd__o21ai_1
*I *3138:C I *D sky130_fd_sc_hd__or3_1
*I *3134:B I *D sky130_fd_sc_hd__nand2_1
*I *3133:B I *D sky130_fd_sc_hd__or3b_1
*I *3128:B2 I *D sky130_fd_sc_hd__a221oi_1
*I *3127:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *3137:A2 0.000564371
2 *3138:C 1.43742e-05
3 *3134:B 0.000291601
4 *3133:B 0
5 *3128:B2 0.000559922
6 *3127:X 0.000118164
7 *444:27 0.000351153
8 *444:13 0.000799891
9 *444:9 0.000224718
10 *444:8 0.000712461
11 *3128:B2 *3126:A 0
12 *3134:B *3133:A 4.31703e-05
13 *3134:B *3133:C_N 0.000311593
14 *3134:B *3134:A 0.00012749
15 *3134:B *3135:A2 3.07159e-05
16 *3137:A2 *3137:A1 9.32397e-05
17 *3137:A2 *3138:A 0.000235336
18 *3137:A2 *3139:B1 0.000222149
19 *3137:A2 *3139:B2 0
20 *3137:A2 *3141:A 1.36691e-05
21 *3137:A2 *3143:B1 3.20069e-06
22 *3137:A2 *454:35 2.18741e-05
23 *3137:A2 *773:13 0.0004261
24 *3138:C *3138:A 1.16726e-05
25 *444:8 *3145:B 0
26 *444:8 *3145:C 0.000169093
27 *444:8 *773:24 0
28 *444:9 *3133:A 5.04829e-06
29 *444:9 *3137:A1 3.99086e-06
30 *444:13 *3133:A 0.000136298
31 *444:27 *3133:C_N 8.01837e-05
32 *2833:C *3128:B2 6.23875e-05
33 *2833:D *3128:B2 5.6494e-05
34 *3122:B1 *3128:B2 0.000207266
35 *3128:A2 *3128:B2 0
36 *3128:B1 *3128:B2 4.80635e-06
37 *221:47 *3128:B2 6.16319e-05
38 *254:74 *3128:B2 3.51034e-05
39 *254:82 *3128:B2 0.000162583
40 *254:92 *3128:B2 8.46185e-05
41 *254:100 *3128:B2 5.68225e-06
42 *254:115 *3128:B2 5.40444e-05
43 *254:115 *3134:B 0.000311593
44 *254:115 *444:27 5.68225e-06
45 *439:14 *3134:B 0.000115779
*RES
1 *3127:X *444:8 21.3269
2 *444:8 *444:9 0.723396
3 *444:9 *444:13 8.55102
4 *444:13 *3128:B2 29.0761
5 *444:13 *444:27 6.332
6 *444:27 *3133:B 9.24915
7 *444:27 *3134:B 30.6424
8 *444:9 *3138:C 9.82786
9 *444:8 *3137:A2 30.9803
*END
*D_NET *445 0.00529752
*CONN
*I *3137:A1 I *D sky130_fd_sc_hd__o21ai_1
*I *3138:B I *D sky130_fd_sc_hd__or3_1
*I *3133:A I *D sky130_fd_sc_hd__or3b_1
*I *3145:C I *D sky130_fd_sc_hd__or4_1
*I *3134:A I *D sky130_fd_sc_hd__nand2_1
*I *3129:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3137:A1 0.000537996
2 *3138:B 0
3 *3133:A 0.000237295
4 *3145:C 0.00030667
5 *3134:A 0.000374435
6 *3129:X 0
7 *445:27 0.000775291
8 *445:16 0.000501263
9 *445:4 0.000569028
10 *3133:A *453:7 0
11 *3137:A1 *3138:A 2.01874e-05
12 *3137:A1 *3141:B 7.48797e-05
13 *3137:A1 *453:7 7.48633e-05
14 *3137:A1 *773:13 0.000332945
15 *3145:C *3139:B2 0
16 *3145:C *3145:B 0
17 *445:16 *3139:A2 3.1218e-05
18 *445:16 *3139:B2 0
19 *2833:B *3145:C 0.000271058
20 *3127:A *3145:C 0
21 *3134:B *3133:A 4.31703e-05
22 *3134:B *3134:A 0.00012749
23 *3137:A2 *3137:A1 9.32397e-05
24 *3139:A1 *3145:C 0.0004111
25 *3139:A1 *445:16 0.000111358
26 *254:115 *3134:A 1.71806e-05
27 *254:115 *445:16 9.18559e-06
28 *258:26 *3145:C 4.31485e-06
29 *258:26 *445:16 5.79399e-05
30 *439:14 *3134:A 9.80242e-07
31 *444:8 *3145:C 0.000169093
32 *444:9 *3133:A 5.04829e-06
33 *444:9 *3137:A1 3.99086e-06
34 *444:13 *3133:A 0.000136298
*RES
1 *3129:X *445:4 9.24915
2 *445:4 *3134:A 15.398
3 *445:4 *445:16 9.96216
4 *445:16 *3145:C 24.3365
5 *445:16 *445:27 4.5
6 *445:27 *3133:A 15.398
7 *445:27 *3138:B 9.24915
8 *445:27 *3137:A1 20.9682
*END
*D_NET *446 0.00337731
*CONN
*I *3132:A I *D sky130_fd_sc_hd__or3b_1
*I *3305:A_N I *D sky130_fd_sc_hd__and3b_1
*I *3130:X O *D sky130_fd_sc_hd__o31a_1
*CAP
1 *3132:A 0.000700112
2 *3305:A_N 0
3 *3130:X 0.000142171
4 *446:5 0.000842282
5 *3132:A *3140:B 0.000113968
6 *3132:A *3305:B 6.92705e-05
7 *3132:A *3305:C 7.98425e-06
8 *3132:A *3309:A1 6.50586e-05
9 *3132:A *3443:CLK 1.44467e-05
10 *3132:A *447:21 0.000248529
11 *3132:A *451:9 1.92172e-05
12 *3132:A *493:8 0
13 *3186:A *3132:A 0
14 *3279:A2 *3132:A 0.000454366
15 *3285:B *3132:A 0
16 *248:29 *3132:A 0
17 *382:54 *3132:A 0.000163323
18 *382:54 *446:5 0.000536581
19 *436:33 *3132:A 0
*RES
1 *3130:X *446:5 14.964
2 *446:5 *3305:A_N 9.24915
3 *446:5 *3132:A 38.779
*END
*D_NET *447 0.00500043
*CONN
*I *3305:C I *D sky130_fd_sc_hd__and3b_1
*I *3140:B I *D sky130_fd_sc_hd__nor2_2
*I *3132:C_N I *D sky130_fd_sc_hd__or3b_1
*I *3136:B I *D sky130_fd_sc_hd__xor2_1
*I *3131:X O *D sky130_fd_sc_hd__or4_2
*CAP
1 *3305:C 0.000436761
2 *3140:B 0.000109136
3 *3132:C_N 0
4 *3136:B 0
5 *3131:X 0.000164269
6 *447:21 0.000212491
7 *447:11 0.000428099
8 *447:7 0.000925773
9 *3140:B *451:9 0.000103983
10 *3305:C *716:12 0
11 *447:7 *666:15 0.00013005
12 *447:7 *666:27 3.21548e-05
13 *447:7 *716:15 6.08467e-05
14 *447:11 *3136:A 0.000175485
15 *447:21 *3136:A 6.92705e-05
16 *447:21 *451:9 0.000171273
17 *3103:A *447:11 0.000130221
18 *3128:A2 *3140:B 0.000118485
19 *3132:A *3140:B 0.000113968
20 *3132:A *3305:C 7.98425e-06
21 *3132:A *447:21 0.000248529
22 *3279:A2 *447:11 0.000171288
23 *3279:A2 *447:21 0.000102003
24 *3290:B *3305:C 6.07908e-05
25 *3290:B *447:11 7.45698e-05
26 *248:24 *3305:C 7.77309e-06
27 *248:24 *447:7 0.000113968
28 *248:24 *447:11 1.44467e-05
29 *248:29 *3140:B 6.40225e-05
30 *382:54 *3305:C 0.000380913
31 *421:5 *447:11 0.000317693
32 *436:15 *447:11 5.41844e-05
*RES
1 *3131:X *447:7 18.3548
2 *447:7 *447:11 16.06
3 *447:11 *3136:B 9.24915
4 *447:11 *447:21 5.35313
5 *447:21 *3132:C_N 9.24915
6 *447:21 *3140:B 22.6049
7 *447:7 *3305:C 21.9871
*END
*D_NET *448 0.00251741
*CONN
*I *3133:C_N I *D sky130_fd_sc_hd__or3b_1
*I *3132:X O *D sky130_fd_sc_hd__or3b_1
*CAP
1 *3133:C_N 0.00082266
2 *3132:X 0.00082266
3 *3133:C_N *3314:A1 5.56461e-05
4 *3133:C_N *3314:A2 0.000118166
5 *3133:C_N *451:9 0.00025082
6 *3134:B *3133:C_N 0.000311593
7 *254:115 *3133:C_N 5.568e-05
8 *258:26 *3133:C_N 0
9 *444:27 *3133:C_N 8.01837e-05
*RES
1 *3132:X *3133:C_N 45.8473
*END
*D_NET *449 0.000995242
*CONN
*I *3135:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *3133:X O *D sky130_fd_sc_hd__or3b_1
*CAP
1 *3135:A1 0.000460668
2 *3133:X 0.000460668
3 *3135:A1 *3135:A2 1.07248e-05
4 *3128:A2 *3135:A1 0
5 *3132:B *3135:A1 6.31809e-05
*RES
1 *3133:X *3135:A1 35.321
*END
*D_NET *450 0.00111894
*CONN
*I *3135:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *3134:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *3135:A2 0.000493277
2 *3134:Y 0.000493277
3 *3128:A2 *3135:A2 3.90891e-05
4 *3132:B *3135:A2 3.21432e-05
5 *3134:B *3135:A2 3.07159e-05
6 *3135:A1 *3135:A2 1.07248e-05
7 *439:14 *3135:A2 1.97174e-05
*RES
1 *3134:Y *3135:A2 36.015
*END
*D_NET *451 0.00314941
*CONN
*I *3139:A2 I *D sky130_fd_sc_hd__a221oi_1
*I *3314:A3 I *D sky130_fd_sc_hd__a31o_1
*I *3136:X O *D sky130_fd_sc_hd__xor2_1
*CAP
1 *3139:A2 0.000481167
2 *3314:A3 1.78778e-05
3 *3136:X 0.00044672
4 *451:9 0.000945764
5 *3139:A2 *3139:B2 0
6 *3139:A2 *3313:A2 0.000181614
7 *3139:A2 *3314:A2 9.82896e-06
8 *3139:A2 *3315:A1 0
9 *3139:A2 *3315:A2 0
10 *3139:A2 *587:33 1.36556e-05
11 *451:9 *3314:A1 5.07314e-05
12 *451:9 *3314:A2 1.84293e-05
13 *3121:A *3139:A2 6.50727e-05
14 *3132:A *451:9 1.92172e-05
15 *3132:B *451:9 2.65667e-05
16 *3133:C_N *451:9 0.00025082
17 *3139:C1 *3139:A2 0
18 *3140:B *451:9 0.000103983
19 *258:26 *3139:A2 0
20 *258:30 *3139:A2 0.000217937
21 *439:42 *3139:A2 9.75356e-05
22 *445:16 *3139:A2 3.1218e-05
23 *447:21 *451:9 0.000171273
*RES
1 *3136:X *451:9 23.8859
2 *451:9 *3314:A3 9.82786
3 *451:9 *3139:A2 31.1942
*END
*D_NET *452 0.00142844
*CONN
*I *3139:B1 I *D sky130_fd_sc_hd__a221oi_1
*I *3137:Y O *D sky130_fd_sc_hd__o21ai_1
*CAP
1 *3139:B1 0.000435179
2 *3137:Y 0.000435179
3 *3139:B1 *3139:B2 0
4 *3139:B1 *454:35 5.19205e-05
5 *3139:B1 *636:27 0.000236357
6 *3139:B1 *773:13 1.00937e-05
7 *3137:A2 *3139:B1 0.000222149
8 *3139:A1 *3139:B1 3.75603e-05
*RES
1 *3137:Y *3139:B1 38.9809
*END
*D_NET *453 0.000795538
*CONN
*I *3141:B I *D sky130_fd_sc_hd__xnor2_1
*I *3139:B2 I *D sky130_fd_sc_hd__a221oi_1
*I *3138:X O *D sky130_fd_sc_hd__or3_1
*CAP
1 *3141:B 2.11019e-05
2 *3139:B2 0.000169142
3 *3138:X 5.24197e-05
4 *453:7 0.000242664
5 *3139:B2 *454:35 0
6 *3141:B *773:13 7.24449e-05
7 *453:7 *3138:A 5.0715e-05
8 *453:7 *773:13 2.65831e-05
9 *3133:A *453:7 0
10 *3137:A1 *3141:B 7.48797e-05
11 *3137:A1 *453:7 7.48633e-05
12 *3137:A2 *3139:B2 0
13 *3139:A2 *3139:B2 0
14 *3139:B1 *3139:B2 0
15 *3139:C1 *3139:B2 1.07248e-05
16 *3145:C *3139:B2 0
17 *445:16 *3139:B2 0
*RES
1 *3138:X *453:7 11.6605
2 *453:7 *3139:B2 21.7421
3 *453:7 *3141:B 11.0817
*END
*D_NET *454 0.00884051
*CONN
*I *3143:A2 I *D sky130_fd_sc_hd__o211a_1
*I *3146:A2 I *D sky130_fd_sc_hd__a22o_1
*I *3318:A2 I *D sky130_fd_sc_hd__o2111a_1
*I *3322:C I *D sky130_fd_sc_hd__nand3_1
*I *3140:Y O *D sky130_fd_sc_hd__nor2_2
*CAP
1 *3143:A2 0
2 *3146:A2 0.000274916
3 *3318:A2 0
4 *3322:C 9.223e-05
5 *3140:Y 0.0004837
6 *454:35 0.00130042
7 *454:26 0.00153667
8 *454:11 0.0010871
9 *3146:A2 *837:DIODE 2.45812e-05
10 *3146:A2 *2830:A 0
11 *3146:A2 *3143:B1 1.07248e-05
12 *3146:A2 *3146:B1 0
13 *3146:A2 *3146:B2 0.000139747
14 *3146:A2 *3148:A 3.31882e-05
15 *3146:A2 *3415:CLK 0
16 *3146:A2 *674:8 0
17 *3146:A2 *676:8 0.000530137
18 *3146:A2 *775:8 0
19 *3322:C *567:18 0
20 *3322:C *572:14 3.39839e-05
21 *454:11 *3322:A 0.0002817
22 *454:11 *3322:B 5.59487e-06
23 *454:11 *3323:A2 2.4344e-05
24 *454:11 *560:41 0.000477042
25 *454:11 *560:53 0.000171288
26 *454:11 *585:14 0.00032688
27 *454:26 *3282:A1 0.000148144
28 *454:26 *3317:A2 0.000116755
29 *454:26 *3317:B1 0.000205006
30 *454:26 *3318:B1 5.59459e-05
31 *454:26 *567:12 0
32 *454:26 *567:14 0
33 *454:26 *567:18 0
34 *454:26 *572:14 7.37583e-05
35 *454:26 *595:7 0.000235336
36 *454:26 *595:9 8.18715e-05
37 *454:35 *3143:B1 0.000330512
38 *454:35 *595:7 9.97706e-05
39 *454:35 *636:18 0.000185638
40 *454:35 *636:20 0.000164505
41 *454:35 *636:24 5.8261e-05
42 *454:35 *666:6 5.01511e-05
43 *454:35 *674:8 0
44 *3137:A2 *454:35 2.18741e-05
45 *3139:B1 *454:35 5.19205e-05
46 *3139:B2 *454:35 0
47 *3139:C1 *454:35 0
48 *3303:A3 *454:11 4.65017e-05
49 *3415:D *454:35 7.15593e-05
50 *439:42 *3322:C 3.5534e-06
51 *441:5 *454:11 5.20546e-06
*RES
1 *3140:Y *454:11 29.0851
2 *454:11 *3322:C 15.5811
3 *454:11 *454:26 19.7199
4 *454:26 *3318:A2 9.24915
5 *454:26 *454:35 33.3556
6 *454:35 *3146:A2 23.5357
7 *454:35 *3143:A2 13.7491
*END
*D_NET *455 0.00089144
*CONN
*I *3143:B1 I *D sky130_fd_sc_hd__o211a_1
*I *3141:Y O *D sky130_fd_sc_hd__xnor2_1
*CAP
1 *3143:B1 0.000183835
2 *3141:Y 0.000183835
3 *3143:B1 *837:DIODE 5.22654e-06
4 *3143:B1 *3141:A 0
5 *3143:B1 *456:136 0.000109048
6 *3143:B1 *773:13 6.50586e-05
7 *3137:A2 *3143:B1 3.20069e-06
8 *3146:A2 *3143:B1 1.07248e-05
9 *454:35 *3143:B1 0.000330512
*RES
1 *3141:Y *3143:B1 34.2062
*END
*D_NET *456 0.0235681
*CONN
*I *3143:C1 I *D sky130_fd_sc_hd__o211a_1
*I *837:DIODE I *D sky130_fd_sc_hd__diode_2
*I *843:DIODE I *D sky130_fd_sc_hd__diode_2
*I *845:DIODE I *D sky130_fd_sc_hd__diode_2
*I *846:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3208:C1 I *D sky130_fd_sc_hd__o211a_1
*I *3202:C1 I *D sky130_fd_sc_hd__o211a_1
*I *3195:C1 I *D sky130_fd_sc_hd__o211a_1
*I *3174:C1 I *D sky130_fd_sc_hd__o211a_1
*I *842:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3142:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *3143:C1 0
2 *837:DIODE 0.000324196
3 *843:DIODE 0
4 *845:DIODE 0.000386812
5 *846:DIODE 5.38076e-05
6 *3208:C1 0.000275465
7 *3202:C1 0
8 *3195:C1 1.28414e-05
9 *3174:C1 0
10 *842:DIODE 0.00019213
11 *3142:X 0
12 *456:136 0.00135352
13 *456:131 0.00238567
14 *456:81 0.000330845
15 *456:77 0.000412194
16 *456:74 0.00142761
17 *456:69 0.000998566
18 *456:43 0.000411319
19 *456:34 0.00109979
20 *456:26 0.00273688
21 *456:4 0.00296469
22 *837:DIODE *3141:A 1.77537e-06
23 *837:DIODE *3146:B1 0
24 *837:DIODE *3146:B2 0.000381471
25 *837:DIODE *3415:CLK 0
26 *842:DIODE *3173:A 0.000113002
27 *842:DIODE *3174:B1 0.000161262
28 *842:DIODE *482:13 0.000207911
29 *845:DIODE *3202:A2 2.89807e-05
30 *845:DIODE *500:7 7.98425e-06
31 *846:DIODE *3202:B1 3.31882e-05
32 *846:DIODE *3206:A2 5.36397e-05
33 *3195:C1 *3195:A1 6.08467e-05
34 *3195:C1 *3195:B1 6.36477e-05
35 *3208:C1 *3202:A2 1.03434e-05
36 *3208:C1 *3208:A2 6.6921e-05
37 *456:26 *821:DIODE 0.000143017
38 *456:26 *3038:A0 2.33103e-06
39 *456:26 *3043:A0 5.75983e-05
40 *456:26 *3387:CLK 0.000202183
41 *456:26 *665:76 4.36592e-05
42 *456:26 *668:92 0
43 *456:26 *685:109 0
44 *456:26 *714:23 0.000462683
45 *456:26 *714:41 0.000176957
46 *456:34 *3194:A 4.86061e-05
47 *456:34 *3195:A1 0.000211158
48 *456:34 *3195:A2 3.99086e-06
49 *456:34 *3391:CLK 9.44578e-05
50 *456:34 *472:30 1.42196e-05
51 *456:34 *663:63 2.48676e-05
52 *456:43 *3174:B1 5.09367e-05
53 *456:43 *3194:A 5.03265e-05
54 *456:43 *472:20 0.00010515
55 *456:43 *472:30 9.12416e-06
56 *456:43 *473:8 8.12426e-06
57 *456:43 *482:13 6.64392e-05
58 *456:69 *3061:A0 0.000148129
59 *456:69 *718:8 0
60 *456:74 *3061:A0 0
61 *456:74 *3080:B 0
62 *456:74 *3085:A 0
63 *456:74 *3087:A 0
64 *456:74 *671:28 0
65 *456:74 *672:117 0
66 *456:74 *703:8 3.77804e-05
67 *456:74 *704:18 0
68 *456:74 *718:8 0
69 *456:77 *3202:A2 1.19721e-05
70 *456:77 *500:7 8.86328e-05
71 *456:77 *500:11 0.000426794
72 *456:81 *3202:A2 3.01683e-06
73 *456:131 *3105:A 1.31657e-05
74 *456:131 *3412:CLK 3.75603e-05
75 *456:131 *674:30 6.08467e-05
76 *456:131 *674:43 0.000171273
77 *456:131 *714:23 2.12616e-05
78 *456:131 *768:19 7.02172e-06
79 *456:136 *2832:A 0.000659414
80 *456:136 *2832:C 0.000174074
81 *456:136 *3141:A 6.62407e-05
82 *456:136 *3412:CLK 2.19973e-05
83 *456:136 *3415:CLK 0.000158997
84 *456:136 *771:8 3.52622e-05
85 *2955:C *456:26 5.22654e-06
86 *3038:S *456:26 7.02269e-06
87 *3039:A *456:26 5.77061e-05
88 *3048:B *456:26 4.87343e-05
89 *3056:A *456:26 0.000229619
90 *3065:A *456:69 0.000167076
91 *3085:B *456:26 4.43966e-05
92 *3085:B *456:69 2.75563e-05
93 *3085:B *456:74 0
94 *3094:A *456:131 2.2329e-05
95 *3095:A2 *456:131 2.21765e-05
96 *3105:B *456:131 0.000223861
97 *3109:A1 *456:131 4.18487e-05
98 *3109:A2 *456:131 0.000116971
99 *3115:B1 *456:131 7.38815e-05
100 *3142:A *456:26 4.31603e-06
101 *3142:A *456:131 0.000216458
102 *3143:B1 *837:DIODE 5.22654e-06
103 *3143:B1 *456:136 0.000109048
104 *3146:A2 *837:DIODE 2.45812e-05
105 *3151:B *456:26 0
106 *3189:A1 *456:26 0.000126876
107 *3189:A1 *456:69 5.65074e-05
108 *3388:D *456:26 0.000182809
109 *3391:D *456:26 0.000258733
110 *3409:D *456:131 1.87611e-05
111 *3412:D *456:136 0.000235926
112 *3425:D *456:77 2.65831e-05
113 *3426:D *3208:C1 3.70155e-05
114 *167:8 *846:DIODE 0.000148159
115 *259:48 *456:131 2.5386e-05
116 *370:23 *456:26 0
117 *377:16 *456:26 0.000325003
118 *422:22 *456:131 0.000201774
*RES
1 *3142:X *456:4 9.24915
2 *456:4 *456:26 49.1667
3 *456:26 *456:34 22.9503
4 *456:34 *456:43 9.76674
5 *456:43 *842:DIODE 15.7115
6 *456:43 *3174:C1 9.24915
7 *456:34 *3195:C1 14.4725
8 *456:26 *456:69 11.0714
9 *456:69 *456:74 25.7811
10 *456:74 *456:77 9.47649
11 *456:77 *456:81 1.30211
12 *456:81 *3202:C1 9.24915
13 *456:81 *3208:C1 16.1214
14 *456:77 *846:DIODE 20.9116
15 *456:74 *845:DIODE 14.964
16 *456:69 *843:DIODE 9.24915
17 *456:4 *456:131 36.9385
18 *456:131 *456:136 32.2857
19 *456:136 *837:DIODE 20.0474
20 *456:136 *3143:C1 13.7491
*END
*D_NET *457 0.024061
*CONN
*I *852:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3259:A I *D sky130_fd_sc_hd__and2_1
*I *853:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3256:A I *D sky130_fd_sc_hd__and2_1
*I *851:DIODE I *D sky130_fd_sc_hd__diode_2
*I *850:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3250:A I *D sky130_fd_sc_hd__and2_1
*I *3253:A I *D sky130_fd_sc_hd__and2_1
*I *3147:A I *D sky130_fd_sc_hd__and2_1
*I *838:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3144:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *852:DIODE 0
2 *3259:A 0
3 *853:DIODE 0.000122108
4 *3256:A 0.000130727
5 *851:DIODE 0.000206906
6 *850:DIODE 0
7 *3250:A 0.000158269
8 *3253:A 7.81376e-05
9 *3147:A 4.752e-05
10 *838:DIODE 8.66762e-05
11 *3144:X 0
12 *457:109 0.00038875
13 *457:102 0.000463804
14 *457:75 0.000432484
15 *457:60 0.000478665
16 *457:59 0.000511366
17 *457:55 0.000413003
18 *457:53 0.00314438
19 *457:31 0.00148716
20 *457:19 0.003605
21 *457:5 0.00509513
22 *838:DIODE *2885:A 7.12632e-06
23 *838:DIODE *3147:B 3.31733e-05
24 *838:DIODE *633:81 2.64881e-05
25 *838:DIODE *676:8 5.38612e-06
26 *851:DIODE *3252:A1 1.15389e-05
27 *851:DIODE *3252:S 0.000171288
28 *853:DIODE *3259:B 0.000114584
29 *853:DIODE *3260:A 4.58907e-05
30 *3147:A *3147:B 6.50727e-05
31 *3253:A *3253:B 0.000111802
32 *3253:A *3435:CLK 0
33 *3256:A *3256:B 0.000275336
34 *457:19 *2869:A 7.88289e-05
35 *457:19 *3035:A_N 6.19191e-05
36 *457:19 *3035:B 6.50586e-05
37 *457:19 *3035:C 1.00937e-05
38 *457:19 *3155:D 6.12686e-06
39 *457:19 *3408:CLK 1.5966e-05
40 *457:19 *467:5 1.21461e-06
41 *457:19 *481:11 7.69567e-05
42 *457:19 *666:109 0
43 *457:19 *766:15 2.15184e-05
44 *457:19 *767:5 6.14429e-06
45 *457:19 *767:21 3.93679e-06
46 *457:31 *2831:B 6.50727e-05
47 *457:31 *3101:A 0.000465078
48 *457:31 *3101:B 0.000217937
49 *457:31 *3116:B1 0.000272271
50 *457:31 *3412:CLK 0.000197132
51 *457:31 *766:15 0.000326398
52 *457:31 *767:21 0.000469043
53 *457:53 *857:DIODE 0
54 *457:53 *3171:B2 0.000203056
55 *457:53 *481:11 1.58706e-05
56 *457:53 *487:17 5.50376e-05
57 *457:53 *543:22 1.59078e-05
58 *457:53 *666:109 3.87699e-06
59 *457:55 *543:22 9.00171e-05
60 *457:59 *543:22 4.84944e-05
61 *457:59 *543:24 0.000294241
62 *457:60 *3252:A1 5.04829e-06
63 *457:60 *3253:B 0.000210197
64 *457:75 *3435:CLK 0
65 *3039:A *457:53 0.00034764
66 *3096:A2 *457:31 0.000224395
67 *3101:C *457:31 0.000174451
68 *3120:A *457:31 0.000710715
69 *3125:A2 *457:31 0.000164815
70 *3155:A_N *457:19 0.000317693
71 *3155:C *457:19 0.000160378
72 *3408:D *457:19 2.8251e-05
73 *3435:D *457:75 0
74 *1:14 *853:DIODE 4.22447e-05
75 *214:20 *457:31 4.42033e-05
76 *245:5 *457:19 1.40453e-05
77 *246:39 *457:53 0.000435669
78 *258:7 *457:31 0.00011818
79 *258:8 *838:DIODE 0
80 *358:9 *457:53 0.000161062
81 *368:5 *457:19 1.09551e-05
82 *368:16 *457:19 8.60694e-05
*RES
1 *3144:X *457:5 13.7491
2 *457:5 *457:19 41.8479
3 *457:19 *457:31 46.5703
4 *457:31 *838:DIODE 20.4964
5 *457:31 *3147:A 10.5271
6 *457:5 *457:53 15.6418
7 *457:53 *457:55 2.6625
8 *457:55 *457:59 10.4845
9 *457:59 *457:60 3.49641
10 *457:60 *3253:A 20.5286
11 *457:60 *457:75 15.3998
12 *457:75 *3250:A 13.1796
13 *457:75 *850:DIODE 9.24915
14 *457:59 *851:DIODE 14.4094
15 *457:55 *3256:A 17.8002
16 *457:53 *457:102 7.44181
17 *457:102 *457:109 14.6015
18 *457:109 *853:DIODE 13.3002
19 *457:109 *3259:A 9.24915
20 *457:102 *852:DIODE 9.24915
*END
*D_NET *458 0.000192835
*CONN
*I *3146:B1 I *D sky130_fd_sc_hd__a22o_1
*I *3145:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *3146:B1 7.66763e-05
2 *3145:X 7.66763e-05
3 *3146:B1 *3415:CLK 3.94829e-05
4 *837:DIODE *3146:B1 0
5 *3146:A2 *3146:B1 0
*RES
1 *3145:X *3146:B1 29.3303
*END
*D_NET *459 0.000599185
*CONN
*I *3147:B I *D sky130_fd_sc_hd__and2_1
*I *3146:X O *D sky130_fd_sc_hd__a22o_1
*CAP
1 *3147:B 0.000180473
2 *3146:X 0.000180473
3 *3147:B *3395:CLK 2.16355e-05
4 *3147:B *3415:CLK 5.36397e-05
5 *3147:B *633:81 2.22342e-05
6 *3147:B *676:8 4.2485e-05
7 *838:DIODE *3147:B 3.31733e-05
8 *3147:A *3147:B 6.50727e-05
*RES
1 *3146:X *3147:B 32.9874
*END
*D_NET *460 0.000813188
*CONN
*I *3148:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3147:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *3148:A 0.000298877
2 *3147:X 0.000298877
3 *3148:A *3395:CLK 0.000152239
4 *3148:A *775:8 0
5 *3146:A1 *3148:A 0
6 *3146:A2 *3148:A 3.31882e-05
7 *3416:D *3148:A 3.00073e-05
*RES
1 *3147:X *3148:A 33.6572
*END
*D_NET *461 0.00121918
*CONN
*I *3153:D I *D sky130_fd_sc_hd__and4bb_1
*I *3150:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3149:X O *D sky130_fd_sc_hd__and2b_1
*CAP
1 *3153:D 1.50614e-05
2 *3150:A 3.23274e-05
3 *3149:X 0.000226777
4 *461:8 0.000274166
5 *461:8 *622:6 0
6 *2958:A *3150:A 7.48797e-05
7 *3153:B_N *461:8 6.2708e-05
8 *3153:C *3150:A 0.000220183
9 *3153:C *3153:D 0.000103983
10 *261:35 *3150:A 1.92172e-05
11 *261:35 *3153:D 5.07314e-05
12 *264:10 *461:8 2.21765e-05
13 *309:6 *461:8 0
14 *330:23 *461:8 0.000116971
*RES
1 *3149:X *461:8 24.2337
2 *461:8 *3150:A 11.6364
3 *461:8 *3153:D 10.5271
*END
*D_NET *462 0.00585631
*CONN
*I *3151:C I *D sky130_fd_sc_hd__nand3_1
*I *3160:D I *D sky130_fd_sc_hd__and4_1
*I *3157:D_N I *D sky130_fd_sc_hd__or4b_2
*I *3155:D I *D sky130_fd_sc_hd__and4bb_1
*I *3154:D I *D sky130_fd_sc_hd__and4bb_1
*I *3150:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *3151:C 0.000555171
2 *3160:D 4.05271e-05
3 *3157:D_N 0
4 *3155:D 6.24309e-05
5 *3154:D 3.58254e-05
6 *3150:X 0.000214283
7 *462:35 0.000772027
8 *462:26 0.000270926
9 *462:17 0.00027101
10 *462:8 0.000364089
11 *3151:C *714:41 9.24241e-05
12 *3154:D *497:7 5.07314e-05
13 *3154:D *689:33 0.000167076
14 *462:8 *465:5 0.000111722
15 *462:17 *3193:B1 0.000158921
16 *462:26 *3193:B1 0.000133354
17 *462:26 *622:6 0
18 *462:35 *3193:B1 0.00039339
19 *462:35 *622:6 0
20 *2955:B *3151:C 6.50586e-05
21 *2985:D_N *462:8 5.41377e-05
22 *3045:A *3151:C 0.000331492
23 *3151:A *3151:C 9.80912e-05
24 *3151:A *3160:D 2.16355e-05
25 *3151:B *3151:C 0.000220657
26 *3155:B_N *462:8 9.98029e-06
27 *3155:B_N *462:17 5.41145e-05
28 *3155:B_N *462:26 1.05272e-06
29 *3155:C *3155:D 8.39059e-05
30 *245:5 *3155:D 0.000260388
31 *246:50 *462:35 0.000152242
32 *308:7 *3151:C 0.000179996
33 *311:13 *3151:C 0.000260388
34 *311:13 *3160:D 6.08467e-05
35 *313:23 *462:8 7.58217e-06
36 *314:8 *462:8 6.23202e-05
37 *314:8 *462:17 1.14755e-05
38 *331:14 *462:8 0.000132307
39 *369:14 *3151:C 8.8599e-05
40 *457:19 *3155:D 6.12686e-06
*RES
1 *3150:X *462:8 19.7659
2 *462:8 *3154:D 15.5817
3 *462:8 *462:17 3.90826
4 *462:17 *3155:D 16.691
5 *462:17 *462:26 2.6625
6 *462:26 *3157:D_N 13.7491
7 *462:26 *462:35 11.7303
8 *462:35 *3160:D 10.5513
9 *462:35 *3151:C 36.9248
*END
*D_NET *463 0.00592581
*CONN
*I *3180:A2 I *D sky130_fd_sc_hd__o211a_1
*I *3189:A2 I *D sky130_fd_sc_hd__o211a_1
*I *3184:A2 I *D sky130_fd_sc_hd__o211a_1
*I *3152:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *3151:Y O *D sky130_fd_sc_hd__nand3_1
*CAP
1 *3180:A2 0.000199038
2 *3189:A2 1.8694e-05
3 *3184:A2 0.000133141
4 *3152:A 0.000252259
5 *3151:Y 0.000224275
6 *463:18 0.00045561
7 *463:7 0.000556034
8 *463:5 0.000423313
9 *3152:A *3193:B1 0.000181146
10 *3180:A2 *3193:A1 3.01683e-06
11 *3180:A2 *3193:B1 0.000107496
12 *3180:A2 *487:17 6.4204e-05
13 *3180:A2 *669:88 2.77625e-06
14 *3180:A2 *718:40 0
15 *3184:A2 *3184:A1 0.000498217
16 *3184:A2 *3189:B1 1.57468e-05
17 *3184:A2 *494:13 7.11569e-05
18 *3189:A2 *3189:B1 9.95922e-06
19 *463:5 *3193:A1 0.000115934
20 *463:5 *718:40 4.88955e-05
21 *463:18 *3184:A1 6.08467e-05
22 *463:18 *495:13 6.50586e-05
23 *3044:A *463:18 0.000113968
24 *3180:A1 *3152:A 8.62625e-06
25 *3180:A1 *3180:A2 0.000847656
26 *3180:A1 *463:18 0.000247891
27 *3189:A1 *3189:A2 9.95922e-06
28 *3189:A1 *463:18 0.000100721
29 *246:50 *3152:A 0.000470172
30 *246:50 *463:18 0.000261376
31 *424:34 *3180:A2 0.000213803
32 *424:34 *463:5 2.35405e-05
33 *424:51 *3180:A2 0.000121282
*RES
1 *3151:Y *463:5 12.7456
2 *463:5 *463:7 4.5
3 *463:7 *3152:A 22.6404
4 *463:7 *463:18 14.2596
5 *463:18 *3184:A2 14.9881
6 *463:18 *3189:A2 9.82786
7 *463:5 *3180:A2 20.0036
*END
*D_NET *464 0.00511926
*CONN
*I *3172:A2 I *D sky130_fd_sc_hd__o21a_1
*I *3193:A2 I *D sky130_fd_sc_hd__o21a_1
*I *3168:A2 I *D sky130_fd_sc_hd__o211a_1
*I *3176:A2 I *D sky130_fd_sc_hd__o211a_1
*I *3159:A2 I *D sky130_fd_sc_hd__o211a_1
*I *3152:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *3172:A2 0
2 *3193:A2 9.54385e-05
3 *3168:A2 8.68266e-05
4 *3176:A2 0.00029792
5 *3159:A2 0.000352048
6 *3152:X 7.27236e-05
7 *464:48 0.000237626
8 *464:37 0.000392353
9 *464:9 0.000888698
10 *464:6 0.000474793
11 *3159:A2 *3159:C1 2.39203e-05
12 *3168:A2 *3168:B1 3.41459e-05
13 *3168:A2 *3170:A1 0.000220738
14 *3168:A2 *3182:A2 1.17054e-05
15 *3168:A2 *481:11 0.000167076
16 *3176:A2 *481:11 4.53913e-05
17 *3176:A2 *714:41 0.000380513
18 *464:6 *3193:B1 0.000115746
19 *464:6 *481:11 2.82242e-05
20 *464:9 *472:20 1.55779e-05
21 *464:37 *3193:B1 0.000263725
22 *464:37 *481:11 5.6756e-05
23 *464:48 *481:11 0.00014642
24 *3151:A *3193:A2 6.89172e-05
25 *3159:A1 *3159:A2 4.83958e-05
26 *3168:A1 *3168:A2 5.07112e-05
27 *3168:A1 *464:48 3.8122e-05
28 *1:29 *3176:A2 2.73115e-05
29 *246:50 *3193:A2 0.000166178
30 *311:13 *3176:A2 0.000311261
*RES
1 *3152:X *464:6 15.9964
2 *464:6 *464:9 7.99641
3 *464:9 *3159:A2 15.181
4 *464:9 *3176:A2 27.8935
5 *464:6 *464:37 9.65401
6 *464:37 *3168:A2 13.3243
7 *464:37 *464:48 3.52053
8 *464:48 *3193:A2 21.3269
9 *464:48 *3172:A2 9.24915
*END
*D_NET *465 0.00480288
*CONN
*I *3171:A2 I *D sky130_fd_sc_hd__a221o_1
*I *3164:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *3192:A2 I *D sky130_fd_sc_hd__a221o_1
*I *3156:A2 I *D sky130_fd_sc_hd__a221o_1
*I *3153:X O *D sky130_fd_sc_hd__and4bb_1
*CAP
1 *3171:A2 5.68894e-05
2 *3164:A 0.000314761
3 *3192:A2 0.000113594
4 *3156:A2 4.05922e-06
5 *3153:X 0.000238809
6 *465:21 0.000497099
7 *465:12 0.000548801
8 *465:5 0.000771697
9 *3156:A2 *3156:B1 1.67329e-05
10 *3156:A2 *3156:C1 1.67329e-05
11 *3164:A *3175:A1 0.000138272
12 *3164:A *467:5 2.37827e-05
13 *3164:A *475:7 1.91187e-05
14 *3164:A *476:9 0.000160617
15 *3164:A *618:36 5.8941e-05
16 *3164:A *689:33 2.27135e-05
17 *3171:A2 *3171:A1 3.25907e-05
18 *3171:A2 *481:11 6.98337e-06
19 *3192:A2 *3175:A1 2.71407e-05
20 *3192:A2 *3192:B1 0.000244151
21 *465:5 *481:11 2.81717e-05
22 *465:12 *2823:A1 7.50722e-05
23 *465:12 *3156:B1 2.0434e-05
24 *465:12 *3156:C1 6.49003e-05
25 *465:12 *3171:A1 0.000120286
26 *465:12 *3171:B2 4.32443e-06
27 *465:12 *3171:C1 1.38616e-05
28 *465:12 *618:36 7.77309e-06
29 *465:12 *689:33 0.000115598
30 *465:21 *3175:A1 6.11002e-05
31 *465:21 *689:33 0.000156085
32 *2958:A *465:5 3.85195e-05
33 *2958:B *465:5 3.81056e-05
34 *3153:C *465:5 0.000366603
35 *313:14 *465:5 0.000266832
36 *462:8 *465:5 0.000111722
*RES
1 *3153:X *465:5 18.2916
2 *465:5 *465:12 13.3195
3 *465:12 *3156:A2 13.9481
4 *465:12 *465:21 2.87013
5 *465:21 *3192:A2 17.5251
6 *465:21 *3164:A 21.6643
7 *465:5 *3171:A2 11.2385
*END
*D_NET *466 0.00345425
*CONN
*I *3192:B1 I *D sky130_fd_sc_hd__a221o_1
*I *3165:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *3171:B1 I *D sky130_fd_sc_hd__a221o_1
*I *3156:B1 I *D sky130_fd_sc_hd__a221o_1
*I *3154:X O *D sky130_fd_sc_hd__and4bb_1
*CAP
1 *3192:B1 0.000124085
2 *3165:A 0
3 *3171:B1 0
4 *3156:B1 0.000206682
5 *3154:X 0.000236152
6 *466:25 0.000359055
7 *466:10 0.000278974
8 *466:5 0.000543415
9 *3156:B1 *3156:C1 8.21456e-05
10 *3156:B1 *3171:B2 1.47046e-05
11 *3156:B1 *3171:C1 6.3657e-05
12 *3156:B1 *468:10 0.000163428
13 *3156:B1 *614:17 6.08467e-05
14 *3192:B1 *3192:B2 4.80635e-06
15 *3192:B1 *3192:C1 0.000429158
16 *466:5 *689:33 0.000156684
17 *466:10 *666:109 9.35979e-05
18 *466:25 *3192:C1 5.51483e-06
19 *466:25 *689:33 0.000350024
20 *3156:A2 *3156:B1 1.67329e-05
21 *3192:A2 *3192:B1 0.000244151
22 *465:12 *3156:B1 2.0434e-05
*RES
1 *3154:X *466:5 13.3002
2 *466:5 *466:10 10.832
3 *466:10 *3156:B1 14.964
4 *466:10 *3171:B1 9.24915
5 *466:5 *466:25 5.76305
6 *466:25 *3165:A 9.24915
7 *466:25 *3192:B1 15.0122
*END
*D_NET *467 0.00512691
*CONN
*I *3192:C1 I *D sky130_fd_sc_hd__a221o_1
*I *3171:C1 I *D sky130_fd_sc_hd__a221o_1
*I *3156:C1 I *D sky130_fd_sc_hd__a221o_1
*I *3166:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *3155:X O *D sky130_fd_sc_hd__and4bb_1
*CAP
1 *3192:C1 0.000259507
2 *3171:C1 1.07476e-05
3 *3156:C1 0.000129952
4 *3166:A 0.000120585
5 *3155:X 0.00013709
6 *467:22 0.000248689
7 *467:18 0.000487751
8 *467:5 0.00037793
9 *3156:C1 *3156:B2 5.18438e-05
10 *3156:C1 *3171:A1 0
11 *3156:C1 *614:17 0.000121383
12 *3156:C1 *689:33 0.000311221
13 *3166:A *3175:A1 0.000132291
14 *3166:A *475:7 0.000217937
15 *3166:A *618:36 4.59895e-05
16 *3192:C1 *3192:B2 3.26962e-05
17 *3192:C1 *497:7 0.000410992
18 *3192:C1 *689:33 6.23875e-05
19 *467:18 *468:10 0.000172375
20 *467:18 *476:9 0.000124471
21 *467:18 *618:36 1.02986e-05
22 *467:22 *3171:B2 1.55462e-05
23 *467:22 *468:10 0.000165495
24 *467:22 *476:9 3.31733e-05
25 *467:22 *618:36 7.20535e-05
26 *3156:A2 *3156:C1 1.67329e-05
27 *3156:B1 *3156:C1 8.21456e-05
28 *3156:B1 *3171:C1 6.3657e-05
29 *3164:A *467:5 2.37827e-05
30 *3192:B1 *3192:C1 0.000429158
31 *245:5 *3166:A 0.000213739
32 *245:5 *467:5 0.000459803
33 *457:19 *467:5 1.21461e-06
34 *465:12 *3156:C1 6.49003e-05
35 *465:12 *3171:C1 1.38616e-05
36 *466:25 *3192:C1 5.51483e-06
*RES
1 *3155:X *467:5 14.4094
2 *467:5 *3166:A 23.2989
3 *467:5 *467:18 7.993
4 *467:18 *467:22 8.40826
5 *467:22 *3156:C1 15.5668
6 *467:22 *3171:C1 9.97254
7 *467:18 *3192:C1 23.2256
*END
*D_NET *468 0.00352849
*CONN
*I *3159:B1 I *D sky130_fd_sc_hd__o211a_1
*I *3156:X O *D sky130_fd_sc_hd__a221o_1
*CAP
1 *3159:B1 0
2 *3156:X 0.000788301
3 *468:10 0.000788301
4 *468:10 *3171:B2 0.000287409
5 *468:10 *3176:B1 0.000212506
6 *468:10 *3176:C1 4.57131e-05
7 *468:10 *3183:B2 4.69495e-06
8 *468:10 *3184:C1 1.72799e-05
9 *468:10 *469:12 0.000529193
10 *468:10 *469:16 5.90406e-05
11 *468:10 *470:22 0.000151496
12 *468:10 *618:36 5.14391e-05
13 *468:10 *666:109 5.42738e-05
14 *3156:B1 *468:10 0.000163428
15 *246:39 *468:10 1.5714e-05
16 *316:19 *468:10 2.1832e-05
17 *467:18 *468:10 0.000172375
18 *467:22 *468:10 0.000165495
*RES
1 *3156:X *468:10 42.2611
2 *468:10 *3159:B1 9.24915
*END
*D_NET *469 0.00762802
*CONN
*I *3158:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *3194:B I *D sky130_fd_sc_hd__or2_1
*I *3173:B I *D sky130_fd_sc_hd__or2_1
*I *3189:B1 I *D sky130_fd_sc_hd__o211a_1
*I *3157:X O *D sky130_fd_sc_hd__or4b_2
*CAP
1 *3158:A 9.85457e-05
2 *3194:B 0
3 *3173:B 0.000148911
4 *3189:B1 0.000351248
5 *3157:X 0.000636466
6 *469:32 0.000348203
7 *469:16 0.00102766
8 *469:12 0.00121213
9 *3158:A *3176:B1 5.04829e-06
10 *3173:B *3187:B1 0
11 *3173:B *3191:B1 6.91836e-05
12 *3173:B *473:16 1.07248e-05
13 *3173:B *482:13 6.07538e-05
14 *3189:B1 *494:13 0.000383137
15 *469:12 *3184:C1 0.000277535
16 *469:12 *666:109 0.000232887
17 *469:12 *685:85 0.000314053
18 *469:16 *3176:B1 9.7457e-05
19 *469:16 *3177:B 0.000137982
20 *469:16 *3178:A2 0.000288403
21 *469:16 *470:22 1.46368e-05
22 *469:16 *470:35 3.0703e-05
23 *469:16 *473:16 0.000169108
24 *469:16 *482:13 0.000357472
25 *469:16 *487:17 1.17168e-05
26 *469:32 *3169:A 1.19721e-05
27 *469:32 *473:16 0.000242579
28 *469:32 *482:13 0.000183763
29 *3184:A2 *3189:B1 1.57468e-05
30 *3189:A1 *3189:B1 1.10717e-05
31 *3189:A2 *3189:B1 9.95922e-06
32 *1:29 *3158:A 3.33645e-05
33 *1:29 *469:16 7.92757e-06
34 *246:39 *469:12 5.95533e-05
35 *246:50 *469:12 0.000143774
36 *316:19 *469:16 3.61138e-05
37 *468:10 *469:12 0.000529193
38 *468:10 *469:16 5.90406e-05
*RES
1 *3157:X *469:12 38.0074
2 *469:12 *469:16 19.3764
3 *469:16 *3189:B1 20.3079
4 *469:16 *469:32 9.84972
5 *469:32 *3173:B 22.5727
6 *469:32 *3194:B 9.24915
7 *469:12 *3158:A 11.6605
*END
*D_NET *470 0.00355731
*CONN
*I *3184:B1 I *D sky130_fd_sc_hd__o211a_1
*I *3180:B1 I *D sky130_fd_sc_hd__o211a_1
*I *3168:B1 I *D sky130_fd_sc_hd__o211a_1
*I *3159:C1 I *D sky130_fd_sc_hd__o211a_1
*I *3176:B1 I *D sky130_fd_sc_hd__o211a_1
*I *3158:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *3184:B1 9.84868e-05
2 *3180:B1 0
3 *3168:B1 0.000110962
4 *3159:C1 0.000107178
5 *3176:B1 0.00015445
6 *3158:X 0
7 *470:46 0.00017694
8 *470:35 0.000301869
9 *470:22 0.000373311
10 *470:4 0.000308128
11 *3168:B1 *3170:A1 1.55025e-05
12 *3168:B1 *3182:A2 2.74378e-05
13 *3168:B1 *478:13 8.62344e-05
14 *3176:B1 *3176:C1 1.07248e-05
15 *3184:B1 *3184:C1 8.71049e-05
16 *3184:B1 *482:13 0.000201121
17 *470:22 *3184:C1 0.0001903
18 *470:35 *3177:A 7.83643e-05
19 *470:35 *3184:C1 3.68208e-05
20 *470:35 *482:13 8.6931e-05
21 *470:46 *3184:C1 5.14967e-05
22 *470:46 *482:13 0.000129676
23 *3158:A *3176:B1 5.04829e-06
24 *3159:A2 *3159:C1 2.39203e-05
25 *3168:A2 *3168:B1 3.41459e-05
26 *1:29 *3176:B1 0.000107496
27 *311:13 *3176:B1 2.16355e-05
28 *316:19 *3176:B1 6.39594e-05
29 *424:51 *3168:B1 0.000161262
30 *468:10 *3176:B1 0.000212506
31 *468:10 *470:22 0.000151496
32 *469:16 *3176:B1 9.7457e-05
33 *469:16 *470:22 1.46368e-05
34 *469:16 *470:35 3.0703e-05
*RES
1 *3158:X *470:4 9.24915
2 *470:4 *3176:B1 24.1588
3 *470:4 *470:22 9.31204
4 *470:22 *3159:C1 16.1846
5 *470:22 *470:35 3.493
6 *470:35 *3168:B1 17.8243
7 *470:35 *470:46 2.6625
8 *470:46 *3180:B1 13.7491
9 *470:46 *3184:B1 17.6574
*END
*D_NET *471 0.000554079
*CONN
*I *3163:A1 I *D sky130_fd_sc_hd__o21a_1
*I *3159:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *3163:A1 0.000111506
2 *3159:X 0.000111506
3 *2961:A *3163:A1 6.50727e-05
4 *3159:A1 *3163:A1 2.61955e-05
5 *316:19 *3163:A1 0.000239798
*RES
1 *3159:X *3163:A1 21.9947
*END
*D_NET *472 0.009998
*CONN
*I *3181:B I *D sky130_fd_sc_hd__and2_1
*I *3190:B I *D sky130_fd_sc_hd__and2_1
*I *3185:B I *D sky130_fd_sc_hd__and2_1
*I *3161:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *3160:X O *D sky130_fd_sc_hd__and4_1
*CAP
1 *3181:B 0.000691577
2 *3190:B 0.000420063
3 *3185:B 0.000318041
4 *3161:A 0
5 *3160:X 0.000205182
6 *472:30 0.000932872
7 *472:20 0.00150615
8 *472:8 0.00220814
9 *3181:B *3182:A2 0.000810102
10 *3185:B *3187:A2 2.32834e-05
11 *3185:B *663:63 4.34841e-05
12 *3190:B *2979:A 0
13 *3190:B *3191:A2 0.000125695
14 *3190:B *3191:B1 6.86606e-05
15 *3190:B *3194:A 0
16 *3190:B *672:12 0.000372822
17 *3190:B *672:23 1.66626e-05
18 *472:8 *622:6 0.000352995
19 *472:20 *3170:A1 4.06402e-05
20 *472:20 *3170:A2 0.000238218
21 *472:20 *3174:A1 9.94284e-06
22 *472:20 *3184:A1 9.85734e-05
23 *472:20 *3184:C1 0
24 *472:20 *3193:B1 0
25 *472:20 *3194:A 0.000221294
26 *472:20 *3195:A2 0
27 *472:20 *473:8 3.77568e-05
28 *472:20 *481:11 5.04584e-05
29 *472:20 *482:13 0.000113107
30 *472:20 *495:13 0.000105986
31 *472:30 *3191:B1 0
32 *472:30 *3195:A1 0.000114584
33 *472:30 *3195:B1 9.95542e-06
34 *472:30 *473:5 2.07365e-05
35 *472:30 *663:63 2.50864e-05
36 *3043:S *3181:B 2.41483e-05
37 *3151:A *3181:B 2.652e-05
38 *3151:A *472:8 8.03699e-06
39 *3180:A1 *472:20 0
40 *3371:D *3190:B 3.77804e-05
41 *166:15 *472:20 3.93117e-06
42 *167:8 *472:20 8.62625e-06
43 *246:50 *3181:B 6.64609e-05
44 *246:50 *472:8 0.000374312
45 *311:13 *472:8 0.000115934
46 *374:21 *3181:B 6.11359e-06
47 *456:34 *472:30 1.42196e-05
48 *456:43 *472:20 0.00010515
49 *456:43 *472:30 9.12416e-06
50 *464:9 *472:20 1.55779e-05
*RES
1 *3160:X *472:8 21.8422
2 *472:8 *472:20 45.1304
3 *472:20 *3161:A 13.7491
4 *472:20 *472:30 9.55251
5 *472:30 *3185:B 16.5072
6 *472:30 *3190:B 30.8777
7 *472:8 *3181:B 26.7161
*END
*D_NET *473 0.00519448
*CONN
*I *3195:A1 I *D sky130_fd_sc_hd__o211a_1
*I *3174:A1 I *D sky130_fd_sc_hd__o211a_1
*I *3169:B I *D sky130_fd_sc_hd__and2_1
*I *3162:B I *D sky130_fd_sc_hd__and2_1
*I *3177:B I *D sky130_fd_sc_hd__and2_1
*I *3161:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *3195:A1 0.000188544
2 *3174:A1 0.000141432
3 *3169:B 7.53696e-05
4 *3162:B 0.000150049
5 *3177:B 0.000111887
6 *3161:X 1.18992e-05
7 *473:16 0.000572148
8 *473:12 0.000541527
9 *473:8 0.000466501
10 *473:5 0.000369566
11 *3162:B *3182:A1 0.000313481
12 *3162:B *487:17 4.58003e-05
13 *3162:B *669:88 4.2267e-05
14 *3169:B *3194:A 0.00014805
15 *3174:A1 *3174:B1 0.000181158
16 *3174:A1 *482:13 2.70375e-05
17 *3177:B *478:13 0.000111708
18 *3177:B *487:17 5.13125e-05
19 *3195:A1 *3195:A2 3.65259e-05
20 *3195:A1 *3195:B1 9.1976e-05
21 *3195:A1 *663:63 4.91225e-06
22 *473:5 *3195:B1 6.36477e-05
23 *473:8 *3194:A 0
24 *473:8 *3195:B1 6.29065e-05
25 *473:12 *3194:A 0
26 *473:12 *3195:B1 4.3305e-05
27 *473:12 *482:13 1.86171e-05
28 *473:16 *3169:A 1.92336e-05
29 *473:16 *3187:B1 0
30 *473:16 *3194:A 0.0002136
31 *473:16 *482:13 7.77309e-06
32 *473:16 *487:17 2.04806e-05
33 *3173:B *473:16 1.07248e-05
34 *3195:C1 *3195:A1 6.08467e-05
35 *316:38 *473:16 0
36 *424:51 *3177:B 3.82228e-05
37 *456:34 *3195:A1 0.000211158
38 *456:43 *473:8 8.12426e-06
39 *469:16 *3177:B 0.000137982
40 *469:16 *473:16 0.000169108
41 *469:32 *473:16 0.000242579
42 *472:20 *3174:A1 9.94284e-06
43 *472:20 *473:8 3.77568e-05
44 *472:30 *3195:A1 0.000114584
45 *472:30 *473:5 2.07365e-05
*RES
1 *3161:X *473:5 9.97254
2 *473:5 *473:8 8.40826
3 *473:8 *473:12 7.993
4 *473:12 *473:16 14.2568
5 *473:16 *3177:B 17.6896
6 *473:16 *3162:B 18.9335
7 *473:12 *3169:B 11.6605
8 *473:8 *3174:A1 18.0172
9 *473:5 *3195:A1 17.0618
*END
*D_NET *474 0.000829722
*CONN
*I *3163:A2 I *D sky130_fd_sc_hd__o21a_1
*I *3162:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *3163:A2 0.000182721
2 *3162:X 0.000182721
3 *3163:A2 *3182:A1 0.000110675
4 *3163:A2 *494:13 4.4555e-05
5 *3163:A2 *671:79 0.000239779
6 *2961:A *3163:A2 6.92705e-05
*RES
1 *3162:X *3163:A2 32.9604
*END
*D_NET *475 0.00439906
*CONN
*I *3175:A2 I *D sky130_fd_sc_hd__a221o_1
*I *3188:A2 I *D sky130_fd_sc_hd__a221o_1
*I *3183:A2 I *D sky130_fd_sc_hd__a221o_1
*I *3179:A2 I *D sky130_fd_sc_hd__a221o_1
*I *3167:A2 I *D sky130_fd_sc_hd__a221o_1
*I *3164:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *3175:A2 3.94973e-05
2 *3188:A2 0.000106958
3 *3183:A2 0.000244268
4 *3179:A2 0.000249445
5 *3167:A2 3.13054e-05
6 *3164:X 9.96483e-05
7 *475:21 0.000515547
8 *475:18 0.000172767
9 *475:16 0.000297126
10 *475:7 0.000344607
11 *3167:A2 *3167:B1 8.9116e-05
12 *3167:A2 *476:9 6.50727e-05
13 *3175:A2 *3175:A1 1.90494e-05
14 *3179:A2 *3175:A1 5.04829e-06
15 *3179:A2 *3175:B2 1.47046e-05
16 *3179:A2 *3179:B2 0
17 *3179:A2 *3179:C1 4.17617e-05
18 *3179:A2 *3183:B1 3.99086e-06
19 *3179:A2 *477:32 0.000160617
20 *3183:A2 *3175:A1 4.86773e-05
21 *3183:A2 *3176:C1 0.000211478
22 *3183:A2 *3183:B1 6.49939e-05
23 *3183:A2 *3183:B2 1.47046e-05
24 *3183:A2 *3183:C1 6.08467e-05
25 *3183:A2 *3184:C1 1.67329e-05
26 *3183:A2 *618:36 1.7312e-05
27 *3188:A2 *476:46 8.91172e-06
28 *3188:A2 *478:13 4.49782e-05
29 *3188:A2 *494:13 5.58475e-05
30 *475:7 *476:9 0.000348179
31 *475:16 *476:20 0.000282099
32 *475:16 *476:35 7.7517e-05
33 *475:16 *478:13 0.00012438
34 *475:18 *476:46 1.76124e-05
35 *475:18 *478:13 2.28389e-05
36 *2964:B1 *3188:A2 9.06436e-05
37 *3164:A *475:7 1.91187e-05
38 *3166:A *475:7 0.000217937
39 *245:5 *3167:A2 5.38447e-05
40 *245:5 *475:7 7.65728e-05
41 *246:39 *475:16 1.9101e-05
42 *316:19 *3183:A2 4.20775e-06
*RES
1 *3164:X *475:7 14.4335
2 *475:7 *3167:A2 10.8044
3 *475:7 *475:16 11.315
4 *475:16 *475:18 1.00149
5 *475:18 *475:21 5.07872
6 *475:21 *3179:A2 14.9579
7 *475:21 *3183:A2 16.1274
8 *475:18 *3188:A2 16.9971
9 *475:16 *3175:A2 14.6809
*END
*D_NET *476 0.00532441
*CONN
*I *3175:B1 I *D sky130_fd_sc_hd__a221o_1
*I *3188:B1 I *D sky130_fd_sc_hd__a221o_1
*I *3179:B1 I *D sky130_fd_sc_hd__a221o_1
*I *3183:B1 I *D sky130_fd_sc_hd__a221o_1
*I *3167:B1 I *D sky130_fd_sc_hd__a221o_1
*I *3165:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *3175:B1 0
2 *3188:B1 2.15683e-05
3 *3179:B1 0.00032623
4 *3183:B1 0.000325158
5 *3167:B1 0.000105496
6 *3165:X 0.000159891
7 *476:46 0.000541223
8 *476:35 0.000223031
9 *476:20 0.000582707
10 *476:9 0.00049333
11 *3167:B1 *478:13 7.34948e-06
12 *3179:B1 *3188:B2 0.000254096
13 *3179:B1 *617:19 3.31733e-05
14 *3179:B1 *619:47 1.4769e-05
15 *3179:B1 *666:124 2.01828e-05
16 *3179:B1 *732:14 6.11074e-05
17 *3179:B1 *732:31 9.15367e-06
18 *3183:B1 *3175:A1 6.23875e-05
19 *3183:B1 *3183:B2 1.47046e-05
20 *3183:B1 *3183:C1 6.08467e-05
21 *3183:B1 *618:36 0.00023995
22 *3188:B1 *3188:B2 4.31603e-06
23 *476:9 *478:13 6.75138e-05
24 *476:9 *618:36 0.000157645
25 *476:20 *3167:A1 5.48015e-06
26 *476:20 *3167:C1 3.3239e-06
27 *476:20 *3175:B2 3.00073e-05
28 *476:20 *477:31 1.17147e-05
29 *476:20 *478:13 2.55661e-06
30 *476:20 *671:85 0
31 *476:35 *3175:B2 2.32908e-05
32 *476:46 *3175:B2 8.62625e-06
33 *476:46 *494:13 7.09666e-06
34 *476:46 *671:79 4.94042e-05
35 *476:46 *671:85 7.12788e-06
36 *2870:A *476:20 3.49122e-05
37 *2910:A *3167:B1 4.23858e-05
38 *2964:B1 *3179:B1 1.9827e-05
39 *2964:B1 *476:46 1.43848e-05
40 *3164:A *476:9 0.000160617
41 *3167:A2 *3167:B1 8.9116e-05
42 *3167:A2 *476:9 6.50727e-05
43 *3179:A2 *3183:B1 3.99086e-06
44 *3183:A2 *3183:B1 6.49939e-05
45 *3188:A2 *476:46 8.91172e-06
46 *245:5 *3167:B1 2.68413e-06
47 *467:18 *476:9 0.000124471
48 *467:22 *476:9 3.31733e-05
49 *475:7 *476:9 0.000348179
50 *475:16 *476:20 0.000282099
51 *475:16 *476:35 7.7517e-05
52 *475:18 *476:46 1.76124e-05
*RES
1 *3165:X *476:9 25.9325
2 *476:9 *3167:B1 12.2151
3 *476:9 *476:20 10.4845
4 *476:20 *3183:B1 21.2001
5 *476:20 *476:35 1.41674
6 *476:35 *476:46 9.10723
7 *476:46 *3179:B1 24.5474
8 *476:46 *3188:B1 9.82786
9 *476:35 *3175:B1 13.7491
*END
*D_NET *477 0.0046137
*CONN
*I *3183:C1 I *D sky130_fd_sc_hd__a221o_1
*I *3175:C1 I *D sky130_fd_sc_hd__a221o_1
*I *3188:C1 I *D sky130_fd_sc_hd__a221o_1
*I *3179:C1 I *D sky130_fd_sc_hd__a221o_1
*I *3167:C1 I *D sky130_fd_sc_hd__a221o_1
*I *3166:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *3183:C1 0.000153811
2 *3175:C1 0
3 *3188:C1 9.97315e-05
4 *3179:C1 0.000148937
5 *3167:C1 0.000233109
6 *3166:X 2.76526e-05
7 *477:32 0.000304618
8 *477:31 0.00015458
9 *477:15 0.000493038
10 *477:5 0.000342761
11 *3167:C1 *619:47 5.12223e-05
12 *3167:C1 *671:85 0.000110477
13 *3167:C1 *696:28 4.76248e-05
14 *3179:C1 *3175:A1 0
15 *3179:C1 *3179:B2 4.71781e-05
16 *3179:C1 *617:19 5.07314e-05
17 *3179:C1 *685:85 0.000103123
18 *3183:C1 *3175:A1 5.51411e-05
19 *3183:C1 *487:17 4.23622e-05
20 *3183:C1 *618:36 0.000112159
21 *3188:C1 *619:47 0.000142364
22 *3188:C1 *666:124 6.34651e-06
23 *3188:C1 *671:79 7.5185e-06
24 *3188:C1 *671:85 1.9366e-05
25 *477:5 *685:85 2.20702e-05
26 *477:15 *685:85 0.000392175
27 *477:31 *3175:B2 0.000141864
28 *477:31 *671:85 0.000196454
29 *2870:A *3167:C1 9.32927e-05
30 *2910:A *3167:C1 0.000211492
31 *3179:A2 *3179:C1 4.17617e-05
32 *3179:A2 *477:32 0.000160617
33 *3183:A2 *3183:C1 6.08467e-05
34 *3183:B1 *3183:C1 6.08467e-05
35 *168:21 *3188:C1 5.81031e-05
36 *249:27 *477:5 0.000111722
37 *249:27 *477:15 0.000242695
38 *316:19 *3183:C1 5.0873e-05
39 *476:20 *3167:C1 3.3239e-06
40 *476:20 *477:31 1.17147e-05
*RES
1 *3166:X *477:5 10.5271
2 *477:5 *477:15 11.3964
3 *477:15 *3167:C1 28.3851
4 *477:15 *477:31 8.40826
5 *477:31 *477:32 1.8326
6 *477:32 *3179:C1 14.4576
7 *477:32 *3188:C1 21.3269
8 *477:31 *3175:C1 9.24915
9 *477:5 *3183:C1 22.4655
*END
*D_NET *478 0.00408716
*CONN
*I *3168:C1 I *D sky130_fd_sc_hd__o211a_1
*I *3167:X O *D sky130_fd_sc_hd__a221o_1
*CAP
1 *3168:C1 0
2 *3167:X 0.000944482
3 *478:13 0.000944482
4 *478:13 *3167:A1 0
5 *478:13 *3175:A1 0.000379098
6 *478:13 *3178:A1 3.58321e-05
7 *478:13 *3182:A2 0.000553839
8 *478:13 *487:17 9.29765e-05
9 *478:13 *494:13 0
10 *478:13 *647:8 8.24963e-05
11 *2964:B1 *478:13 0.000453711
12 *3159:A1 *478:13 6.51527e-05
13 *3167:B1 *478:13 7.34948e-06
14 *3168:A1 *478:13 0
15 *3168:B1 *478:13 8.62344e-05
16 *3177:B *478:13 0.000111708
17 *3188:A2 *478:13 4.49782e-05
18 *246:39 *478:13 1.5714e-05
19 *424:51 *478:13 5.18123e-05
20 *475:16 *478:13 0.00012438
21 *475:18 *478:13 2.28389e-05
22 *476:9 *478:13 6.75138e-05
23 *476:20 *478:13 2.55661e-06
*RES
1 *3167:X *478:13 47.8635
2 *478:13 *3168:C1 9.24915
*END
*D_NET *479 0.000825771
*CONN
*I *3170:A1 I *D sky130_fd_sc_hd__o21a_1
*I *3168:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *3170:A1 0.000260259
2 *3168:X 0.000260259
3 *3170:A1 *3182:A2 6.78364e-06
4 *3168:A2 *3170:A1 0.000220738
5 *3168:B1 *3170:A1 1.55025e-05
6 *424:51 *3170:A1 2.1588e-05
7 *472:20 *3170:A1 4.06402e-05
*RES
1 *3168:X *3170:A1 32.6874
*END
*D_NET *480 0.000676013
*CONN
*I *3170:A2 I *D sky130_fd_sc_hd__o21a_1
*I *3169:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *3170:A2 0.000218898
2 *3169:X 0.000218898
3 *3170:A2 *3184:C1 0
4 *3170:A2 *482:13 0
5 *472:20 *3170:A2 0.000238218
*RES
1 *3169:X *3170:A2 32.2371
*END
*D_NET *481 0.00384099
*CONN
*I *3172:B1 I *D sky130_fd_sc_hd__o21a_1
*I *3171:X O *D sky130_fd_sc_hd__a221o_1
*CAP
1 *3172:B1 0
2 *3171:X 0.00107938
3 *481:11 0.00107938
4 *481:11 *3184:C1 0
5 *481:11 *3193:B1 0
6 *481:11 *685:85 6.21451e-06
7 *481:11 *714:41 5.65074e-05
8 *3160:C *481:11 0.000195139
9 *3168:A1 *481:11 0.000313495
10 *3168:A2 *481:11 0.000167076
11 *3171:A2 *481:11 6.98337e-06
12 *3176:A2 *481:11 4.53913e-05
13 *1:29 *481:11 0
14 *314:8 *481:11 0.000304404
15 *314:19 *481:11 3.60268e-05
16 *315:8 *481:11 0.000148144
17 *457:19 *481:11 7.69567e-05
18 *457:53 *481:11 1.58706e-05
19 *464:6 *481:11 2.82242e-05
20 *464:37 *481:11 5.6756e-05
21 *464:48 *481:11 0.00014642
22 *465:5 *481:11 2.81717e-05
23 *472:20 *481:11 5.04584e-05
*RES
1 *3171:X *481:11 49.4948
2 *481:11 *3172:B1 9.24915
*END
*D_NET *482 0.00459559
*CONN
*I *3174:A2 I *D sky130_fd_sc_hd__o211a_1
*I *3172:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *3174:A2 0
2 *3172:X 0.00109355
3 *482:13 0.00109355
4 *482:13 *3174:B1 3.16516e-05
5 *482:13 *3182:A2 0.000754794
6 *482:13 *3191:B1 0
7 *482:13 *3195:B1 0.000161437
8 *842:DIODE *482:13 0.000207911
9 *3170:A2 *482:13 0
10 *3173:B *482:13 6.07538e-05
11 *3174:A1 *482:13 2.70375e-05
12 *3184:B1 *482:13 0.000201121
13 *456:43 *482:13 6.64392e-05
14 *469:16 *482:13 0.000357472
15 *469:32 *482:13 0.000183763
16 *470:35 *482:13 8.6931e-05
17 *470:46 *482:13 0.000129676
18 *472:20 *482:13 0.000113107
19 *473:12 *482:13 1.86171e-05
20 *473:16 *482:13 7.77309e-06
*RES
1 *3172:X *482:13 46.4868
2 *482:13 *3174:A2 9.24915
*END
*D_NET *483 0.000579093
*CONN
*I *3174:B1 I *D sky130_fd_sc_hd__o211a_1
*I *3173:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *3174:B1 7.70428e-05
2 *3173:X 7.70428e-05
3 *842:DIODE *3174:B1 0.000161262
4 *3174:A1 *3174:B1 0.000181158
5 *456:43 *3174:B1 5.09367e-05
6 *482:13 *3174:B1 3.16516e-05
*RES
1 *3173:X *3174:B1 22.0188
*END
*D_NET *484 0.00078853
*CONN
*I *3176:C1 I *D sky130_fd_sc_hd__o211a_1
*I *3175:X O *D sky130_fd_sc_hd__a221o_1
*CAP
1 *3176:C1 0.000199873
2 *3175:X 0.000199873
3 *3176:B1 *3176:C1 1.07248e-05
4 *3183:A2 *3176:C1 0.000211478
5 *316:19 *3176:C1 0.000120868
6 *468:10 *3176:C1 4.57131e-05
*RES
1 *3175:X *3176:C1 32.1327
*END
*D_NET *485 0.00201671
*CONN
*I *3178:A1 I *D sky130_fd_sc_hd__o21a_1
*I *3176:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *3178:A1 0.000548439
2 *3176:X 0.000548439
3 *3178:A1 *494:13 0.000130777
4 *311:13 *3178:A1 0.000753227
5 *478:13 *3178:A1 3.58321e-05
*RES
1 *3176:X *3178:A1 38.2334
*END
*D_NET *486 0.00152795
*CONN
*I *3178:A2 I *D sky130_fd_sc_hd__o21a_1
*I *3177:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *3178:A2 0.000304979
2 *3177:X 0.000304979
3 *3178:A2 *487:17 2.64787e-05
4 *1:29 *3178:A2 0.000404614
5 *316:19 *3178:A2 0.000198498
6 *469:16 *3178:A2 0.000288403
*RES
1 *3177:X *3178:A2 37.6732
*END
*D_NET *487 0.00379944
*CONN
*I *3180:C1 I *D sky130_fd_sc_hd__o211a_1
*I *3179:X O *D sky130_fd_sc_hd__a221o_1
*CAP
1 *3180:C1 0
2 *3179:X 0.000998815
3 *487:17 0.000998815
4 *487:17 *3162:A 0
5 *487:17 *3175:A1 1.66626e-05
6 *487:17 *3183:B2 0.000178047
7 *487:17 *619:47 2.26985e-05
8 *487:17 *647:8 0
9 *487:17 *666:109 0.000162063
10 *487:17 *669:88 0.000135114
11 *487:17 *671:85 7.12632e-06
12 *2964:B1 *487:17 0.000461206
13 *3162:B *487:17 4.58003e-05
14 *3177:B *487:17 5.13125e-05
15 *3178:A2 *487:17 2.64787e-05
16 *3180:A1 *487:17 7.92757e-06
17 *3180:A2 *487:17 6.4204e-05
18 *3183:C1 *487:17 4.23622e-05
19 *246:39 *487:17 3.89646e-05
20 *316:19 *487:17 0.000361631
21 *316:38 *487:17 0
22 *457:53 *487:17 5.50376e-05
23 *469:16 *487:17 1.17168e-05
24 *473:16 *487:17 2.04806e-05
25 *478:13 *487:17 9.29765e-05
*RES
1 *3179:X *487:17 48.2903
2 *487:17 *3180:C1 9.24915
*END
*D_NET *488 0.00127511
*CONN
*I *3182:A1 I *D sky130_fd_sc_hd__o21a_1
*I *3180:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *3182:A1 0.000327524
2 *3180:X 0.000327524
3 *3182:A1 *3182:A2 2.65831e-05
4 *3182:A1 *494:13 0.000107063
5 *3182:A1 *669:88 1.4091e-06
6 *2962:B *3182:A1 6.08467e-05
7 *3162:B *3182:A1 0.000313481
8 *3163:A2 *3182:A1 0.000110675
*RES
1 *3180:X *3182:A1 34.52
*END
*D_NET *489 0.00481559
*CONN
*I *3182:A2 I *D sky130_fd_sc_hd__o21a_1
*I *3181:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *3182:A2 0.000641123
2 *3181:X 0.000641123
3 *3182:A2 *2962:A 2.65831e-05
4 *2962:B *3182:A2 2.59398e-05
5 *3043:S *3182:A2 0.00021569
6 *3168:A1 *3182:A2 0.00100963
7 *3168:A2 *3182:A2 1.17054e-05
8 *3168:B1 *3182:A2 2.74378e-05
9 *3170:A1 *3182:A2 6.78364e-06
10 *3181:B *3182:A2 0.000810102
11 *3182:A1 *3182:A2 2.65831e-05
12 *374:21 *3182:A2 5.65809e-05
13 *424:51 *3182:A2 7.67523e-06
14 *478:13 *3182:A2 0.000553839
15 *482:13 *3182:A2 0.000754794
*RES
1 *3181:X *3182:A2 45.2881
*END
*D_NET *490 0.00262827
*CONN
*I *3184:C1 I *D sky130_fd_sc_hd__o211a_1
*I *3183:X O *D sky130_fd_sc_hd__a221o_1
*CAP
1 *3184:C1 0.000858537
2 *3183:X 0.000858537
3 *3184:C1 *3177:A 7.83643e-05
4 *3170:A2 *3184:C1 0
5 *3183:A2 *3184:C1 1.67329e-05
6 *3184:B1 *3184:C1 8.71049e-05
7 *1:29 *3184:C1 1.99599e-05
8 *316:19 *3184:C1 6.11361e-06
9 *424:51 *3184:C1 0.000129489
10 *468:10 *3184:C1 1.72799e-05
11 *469:12 *3184:C1 0.000277535
12 *470:22 *3184:C1 0.0001903
13 *470:35 *3184:C1 3.68208e-05
14 *470:46 *3184:C1 5.14967e-05
15 *472:20 *3184:C1 0
16 *481:11 *3184:C1 0
*RES
1 *3183:X *3184:C1 48.0489
*END
*D_NET *491 0.000259499
*CONN
*I *3187:A1 I *D sky130_fd_sc_hd__o21a_1
*I *3184:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *3187:A1 3.83321e-05
2 *3184:X 3.83321e-05
3 *3187:A1 *3187:B1 7.34948e-06
4 *3187:A1 *494:13 0.000175485
*RES
1 *3184:X *3187:A1 20.3309
*END
*D_NET *492 0.0014939
*CONN
*I *3187:A2 I *D sky130_fd_sc_hd__o21a_1
*I *3185:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *3187:A2 0.000373584
2 *3185:X 0.000373584
3 *3187:A2 *2965:A 0.000141864
4 *3187:A2 *3187:B1 0.000197639
5 *3187:A2 *3191:B1 4.94507e-05
6 *3187:A2 *663:63 7.14746e-05
7 *3185:B *3187:A2 2.32834e-05
8 *316:38 *3187:A2 0.000263022
*RES
1 *3185:X *3187:A2 38.2222
*END
*D_NET *493 0.016038
*CONN
*I *3300:B1 I *D sky130_fd_sc_hd__o21a_1
*I *3319:B1 I *D sky130_fd_sc_hd__o21a_1
*I *3283:B1 I *D sky130_fd_sc_hd__o21a_1
*I *3191:B1 I *D sky130_fd_sc_hd__o21a_1
*I *3187:B1 I *D sky130_fd_sc_hd__o21a_1
*I *3186:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *3300:B1 0.000720385
2 *3319:B1 2.57012e-05
3 *3283:B1 0
4 *3191:B1 0.000578009
5 *3187:B1 0.000257282
6 *3186:X 0.000102352
7 *493:67 0.0015755
8 *493:52 0.0011078
9 *493:23 0.00145713
10 *493:21 0.00274524
11 *493:8 0.00250413
12 *3187:B1 *3169:A 0.00011818
13 *3187:B1 *494:13 3.21548e-05
14 *3191:B1 *3195:B1 0
15 *3191:B1 *3371:CLK 9.18497e-05
16 *3191:B1 *3394:CLK 0.000113968
17 *3191:B1 *643:17 7.40296e-05
18 *3191:B1 *663:63 2.652e-05
19 *3191:B1 *672:12 8.44087e-05
20 *3191:B1 *672:23 1.1573e-05
21 *3300:B1 *3445:CLK 8.01741e-05
22 *3300:B1 *636:15 7.02172e-06
23 *3300:B1 *636:63 0.000138719
24 *3300:B1 *666:6 0.000261552
25 *3319:B1 *636:15 2.65831e-05
26 *493:8 *560:41 0
27 *493:21 *828:DIODE 1.41976e-05
28 *493:21 *3034:A 0.000161243
29 *493:21 *3046:A 2.54369e-05
30 *493:21 *3290:A 0
31 *493:21 *522:59 0.000108653
32 *493:21 *542:15 0
33 *493:21 *713:5 5.23101e-05
34 *493:21 *714:97 0.000113107
35 *493:23 *828:DIODE 2.77625e-06
36 *493:23 *3169:A 0.000452882
37 *493:23 *3194:A 0.000181483
38 *493:52 *3282:B1_N 0.000186241
39 *493:52 *585:14 0.000307228
40 *493:52 *734:15 0.000138272
41 *493:67 *585:14 5.33358e-06
42 *493:67 *734:15 1.91246e-05
43 *3041:A *493:23 0.000127271
44 *3055:B *493:23 6.92705e-05
45 *3132:A *493:8 0
46 *3173:B *3187:B1 0
47 *3173:B *3191:B1 6.91836e-05
48 *3180:A1 *493:23 7.68538e-06
49 *3186:A *493:8 0
50 *3187:A1 *3187:B1 7.34948e-06
51 *3187:A2 *3187:B1 0.000197639
52 *3187:A2 *3191:B1 4.94507e-05
53 *3190:B *3191:B1 6.86606e-05
54 *3371:D *3191:B1 9.96342e-05
55 *3389:D *493:21 0.000104389
56 *3450:D *3300:B1 0.000275256
57 *166:15 *493:21 0.000210853
58 *166:15 *493:52 4.87341e-05
59 *172:11 *493:21 0
60 *172:11 *493:52 0
61 *172:11 *493:67 0.000307693
62 *172:12 *3300:B1 0
63 *316:38 *3191:B1 0
64 *367:8 *493:21 4.70708e-05
65 *369:20 *493:23 0.000205101
66 *382:39 *493:21 5.04829e-06
67 *397:12 *493:67 0.000212487
68 *439:60 *493:67 1.66771e-05
69 *472:30 *3191:B1 0
70 *473:16 *3187:B1 0
71 *482:13 *3191:B1 0
*RES
1 *3186:X *493:8 19.1576
2 *493:8 *493:21 34.9925
3 *493:21 *493:23 16.2523
4 *493:23 *3187:B1 25.4315
5 *493:23 *3191:B1 35.893
6 *493:8 *493:52 9.73099
7 *493:52 *3283:B1 13.7491
8 *493:52 *493:67 14.1864
9 *493:67 *3319:B1 9.97254
10 *493:67 *3300:B1 38.2695
*END
*D_NET *494 0.00367126
*CONN
*I *3189:C1 I *D sky130_fd_sc_hd__o211a_1
*I *3188:X O *D sky130_fd_sc_hd__a221o_1
*CAP
1 *3189:C1 0
2 *3188:X 0.000979453
3 *494:13 0.000979453
4 *494:13 *3184:A1 3.99086e-06
5 *494:13 *619:47 2.02035e-05
6 *494:13 *647:8 5.91001e-05
7 *494:13 *671:79 0.000154062
8 *2964:B1 *494:13 3.96379e-06
9 *3163:A2 *494:13 4.4555e-05
10 *3178:A1 *494:13 0.000130777
11 *3182:A1 *494:13 0.000107063
12 *3184:A2 *494:13 7.11569e-05
13 *3187:A1 *494:13 0.000175485
14 *3187:B1 *494:13 3.21548e-05
15 *3188:A2 *494:13 5.58475e-05
16 *3189:B1 *494:13 0.000383137
17 *316:38 *494:13 0.000463759
18 *476:46 *494:13 7.09666e-06
19 *478:13 *494:13 0
*RES
1 *3188:X *494:13 46.7546
2 *494:13 *3189:C1 9.24915
*END
*D_NET *495 0.00324502
*CONN
*I *3191:A1 I *D sky130_fd_sc_hd__o21a_1
*I *3189:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *3191:A1 0
2 *3189:X 0.00111342
3 *495:13 0.00111342
4 *495:13 *3064:A0 0
5 *495:13 *3184:A1 3.20069e-06
6 *495:13 *3195:A2 0.00046827
7 *495:13 *3394:CLK 4.28814e-05
8 *495:13 *672:117 0
9 *495:13 *718:8 0
10 *495:13 *718:12 0
11 *3065:B *495:13 0
12 *3066:A *495:13 2.652e-05
13 *3394:D *495:13 4.38601e-05
14 *167:8 *495:13 0.000260575
15 *172:11 *495:13 1.81863e-06
16 *369:20 *495:13 0
17 *463:18 *495:13 6.50586e-05
18 *472:20 *495:13 0.000105986
*RES
1 *3189:X *495:13 44.812
2 *495:13 *3191:A1 9.24915
*END
*D_NET *496 0.000381133
*CONN
*I *3191:A2 I *D sky130_fd_sc_hd__o21a_1
*I *3190:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *3191:A2 0.00012052
2 *3190:X 0.00012052
3 *3191:A2 *672:108 1.43983e-05
4 *3190:B *3191:A2 0.000125695
*RES
1 *3190:X *3191:A2 30.4689
*END
*D_NET *497 0.00567271
*CONN
*I *3193:B1 I *D sky130_fd_sc_hd__o21a_1
*I *3192:X O *D sky130_fd_sc_hd__a221o_1
*CAP
1 *3193:B1 0.000813581
2 *3192:X 0.000807046
3 *497:7 0.00162063
4 *497:7 *689:33 0.000148235
5 *3152:A *3193:B1 0.000181146
6 *3154:D *497:7 5.07314e-05
7 *3180:A1 *3193:B1 5.05252e-05
8 *3180:A2 *3193:B1 0.000107496
9 *3192:C1 *497:7 0.000410992
10 *246:50 *3193:B1 4.79439e-05
11 *314:8 *3193:B1 0.000222525
12 *314:19 *3193:B1 3.92275e-05
13 *424:34 *3193:B1 0.000107496
14 *462:17 *3193:B1 0.000158921
15 *462:26 *3193:B1 0.000133354
16 *462:35 *3193:B1 0.00039339
17 *464:6 *3193:B1 0.000115746
18 *464:37 *3193:B1 0.000263725
19 *472:20 *3193:B1 0
20 *481:11 *3193:B1 0
*RES
1 *3192:X *497:7 26.6738
2 *497:7 *3193:B1 40.5285
*END
*D_NET *498 0.00206004
*CONN
*I *3195:A2 I *D sky130_fd_sc_hd__o211a_1
*I *3193:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *3195:A2 0.000443066
2 *3193:X 0.000443066
3 *3195:A2 *3184:A1 1.64144e-05
4 *3195:A2 *3195:B1 3.41459e-05
5 *3195:A2 *663:63 0.000111708
6 *3195:A2 *669:88 0.000122378
7 *3044:A *3195:A2 0.000234153
8 *3055:B *3195:A2 0
9 *3180:A1 *3195:A2 3.07773e-05
10 *3195:A1 *3195:A2 3.65259e-05
11 *172:11 *3195:A2 2.1203e-06
12 *369:20 *3195:A2 0
13 *373:18 *3195:A2 0.000113421
14 *456:34 *3195:A2 3.99086e-06
15 *472:20 *3195:A2 0
16 *495:13 *3195:A2 0.00046827
*RES
1 *3193:X *3195:A2 42.4311
*END
*D_NET *499 0.00157287
*CONN
*I *3195:B1 I *D sky130_fd_sc_hd__o211a_1
*I *3194:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *3195:B1 0.000294208
2 *3194:X 0.000294208
3 *3195:B1 *663:63 0.000453431
4 *3191:B1 *3195:B1 0
5 *3195:A1 *3195:B1 9.1976e-05
6 *3195:A2 *3195:B1 3.41459e-05
7 *3195:C1 *3195:B1 6.36477e-05
8 *472:30 *3195:B1 9.95542e-06
9 *473:5 *3195:B1 6.36477e-05
10 *473:8 *3195:B1 6.29065e-05
11 *473:12 *3195:B1 4.3305e-05
12 *482:13 *3195:B1 0.000161437
*RES
1 *3194:X *3195:B1 38.3912
*END
*D_NET *500 0.00539165
*CONN
*I *3209:A2 I *D sky130_fd_sc_hd__a31o_1
*I *3205:A2 I *D sky130_fd_sc_hd__o21ai_1
*I *3202:A1 I *D sky130_fd_sc_hd__o211a_1
*I *3201:A I *D sky130_fd_sc_hd__nand2_1
*I *3206:A2 I *D sky130_fd_sc_hd__a21o_1
*I *3196:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *3209:A2 8.26004e-05
2 *3205:A2 0.000249212
3 *3202:A1 0
4 *3201:A 0.000189003
5 *3206:A2 0.000218708
6 *3196:X 5.18914e-05
7 *500:41 0.000570502
8 *500:13 0.000407712
9 *500:11 7.60849e-05
10 *500:7 0.000366666
11 *3201:A *3202:B1 8.64818e-05
12 *3201:A *3425:CLK 1.43848e-05
13 *3201:A *504:42 0.000224395
14 *3201:A *704:18 0
15 *3205:A2 *3205:A1 4.63117e-05
16 *3205:A2 *3205:B1 0.000264586
17 *3205:A2 *3212:A 0.000224395
18 *3205:A2 *3215:B 0.000275322
19 *3205:A2 *3216:A 2.77625e-06
20 *3205:A2 *705:23 0.000152944
21 *3206:A2 *3202:B1 1.07248e-05
22 *3206:A2 *3205:A1 2.85139e-05
23 *3206:A2 *3205:B1 1.9101e-05
24 *3206:A2 *3206:B1 1.55025e-05
25 *3206:A2 *3212:A 3.88655e-06
26 *3206:A2 *506:11 0.000269633
27 *3206:A2 *704:18 0
28 *3209:A2 *3209:A1 3.75603e-05
29 *3209:A2 *3215:B 9.97706e-05
30 *3209:A2 *705:23 0.000186816
31 *500:7 *3202:A2 0.000271058
32 *500:11 *3202:A2 0.000179106
33 *500:41 *3209:A1 0
34 *500:41 *3212:A 0
35 *500:41 *514:8 3.42931e-05
36 *500:41 *703:17 4.51485e-05
37 *500:41 *704:18 3.635e-05
38 *845:DIODE *500:7 7.98425e-06
39 *846:DIODE *3206:A2 5.36397e-05
40 *3425:D *3201:A 6.31809e-05
41 *167:8 *3201:A 0
42 *167:8 *3206:A2 9.98029e-06
43 *456:77 *500:7 8.86328e-05
44 *456:77 *500:11 0.000426794
*RES
1 *3196:X *500:7 12.7697
2 *500:7 *500:11 5.18434
3 *500:11 *500:13 4.5
4 *500:13 *3206:A2 20.5015
5 *500:13 *3201:A 20.0446
6 *500:11 *3202:A1 9.24915
7 *500:7 *500:41 14.5693
8 *500:41 *3205:A2 18.3157
9 *500:41 *3209:A2 12.7697
*END
*D_NET *501 0.00615471
*CONN
*I *3198:B1_N I *D sky130_fd_sc_hd__o21bai_2
*I *3202:A2 I *D sky130_fd_sc_hd__o211a_1
*I *3197:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *3198:B1_N 0.000122765
2 *3202:A2 0.00174626
3 *3197:Y 0.000111515
4 *501:8 0.00198054
5 *3198:B1_N *3205:B1 0
6 *3198:B1_N *3223:A 0.000108381
7 *3198:B1_N *502:10 1.41291e-05
8 *3198:B1_N *528:14 2.65831e-05
9 *3202:A2 *635:27 0.000561725
10 *3202:A2 *635:31 0.000683001
11 *501:8 *3197:A 0.000107496
12 *501:8 *3223:A 0.000102632
13 *501:8 *635:27 7.65861e-05
14 *501:8 *707:15 8.62625e-06
15 *845:DIODE *3202:A2 2.89807e-05
16 *3208:C1 *3202:A2 1.03434e-05
17 *456:77 *3202:A2 1.19721e-05
18 *456:81 *3202:A2 3.01683e-06
19 *500:7 *3202:A2 0.000271058
20 *500:11 *3202:A2 0.000179106
*RES
1 *3197:Y *501:8 16.8591
2 *501:8 *3202:A2 43.1914
3 *501:8 *3198:B1_N 17.2744
*END
*D_NET *502 0.00647331
*CONN
*I *3213:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *3209:A3 I *D sky130_fd_sc_hd__a31o_1
*I *3227:A2 I *D sky130_fd_sc_hd__a31o_1
*I *3199:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *3198:Y O *D sky130_fd_sc_hd__o21bai_2
*CAP
1 *3213:A 0
2 *3209:A3 0.000399239
3 *3227:A2 5.23409e-05
4 *3199:A 1.23957e-05
5 *3198:Y 0.000519574
6 *502:36 0.000678386
7 *502:22 0.0010446
8 *502:10 0.00124508
9 *3199:A *3200:A 0.00011818
10 *3199:A *3229:A2 0.00011818
11 *3209:A3 *3214:A1 0.000382167
12 *3209:A3 *3214:A2 2.22342e-05
13 *3209:A3 *3215:C 1.78514e-05
14 *3209:A3 *3219:B 0
15 *3209:A3 *3220:A2 0
16 *3209:A3 *513:33 7.27827e-05
17 *3209:A3 *514:8 5.47736e-05
18 *3227:A2 *3222:A2 6.97971e-06
19 *3227:A2 *3227:A3 1.41976e-05
20 *502:10 *3084:A 4.26935e-05
21 *502:10 *3229:A2 5.15286e-05
22 *502:10 *3232:A2 3.67528e-06
23 *502:10 *3243:A2 0.000169093
24 *502:10 *522:102 0
25 *502:10 *523:33 0.000113374
26 *502:10 *528:14 0.000148173
27 *502:10 *709:16 0
28 *502:22 *3200:A 5.0715e-05
29 *502:22 *3222:A2 1.68479e-07
30 *502:22 *3227:A1 7.71203e-06
31 *502:22 *3227:B1 4.02303e-05
32 *502:22 *3228:A 6.50727e-05
33 *502:22 *3228:C 0.000103272
34 *502:22 *3229:A2 1.00937e-05
35 *502:22 *3232:A2 2.352e-05
36 *502:22 *3234:A1 0
37 *502:22 *504:12 6.24325e-05
38 *502:22 *527:5 7.98425e-06
39 *502:36 *3222:A2 1.9101e-05
40 *502:36 *3227:A1 2.22198e-05
41 *502:36 *504:12 0
42 *502:36 *504:31 0
43 *502:36 *513:33 0.000415835
44 *502:36 *519:21 0.000107496
45 *502:36 *708:12 0
46 *502:36 *708:14 0
47 *3198:B1_N *502:10 1.41291e-05
48 *247:46 *502:36 0.000235823
*RES
1 *3198:Y *502:10 26.5709
2 *502:10 *3199:A 15.0271
3 *502:10 *502:22 16.623
4 *502:22 *3227:A2 10.8949
5 *502:22 *502:36 17.9291
6 *502:36 *3209:A3 29.035
7 *502:36 *3213:A 9.24915
*END
*D_NET *503 0.00640118
*CONN
*I *3239:A I *D sky130_fd_sc_hd__nand2_1
*I *3234:B1 I *D sky130_fd_sc_hd__o21ai_1
*I *3237:A1 I *D sky130_fd_sc_hd__a21o_1
*I *3232:A1 I *D sky130_fd_sc_hd__a21o_1
*I *3200:A I *D sky130_fd_sc_hd__clkbuf_2
*I *3199:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *3239:A 0.000164883
2 *3234:B1 0.000426734
3 *3237:A1 0
4 *3232:A1 0.000488846
5 *3200:A 0.000139222
6 *3199:X 0
7 *503:18 0.000488846
8 *503:16 0.000524662
9 *503:13 0.000296339
10 *503:4 0.000172751
11 *3200:A *3229:A2 6.48838e-05
12 *3200:A *3243:A1 1.41976e-05
13 *3200:A *512:20 1.65872e-05
14 *3232:A1 *3232:A2 1.65872e-05
15 *3232:A1 *3235:C 2.53992e-05
16 *3232:A1 *709:16 0.000114584
17 *3234:B1 *3234:A1 0.000330596
18 *3234:B1 *3234:A2 0.000485576
19 *3234:B1 *3235:C 2.79916e-05
20 *3234:B1 *3241:A 0
21 *3234:B1 *531:28 4.55115e-05
22 *3239:A *3238:A1 0
23 *3239:A *3238:A2 0.00043038
24 *3239:A *3239:B 8.46007e-05
25 *3239:A *3243:A1 2.41483e-05
26 *3239:A *512:20 0.000573525
27 *3239:A *536:5 7.68538e-06
28 *3239:A *710:20 5.99527e-05
29 *503:13 *3238:A2 6.08467e-05
30 *503:13 *3243:A1 5.9852e-05
31 *503:13 *512:20 0.000217951
32 *503:16 *3240:C 0
33 *503:16 *3244:A1 0
34 *503:16 *531:19 2.95757e-05
35 *503:16 *531:28 0.000118485
36 io_wbs_data_o[22] *3234:B1 0.000228593
37 *3199:A *3200:A 0.00011818
38 *3240:A *3232:A1 0.000484804
39 *247:57 *3232:A1 7.68538e-06
40 *502:22 *3200:A 5.0715e-05
*RES
1 *3199:X *503:4 9.24915
2 *503:4 *3200:A 13.8789
3 *503:4 *503:13 2.38721
4 *503:13 *503:16 7.1625
5 *503:16 *503:18 4.5
6 *503:18 *3232:A1 17.737
7 *503:18 *3237:A1 9.24915
8 *503:16 *3234:B1 29.7432
9 *503:13 *3239:A 18.8703
*END
*D_NET *504 0.00891955
*CONN
*I *3226:A1 I *D sky130_fd_sc_hd__a211oi_1
*I *3201:B I *D sky130_fd_sc_hd__nand2_1
*I *3208:A2 I *D sky130_fd_sc_hd__o211a_1
*I *3207:A I *D sky130_fd_sc_hd__nand2_1
*I *3222:A2 I *D sky130_fd_sc_hd__o211a_1
*I *3200:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3226:A1 4.56566e-05
2 *3201:B 0
3 *3208:A2 0.000299246
4 *3207:A 0
5 *3222:A2 0.00074225
6 *3200:X 0.000157649
7 *504:44 0.000393777
8 *504:42 0.00116342
9 *504:31 0.00147283
10 *504:12 0.00125818
11 *3208:A2 *3207:B 0.000218313
12 *3208:A2 *3208:B1 2.65667e-05
13 *3222:A2 *3219:A 2.14786e-05
14 *3222:A2 *3219:B 2.16355e-05
15 *3222:A2 *3220:A3 0.000207266
16 *3222:A2 *3222:A1 7.47529e-05
17 *3222:A2 *3227:A3 3.82228e-05
18 *3222:A2 *3230:B 1.4091e-06
19 *3226:A1 *3226:A2 6.50586e-05
20 *3226:A1 *528:14 1.41291e-05
21 *504:12 *3227:A1 0.000202014
22 *504:12 *3227:B1 0.000107496
23 *504:12 *3228:C 0.000144035
24 *504:12 *523:47 2.57847e-05
25 *504:12 *527:5 0.000165521
26 *504:31 *3226:A2 3.52619e-05
27 *504:31 *3226:B1 0
28 *504:31 *3228:C 8.44496e-05
29 *504:31 *515:32 6.43474e-05
30 *504:31 *515:41 0.00012568
31 *504:31 *518:11 0
32 *504:31 *708:19 3.29001e-05
33 *504:42 *3080:A 0
34 *504:42 *3082:A 0.000640564
35 *504:42 *3082:B 0.000171273
36 *504:42 *3202:B1 7.97098e-06
37 *504:42 *3425:CLK 8.70324e-05
38 *504:42 *635:50 0
39 *504:42 *703:8 5.03285e-05
40 *3083:D *504:42 0.000274075
41 *3201:A *504:42 0.000224395
42 *3208:C1 *3208:A2 6.6921e-05
43 *3226:C1 *504:42 3.61993e-05
44 *3227:A2 *3222:A2 6.97971e-06
45 *3425:D *504:42 2.13584e-05
46 *3426:D *3208:A2 5.04829e-06
47 *171:6 *3208:A2 0
48 *247:46 *504:31 0
49 *254:41 *504:42 3.63743e-05
50 *502:22 *3222:A2 1.68479e-07
51 *502:22 *504:12 6.24325e-05
52 *502:36 *3222:A2 1.9101e-05
53 *502:36 *504:12 0
54 *502:36 *504:31 0
*RES
1 *3200:X *504:12 21.0053
2 *504:12 *3222:A2 27.8792
3 *504:12 *504:31 9.51416
4 *504:31 *504:42 35.1335
5 *504:42 *504:44 2.38721
6 *504:44 *3207:A 9.24915
7 *504:44 *3208:A2 26.8676
8 *504:42 *3201:B 9.24915
9 *504:31 *3226:A1 15.0271
*END
*D_NET *505 0.000567666
*CONN
*I *3202:B1 I *D sky130_fd_sc_hd__o211a_1
*I *3201:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *3202:B1 0.00019029
2 *3201:Y 0.00019029
3 *846:DIODE *3202:B1 3.31882e-05
4 *3201:A *3202:B1 8.64818e-05
5 *3206:A2 *3202:B1 1.07248e-05
6 *167:8 *3202:B1 4.87198e-05
7 *504:42 *3202:B1 7.97098e-06
*RES
1 *3201:Y *3202:B1 31.9934
*END
*D_NET *506 0.00386456
*CONN
*I *3209:A1 I *D sky130_fd_sc_hd__a31o_1
*I *3212:B I *D sky130_fd_sc_hd__and3_1
*I *3205:A1 I *D sky130_fd_sc_hd__o21ai_1
*I *3206:A1 I *D sky130_fd_sc_hd__a21o_1
*I *3208:A1 I *D sky130_fd_sc_hd__o211a_1
*I *3203:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *3209:A1 0.000175966
2 *3212:B 0
3 *3205:A1 0.000387058
4 *3206:A1 0
5 *3208:A1 0.000182792
6 *3203:X 0
7 *506:27 0.000435899
8 *506:11 0.000395023
9 *506:5 0.00032857
10 *506:4 0.000243463
11 *3205:A1 *3205:B1 0.000139669
12 *3205:A1 *3216:A 5.04829e-06
13 *3208:A1 *3206:B1 5.28741e-05
14 *3208:A1 *3207:B 2.75427e-05
15 *3208:A1 *3208:B1 5.22654e-06
16 *3209:A1 *3217:C 0.000171273
17 *3209:A1 *3217:D 7.24449e-05
18 *3209:A1 *706:10 0
19 *506:5 *3212:C 0.000350177
20 *506:5 *3217:C 0.00015709
21 *506:5 *3217:D 2.15348e-05
22 *506:5 *704:18 0.00016491
23 *506:11 *3206:B1 2.29454e-05
24 *3205:A2 *3205:A1 4.63117e-05
25 *3206:A2 *3205:A1 2.85139e-05
26 *3206:A2 *506:11 0.000269633
27 *3209:A2 *3209:A1 3.75603e-05
28 *167:8 *3205:A1 0.000143032
29 *171:6 *3208:A1 0
30 *500:41 *3209:A1 0
*RES
1 *3203:X *506:4 9.24915
2 *506:4 *506:5 4.60562
3 *506:5 *506:11 6.46234
4 *506:11 *3208:A1 22.7442
5 *506:11 *3206:A1 9.24915
6 *506:5 *506:27 1.39857
7 *506:27 *3205:A1 27.3499
8 *506:27 *3212:B 9.24915
9 *506:4 *3209:A1 23.7329
*END
*D_NET *507 0.0105762
*CONN
*I *3324:A I *D sky130_fd_sc_hd__nor2_1
*I *3336:A I *D sky130_fd_sc_hd__or4_1
*I *3333:A1 I *D sky130_fd_sc_hd__o31ai_1
*I *3246:A I *D sky130_fd_sc_hd__nor2_1
*I *3205:B1 I *D sky130_fd_sc_hd__o21ai_1
*I *3204:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *3324:A 0.000164141
2 *3336:A 1.93962e-05
3 *3333:A1 2.06324e-05
4 *3246:A 5.28908e-05
5 *3205:B1 0.00230527
6 *3204:Y 0
7 *507:49 0.00104219
8 *507:33 0.00112865
9 *507:23 0.000526234
10 *507:4 0.00252924
11 *3205:B1 *3197:A 4.31539e-05
12 *3205:B1 *3212:A 0.000197548
13 *3205:B1 *3216:A 3.61993e-05
14 *3205:B1 *522:115 0
15 *3205:B1 *635:27 1.77537e-06
16 *3246:A *512:15 0.00015324
17 *3336:A *3077:D 3.01683e-06
18 *507:23 *3197:A 1.41853e-05
19 *507:23 *743:21 3.83336e-05
20 *507:23 *743:23 0.000171273
21 *507:49 *3077:A 0.000111708
22 *507:49 *3077:C 5.51483e-06
23 *507:49 *3077:D 4.5651e-05
24 *507:49 *3332:A1 2.37299e-05
25 *507:49 *3332:A3 0
26 *507:49 *3332:B1 0.00022067
27 *507:49 *3333:A3 4.57726e-05
28 *507:49 *3333:B1 0.000175485
29 *507:49 *3336:D 0.000111722
30 *507:49 *602:13 5.0715e-05
31 *507:49 *671:8 3.92275e-05
32 *507:49 *727:7 6.12686e-06
33 *507:49 *727:18 1.65872e-05
34 *3078:B *507:23 0.000328363
35 *3078:B *507:33 9.55447e-05
36 *3083:A_N *3246:A 6.81008e-05
37 *3198:A2 *3205:B1 0.000135529
38 *3198:B1_N *3205:B1 0
39 *3205:A1 *3205:B1 0.000139669
40 *3205:A2 *3205:B1 0.000264586
41 *3206:A2 *3205:B1 1.9101e-05
42 *3324:B *3324:A 0.000123368
43 *3324:B *3336:A 0
44 *3324:B *507:49 9.68627e-06
45 *3333:A2 *507:33 6.24677e-05
46 *3333:A2 *507:49 1.51406e-05
47 *167:8 *3205:B1 0
48 *247:34 *3205:B1 0
49 *398:64 *507:49 1.43983e-05
*RES
1 *3204:Y *507:4 9.24915
2 *507:4 *3205:B1 39.5424
3 *507:4 *507:23 6.82404
4 *507:23 *3246:A 20.9116
5 *507:23 *507:33 5.71483
6 *507:33 *3333:A1 9.82786
7 *507:33 *507:49 27.8318
8 *507:49 *3336:A 9.82786
9 *507:49 *3324:A 13.8789
*END
*D_NET *508 0.000460179
*CONN
*I *3206:B1 I *D sky130_fd_sc_hd__a21o_1
*I *3205:Y O *D sky130_fd_sc_hd__o21ai_1
*CAP
1 *3206:B1 0.000163149
2 *3205:Y 0.000163149
3 *3206:A2 *3206:B1 1.55025e-05
4 *3208:A1 *3206:B1 5.28741e-05
5 *167:8 *3206:B1 4.25603e-05
6 *506:11 *3206:B1 2.29454e-05
*RES
1 *3205:Y *3206:B1 32.3203
*END
*D_NET *509 0.00103123
*CONN
*I *3207:B I *D sky130_fd_sc_hd__nand2_1
*I *3206:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *3207:B 0.000276991
2 *3206:X 0.000276991
3 *3207:B *3208:B1 0.000151741
4 *3207:B *644:37 4.99469e-05
5 *3208:A1 *3207:B 2.75427e-05
6 *3208:A2 *3207:B 0.000218313
7 *3426:D *3207:B 2.97007e-05
8 *171:6 *3207:B 0
*RES
1 *3206:X *3207:B 35.4842
*END
*D_NET *510 0.000483358
*CONN
*I *3208:B1 I *D sky130_fd_sc_hd__o211a_1
*I *3207:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *3208:B1 0.000112368
2 *3207:Y 0.000112368
3 *3207:B *3208:B1 0.000151741
4 *3208:A1 *3208:B1 5.22654e-06
5 *3208:A2 *3208:B1 2.65667e-05
6 *3426:D *3208:B1 7.50872e-05
7 *167:8 *3208:B1 0
*RES
1 *3207:Y *3208:B1 30.8842
*END
*D_NET *511 0.000826755
*CONN
*I *3215:B I *D sky130_fd_sc_hd__and3_1
*I *3209:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *3215:B 0.000225831
2 *3209:X 0.000225831
3 *3205:A2 *3215:B 0.000275322
4 *3209:A2 *3215:B 9.97706e-05
*RES
1 *3209:X *3215:B 22.5493
*END
*D_NET *512 0.00668297
*CONN
*I *3238:B1 I *D sky130_fd_sc_hd__a21o_1
*I *3234:A1 I *D sky130_fd_sc_hd__o21ai_1
*I *3211:A I *D sky130_fd_sc_hd__clkbuf_2
*I *3229:A1 I *D sky130_fd_sc_hd__o21ai_1
*I *3325:A I *D sky130_fd_sc_hd__nand2_1
*I *3210:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *3238:B1 3.1653e-05
2 *3234:A1 0.000457834
3 *3211:A 0.000160295
4 *3229:A1 0
5 *3325:A 0.000122835
6 *3210:X 0
7 *512:23 0.000324955
8 *512:20 0.000922451
9 *512:15 0.00068968
10 *512:5 0.000480906
11 *3211:A *513:59 3.14978e-05
12 *3211:A *531:8 7.48797e-05
13 *3234:A1 *3232:A2 0
14 *3234:A1 *3234:A2 0.000330596
15 *3234:A1 *3235:C 0
16 *3234:A1 *3236:A 6.31665e-05
17 *3234:A1 *3243:A1 8.01987e-05
18 *3234:A1 *531:28 0
19 *3238:B1 *3079:C 5.41377e-05
20 *3325:A *541:8 5.33945e-05
21 *3325:A *663:27 1.43983e-05
22 *512:15 *3079:C 0.000336155
23 *512:15 *3242:B 1.89331e-05
24 *512:15 *3244:A1 0
25 *512:15 *541:8 7.56859e-06
26 *512:15 *663:27 0
27 *512:15 *711:16 0.000290644
28 *512:23 *3229:A2 0.000318544
29 *512:23 *3243:A1 0.00013822
30 *512:23 *522:102 0
31 *512:23 *531:8 2.7961e-05
32 *512:23 *531:19 0
33 *3078:B *512:15 0.000169093
34 *3083:A_N *3325:A 1.45944e-05
35 *3083:A_N *512:15 4.7512e-05
36 *3200:A *512:20 1.65872e-05
37 *3234:B1 *3234:A1 0.000330596
38 *3239:A *512:20 0.000573525
39 *3246:A *512:15 0.00015324
40 *3333:A2 *3325:A 5.96936e-05
41 *398:35 *3325:A 6.92705e-05
42 *502:22 *3234:A1 0
43 *503:13 *512:20 0.000217951
*RES
1 *3210:X *512:5 13.7491
2 *512:5 *3325:A 17.9655
3 *512:5 *512:15 13.0438
4 *512:15 *512:20 18.0424
5 *512:20 *512:23 10.4845
6 *512:23 *3229:A1 9.24915
7 *512:23 *3211:A 13.8548
8 *512:20 *3234:A1 27.7979
9 *512:15 *3238:B1 14.7506
*END
*D_NET *513 0.00678781
*CONN
*I *3330:A I *D sky130_fd_sc_hd__nand2_1
*I *3242:A I *D sky130_fd_sc_hd__nor2_1
*I *3224:B1 I *D sky130_fd_sc_hd__a21o_1
*I *3214:A1 I *D sky130_fd_sc_hd__o21ai_1
*I *3220:A1 I *D sky130_fd_sc_hd__o31ai_1
*I *3211:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3330:A 0.000146093
2 *3242:A 0
3 *3224:B1 0
4 *3214:A1 9.50959e-05
5 *3220:A1 0
6 *3211:X 0
7 *513:59 0.000654355
8 *513:33 0.000331246
9 *513:15 0.00102771
10 *513:4 0.00129983
11 *3214:A1 *3214:B1 0.000125172
12 *3214:A1 *3220:A2 3.85049e-05
13 *3214:A1 *515:11 0.000165807
14 *3330:A *663:5 0.00033061
15 *3330:A *663:11 9.40969e-05
16 *513:15 *3224:A2 9.4142e-06
17 *513:15 *3243:A1 5.51483e-06
18 *513:15 *3243:A2 4.58003e-05
19 *513:15 *3243:A3 6.99486e-05
20 *513:15 *3244:B1 4.41404e-05
21 *513:15 *522:102 2.99929e-05
22 *513:15 *523:33 0.000318399
23 *513:15 *523:47 4.21907e-05
24 *513:33 *3224:A2 8.07194e-05
25 *513:33 *515:11 0.000304005
26 *513:33 *515:32 0.000240785
27 *513:33 *519:21 0.000137345
28 *513:59 *3079:A 4.02288e-05
29 *513:59 *3242:B 6.64818e-05
30 *513:59 *3244:A2 0.000122378
31 *513:59 *663:11 1.96574e-05
32 *3209:A3 *3214:A1 0.000382167
33 *3209:A3 *513:33 7.27827e-05
34 *3211:A *513:59 3.14978e-05
35 *502:36 *513:33 0.000415835
*RES
1 *3211:X *513:4 9.24915
2 *513:4 *513:15 23.0038
3 *513:15 *513:33 13.3225
4 *513:33 *3220:A1 9.24915
5 *513:33 *3214:A1 15.0122
6 *513:15 *3224:B1 9.24915
7 *513:4 *513:59 9.81407
8 *513:59 *3242:A 9.24915
9 *513:59 *3330:A 14.964
*END
*D_NET *514 0.00295496
*CONN
*I *3214:A2 I *D sky130_fd_sc_hd__o21ai_1
*I *3219:B I *D sky130_fd_sc_hd__nor2_1
*I *3212:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *3214:A2 2.24348e-05
2 *3219:B 0.000465507
3 *3212:X 0.000366313
4 *514:8 0.000854254
5 *3214:A2 *3215:C 4.70104e-05
6 *3219:B *847:DIODE 5.22654e-06
7 *3219:B *3214:B1 0.000188992
8 *3219:B *3220:A2 5.05252e-05
9 *3219:B *3222:A1 6.08467e-05
10 *3219:B *515:11 0.000205762
11 *3219:B *522:115 7.16843e-05
12 *3219:B *706:10 0.00024189
13 *514:8 *3215:C 0.000122098
14 *514:8 *3217:C 5.07314e-05
15 *514:8 *704:18 6.87482e-05
16 *3209:A3 *3214:A2 2.22342e-05
17 *3209:A3 *3219:B 0
18 *3209:A3 *514:8 5.47736e-05
19 *3222:A2 *3219:B 2.16355e-05
20 *500:41 *514:8 3.42931e-05
*RES
1 *3212:X *514:8 21.4297
2 *514:8 *3219:B 33.3715
3 *514:8 *3214:A2 14.7506
*END
*D_NET *515 0.00512073
*CONN
*I *3225:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *3229:B1 I *D sky130_fd_sc_hd__o21ai_1
*I *3243:A2 I *D sky130_fd_sc_hd__a31o_1
*I *3220:B1 I *D sky130_fd_sc_hd__o31ai_1
*I *3214:B1 I *D sky130_fd_sc_hd__o21ai_1
*I *3213:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *3225:A1 0
2 *3229:B1 0
3 *3243:A2 0.000125625
4 *3220:B1 0
5 *3214:B1 0.000142791
6 *3213:X 0
7 *515:41 0.000654205
8 *515:32 0.00095824
9 *515:11 0.000301649
10 *515:4 0.000588518
11 *3243:A2 *3229:A2 6.17194e-05
12 *3243:A2 *3243:A1 6.50586e-05
13 *3243:A2 *3243:B1 2.41483e-05
14 *3243:A2 *522:102 0
15 *515:11 *3220:A2 3.85049e-05
16 *515:32 *708:19 7.50722e-05
17 *515:41 *3226:A2 4.52614e-05
18 *515:41 *3233:A 0.000212189
19 *515:41 *3233:D 0.000110055
20 *515:41 *523:33 5.35076e-05
21 *515:41 *531:8 1.80122e-05
22 *515:41 *708:19 1.07248e-05
23 *3214:A1 *3214:B1 0.000125172
24 *3214:A1 *515:11 0.000165807
25 *3219:B *3214:B1 0.000188992
26 *3219:B *515:11 0.000205762
27 *502:10 *3243:A2 0.000169093
28 *504:31 *515:32 6.43474e-05
29 *504:31 *515:41 0.00012568
30 *513:15 *3243:A2 4.58003e-05
31 *513:33 *515:11 0.000304005
32 *513:33 *515:32 0.000240785
*RES
1 *3213:X *515:4 9.24915
2 *515:4 *515:11 7.31836
3 *515:11 *3214:B1 14.4817
4 *515:11 *3220:B1 9.24915
5 *515:4 *515:32 12.4635
6 *515:32 *515:41 15.8379
7 *515:41 *3243:A2 23.1836
8 *515:41 *3229:B1 9.24915
9 *515:32 *3225:A1 13.7491
*END
*D_NET *516 0.0011679
*CONN
*I *3215:C I *D sky130_fd_sc_hd__and3_1
*I *3214:Y O *D sky130_fd_sc_hd__o21ai_1
*CAP
1 *3215:C 0.000206942
2 *3214:Y 0.000206942
3 *3215:C *3212:A 0.000122366
4 *3215:C *705:8 0
5 *3215:C *705:23 0.000220058
6 *3209:A3 *3215:C 1.78514e-05
7 *3214:A2 *3215:C 4.70104e-05
8 *3215:A *3215:C 6.50727e-05
9 *247:34 *3215:C 8.78565e-06
10 *247:46 *3215:C 0.000150774
11 *514:8 *3215:C 0.000122098
*RES
1 *3214:Y *3215:C 35.7469
*END
*D_NET *517 0.00104015
*CONN
*I *3216:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3215:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *3216:A 0.000319352
2 *3215:X 0.000319352
3 *3216:A *3212:A 2.41274e-06
4 *3216:A *705:8 0
5 *3205:A1 *3216:A 5.04829e-06
6 *3205:A2 *3216:A 2.77625e-06
7 *3205:B1 *3216:A 3.61993e-05
8 *167:8 *3216:A 0.000355012
*RES
1 *3215:X *3216:A 35.87
*END
*D_NET *518 0.00213424
*CONN
*I *3233:D I *D sky130_fd_sc_hd__and4_1
*I *3218:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *3217:X O *D sky130_fd_sc_hd__and4_1
*CAP
1 *3233:D 0.000156659
2 *3218:A 0
3 *3217:X 0.000219976
4 *518:11 0.000376634
5 *3233:D *3225:A2 0.000321905
6 *3233:D *3225:B1 0.000259463
7 *3233:D *3226:B1 6.98314e-05
8 *3233:D *3233:A 0.000212189
9 *3233:D *523:19 4.94594e-05
10 *3233:D *523:33 2.93119e-05
11 *518:11 *3225:A2 0.00011818
12 *518:11 *3226:B1 3.50556e-05
13 *518:11 *519:18 8.62625e-06
14 *518:11 *528:14 0.0001669
15 *504:31 *518:11 0
16 *515:41 *3233:D 0.000110055
*RES
1 *3217:X *518:11 23.607
2 *518:11 *3218:A 9.24915
3 *518:11 *3233:D 17.7611
*END
*D_NET *519 0.00537631
*CONN
*I *3225:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *3227:A3 I *D sky130_fd_sc_hd__a31o_1
*I *3224:A2 I *D sky130_fd_sc_hd__a21o_1
*I *3228:C I *D sky130_fd_sc_hd__and3_1
*I *3220:A2 I *D sky130_fd_sc_hd__o31ai_1
*I *3218:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *3225:A2 0.000129472
2 *3227:A3 0.00010452
3 *3224:A2 0.000195627
4 *3228:C 0.000154163
5 *3220:A2 0.000329218
6 *3218:X 0
7 *519:21 0.000521355
8 *519:18 0.000399939
9 *519:4 0.000582546
10 *3220:A2 *705:32 0.000213739
11 *3220:A2 *706:10 5.1573e-05
12 *3224:A2 *523:33 2.29454e-05
13 *3224:A2 *523:47 4.48282e-05
14 *3225:A2 *3225:B1 0.000162583
15 *3227:A3 *3230:B 2.41274e-06
16 *3227:A3 *528:14 0.000139869
17 *3228:C *3227:A1 0
18 *3228:C *3227:B1 6.08467e-05
19 *3228:C *3228:A 6.50727e-05
20 *3228:C *708:14 2.09495e-05
21 *3228:C *708:19 0.000136823
22 *519:18 *528:14 0.000230559
23 *3209:A3 *3220:A2 0
24 *3214:A1 *3220:A2 3.85049e-05
25 *3219:B *3220:A2 5.05252e-05
26 *3222:A2 *3227:A3 3.82228e-05
27 *3227:A2 *3227:A3 1.41976e-05
28 *3233:D *3225:A2 0.000321905
29 *247:46 *3220:A2 0.000124736
30 *247:46 *3225:A2 6.50727e-05
31 *247:46 *3227:A3 0.000141935
32 *247:46 *519:18 0.000180123
33 *502:22 *3228:C 0.000103272
34 *502:36 *519:21 0.000107496
35 *504:12 *3228:C 0.000144035
36 *504:31 *3228:C 8.44496e-05
37 *513:15 *3224:A2 9.4142e-06
38 *513:33 *3224:A2 8.07194e-05
39 *513:33 *519:21 0.000137345
40 *515:11 *3220:A2 3.85049e-05
41 *518:11 *3225:A2 0.00011818
42 *518:11 *519:18 8.62625e-06
*RES
1 *3218:X *519:4 9.24915
2 *519:4 *3220:A2 27.9031
3 *519:4 *519:18 8.82351
4 *519:18 *519:21 9.10562
5 *519:21 *3228:C 24.5581
6 *519:21 *3224:A2 14.6264
7 *519:18 *3227:A3 17.6896
8 *519:4 *3225:A2 14.9881
*END
*D_NET *520 0.000706091
*CONN
*I *3220:A3 I *D sky130_fd_sc_hd__o31ai_1
*I *3219:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *3220:A3 0.000172534
2 *3219:Y 0.000172534
3 *3220:A3 *3222:B1 7.86847e-05
4 *3220:A3 *522:115 7.50722e-05
5 *3222:A2 *3220:A3 0.000207266
*RES
1 *3219:Y *3220:A3 31.3022
*END
*D_NET *521 0.000313109
*CONN
*I *3222:B1 I *D sky130_fd_sc_hd__o211a_1
*I *3220:Y O *D sky130_fd_sc_hd__o31ai_1
*CAP
1 *3222:B1 0.000100625
2 *3220:Y 0.000100625
3 *3222:B1 *522:115 3.31736e-05
4 *3220:A3 *3222:B1 7.86847e-05
*RES
1 *3220:Y *3222:B1 30.1608
*END
*D_NET *522 0.0279672
*CONN
*I *856:DIODE I *D sky130_fd_sc_hd__diode_2
*I *847:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3222:C1 I *D sky130_fd_sc_hd__o211a_1
*I *3244:C1 I *D sky130_fd_sc_hd__o211a_1
*I *849:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3310:C1 I *D sky130_fd_sc_hd__o211a_1
*I *3276:C1 I *D sky130_fd_sc_hd__o211a_1
*I *855:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3340:C1 I *D sky130_fd_sc_hd__o211a_1
*I *858:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3221:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *856:DIODE 5.66535e-05
2 *847:DIODE 0.000372588
3 *3222:C1 0
4 *3244:C1 0
5 *849:DIODE 0.000207689
6 *3310:C1 0.000110368
7 *3276:C1 0
8 *855:DIODE 0
9 *3340:C1 0
10 *858:DIODE 0
11 *3221:X 0
12 *522:115 0.00207892
13 *522:102 0.00192141
14 *522:93 0.00117622
15 *522:74 0.000157911
16 *522:71 0.000800986
17 *522:69 0.000255704
18 *522:59 0.000710607
19 *522:55 0.00171031
20 *522:39 0.0011134
21 *522:19 0.00232322
22 *522:5 0.00240858
23 *847:DIODE *3222:A1 0.000235336
24 *847:DIODE *706:8 9.98029e-06
25 *522:19 *782:DIODE 0.0002817
26 *522:19 *804:DIODE 0.000370815
27 *522:19 *2821:A2 0.000158357
28 *522:19 *2824:A2 1.67329e-05
29 *522:19 *2824:B1 4.91007e-05
30 *522:19 *2824:B2 5.25612e-05
31 *522:19 *3340:A2 0.000170129
32 *522:19 *3340:B1 2.16355e-05
33 *522:19 *3506:A 8.81251e-05
34 *522:19 *3507:A 0.000112893
35 *522:19 *615:33 4.63491e-05
36 *522:19 *670:28 0.000201018
37 *522:39 *2823:A1 0.000132381
38 *522:39 *3018:A0 0.000825094
39 *522:39 *3018:A1 0.000144531
40 *522:39 *3340:B1 1.07248e-05
41 *522:39 *617:19 9.60216e-05
42 *522:39 *618:36 6.25413e-05
43 *522:39 *619:7 0.000207266
44 *522:39 *622:13 6.12686e-06
45 *522:39 *668:44 2.82583e-05
46 *522:39 *689:16 9.24241e-05
47 *522:55 *3097:B1 0.000112148
48 *522:55 *3372:CLK 5.61031e-05
49 *522:55 *3507:A 7.50722e-05
50 *522:55 *622:140 3.60268e-05
51 *522:55 *646:10 3.42731e-05
52 *522:55 *646:57 0.000206919
53 *522:55 *670:28 6.79599e-05
54 *522:55 *685:36 0.000500453
55 *522:55 *714:14 0.000239304
56 *522:55 *714:59 3.91559e-05
57 *522:55 *714:75 3.25539e-05
58 *522:59 *3131:C 8.12307e-05
59 *522:59 *3490:A 5.46553e-05
60 *522:59 *622:140 9.37736e-06
61 *522:59 *714:75 0.000256225
62 *522:59 *714:97 0.000465435
63 *522:59 *715:16 0.0002236
64 *522:69 *3496:A 0
65 *522:69 *714:97 9.91024e-05
66 *522:74 *3276:B1 5.22654e-06
67 *522:74 *3496:A 0
68 *522:93 *3496:A 0
69 *522:93 *671:20 4.30278e-05
70 *522:93 *743:18 0
71 *522:102 *3244:A1 0
72 *522:102 *528:14 0
73 *522:102 *531:8 4.37999e-05
74 *522:102 *531:19 1.07248e-05
75 *522:102 *711:16 0
76 *522:115 *3244:A1 0
77 *522:115 *531:19 2.84437e-05
78 *2895:A *522:19 0.000311249
79 *2953:B *522:19 4.23874e-05
80 *3001:S *522:39 0.000269667
81 *3083:A_N *522:93 0
82 *3083:A_N *522:102 0
83 *3092:A2 *522:93 0.000367283
84 *3092:B1 *522:69 0.000285505
85 *3099:A *522:55 2.82537e-05
86 *3100:B *522:55 0.000331074
87 *3106:B *522:55 0.0002212
88 *3108:A2 *522:55 0
89 *3109:A2 *522:55 5.32652e-05
90 *3115:B1 *522:55 0
91 *3130:A3 *522:59 0.000180608
92 *3198:A1 *522:93 3.06126e-05
93 *3198:A2 *522:93 0.00019395
94 *3205:B1 *522:115 0
95 *3219:B *847:DIODE 5.22654e-06
96 *3219:B *522:115 7.16843e-05
97 *3220:A3 *522:115 7.50722e-05
98 *3222:B1 *522:115 3.31736e-05
99 *3243:A2 *522:102 0
100 *3340:A1 *522:19 1.5613e-05
101 *3372:D *522:55 0.000365694
102 *3377:D *522:39 6.50727e-05
103 *3406:D *522:93 1.63963e-05
104 *3409:D *522:55 0.000195154
105 *3428:D *847:DIODE 0
106 *3442:D *522:59 2.71902e-05
107 *3442:D *522:69 3.67528e-06
108 *3456:D *522:19 0.000161167
109 *249:43 *522:55 0.000188875
110 *259:48 *522:55 0.000138994
111 *262:9 *522:19 1.00846e-05
112 *267:8 *522:19 0.000368568
113 *271:29 *522:55 0.000128678
114 *325:65 *522:69 0.000164843
115 *343:38 *522:39 0.000517185
116 *374:8 *522:55 2.53624e-06
117 *382:39 *522:59 0.000137956
118 *387:45 *522:69 2.42273e-05
119 *399:24 *522:93 0
120 *401:8 *522:93 0.000336155
121 *414:19 *3310:C1 0.00015709
122 *414:21 *856:DIODE 0.00015709
123 *414:21 *3310:C1 0.000220183
124 *414:21 *522:69 0.000171273
125 *430:13 *522:59 0.000209164
126 *493:21 *522:59 0.000108653
127 *502:10 *522:102 0
128 *512:23 *522:102 0
129 *513:15 *522:102 2.99929e-05
*RES
1 *3221:X *522:5 13.7491
2 *522:5 *522:19 41.5639
3 *522:19 *522:39 47.7542
4 *522:39 *858:DIODE 9.24915
5 *522:19 *3340:C1 9.24915
6 *522:5 *522:55 49.5227
7 *522:55 *522:59 20.8359
8 *522:59 *855:DIODE 13.7491
9 *522:59 *522:69 12.0468
10 *522:69 *522:71 4.5
11 *522:71 *522:74 5.50149
12 *522:74 *3276:C1 9.24915
13 *522:74 *3310:C1 13.3002
14 *522:71 *522:93 22.8877
15 *522:93 *849:DIODE 16.691
16 *522:93 *522:102 5.56926
17 *522:102 *3244:C1 13.7491
18 *522:102 *522:115 14.0028
19 *522:115 *3222:C1 13.7491
20 *522:115 *847:DIODE 21.7676
21 *522:69 *856:DIODE 11.0817
*END
*D_NET *523 0.00405325
*CONN
*I *3228:B I *D sky130_fd_sc_hd__and3_1
*I *3227:A1 I *D sky130_fd_sc_hd__a31o_1
*I *3224:A1 I *D sky130_fd_sc_hd__a21o_1
*I *3233:C I *D sky130_fd_sc_hd__and4_1
*I *3225:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *3223:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *3228:B 0
2 *3227:A1 0.000345284
3 *3224:A1 0
4 *3233:C 0
5 *3225:B1 0.000145725
6 *3223:X 0.000150099
7 *523:47 0.000537322
8 *523:33 0.000414277
9 *523:19 0.000233823
10 *523:8 0.000307408
11 *3225:B1 *708:19 0.000182119
12 *3227:A1 *3227:B1 4.56667e-05
13 *523:8 *3080:A 5.25667e-05
14 *523:8 *709:16 1.36815e-05
15 *523:19 *3084:A 1.37669e-05
16 *523:19 *708:19 5.04829e-06
17 *523:33 *3084:A 0.000121271
18 *523:33 *531:8 6.50586e-05
19 *523:33 *709:16 1.72464e-05
20 *523:47 *635:50 4.90939e-05
21 *523:47 *709:16 0
22 *3224:A2 *523:33 2.29454e-05
23 *3224:A2 *523:47 4.48282e-05
24 *3225:A2 *3225:B1 0.000162583
25 *3228:C *3227:A1 0
26 *3233:D *3225:B1 0.000259463
27 *3233:D *523:19 4.94594e-05
28 *3233:D *523:33 2.93119e-05
29 *502:10 *523:33 0.000113374
30 *502:22 *3227:A1 7.71203e-06
31 *502:36 *3227:A1 2.22198e-05
32 *504:12 *3227:A1 0.000202014
33 *504:12 *523:47 2.57847e-05
34 *513:15 *523:33 0.000318399
35 *513:15 *523:47 4.21907e-05
36 *515:41 *523:33 5.35076e-05
*RES
1 *3223:X *523:8 21.7421
2 *523:8 *3225:B1 15.1569
3 *523:8 *523:19 0.723396
4 *523:19 *3233:C 9.24915
5 *523:19 *523:33 17.7096
6 *523:33 *3224:A1 9.24915
7 *523:33 *523:47 13.688
8 *523:47 *3227:A1 24.6098
9 *523:47 *3228:B 9.24915
*END
*D_NET *524 0.00110097
*CONN
*I *3226:A2 I *D sky130_fd_sc_hd__a211oi_1
*I *3224:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *3226:A2 0.000232733
2 *3224:X 0.000232733
3 *3226:A2 *528:14 9.14669e-05
4 *3226:A2 *635:50 0.000323366
5 *3226:A2 *708:19 7.50872e-05
6 *3226:A1 *3226:A2 6.50586e-05
7 *504:31 *3226:A2 3.52619e-05
8 *515:41 *3226:A2 4.52614e-05
*RES
1 *3224:X *3226:A2 35.87
*END
*D_NET *525 0.000433026
*CONN
*I *3226:B1 I *D sky130_fd_sc_hd__a211oi_1
*I *3225:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *3226:B1 0.00016407
2 *3225:Y 0.00016407
3 *3233:D *3226:B1 6.98314e-05
4 *504:31 *3226:B1 0
5 *518:11 *3226:B1 3.50556e-05
*RES
1 *3225:Y *3226:B1 30.7476
*END
*D_NET *526 0.000477798
*CONN
*I *3230:B I *D sky130_fd_sc_hd__and3_1
*I *3227:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *3230:B 0.000185607
2 *3227:X 0.000185607
3 *3230:B *3230:C 9.75356e-05
4 *3230:B *3231:A 5.22654e-06
5 *3230:B *3430:CLK 0
6 *3230:B *706:8 0
7 *3230:B *708:12 0
8 *3222:A2 *3230:B 1.4091e-06
9 *3227:A3 *3230:B 2.41274e-06
*RES
1 *3227:X *3230:B 31.7147
*END
*D_NET *527 0.0022222
*CONN
*I *3229:A2 I *D sky130_fd_sc_hd__o21ai_1
*I *3232:A2 I *D sky130_fd_sc_hd__a21o_1
*I *3228:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *3229:A2 0.000274644
2 *3232:A2 0.000151288
3 *3228:X 8.64353e-05
4 *527:5 0.000512368
5 *3232:A2 *3235:C 9.34396e-06
6 *3232:A2 *709:16 0.000229128
7 *3199:A *3229:A2 0.00011818
8 *3200:A *3229:A2 6.48838e-05
9 *3232:A1 *3232:A2 1.65872e-05
10 *3234:A1 *3232:A2 0
11 *3243:A2 *3229:A2 6.17194e-05
12 *247:57 *3232:A2 0.000116755
13 *502:10 *3229:A2 5.15286e-05
14 *502:10 *3232:A2 3.67528e-06
15 *502:22 *3229:A2 1.00937e-05
16 *502:22 *3232:A2 2.352e-05
17 *502:22 *527:5 7.98425e-06
18 *504:12 *527:5 0.000165521
19 *512:23 *3229:A2 0.000318544
*RES
1 *3228:X *527:5 11.6364
2 *527:5 *3232:A2 23.5989
3 *527:5 *3229:A2 27.7301
*END
*D_NET *528 0.00343635
*CONN
*I *3230:C I *D sky130_fd_sc_hd__and3_1
*I *3229:Y O *D sky130_fd_sc_hd__o21ai_1
*CAP
1 *3230:C 8.85292e-05
2 *3229:Y 0.000963574
3 *528:14 0.0010521
4 *3230:C *635:53 6.89596e-05
5 *3230:C *706:8 0
6 *528:14 *3084:A 0
7 *528:14 *3223:A 0.000199054
8 *528:14 *705:32 0
9 *528:14 *706:10 0
10 *3198:B1_N *528:14 2.65831e-05
11 *3226:A1 *528:14 1.41291e-05
12 *3226:A2 *528:14 9.14669e-05
13 *3227:A3 *528:14 0.000139869
14 *3230:B *3230:C 9.75356e-05
15 *247:46 *3230:C 0.000135106
16 *247:46 *528:14 1.3813e-05
17 *502:10 *528:14 0.000148173
18 *518:11 *528:14 0.0001669
19 *519:18 *528:14 0.000230559
20 *522:102 *528:14 0
*RES
1 *3229:Y *528:14 48.7226
2 *528:14 *3230:C 17.0239
*END
*D_NET *529 0.000586638
*CONN
*I *3231:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3230:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *3231:A 0.000240132
2 *3230:X 0.000240132
3 *3231:A *3430:CLK 0
4 *3230:B *3231:A 5.22654e-06
5 *3430:D *3231:A 0.000101148
*RES
1 *3230:X *3231:A 33.791
*END
*D_NET *530 0.000888692
*CONN
*I *3235:B I *D sky130_fd_sc_hd__and3_1
*I *3232:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *3235:B 0.000346409
2 *3232:X 0.000346409
3 *3235:B *635:53 2.41274e-06
4 *3240:A *3235:B 2.42138e-05
5 *247:57 *3235:B 0.000169248
*RES
1 *3232:X *3235:B 24.2131
*END
*D_NET *531 0.0049924
*CONN
*I *3234:A2 I *D sky130_fd_sc_hd__o21ai_1
*I *3237:A2 I *D sky130_fd_sc_hd__a21o_1
*I *3238:A2 I *D sky130_fd_sc_hd__a21o_1
*I *3243:A3 I *D sky130_fd_sc_hd__a31o_1
*I *3233:X O *D sky130_fd_sc_hd__and4_1
*CAP
1 *3234:A2 0.000363171
2 *3237:A2 0
3 *3238:A2 5.19652e-05
4 *3243:A3 2.89444e-05
5 *3233:X 0.000416833
6 *531:28 0.000462627
7 *531:19 0.000346314
8 *531:8 0.00064067
9 *3234:A2 *3235:C 0.000171273
10 *3238:A2 *3243:A1 0.00047703
11 *3243:A3 *3243:A1 6.50727e-05
12 *3243:A3 *3243:B1 1.67988e-05
13 *3243:A3 *3244:B1 6.50586e-05
14 *531:19 *3243:A1 4.25348e-05
15 *531:19 *3244:A1 0
16 *531:28 *3243:A1 4.3116e-06
17 *3211:A *531:8 7.48797e-05
18 *3234:A1 *3234:A2 0.000330596
19 *3234:A1 *531:28 0
20 *3234:B1 *3234:A2 0.000485576
21 *3234:B1 *531:28 4.55115e-05
22 *3239:A *3238:A2 0.00043038
23 *503:13 *3238:A2 6.08467e-05
24 *503:16 *531:19 2.95757e-05
25 *503:16 *531:28 0.000118485
26 *512:23 *531:8 2.7961e-05
27 *512:23 *531:19 0
28 *513:15 *3243:A3 6.99486e-05
29 *515:41 *531:8 1.80122e-05
30 *522:102 *531:8 4.37999e-05
31 *522:102 *531:19 1.07248e-05
32 *522:115 *531:19 2.84437e-05
33 *523:33 *531:8 6.50586e-05
*RES
1 *3233:X *531:8 21.1566
2 *531:8 *3243:A3 15.5817
3 *531:8 *531:19 4.64105
4 *531:19 *3238:A2 18.9094
5 *531:19 *531:28 3.07775
6 *531:28 *3237:A2 13.7491
7 *531:28 *3234:A2 26.9971
*END
*D_NET *532 0.00120232
*CONN
*I *3235:C I *D sky130_fd_sc_hd__and3_1
*I *3234:Y O *D sky130_fd_sc_hd__o21ai_1
*CAP
1 *3235:C 0.00044142
2 *3234:Y 0.00044142
3 *3235:C *3236:A 6.31665e-05
4 *3232:A1 *3235:C 2.53992e-05
5 *3232:A2 *3235:C 9.34396e-06
6 *3234:A1 *3235:C 0
7 *3234:A2 *3235:C 0.000171273
8 *3234:B1 *3235:C 2.79916e-05
9 *3240:A *3235:C 2.23105e-05
*RES
1 *3234:Y *3235:C 37.1051
*END
*D_NET *533 0.000735367
*CONN
*I *3236:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3235:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *3236:A 0.000188342
2 *3235:X 0.000188342
3 *3236:A *3431:CLK 1.43983e-05
4 *3234:A1 *3236:A 6.31665e-05
5 *3235:C *3236:A 6.31665e-05
6 *3431:D *3236:A 0.000217951
*RES
1 *3235:X *3236:A 33.6572
*END
*D_NET *534 0.000427813
*CONN
*I *3240:B I *D sky130_fd_sc_hd__and3_1
*I *3237:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *3240:B 0.000176434
2 *3237:X 0.000176434
3 *3240:B *3237:B1 7.49459e-05
*RES
1 *3237:X *3240:B 21.4401
*END
*D_NET *535 0.000424823
*CONN
*I *3239:B I *D sky130_fd_sc_hd__nand2_1
*I *3238:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *3239:B 8.23683e-05
2 *3238:X 8.23683e-05
3 *3239:B *536:5 0.000175485
4 *3239:A *3239:B 8.46007e-05
*RES
1 *3238:X *3239:B 22.0188
*END
*D_NET *536 0.00207113
*CONN
*I *3240:C I *D sky130_fd_sc_hd__and3_1
*I *3244:A1 I *D sky130_fd_sc_hd__o211a_1
*I *3239:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *3240:C 8.45558e-05
2 *3244:A1 0.000391637
3 *3239:Y 0.00022489
4 *536:5 0.000701083
5 *3240:C *710:10 1.47102e-05
6 *3244:A1 *3243:A1 0.000277502
7 *3244:A1 *710:20 1.61631e-05
8 *3244:A1 *711:16 0
9 *536:5 *710:20 0.00015511
10 *3239:A *536:5 7.68538e-06
11 *3239:B *536:5 0.000175485
12 *3240:A *3240:C 2.23105e-05
13 *503:16 *3240:C 0
14 *503:16 *3244:A1 0
15 *512:15 *3244:A1 0
16 *522:102 *3244:A1 0
17 *522:115 *3244:A1 0
18 *531:19 *3244:A1 0
*RES
1 *3239:Y *536:5 13.3002
2 *536:5 *3244:A1 25.9297
3 *536:5 *3240:C 19.9311
*END
*D_NET *537 0.000526345
*CONN
*I *3241:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3240:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *3241:A 0.000225741
2 *3240:X 0.000225741
3 *3241:A *710:8 0
4 *3234:B1 *3241:A 0
5 *3432:D *3241:A 7.48633e-05
*RES
1 *3240:X *3241:A 33.5179
*END
*D_NET *538 0.00145121
*CONN
*I *3244:A2 I *D sky130_fd_sc_hd__o211a_1
*I *3242:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *3244:A2 0.000337142
2 *3242:Y 0.000337142
3 *3244:A2 *3079:C 5.9708e-05
4 *3244:A2 *3243:B1 0.000377259
5 *3244:A2 *3244:B1 3.75603e-05
6 *3244:A2 *637:31 3.12316e-05
7 *3433:D *3244:A2 0.00014879
8 *513:59 *3244:A2 0.000122378
*RES
1 *3242:Y *3244:A2 38.2869
*END
*D_NET *539 0.000551413
*CONN
*I *3244:B1 I *D sky130_fd_sc_hd__o211a_1
*I *3243:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *3244:B1 6.79281e-05
2 *3243:X 6.79281e-05
3 *3244:B1 *3243:B1 0.000268798
4 *3243:A3 *3244:B1 6.50586e-05
5 *3244:A2 *3244:B1 3.75603e-05
6 *513:15 *3244:B1 4.41404e-05
*RES
1 *3243:X *3244:B1 22.0188
*END
*D_NET *540 0.00397887
*CONN
*I *3290:A I *D sky130_fd_sc_hd__and2_1
*I *3273:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *3305:B I *D sky130_fd_sc_hd__and3b_1
*I *3247:A I *D sky130_fd_sc_hd__nand2_2
*I *3245:Y O *D sky130_fd_sc_hd__nor3_2
*CAP
1 *3290:A 0.000154218
2 *3273:A 9.34923e-06
3 *3305:B 0.000139738
4 *3247:A 4.915e-05
5 *3245:Y 0.000542884
6 *540:28 0.000214428
7 *540:26 0.00025957
8 *540:15 0.000632045
9 *3247:A *3292:A 2.65667e-05
10 *3247:A *542:15 1.85136e-05
11 *3273:A *3286:D 6.50727e-05
12 *3273:A *560:13 6.50727e-05
13 *3290:A *542:15 0.000116022
14 *3305:B *3309:A1 3.68867e-05
15 *3305:B *542:15 3.65454e-05
16 *540:15 *3278:B 0.000111722
17 *540:15 *3292:A 0.000228829
18 *540:15 *3292:B 6.22703e-05
19 *540:15 *576:17 1.43712e-05
20 *540:15 *733:41 0.000311871
21 *540:26 *3309:A1 7.52574e-06
22 *540:26 *542:15 8.61737e-06
23 *540:28 *3309:A1 2.42428e-05
24 *540:28 *542:15 9.49196e-05
25 *3132:A *3305:B 6.92705e-05
26 *3245:A *540:15 5.04829e-06
27 *3275:A *3290:A 6.81742e-05
28 *3285:B *3305:B 0
29 *3290:B *3290:A 6.34564e-05
30 *172:11 *3290:A 0.000542494
31 *493:21 *3290:A 0
*RES
1 *3245:Y *540:15 25.622
2 *540:15 *3247:A 10.5513
3 *540:15 *540:26 5.29386
4 *540:26 *540:28 2.03962
5 *540:28 *3305:B 17.135
6 *540:28 *3273:A 14.4725
7 *540:26 *3290:A 22.4341
*END
*D_NET *541 0.00628547
*CONN
*I *3337:A1_N I *D sky130_fd_sc_hd__a2bb2o_1
*I *3247:B I *D sky130_fd_sc_hd__nand2_2
*I *3246:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *3337:A1_N 0.000397168
2 *3247:B 0.000786871
3 *3246:Y 0.000302762
4 *541:8 0.0014868
5 *3247:B *3309:A1 0.000158042
6 *3247:B *3310:A1 4.98393e-05
7 *3247:B *3447:CLK 3.29691e-05
8 *3337:A1_N *3304:A2 2.65667e-05
9 *3337:A1_N *3304:B1 0.000128009
10 *3337:A1_N *3326:B 0.000252369
11 *3337:A1_N *3332:B1 0.000320489
12 *3337:A1_N *3337:A2_N 4.48986e-05
13 *3337:A1_N *3447:CLK 7.08723e-06
14 *3337:A1_N *602:13 0.000583258
15 *3337:A1_N *603:12 7.68538e-06
16 *3337:A1_N *637:7 0.000590839
17 *3337:A1_N *637:86 0.000213712
18 *541:8 *3447:CLK 1.71442e-05
19 *541:8 *663:27 0.000259486
20 *3078:B *541:8 4.70005e-05
21 *3325:A *541:8 5.33945e-05
22 *3326:A *3337:A1_N 0.000211478
23 *3333:A2 *3247:B 0
24 *3448:D *3247:B 2.352e-05
25 *398:29 *3247:B 0
26 *398:29 *3337:A1_N 0.000271044
27 *399:6 *3247:B 0
28 *399:17 *3247:B 0
29 *399:24 *3247:B 0
30 *400:7 *541:8 5.4694e-06
31 *436:33 *3247:B 0
32 *512:15 *541:8 7.56859e-06
*RES
1 *3246:Y *541:8 21.7739
2 *541:8 *3247:B 33.6446
3 *541:8 *3337:A1_N 35.7908
*END
*D_NET *542 0.0133316
*CONN
*I *3270:S I *D sky130_fd_sc_hd__mux2_1
*I *3267:S I *D sky130_fd_sc_hd__mux2_1
*I *3264:S I *D sky130_fd_sc_hd__mux2_1
*I *3248:A I *D sky130_fd_sc_hd__clkbuf_2
*I *3247:Y O *D sky130_fd_sc_hd__nand2_2
*CAP
1 *3270:S 1.23676e-05
2 *3267:S 0
3 *3264:S 2.59244e-05
4 *3248:A 0.000233783
5 *3247:Y 0.004481
6 *542:24 0.000525336
7 *542:19 0.000495617
8 *542:15 0.00472336
9 *3248:A *3265:B 0.000269612
10 *3248:A *3440:CLK 6.85021e-05
11 *3248:A *3503:A 1.92172e-05
12 *3248:A *725:15 0
13 *3264:S *3264:A0 6.50586e-05
14 *3270:S *725:10 0
15 *542:15 *2976:A1 7.33453e-05
16 *542:15 *3292:A 2.61012e-05
17 *542:15 *667:38 0
18 *542:15 *667:55 0
19 *542:15 *670:99 0.000259104
20 *542:19 *3267:A0 4.88955e-05
21 *542:19 *3366:CLK 0.000114938
22 *542:19 *725:10 0
23 *542:24 *3267:A0 0.000122378
24 *542:24 *3268:B 5.07314e-05
25 *542:24 *3269:A 0
26 *542:24 *3366:CLK 3.58208e-05
27 *542:24 *3368:CLK 0
28 *542:24 *3441:CLK 5.92342e-05
29 *542:24 *641:41 9.40969e-05
30 *3247:A *542:15 1.85136e-05
31 *3285:B *542:15 0
32 *3290:A *542:15 0.000116022
33 *3290:B *542:15 0
34 *3305:B *542:15 3.65454e-05
35 *3440:D *3248:A 3.25882e-05
36 *172:11 *542:15 0.000513291
37 *247:34 *542:15 0
38 *324:7 *3248:A 4.88955e-05
39 *324:12 *3248:A 0.000527877
40 *324:29 *3248:A 6.74071e-05
41 *324:29 *542:24 6.25268e-05
42 *493:21 *542:15 0
43 *540:26 *542:15 8.61737e-06
44 *540:28 *542:15 9.49196e-05
*RES
1 *3247:Y *542:15 35.1307
2 *542:15 *542:19 10.8665
3 *542:19 *542:24 13.0139
4 *542:24 *3248:A 24.5735
5 *542:24 *3264:S 14.4725
6 *542:19 *3267:S 9.24915
7 *542:15 *3270:S 14.1278
*END
*D_NET *543 0.00654297
*CONN
*I *3261:S I *D sky130_fd_sc_hd__mux2_1
*I *3258:S I *D sky130_fd_sc_hd__mux2_1
*I *3255:S I *D sky130_fd_sc_hd__mux2_1
*I *3252:S I *D sky130_fd_sc_hd__mux2_1
*I *3249:S I *D sky130_fd_sc_hd__mux2_1
*I *3248:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3261:S 0
2 *3258:S 7.73193e-05
3 *3255:S 0.000146468
4 *3252:S 4.53842e-05
5 *3249:S 0.000243644
6 *3248:X 0.00017085
7 *543:24 0.000416473
8 *543:22 0.000498234
9 *543:16 0.00121227
10 *543:10 0.00108149
11 *3249:S *2928:A1 4.82966e-05
12 *3249:S *3252:A1 0.000153225
13 *3249:S *719:9 0.000334808
14 *3252:S *3252:A1 0.00011818
15 *3252:S *3253:B 0
16 *3255:S *2936:A1 1.41291e-05
17 *3255:S *3255:A1 0.000102633
18 *3255:S *3358:CLK 0
19 *3258:S *3258:A0 4.31703e-05
20 *3258:S *3259:B 0
21 *543:10 *2942:A1 2.72089e-05
22 *543:10 *3265:B 0.00011818
23 *543:10 *642:6 0
24 *543:10 *642:10 0
25 *543:10 *723:8 2.12377e-05
26 *543:16 *3261:A1 9.14834e-05
27 *543:16 *3262:B 0.000171288
28 *543:16 *721:8 0.000132307
29 *543:16 *721:13 3.31882e-05
30 *543:16 *722:8 0
31 *543:22 *3255:A1 3.31882e-05
32 *543:22 *721:13 0.000317606
33 *543:24 *3252:A0 0.000153225
34 *543:24 *3255:A1 0.000109859
35 *851:DIODE *3252:S 0.000171288
36 *2934:B *543:22 0
37 *3262:A *543:16 7.6719e-06
38 *457:53 *543:22 1.59078e-05
39 *457:55 *543:22 9.00171e-05
40 *457:59 *543:22 4.84944e-05
41 *457:59 *543:24 0.000294241
*RES
1 *3248:X *543:10 22.1896
2 *543:10 *543:16 24.2473
3 *543:16 *543:22 8.13406
4 *543:22 *543:24 5.56926
5 *543:24 *3249:S 21.8478
6 *543:24 *3252:S 16.1605
7 *543:22 *3255:S 16.5704
8 *543:16 *3258:S 15.6059
9 *543:10 *3261:S 9.24915
*END
*D_NET *544 0.000395769
*CONN
*I *3250:B I *D sky130_fd_sc_hd__and2_1
*I *3249:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *3250:B 0.000172519
2 *3249:X 0.000172519
3 *3250:B *3249:A1 0
4 *3250:B *719:9 5.07314e-05
*RES
1 *3249:X *3250:B 31.0235
*END
*D_NET *545 0.00015142
*CONN
*I *3251:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3250:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *3251:A 7.57098e-05
2 *3250:X 7.57098e-05
*RES
1 *3250:X *3251:A 20.3309
*END
*D_NET *546 0.000671447
*CONN
*I *3253:B I *D sky130_fd_sc_hd__and2_1
*I *3252:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *3253:B 0.000174724
2 *3252:X 0.000174724
3 *3252:S *3253:B 0
4 *3253:A *3253:B 0.000111802
5 *457:60 *3253:B 0.000210197
*RES
1 *3252:X *3253:B 24.0926
*END
*D_NET *547 0.000272429
*CONN
*I *3254:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3253:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *3254:A 0.000131044
2 *3253:X 0.000131044
3 *3435:D *3254:A 1.03403e-05
*RES
1 *3253:X *3254:A 21.4401
*END
*D_NET *548 0.000614173
*CONN
*I *3256:B I *D sky130_fd_sc_hd__and2_1
*I *3255:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *3256:B 0.000145053
2 *3255:X 0.000145053
3 *3256:B *721:13 0
4 *3256:A *3256:B 0.000275336
5 *3436:D *3256:B 4.87301e-05
*RES
1 *3255:X *3256:B 23.538
*END
*D_NET *549 0.00026106
*CONN
*I *3257:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3256:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *3257:A 9.10251e-05
2 *3256:X 9.10251e-05
3 *3436:D *3257:A 7.90099e-05
*RES
1 *3256:X *3257:A 21.4401
*END
*D_NET *550 0.00059121
*CONN
*I *3259:B I *D sky130_fd_sc_hd__and2_1
*I *3258:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *3259:B 0.000120063
2 *3258:X 0.000120063
3 *3259:B *3260:A 0.000117376
4 *853:DIODE *3259:B 0.000114584
5 *3258:S *3259:B 0
6 *1:14 *3259:B 0.000119123
*RES
1 *3258:X *3259:B 23.538
*END
*D_NET *551 0.00115537
*CONN
*I *3260:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3259:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *3260:A 0.000387824
2 *3259:X 0.000387824
3 *3260:A *3437:CLK 0
4 *853:DIODE *3260:A 4.58907e-05
5 *3259:B *3260:A 0.000117376
6 *3437:D *3260:A 0
7 *1:14 *3260:A 0.000216458
*RES
1 *3259:X *3260:A 38.1179
*END
*D_NET *552 0.000455783
*CONN
*I *3262:B I *D sky130_fd_sc_hd__and2_1
*I *3261:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *3262:B 0.00010235
2 *3261:X 0.00010235
3 *3262:A *3262:B 7.97944e-05
4 *543:16 *3262:B 0.000171288
*RES
1 *3261:X *3262:B 22.4287
*END
*D_NET *553 0.000204213
*CONN
*I *3263:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3262:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *3263:A 0.0001009
2 *3262:X 0.0001009
3 *3438:D *3263:A 2.41274e-06
*RES
1 *3262:X *3263:A 20.8855
*END
*D_NET *554 0.0015891
*CONN
*I *3265:B I *D sky130_fd_sc_hd__and2_1
*I *3264:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *3265:B 0.000415257
2 *3264:X 0.000415257
3 *3265:B *3266:A 3.072e-06
4 *3265:B *3440:CLK 0
5 *3265:B *3503:A 0.000178446
6 *3265:B *622:41 0.000113374
7 *3248:A *3265:B 0.000269612
8 *170:11 *3265:B 5.20546e-06
9 *324:12 *3265:B 7.06933e-05
10 *543:10 *3265:B 0.00011818
*RES
1 *3264:X *3265:B 40.1383
*END
*D_NET *555 0.000695237
*CONN
*I *3266:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3265:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *3266:A 0.000292295
2 *3265:X 0.000292295
3 *3266:A *641:10 0
4 *3266:A *723:8 5.62332e-05
5 *3265:B *3266:A 3.072e-06
6 *3439:D *3266:A 4.27148e-05
7 *324:12 *3266:A 8.62625e-06
*RES
1 *3265:X *3266:A 34.3456
*END
*D_NET *556 0.000506928
*CONN
*I *3268:B I *D sky130_fd_sc_hd__and2_1
*I *3267:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *3268:B 0.000149687
2 *3267:X 0.000149687
3 *3268:B *3269:A 0
4 *3268:B *3441:CLK 0.000156823
5 *3268:B *725:10 0
6 *542:24 *3268:B 5.07314e-05
*RES
1 *3267:X *3268:B 31.4388
*END
*D_NET *557 0.000836939
*CONN
*I *3269:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3268:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *3269:A 0.000329711
2 *3268:X 0.000329711
3 *3269:A *3368:CLK 0.000148144
4 *3269:A *3441:CLK 0
5 *3269:A *622:61 2.9373e-05
6 *3269:A *725:10 0
7 *3269:A *725:15 0
8 *3268:B *3269:A 0
9 *542:24 *3269:A 0
*RES
1 *3268:X *3269:A 34.9002
*END
*D_NET *558 0.00081581
*CONN
*I *3271:B I *D sky130_fd_sc_hd__and2_1
*I *3270:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *3271:B 0.000329976
2 *3270:X 0.000329976
3 *3271:B *3441:CLK 0
4 *3271:B *725:8 3.34802e-05
5 *3271:A *3271:B 0.000122378
*RES
1 *3270:X *3271:B 35.87
*END
*D_NET *559 0.000905198
*CONN
*I *3272:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3271:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *3272:A 0.000415159
2 *3271:X 0.000415159
3 *3271:A *3272:A 7.48797e-05
*RES
1 *3271:X *3272:A 34.7664
*END
*D_NET *560 0.00612734
*CONN
*I *3322:A I *D sky130_fd_sc_hd__nand3_1
*I *3303:A1 I *D sky130_fd_sc_hd__a31o_1
*I *3314:A1 I *D sky130_fd_sc_hd__a31o_1
*I *3285:A I *D sky130_fd_sc_hd__nand2_1
*I *3274:A2 I *D sky130_fd_sc_hd__a21o_1
*I *3273:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *3322:A 6.06114e-05
2 *3303:A1 0
3 *3314:A1 0.000238842
4 *3285:A 0
5 *3274:A2 0.000360868
6 *3273:X 0
7 *560:53 8.68377e-05
8 *560:41 0.000771214
9 *560:13 0.000499618
10 *560:4 0.000644896
11 *3274:A2 *3274:B1 6.50727e-05
12 *3274:A2 *3275:B 0.000175485
13 *3274:A2 *3276:B1 0.000330596
14 *3274:A2 *3286:D 6.92705e-05
15 *3314:A1 *572:18 0
16 *3322:A *3303:A2 8.41174e-05
17 *3322:A *3323:A2 1.47978e-05
18 *560:13 *3286:D 0.000262438
19 *560:13 *3443:CLK 5.04829e-06
20 *560:41 *3286:D 0.000589689
21 *560:41 *3443:CLK 0.000250542
22 *560:41 *585:14 0.000182464
23 *560:53 *3303:A2 3.14978e-05
24 *3133:C_N *3314:A1 5.56461e-05
25 *3273:A *560:13 6.50727e-05
26 *3274:A1 *3274:A2 1.09551e-05
27 *3303:A3 *560:41 0.000125778
28 *3303:A3 *560:53 1.19856e-05
29 *3303:B1 *3314:A1 0
30 *3443:D *560:41 0.00015324
31 *248:29 *560:41 0
32 *436:33 *560:41 0
33 *451:9 *3314:A1 5.07314e-05
34 *454:11 *3322:A 0.0002817
35 *454:11 *560:41 0.000477042
36 *454:11 *560:53 0.000171288
37 *493:8 *560:41 0
*RES
1 *3273:X *560:4 9.24915
2 *560:4 *560:13 5.54604
3 *560:13 *3274:A2 20.7753
4 *560:13 *3285:A 9.24915
5 *560:4 *560:41 30.5667
6 *560:41 *3314:A1 23.4354
7 *560:41 *560:53 1.8326
8 *560:53 *3303:A1 9.24915
9 *560:53 *3322:A 12.7697
*END
*D_NET *561 0.000257143
*CONN
*I *3275:B I *D sky130_fd_sc_hd__nand2_1
*I *3274:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *3275:B 4.08289e-05
2 *3274:X 4.08289e-05
3 *3274:A2 *3275:B 0.000175485
*RES
1 *3274:X *3275:B 20.3309
*END
*D_NET *562 0.00171821
*CONN
*I *3276:B1 I *D sky130_fd_sc_hd__o211a_1
*I *3275:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *3276:B1 0.00045574
2 *3275:Y 0.00045574
3 *3276:B1 *3274:B1 0.000382884
4 *3276:B1 *3276:A1 5.21927e-05
5 *3276:B1 *622:162 3.58321e-05
6 *3274:A2 *3276:B1 0.000330596
7 *3275:A *3276:B1 0
8 *522:74 *3276:B1 5.22654e-06
*RES
1 *3275:Y *3276:B1 39.886
*END
*D_NET *563 0.00943228
*CONN
*I *3286:C I *D sky130_fd_sc_hd__nand4_1
*I *3318:B1 I *D sky130_fd_sc_hd__o2111a_1
*I *3279:B1 I *D sky130_fd_sc_hd__o211a_1
*I *3297:B1 I *D sky130_fd_sc_hd__o211a_1
*I *3332:A1 I *D sky130_fd_sc_hd__a31o_1
*I *3277:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3286:C 2.33488e-05
2 *3318:B1 0.000274197
3 *3279:B1 9.92234e-05
4 *3297:B1 0.000250355
5 *3332:A1 0.000363845
6 *3277:X 0.000419862
7 *563:45 0.000499497
8 *563:41 0.000699063
9 *563:20 0.00100223
10 *563:5 0.000985941
11 *3286:C *3286:D 6.50727e-05
12 *3286:C *564:19 0.000113968
13 *3286:C *636:15 1.00846e-05
14 *3297:B1 *3296:B 3.67528e-06
15 *3297:B1 *3297:C1 3.93423e-05
16 *3297:B1 *3301:B 4.79321e-06
17 *3297:B1 *3498:A 5.39635e-06
18 *3297:B1 *585:14 0
19 *3297:B1 *671:20 0.000131623
20 *3318:B1 *3317:A1 0.000351426
21 *3318:B1 *3317:A2 0.000116755
22 *3318:B1 *3317:B1 0.000205006
23 *3318:B1 *3318:C1 0.00011818
24 *3318:B1 *595:7 4.82966e-05
25 *3318:B1 *595:9 0.000103983
26 *3332:A1 *3304:A2 0
27 *3332:A1 *3326:B 0
28 *3332:A1 *3332:B1 0.000269565
29 *3332:A1 *3333:A3 0.000273022
30 *3332:A1 *3498:A 0.000101133
31 *3332:A1 *605:14 6.50586e-05
32 *3332:A1 *671:8 0.0003014
33 *563:5 *3446:CLK 4.17142e-05
34 *563:20 *3446:CLK 3.49128e-05
35 *563:20 *671:8 0
36 *563:20 *737:16 1.90218e-05
37 *563:20 *737:18 9.0164e-06
38 *563:41 *3072:A 4.17631e-05
39 *563:41 *3279:C1 2.13165e-05
40 *563:41 *572:6 5.14558e-05
41 *563:41 *572:14 5.26989e-05
42 *563:41 *587:17 0.000174175
43 *563:45 *3279:C1 0.000236678
44 *563:45 *3283:A2 0
45 *563:45 *572:14 0.000107101
46 *3075:C *563:41 9.22189e-05
47 *3245:B *563:41 0
48 *3277:A *563:5 0.000114431
49 *3279:A1 *3279:B1 8.12632e-07
50 *3279:A2 *3279:B1 3.52128e-05
51 *3297:A1 *3297:B1 5.42453e-07
52 *397:12 *563:41 2.77312e-05
53 *398:12 *563:20 4.07165e-05
54 *398:12 *563:41 0.000246529
55 *398:29 *3332:A1 0.000271044
56 *398:29 *563:5 0.00014642
57 *412:25 *3297:B1 0.000474839
58 *436:33 *3297:B1 6.87722e-05
59 *436:33 *563:20 3.88213e-05
60 *436:33 *563:41 5.93205e-05
61 *454:26 *3318:B1 5.59459e-05
62 *507:49 *3332:A1 2.37299e-05
*RES
1 *3277:X *563:5 16.0732
2 *563:5 *3332:A1 30.2566
3 *563:5 *563:20 9.44639
4 *563:20 *3297:B1 23.9445
5 *563:20 *563:41 16.4757
6 *563:41 *563:45 9.23876
7 *563:45 *3279:B1 11.9559
8 *563:45 *3318:B1 18.8462
9 *563:41 *3286:C 15.0271
*END
*D_NET *564 0.0046131
*CONN
*I *3288:A1 I *D sky130_fd_sc_hd__a21o_1
*I *3294:A2 I *D sky130_fd_sc_hd__a31o_1
*I *3298:C I *D sky130_fd_sc_hd__and3_1
*I *3286:B I *D sky130_fd_sc_hd__nand4_1
*I *3279:C1 I *D sky130_fd_sc_hd__o211a_1
*I *3278:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *3288:A1 0
2 *3294:A2 4.16628e-05
3 *3298:C 0.000198418
4 *3286:B 0
5 *3279:C1 0.000134217
6 *3278:Y 0.000452983
7 *564:34 0.000399157
8 *564:31 0.000405431
9 *564:19 0.000399471
10 *564:9 0.000740316
11 *3279:C1 *3283:A2 0
12 *3294:A2 *567:12 0.000122098
13 *3294:A2 *670:18 0.00011497
14 *3298:C *3072:B 1.47919e-05
15 *3298:C *3298:A 0.000154145
16 *564:9 *3286:D 0.000264907
17 *564:9 *3497:A 6.08467e-05
18 *564:9 *585:14 3.34802e-05
19 *564:9 *734:15 7.50872e-05
20 *564:19 *3286:D 1.15389e-05
21 *564:19 *636:15 3.99086e-06
22 *564:31 *3282:A1 7.50872e-05
23 *564:31 *3288:A2 7.24764e-05
24 *564:31 *636:15 7.98425e-06
25 *564:34 *3072:D 4.1865e-05
26 *564:34 *567:12 0.000308927
27 *564:34 *572:6 0
28 *564:34 *572:14 0
29 *564:34 *670:18 4.0143e-05
30 *3279:A1 *3279:C1 0
31 *3286:C *564:19 0.000113968
32 *397:12 *3279:C1 6.71467e-05
33 *563:41 *3279:C1 2.13165e-05
34 *563:45 *3279:C1 0.000236678
*RES
1 *3278:Y *564:9 26.4899
2 *564:9 *3279:C1 22.9879
3 *564:9 *564:19 2.38721
4 *564:19 *3286:B 9.24915
5 *564:19 *564:31 13.5273
6 *564:31 *564:34 10.0693
7 *564:34 *3298:C 16.7874
8 *564:34 *3294:A2 15.9964
9 *564:31 *3288:A1 9.24915
*END
*D_NET *565 0.000736144
*CONN
*I *3283:A1 I *D sky130_fd_sc_hd__o21a_1
*I *3279:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *3283:A1 0.000156734
2 *3279:X 0.000156734
3 *3283:A1 *3282:B1_N 0
4 *3283:A1 *3283:A2 7.14746e-05
5 *3283:A1 *3286:D 0.000165521
6 *3283:A1 *3443:CLK 0.000165521
7 *3283:A1 *734:15 0
8 *3279:A1 *3283:A1 1.55462e-05
9 *3279:A2 *3283:A1 4.6137e-06
*RES
1 *3279:X *3283:A1 32.3015
*END
*D_NET *566 0.00202128
*CONN
*I *3282:A1 I *D sky130_fd_sc_hd__a21boi_1
*I *3280:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *3282:A1 0.000463822
2 *3280:Y 0.000463822
3 *3282:A1 *567:12 0
4 *3282:A1 *572:14 0.000435828
5 *3282:A1 *666:15 0.000434578
6 *454:26 *3282:A1 0.000148144
7 *564:31 *3282:A1 7.50872e-05
*RES
1 *3280:Y *3282:A1 40.1647
*END
*D_NET *567 0.00739679
*CONN
*I *3299:A2 I *D sky130_fd_sc_hd__a21boi_1
*I *3317:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *3282:A2 I *D sky130_fd_sc_hd__a21boi_1
*I *3312:B I *D sky130_fd_sc_hd__nand2_1
*I *3322:B I *D sky130_fd_sc_hd__nand3_1
*I *3281:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3299:A2 8.68567e-05
2 *3317:A2 1.39683e-05
3 *3282:A2 0.000178835
4 *3312:B 0.000223508
5 *3322:B 0.000228658
6 *3281:X 0.000236334
7 *567:18 0.000623683
8 *567:14 0.000469353
9 *567:12 0.000675938
10 *567:7 0.00086616
11 *3282:A2 *666:15 0.000632015
12 *3299:A2 *3300:A1 0.00033061
13 *3299:A2 *3300:A2 0.000175485
14 *3312:B *3312:A 6.50586e-05
15 *3322:B *3323:A2 6.9815e-05
16 *567:7 *3300:A1 0.000112082
17 *567:12 *3299:A1 0
18 *567:12 *3319:A1 0.000119197
19 *567:12 *587:33 3.31736e-05
20 *567:12 *670:18 5.12663e-05
21 *567:18 *3323:A1 0.000150629
22 *567:18 *587:33 3.95608e-05
23 *3282:A1 *567:12 0
24 *3294:A2 *567:12 0.000122098
25 *3318:B1 *3317:A2 0.000116755
26 *3321:A3 *567:12 0.000377069
27 *3321:A3 *567:14 6.25467e-05
28 *3321:A3 *567:18 3.20069e-06
29 *3322:C *567:18 0
30 *3451:D *3312:B 3.83336e-05
31 *413:24 *3299:A2 4.55115e-05
32 *413:24 *567:12 0.000327446
33 *413:26 *567:12 0.000177819
34 *439:42 *3312:B 0.000113968
35 *439:42 *3322:B 0.000113968
36 *439:42 *567:18 9.13616e-06
37 *439:60 *3312:B 7.54727e-05
38 *454:11 *3322:B 5.59487e-06
39 *454:26 *3317:A2 0.000116755
40 *454:26 *567:12 0
41 *454:26 *567:14 0
42 *454:26 *567:18 0
43 *564:34 *567:12 0.000308927
*RES
1 *3281:X *567:7 17.2456
2 *567:7 *567:12 20.1764
3 *567:12 *567:14 3.07775
4 *567:14 *567:18 9.23876
5 *567:18 *3322:B 13.3243
6 *567:18 *3312:B 14.6264
7 *567:14 *3282:A2 20.5732
8 *567:12 *3317:A2 15.0271
9 *567:7 *3299:A2 18.2471
*END
*D_NET *568 0.00117748
*CONN
*I *3283:A2 I *D sky130_fd_sc_hd__o21a_1
*I *3282:Y O *D sky130_fd_sc_hd__a21boi_1
*CAP
1 *3283:A2 0.000370892
2 *3282:Y 0.000370892
3 *3283:A2 *3282:B1_N 0
4 *3283:A2 *3443:CLK 0.000263107
5 *3283:A2 *572:14 0
6 *3279:A1 *3283:A2 0.000101118
7 *3279:C1 *3283:A2 0
8 *3283:A1 *3283:A2 7.14746e-05
9 *563:45 *3283:A2 0
*RES
1 *3282:Y *3283:A2 35.1789
*END
*D_NET *569 0.00308513
*CONN
*I *3294:A1 I *D sky130_fd_sc_hd__a31o_1
*I *3298:B I *D sky130_fd_sc_hd__and3_1
*I *3288:B1 I *D sky130_fd_sc_hd__a21o_1
*I *3286:A I *D sky130_fd_sc_hd__nand4_1
*I *3284:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *3294:A1 0.000102638
2 *3298:B 0
3 *3288:B1 0.000107502
4 *3286:A 0.000205465
5 *3284:Y 4.88118e-05
6 *569:10 0.000375927
7 *569:8 0.000188189
8 *569:6 0.000276678
9 *3286:A *3289:A1 0.000223227
10 *3286:A *3319:A1 0.000107496
11 *3286:A *587:33 4.1039e-05
12 *3286:A *636:15 0.0001301
13 *3288:B1 *3289:A2 6.50586e-05
14 *3294:A1 *3295:A2 0.000113968
15 *3294:A1 *576:17 0.000307037
16 *3294:A1 *736:11 2.137e-05
17 *569:6 *3284:A 9.60366e-05
18 *569:6 *587:33 3.45797e-05
19 *569:8 *3284:A 0.000264598
20 *569:8 *587:33 0.000114447
21 *569:10 *587:33 5.22071e-05
22 *3294:A3 *3294:A1 2.16355e-05
23 *3295:B1 *3286:A 4.86688e-06
24 *3295:B1 *569:8 7.56859e-06
25 *3295:B1 *569:10 3.58457e-05
26 *439:60 *3286:A 0.000138836
*RES
1 *3284:Y *569:6 15.5811
2 *569:6 *569:8 5.15401
3 *569:8 *569:10 2.24725
4 *569:10 *3286:A 21.8478
5 *569:10 *3288:B1 16.1605
6 *569:8 *3298:B 13.7491
7 *569:6 *3294:A1 17.8243
*END
*D_NET *570 0.00301503
*CONN
*I *3286:D I *D sky130_fd_sc_hd__nand4_1
*I *3285:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *3286:D 0.000605729
2 *3285:Y 0.000605729
3 *3286:D *3443:CLK 0.00013956
4 *3286:D *3497:A 6.50586e-05
5 *3286:D *636:15 0.000105441
6 *3273:A *3286:D 6.50727e-05
7 *3274:A2 *3286:D 6.92705e-05
8 *3283:A1 *3286:D 0.000165521
9 *3286:C *3286:D 6.50727e-05
10 *560:13 *3286:D 0.000262438
11 *560:41 *3286:D 0.000589689
12 *564:9 *3286:D 0.000264907
13 *564:19 *3286:D 1.15389e-05
*RES
1 *3285:Y *3286:D 39.3803
*END
*D_NET *571 0.00131435
*CONN
*I *3289:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *3286:Y O *D sky130_fd_sc_hd__nand4_1
*CAP
1 *3289:A1 0.000432342
2 *3286:Y 0.000432342
3 *3289:A1 *3289:A2 3.31745e-05
4 *3289:A1 *587:33 7.50872e-05
5 *3286:A *3289:A1 0.000223227
6 *439:60 *3289:A1 0.00011818
*RES
1 *3286:Y *3289:A1 35.3533
*END
*D_NET *572 0.0082259
*CONN
*I *3302:A2 I *D sky130_fd_sc_hd__a21bo_1
*I *3288:A2 I *D sky130_fd_sc_hd__a21o_1
*I *3303:A2 I *D sky130_fd_sc_hd__a31o_1
*I *3313:A2 I *D sky130_fd_sc_hd__a21bo_1
*I *3314:A2 I *D sky130_fd_sc_hd__a31o_1
*I *3287:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3302:A2 0.000674063
2 *3288:A2 6.42861e-05
3 *3303:A2 0.000124278
4 *3313:A2 0.000143126
5 *3314:A2 6.04077e-05
6 *3287:X 0
7 *572:18 0.000331311
8 *572:14 0.000836191
9 *572:6 0.000990677
10 *572:5 0.00101632
11 *3288:A2 *3072:D 4.99469e-05
12 *3302:A2 *3302:A1 0.00034434
13 *3302:A2 *3302:B1_N 7.97098e-06
14 *3302:A2 *579:5 4.66492e-05
15 *3302:A2 *737:11 0.00030153
16 *3302:A2 *737:16 6.50727e-05
17 *3313:A2 *3315:B1 0.00032842
18 *3313:A2 *587:33 6.50727e-05
19 *3314:A2 *3315:B1 6.92705e-05
20 *572:6 *3072:A 0.000167677
21 *572:6 *3072:D 5.79689e-05
22 *572:6 *3298:A 4.35396e-05
23 *572:6 *670:18 0
24 *3121:A *3313:A2 5.08751e-05
25 *3133:C_N *3314:A2 0.000118166
26 *3139:A2 *3313:A2 0.000181614
27 *3139:A2 *3314:A2 9.82896e-06
28 *3282:A1 *572:14 0.000435828
29 *3283:A2 *572:14 0
30 *3287:A *3302:A2 0.00012568
31 *3287:A *572:6 1.07248e-05
32 *3303:A3 *3303:A2 3.41459e-05
33 *3303:B1 *572:18 3.89184e-05
34 *3314:A1 *572:18 0
35 *3322:A *3303:A2 8.41174e-05
36 *3322:C *572:14 3.39839e-05
37 *3326:A *3302:A2 8.62625e-06
38 *258:26 *572:18 1.09738e-05
39 *258:30 *3313:A2 0.000113968
40 *258:30 *3314:A2 0.000118166
41 *398:12 *3302:A2 0.000275845
42 *398:12 *572:6 0.000220631
43 *398:29 *3302:A2 1.27836e-05
44 *412:26 *3302:A2 5.54527e-05
45 *412:46 *3302:A2 3.73904e-05
46 *413:46 *572:6 5.26446e-05
47 *439:42 *572:18 0
48 *451:9 *3314:A2 1.84293e-05
49 *454:26 *572:14 7.37583e-05
50 *560:53 *3303:A2 3.14978e-05
51 *563:41 *572:6 5.14558e-05
52 *563:41 *572:14 5.26989e-05
53 *563:45 *572:14 0.000107101
54 *564:31 *3288:A2 7.24764e-05
55 *564:34 *572:6 0
56 *564:34 *572:14 0
*RES
1 *3287:X *572:5 13.7491
2 *572:5 *572:6 10.9675
3 *572:6 *572:14 16.0727
4 *572:14 *572:18 7.57775
5 *572:18 *3314:A2 12.9626
6 *572:18 *3313:A2 16.2661
7 *572:14 *3303:A2 17.2697
8 *572:6 *3288:A2 16.1364
9 *572:5 *3302:A2 28.9342
*END
*D_NET *573 0.000267094
*CONN
*I *3289:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *3288:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *3289:A2 5.18939e-05
2 *3288:X 5.18939e-05
3 *3288:B1 *3289:A2 6.50586e-05
4 *3289:A1 *3289:A2 3.31745e-05
5 *439:60 *3289:A2 6.50727e-05
*RES
1 *3288:X *3289:A2 20.3309
*END
*D_NET *574 0.000913696
*CONN
*I *3292:A I *D sky130_fd_sc_hd__or3b_1
*I *3290:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *3292:A 0.00027866
2 *3290:X 0.00027866
3 *3292:A *576:17 7.48797e-05
4 *3247:A *3292:A 2.65667e-05
5 *540:15 *3292:A 0.000228829
6 *542:15 *3292:A 2.61012e-05
*RES
1 *3290:X *3292:A 27.5407
*END
*D_NET *575 0.00461384
*CONN
*I *3306:A I *D sky130_fd_sc_hd__nor2_1
*I *3296:B I *D sky130_fd_sc_hd__nor2_1
*I *3301:C I *D sky130_fd_sc_hd__or4b_1
*I *3307:A3 I *D sky130_fd_sc_hd__o31a_1
*I *3292:B I *D sky130_fd_sc_hd__or3b_1
*I *3291:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *3306:A 0
2 *3296:B 0.00042925
3 *3301:C 0
4 *3307:A3 0
5 *3292:B 0.000258804
6 *3291:X 6.80499e-05
7 *575:32 0.000503971
8 *575:23 0.000207211
9 *575:19 0.000244595
10 *575:7 0.000438958
11 *3292:B *3072:C 3.00073e-05
12 *3292:B *3497:A 0
13 *3292:B *585:14 0.0001454
14 *3292:B *733:41 0.000111722
15 *3296:B *3302:A1 8.82627e-05
16 *3296:B *3302:B1_N 0.000171056
17 *3296:B *3304:B1 0
18 *3296:B *3498:A 0
19 *3296:B *579:5 2.16355e-05
20 *3296:B *585:14 2.53495e-05
21 *3296:B *671:20 4.31703e-05
22 *575:19 *3497:A 0
23 *575:19 *585:14 0.000153456
24 *575:23 *576:17 0.000111708
25 *575:23 *585:14 0.000161253
26 *575:23 *630:8 3.82228e-05
27 *575:23 *738:13 3.04443e-05
28 *575:32 *585:14 0.000214672
29 *575:32 *738:8 1.07248e-05
30 *575:32 *738:13 0.000204064
31 *3297:B1 *3296:B 3.67528e-06
32 *398:29 *3296:B 0.000127721
33 *399:24 *575:7 0.00017832
34 *412:9 *3296:B 0.000107496
35 *412:25 *3296:B 4.89469e-06
36 *413:46 *575:7 0.000417476
37 *414:9 *575:23 0
38 *436:33 *575:23 0
39 *540:15 *3292:B 6.22703e-05
*RES
1 *3291:X *575:7 18.3548
2 *575:7 *3292:B 19.3535
3 *575:7 *575:19 7.57775
4 *575:19 *575:23 8.85575
5 *575:23 *3307:A3 13.7491
6 *575:23 *575:32 8.40826
7 *575:32 *3301:C 9.24915
8 *575:32 *3296:B 29.5527
9 *575:19 *3306:A 9.24915
*END
*D_NET *576 0.00427349
*CONN
*I *3295:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *3292:X O *D sky130_fd_sc_hd__or3b_1
*CAP
1 *3295:A1 0
2 *3292:X 0.00137424
3 *576:17 0.00137424
4 *576:17 *3295:A2 5.41476e-05
5 *576:17 *3309:A2 6.12686e-06
6 *576:17 *3491:A 0.000166426
7 *576:17 *577:5 0.0001095
8 *576:17 *585:14 0
9 *576:17 *587:17 1.34424e-05
10 *576:17 *630:8 6.42019e-05
11 *576:17 *733:29 0
12 *576:17 *736:11 6.7671e-06
13 *3075:C *576:17 6.50727e-05
14 *3292:A *576:17 7.48797e-05
15 *3292:C_N *576:17 5.53928e-05
16 *3294:A1 *576:17 0.000307037
17 *3306:B *576:17 0.000151525
18 *3445:D *576:17 1.64789e-05
19 *398:12 *576:17 0.000307937
20 *436:33 *576:17 0
21 *540:15 *576:17 1.43712e-05
22 *575:23 *576:17 0.000111708
*RES
1 *3292:X *576:17 48.7461
2 *576:17 *3295:A1 9.24915
*END
*D_NET *577 0.00128156
*CONN
*I *3294:B1 I *D sky130_fd_sc_hd__a31o_1
*I *3298:A I *D sky130_fd_sc_hd__and3_1
*I *3293:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *3294:B1 0
2 *3298:A 0.000191162
3 *3293:Y 6.10193e-05
4 *577:5 0.000252181
5 *3298:A *3072:B 7.92757e-06
6 *3298:A *670:18 0.000162884
7 *577:5 *736:11 0.000211559
8 *3298:C *3298:A 0.000154145
9 *398:12 *577:5 2.41274e-06
10 *413:46 *3298:A 8.52278e-05
11 *572:6 *3298:A 4.35396e-05
12 *576:17 *577:5 0.0001095
*RES
1 *3293:Y *577:5 12.191
2 *577:5 *3298:A 24.2687
3 *577:5 *3294:B1 9.24915
*END
*D_NET *578 0.000341455
*CONN
*I *3295:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *3294:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *3295:A2 5.54757e-05
2 *3294:X 5.54757e-05
3 *3295:A2 *736:11 6.23875e-05
4 *3294:A1 *3295:A2 0.000113968
5 *576:17 *3295:A2 5.41476e-05
*RES
1 *3294:X *3295:A2 20.9096
*END
*D_NET *579 0.00134711
*CONN
*I *3297:C1 I *D sky130_fd_sc_hd__o211a_1
*I *3302:A1 I *D sky130_fd_sc_hd__a21bo_1
*I *3296:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *3297:C1 0.000123352
2 *3302:A1 7.96537e-05
3 *3296:Y 1.81726e-05
4 *579:5 0.000221178
5 *3297:C1 *3301:B 0.000110297
6 *3297:C1 *3498:A 0.000110777
7 *3297:C1 *671:8 0.000110777
8 *3297:C1 *671:20 2.304e-06
9 *3302:A1 *3302:B1_N 2.36743e-05
10 *3296:B *3302:A1 8.82627e-05
11 *3296:B *579:5 2.16355e-05
12 *3297:B1 *3297:C1 3.93423e-05
13 *3301:D_N *3297:C1 0
14 *3302:A2 *3302:A1 0.00034434
15 *3302:A2 *579:5 4.66492e-05
16 *436:33 *3297:C1 6.69025e-06
*RES
1 *3296:Y *579:5 9.97254
2 *579:5 *3302:A1 13.3243
3 *579:5 *3297:C1 22.763
*END
*D_NET *580 0.00150279
*CONN
*I *3300:A1 I *D sky130_fd_sc_hd__o21a_1
*I *3297:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *3300:A1 0.000465072
2 *3297:X 0.000465072
3 *3300:A1 *3299:A1 6.50586e-05
4 *3300:A1 *3300:A2 6.49003e-05
5 *3299:A2 *3300:A1 0.00033061
6 *567:7 *3300:A1 0.000112082
*RES
1 *3297:X *3300:A1 30.9407
*END
*D_NET *581 0.00120553
*CONN
*I *3299:A1 I *D sky130_fd_sc_hd__a21boi_1
*I *3298:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *3299:A1 0.00026269
2 *3298:X 0.00026269
3 *3299:A1 *3284:A 0.000339753
4 *3295:B1 *3299:A1 0.000125695
5 *3300:A1 *3299:A1 6.50586e-05
6 *172:12 *3299:A1 0.000149644
7 *567:12 *3299:A1 0
*RES
1 *3298:X *3299:A1 36.2825
*END
*D_NET *582 0.000486647
*CONN
*I *3300:A2 I *D sky130_fd_sc_hd__o21a_1
*I *3299:Y O *D sky130_fd_sc_hd__a21boi_1
*CAP
1 *3300:A2 0.000123131
2 *3299:Y 0.000123131
3 *3299:A2 *3300:A2 0.000175485
4 *3300:A1 *3300:A2 6.49003e-05
*RES
1 *3299:Y *3300:A2 22.5493
*END
*D_NET *583 0.000835386
*CONN
*I *3304:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *3301:X O *D sky130_fd_sc_hd__or4b_1
*CAP
1 *3304:A1 0.00022245
2 *3301:X 0.00022245
3 *3304:A1 *3304:B1 0.000134259
4 *3304:A1 *738:8 9.75356e-05
5 *3447:D *3304:A1 0.000127194
6 *412:9 *3304:A1 3.14978e-05
*RES
1 *3301:X *3304:A1 33.6838
*END
*D_NET *584 0.00035727
*CONN
*I *3304:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *3302:X O *D sky130_fd_sc_hd__a21bo_1
*CAP
1 *3304:A2 0.000116328
2 *3302:X 0.000116328
3 *3304:A2 *3304:B1 9.48478e-05
4 *3304:A2 *3326:B 3.20069e-06
5 *3304:A2 *3498:A 0
6 *3332:A1 *3304:A2 0
7 *3337:A1_N *3304:A2 2.65667e-05
8 *3447:D *3304:A2 0
*RES
1 *3302:X *3304:A2 30.4689
*END
*D_NET *585 0.00514975
*CONN
*I *3304:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *3303:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *3304:B1 0.000227286
2 *3303:X 0.00102151
3 *585:14 0.0012488
4 *3304:B1 *3302:B1_N 2.57509e-05
5 *3304:B1 *3498:A 0
6 *3304:B1 *738:8 0.000145329
7 *585:14 *3072:C 1.0662e-05
8 *585:14 *3278:B 4.79289e-05
9 *585:14 *3282:B1_N 2.36003e-05
10 *585:14 *3307:A1 1.6644e-05
11 *585:14 *3309:A2 9.32927e-05
12 *585:14 *3497:A 0
13 *585:14 *3498:A 0
14 *585:14 *733:29 0.000199753
15 *585:14 *734:15 1.56948e-05
16 *585:14 *738:8 7.61979e-06
17 *3292:B *585:14 0.0001454
18 *3296:B *3304:B1 0
19 *3296:B *585:14 2.53495e-05
20 *3297:B1 *585:14 0
21 *3304:A1 *3304:B1 0.000134259
22 *3304:A2 *3304:B1 9.48478e-05
23 *3337:A1_N *3304:B1 0.000128009
24 *3443:D *585:14 0.00015324
25 *414:9 *585:14 0
26 *436:33 *585:14 0
27 *454:11 *585:14 0.00032688
28 *493:52 *585:14 0.000307228
29 *493:67 *585:14 5.33358e-06
30 *560:41 *585:14 0.000182464
31 *564:9 *585:14 3.34802e-05
32 *575:19 *585:14 0.000153456
33 *575:23 *585:14 0.000161253
34 *575:32 *585:14 0.000214672
35 *576:17 *585:14 0
*RES
1 *3303:X *585:14 44.8843
2 *585:14 *3304:B1 21.6442
*END
*D_NET *586 0.00149759
*CONN
*I *3309:A1 I *D sky130_fd_sc_hd__o21ai_1
*I *3305:X O *D sky130_fd_sc_hd__and3b_1
*CAP
1 *3309:A1 0.000550921
2 *3305:X 0.000550921
3 *3309:A1 *3443:CLK 0.000101133
4 *3132:A *3309:A1 6.50586e-05
5 *3247:B *3309:A1 0.000158042
6 *3279:A2 *3309:A1 2.86013e-06
7 *3305:B *3309:A1 3.68867e-05
8 *436:33 *3309:A1 0
9 *540:26 *3309:A1 7.52574e-06
10 *540:28 *3309:A1 2.42428e-05
*RES
1 *3305:X *3309:A1 40.9896
*END
*D_NET *587 0.00669178
*CONN
*I *3311:B I *D sky130_fd_sc_hd__and2b_1
*I *3313:A1 I *D sky130_fd_sc_hd__a21bo_1
*I *3308:A I *D sky130_fd_sc_hd__nor2_1
*I *3306:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *3311:B 0.000185445
2 *3313:A1 0
3 *3308:A 0
4 *3306:Y 0.000489317
5 *587:33 0.00128367
6 *587:17 0.00158754
7 *3311:B *3313:B1_N 0.000115615
8 *3311:B *3315:B1 9.55447e-05
9 *3311:B *591:8 2.82583e-05
10 *587:17 *630:8 0.000220665
11 *587:33 *3284:A 2.352e-05
12 *587:33 *3315:A1 3.68867e-05
13 *587:33 *3315:B1 0.000122378
14 *587:33 *3319:A2 7.21868e-05
15 *587:33 *3323:A1 0.000150629
16 *587:33 *591:8 0
17 *3075:C *587:17 2.89474e-05
18 *3139:A2 *587:33 1.36556e-05
19 *3286:A *587:33 4.1039e-05
20 *3287:A *587:33 6.78549e-05
21 *3289:A1 *587:33 7.50872e-05
22 *3297:A1 *587:17 0
23 *3306:B *587:17 0.000121249
24 *3313:A2 *587:33 6.50727e-05
25 *3321:A3 *587:33 0.00069118
26 *396:6 *587:17 0
27 *396:8 *587:17 0
28 *413:24 *587:33 0.000157702
29 *413:26 *587:33 0.000186445
30 *436:33 *587:17 4.40531e-05
31 *439:42 *587:33 0
32 *439:60 *587:33 0.000326243
33 *563:41 *587:17 0.000174175
34 *567:12 *587:33 3.31736e-05
35 *567:18 *587:33 3.95608e-05
36 *569:6 *587:33 3.45797e-05
37 *569:8 *587:33 0.000114447
38 *569:10 *587:33 5.22071e-05
39 *576:17 *587:17 1.34424e-05
*RES
1 *3306:Y *587:17 29.2526
2 *587:17 *3308:A 9.24915
3 *587:17 *587:33 44.6136
4 *587:33 *3313:A1 9.24915
5 *587:33 *3311:B 14.9881
*END
*D_NET *588 0.00102173
*CONN
*I *3308:B I *D sky130_fd_sc_hd__nor2_1
*I *3307:X O *D sky130_fd_sc_hd__o31a_1
*CAP
1 *3308:B 0.000117352
2 *3307:X 0.000117352
3 *3308:B *3073:A 6.96206e-05
4 *3308:B *3073:C 0.000267462
5 *3308:B *3307:A2 0.000421688
6 *3308:B *3309:A2 2.82583e-05
*RES
1 *3307:X *3308:B 24.7918
*END
*D_NET *589 0.00267674
*CONN
*I *3309:A2 I *D sky130_fd_sc_hd__o21ai_1
*I *3308:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *3309:A2 0.00070789
2 *3308:Y 0.00070789
3 *3309:A2 *3073:A 0.000316224
4 *3309:A2 *3073:B 0.000218638
5 *3309:A2 *3073:C 8.10717e-05
6 *3309:A2 *3307:A1 2.69685e-05
7 *3309:A2 *3310:B1 6.92705e-05
8 *3309:A2 *630:8 0.000268798
9 *3309:A2 *738:13 6.08467e-05
10 *3308:B *3309:A2 2.82583e-05
11 *414:9 *3309:A2 6.73186e-05
12 *414:17 *3309:A2 2.41483e-05
13 *576:17 *3309:A2 6.12686e-06
14 *585:14 *3309:A2 9.32927e-05
*RES
1 *3308:Y *3309:A2 43.533
*END
*D_NET *590 0.00114854
*CONN
*I *3310:B1 I *D sky130_fd_sc_hd__o211a_1
*I *3309:Y O *D sky130_fd_sc_hd__o21ai_1
*CAP
1 *3310:B1 0.000187349
2 *3309:Y 0.000187349
3 *3309:A2 *3310:B1 6.92705e-05
4 *3448:D *3310:B1 0.000115934
5 *399:24 *3310:B1 5.41227e-05
6 *414:17 *3310:B1 0.000487868
7 *414:19 *3310:B1 4.66492e-05
*RES
1 *3309:Y *3310:B1 34.2146
*END
*D_NET *591 0.00305522
*CONN
*I *3318:C1 I *D sky130_fd_sc_hd__o2111a_1
*I *3317:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *3321:A2 I *D sky130_fd_sc_hd__a31o_1
*I *3312:A I *D sky130_fd_sc_hd__nand2_1
*I *3311:X O *D sky130_fd_sc_hd__and2b_1
*CAP
1 *3318:C1 6.26648e-05
2 *3317:A1 0.000244692
3 *3321:A2 9.37541e-05
4 *3312:A 9.36721e-06
5 *3311:X 0.000302598
6 *591:32 0.00043237
7 *591:17 0.000320055
8 *591:8 0.000413253
9 *3321:A2 *3321:B1 1.41976e-05
10 *3321:A2 *666:15 2.20702e-05
11 *591:8 *3313:B1_N 0.000222149
12 *591:8 *3315:A1 7.86847e-05
13 *591:8 *636:20 0
14 *591:17 *636:18 0
15 *591:32 *3321:A1 0.000122083
16 *591:32 *636:18 0
17 *3311:B *591:8 2.82583e-05
18 *3312:B *3312:A 6.50586e-05
19 *3318:B1 *3317:A1 0.000351426
20 *3318:B1 *3318:C1 0.00011818
21 *3451:D *3312:A 6.50586e-05
22 *439:60 *591:8 2.1203e-06
23 *439:60 *591:17 5.92307e-05
24 *439:60 *591:32 2.79471e-05
25 *587:33 *591:8 0
*RES
1 *3311:X *591:8 19.4928
2 *591:8 *3312:A 14.4725
3 *591:8 *591:17 2.6625
4 *591:17 *3321:A2 16.1846
5 *591:17 *591:32 7.993
6 *591:32 *3317:A1 13.3002
7 *591:32 *3318:C1 10.5271
*END
*D_NET *592 0.000792224
*CONN
*I *3315:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *3312:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *3315:A1 0.000202126
2 *3312:Y 0.000202126
3 *3315:A1 *3315:A2 3.60268e-05
4 *3315:A1 *3315:B1 0.000175689
5 *3315:A1 *636:20 0
6 *3139:A2 *3315:A1 0
7 *3139:C1 *3315:A1 6.0685e-05
8 *587:33 *3315:A1 3.68867e-05
9 *591:8 *3315:A1 7.86847e-05
*RES
1 *3312:Y *3315:A1 34.2062
*END
*D_NET *593 0.000536602
*CONN
*I *3315:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *3313:X O *D sky130_fd_sc_hd__a21bo_1
*CAP
1 *3315:A2 0.000161595
2 *3313:X 0.000161595
3 *3315:A2 *3315:B1 0.000118166
4 *3139:A2 *3315:A2 0
5 *3139:C1 *3315:A2 5.92192e-05
6 *3315:A1 *3315:A2 3.60268e-05
*RES
1 *3313:X *3315:A2 31.4388
*END
*D_NET *594 0.00182049
*CONN
*I *3315:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *3314:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *3315:B1 0.000358271
2 *3314:X 0.000358271
3 *3315:B1 *636:20 0
4 *3315:B1 *636:24 0
5 *3139:C1 *3315:B1 1.1246e-05
6 *3311:B *3315:B1 9.55447e-05
7 *3313:A2 *3315:B1 0.00032842
8 *3314:A2 *3315:B1 6.92705e-05
9 *3315:A1 *3315:B1 0.000175689
10 *3315:A2 *3315:B1 0.000118166
11 *439:14 *3315:B1 6.50727e-05
12 *439:42 *3315:B1 0.000118166
13 *587:33 *3315:B1 0.000122378
*RES
1 *3314:X *3315:B1 39.4791
*END
*D_NET *595 0.00195776
*CONN
*I *3318:D1 I *D sky130_fd_sc_hd__o2111a_1
*I *3321:A1 I *D sky130_fd_sc_hd__a31o_1
*I *3317:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *3316:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *3318:D1 0
2 *3321:A1 7.9207e-05
3 *3317:B1 4.33171e-05
4 *3316:Y 0.000155744
5 *595:9 0.000133967
6 *595:7 0.000167186
7 *595:7 *3318:A1 0.000113002
8 *3318:B1 *3317:B1 0.000205006
9 *3318:B1 *595:7 4.82966e-05
10 *3318:B1 *595:9 0.000103983
11 *439:60 *3321:A1 0.000163982
12 *454:26 *3317:B1 0.000205006
13 *454:26 *595:7 0.000235336
14 *454:26 *595:9 8.18715e-05
15 *454:35 *595:7 9.97706e-05
16 *591:32 *3321:A1 0.000122083
*RES
1 *3316:Y *595:7 15.5427
2 *595:7 *595:9 1.278
3 *595:9 *3317:B1 11.6364
4 *595:9 *3321:A1 21.3269
5 *595:7 *3318:D1 9.24915
*END
*D_NET *596 0.000605346
*CONN
*I *3319:A1 I *D sky130_fd_sc_hd__o21a_1
*I *3317:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *3319:A1 7.77861e-05
2 *3317:Y 7.77861e-05
3 *3319:A1 *636:15 0.000107496
4 *3286:A *3319:A1 0.000107496
5 *3321:A3 *3319:A1 0.000115585
6 *567:12 *3319:A1 0.000119197
*RES
1 *3317:Y *3319:A1 31.0235
*END
*D_NET *597 0.000187896
*CONN
*I *3319:A2 I *D sky130_fd_sc_hd__o21a_1
*I *3318:X O *D sky130_fd_sc_hd__o2111a_1
*CAP
1 *3319:A2 4.38623e-05
2 *3318:X 4.38623e-05
3 *439:60 *3319:A2 2.7985e-05
4 *587:33 *3319:A2 7.21868e-05
*RES
1 *3318:X *3319:A2 28.915
*END
*D_NET *598 0.000432981
*CONN
*I *3321:B1 I *D sky130_fd_sc_hd__a31o_1
*I *3320:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *3321:B1 0.000129893
2 *3320:Y 0.000129893
3 *3321:B1 *666:15 0.000158997
4 *3321:A2 *3321:B1 1.41976e-05
*RES
1 *3320:Y *3321:B1 22.5493
*END
*D_NET *599 0.00050639
*CONN
*I *3323:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *3321:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *3323:A1 7.00299e-05
2 *3321:X 7.00299e-05
3 *439:42 *3323:A1 6.50727e-05
4 *567:18 *3323:A1 0.000150629
5 *587:33 *3323:A1 0.000150629
*RES
1 *3321:X *3323:A1 30.8842
*END
*D_NET *600 0.000359279
*CONN
*I *3323:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *3322:Y O *D sky130_fd_sc_hd__nand3_1
*CAP
1 *3323:A2 9.26247e-05
2 *3322:Y 9.26247e-05
3 *3322:A *3323:A2 1.47978e-05
4 *3322:B *3323:A2 6.9815e-05
5 *439:42 *3323:A2 6.50727e-05
6 *454:11 *3323:A2 2.4344e-05
*RES
1 *3322:Y *3323:A2 21.8741
*END
*D_NET *601 0.00247085
*CONN
*I *3335:B2 I *D sky130_fd_sc_hd__a22o_1
*I *3327:A0 I *D sky130_fd_sc_hd__mux2_1
*I *3324:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *3335:B2 0.000147464
2 *3327:A0 0.000136227
3 *3324:Y 0.000290899
4 *601:11 0.000574591
5 *3327:A0 *3327:S 1.92336e-05
6 *3327:A0 *3335:A2 0.000324151
7 *3335:B2 *3335:B1 0.000113953
8 *3335:B2 *671:8 0
9 *3335:B2 *726:8 0
10 *3335:B2 *726:10 0
11 *601:11 *3335:A2 0.000207266
12 *601:11 *670:10 0.000290644
13 *601:11 *728:8 0.000144546
14 *601:11 *728:13 0.000149628
15 *3324:B *601:11 7.22498e-05
*RES
1 *3324:Y *601:11 27.623
2 *601:11 *3327:A0 13.3002
3 *601:11 *3335:B2 22.5727
*END
*D_NET *602 0.00270783
*CONN
*I *3332:A2 I *D sky130_fd_sc_hd__a31o_1
*I *3326:B I *D sky130_fd_sc_hd__nand2_1
*I *3325:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *3332:A2 0
2 *3326:B 0.000322031
3 *3325:Y 0.00035832
4 *602:13 0.000680351
5 *3326:B *603:12 5.04829e-06
6 *602:13 *3333:A3 0.000118166
7 *602:13 *3447:CLK 0
8 *602:13 *637:7 0.000207277
9 *602:13 *637:21 0
10 *602:13 *663:27 4.81015e-05
11 *3304:A2 *3326:B 3.20069e-06
12 *3332:A1 *3326:B 0
13 *3337:A1_N *3326:B 0.000252369
14 *3337:A1_N *602:13 0.000583258
15 *3447:D *602:13 3.31882e-05
16 *398:29 *602:13 1.92336e-05
17 *398:35 *602:13 2.65667e-05
18 *507:49 *602:13 5.0715e-05
*RES
1 *3325:Y *602:13 31.84
2 *602:13 *3326:B 28.0116
3 *602:13 *3332:A2 9.24915
*END
*D_NET *603 0.00393184
*CONN
*I *3337:A2_N I *D sky130_fd_sc_hd__a2bb2o_1
*I *3335:A2 I *D sky130_fd_sc_hd__a22o_1
*I *3327:A1 I *D sky130_fd_sc_hd__mux2_1
*I *3326:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *3337:A2_N 0.000182209
2 *3335:A2 0.000225933
3 *3327:A1 0
4 *3326:Y 0
5 *603:12 0.00077969
6 *603:4 0.000735967
7 *3335:A2 *3327:S 6.50586e-05
8 *3335:A2 *3335:A1 0.000153225
9 *3335:A2 *670:10 0.000203818
10 *3335:A2 *728:7 1.43983e-05
11 *3335:A2 *728:8 5.92192e-05
12 *3337:A2_N *3332:B1 1.37189e-05
13 *603:12 *3332:B1 0.000165495
14 *603:12 *3334:B 7.69735e-05
15 *603:12 *3335:B1 0
16 *603:12 *3446:CLK 2.18741e-05
17 *603:12 *605:14 5.50603e-05
18 *603:12 *671:8 7.34932e-05
19 *603:12 *726:10 7.09685e-05
20 *603:12 *726:12 8.96665e-05
21 *603:12 *727:18 0.000144546
22 *603:12 *728:8 0
23 *603:12 *728:13 0
24 *3326:A *3337:A2_N 0.000211478
25 *3326:B *603:12 5.04829e-06
26 *3327:A0 *3335:A2 0.000324151
27 *3337:A1_N *3337:A2_N 4.48986e-05
28 *3337:A1_N *603:12 7.68538e-06
29 *601:11 *3335:A2 0.000207266
*RES
1 *3326:Y *603:4 9.24915
2 *603:4 *603:12 24.7458
3 *603:12 *3327:A1 9.24915
4 *603:12 *3335:A2 28.0357
5 *603:4 *3337:A2_N 14.4576
*END
*D_NET *604 0.000273798
*CONN
*I *3328:A I *D sky130_fd_sc_hd__clkbuf_1
*I *3327:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *3328:A 0.000136899
2 *3327:X 0.000136899
*RES
1 *3327:X *3328:A 21.8741
*END
*D_NET *605 0.00275215
*CONN
*I *3334:B I *D sky130_fd_sc_hd__xnor2_1
*I *3336:D I *D sky130_fd_sc_hd__or4_1
*I *3333:A3 I *D sky130_fd_sc_hd__o31ai_1
*I *3329:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *3334:B 0.00016379
2 *3336:D 2.06659e-05
3 *3333:A3 0.00027174
4 *3329:X 0
5 *605:14 0.000439371
6 *605:4 0.000526655
7 *3333:A3 *3333:B1 7.22498e-05
8 *3334:B *3077:C 5.05252e-05
9 *3334:B *3334:A 1.61631e-05
10 *3334:B *663:5 2.41483e-05
11 *3334:B *670:10 0
12 *3334:B *728:13 0
13 *3336:D *3077:D 0.000111722
14 *605:14 *3077:C 9.60216e-05
15 *605:14 *3331:A 7.92757e-06
16 *605:14 *3332:B1 0.000153711
17 *605:14 *3455:CLK 3.31882e-05
18 *3332:A1 *3333:A3 0.000273022
19 *3332:A1 *605:14 6.50586e-05
20 *398:64 *605:14 1.85012e-05
21 *507:49 *3333:A3 4.57726e-05
22 *507:49 *3336:D 0.000111722
23 *602:13 *3333:A3 0.000118166
24 *603:12 *3334:B 7.69735e-05
25 *603:12 *605:14 5.50603e-05
*RES
1 *3329:X *605:4 9.24915
2 *605:4 *3333:A3 17.1824
3 *605:4 *605:14 12.044
4 *605:14 *3336:D 15.0271
5 *605:14 *3334:B 18.5443
*END
*D_NET *606 0.000677362
*CONN
*I *3332:A3 I *D sky130_fd_sc_hd__a31o_1
*I *3330:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *3332:A3 0.000326442
2 *3330:Y 0.000326442
3 *3332:A3 *3330:B 1.43848e-05
4 *3332:A3 *663:5 1.00937e-05
5 *3332:A3 *671:8 0
6 *3453:D *3332:A3 0
7 *507:49 *3332:A3 0
*RES
1 *3330:Y *3332:A3 34.3456
*END
*D_NET *607 0.00267272
*CONN
*I *3332:B1 I *D sky130_fd_sc_hd__a31o_1
*I *3331:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *3332:B1 0.000458073
2 *3331:Y 0.000458073
3 *3332:B1 *3329:A 6.50727e-05
4 *3332:B1 *3331:A 0.000162439
5 *3332:B1 *3337:B1 0.000158371
6 *3332:B1 *3446:CLK 1.09738e-05
7 *3332:B1 *3455:CLK 3.28261e-05
8 *3332:B1 *637:86 0.000113968
9 *3332:A1 *3332:B1 0.000269565
10 *3337:A1_N *3332:B1 0.000320489
11 *3337:A2_N *3332:B1 1.37189e-05
12 *3455:D *3332:B1 6.92705e-05
13 *507:49 *3332:B1 0.00022067
14 *603:12 *3332:B1 0.000165495
15 *605:14 *3332:B1 0.000153711
*RES
1 *3331:Y *3332:B1 44.7787
*END
*D_NET *608 0.000341277
*CONN
*I *3333:B1 I *D sky130_fd_sc_hd__o31ai_1
*I *3332:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *3333:B1 4.6771e-05
2 *3332:X 4.6771e-05
3 *3333:A3 *3333:B1 7.22498e-05
4 *507:49 *3333:B1 0.000175485
*RES
1 *3332:X *3333:B1 20.9096
*END
*D_NET *609 0.000872418
*CONN
*I *3335:B1 I *D sky130_fd_sc_hd__a22o_1
*I *3334:Y O *D sky130_fd_sc_hd__xnor2_1
*CAP
1 *3335:B1 0.000174687
2 *3334:Y 0.000174687
3 *3335:B1 *3335:A1 0.000146098
4 *3335:B1 *663:5 6.78549e-05
5 *3335:B1 *728:8 0.000195139
6 *3335:B2 *3335:B1 0.000113953
7 *603:12 *3335:B1 0
*RES
1 *3334:Y *3335:B1 34.2062
*END
*D_NET *610 0.000950338
*CONN
*I *3337:B1 I *D sky130_fd_sc_hd__a2bb2o_1
*I *3336:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *3337:B1 0.000220186
2 *3336:X 0.000220186
3 *3337:B1 *670:10 0.000310094
4 *3332:B1 *3337:B1 0.000158371
5 *3455:D *3337:B1 4.15008e-05
6 *398:64 *3337:B1 0
*RES
1 *3336:X *3337:B1 34.9002
*END
*D_NET *611 0.00104101
*CONN
*I *3340:A2 I *D sky130_fd_sc_hd__o211a_1
*I *3338:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *3340:A2 0.000262871
2 *3338:X 0.000262871
3 *3340:A2 *3171:A1 0
4 *3340:A2 *615:33 1.03403e-05
5 *3340:A2 *666:89 0.000297259
6 *3340:A1 *3340:A2 3.75382e-05
7 *522:19 *3340:A2 0.000170129
*RES
1 *3338:X *3340:A2 35.4789
*END
*D_NET *612 0.000869113
*CONN
*I *3340:B1 I *D sky130_fd_sc_hd__o211a_1
*I *3339:X O *D sky130_fd_sc_hd__or2b_1
*CAP
1 *3340:B1 0.000221888
2 *3339:X 0.000221888
3 *3340:B1 *2823:A1 2.90496e-05
4 *3340:B1 *618:36 0.000131793
5 *3340:B1 *621:15 0.000167076
6 *3340:A1 *3340:B1 6.50586e-05
7 *522:19 *3340:B1 2.16355e-05
8 *522:39 *3340:B1 1.07248e-05
*RES
1 *3339:X *3340:B1 32.7168
*END
*D_NET *613 0.000491754
*CONN
*I *3342:A2 I *D sky130_fd_sc_hd__o21a_1
*I *3341:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *3342:A2 0.000139015
2 *3341:X 0.000139015
3 *3342:A2 *695:32 0.000213725
*RES
1 *3341:X *3342:A2 20.8855
*END
*D_NET *614 0.00466016
*CONN
*I *3156:A1 I *D sky130_fd_sc_hd__a221o_1
*I *2823:A1 I *D sky130_fd_sc_hd__a221o_1
*I *3341:A1 I *D sky130_fd_sc_hd__mux2_1
*I *3457:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3156:A1 0
2 *2823:A1 0.000557534
3 *3341:A1 0
4 *3457:Q 3.88785e-05
5 *614:17 0.00100511
6 *614:8 0.000486456
7 *2823:A1 *2823:A2 0
8 *2823:A1 *2823:B1 0.000400231
9 *2823:A1 *2823:B2 1.47046e-05
10 *2823:A1 *3018:A1 8.62321e-06
11 *2823:A1 *3175:A1 0.000599847
12 *2823:A1 *3456:CLK 8.54331e-05
13 *2823:A1 *615:33 1.19856e-05
14 *2823:A1 *618:36 1.25301e-05
15 *614:8 *617:19 7.50872e-05
16 *614:8 *619:47 3.00073e-05
17 *614:17 *3156:B2 0.000603752
18 *3156:B1 *614:17 6.08467e-05
19 *3156:C1 *614:17 0.000121383
20 *3340:B1 *2823:A1 2.90496e-05
21 *343:38 *2823:A1 0.000311249
22 *465:12 *2823:A1 7.50722e-05
23 *522:39 *2823:A1 0.000132381
*RES
1 *3457:Q *614:8 19.6659
2 *614:8 *3341:A1 9.24915
3 *614:8 *614:17 9.09068
4 *614:17 *2823:A1 39.1054
5 *614:17 *3156:A1 9.24915
*END
*D_NET *615 0.00770387
*CONN
*I *2824:B1 I *D sky130_fd_sc_hd__a221o_1
*I *3171:A1 I *D sky130_fd_sc_hd__a221o_1
*I *2988:A1 I *D sky130_fd_sc_hd__mux2_1
*I *3373:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2824:B1 0.00017706
2 *3171:A1 0.000646134
3 *2988:A1 0.000128187
4 *3373:Q 0
5 *615:33 0.00242661
6 *615:4 0.0017316
7 *2824:B1 *2824:B2 0.000122939
8 *2988:A1 *667:25 1.96227e-05
9 *2988:A1 *667:28 7.14746e-05
10 *3171:A1 *2824:A1 0
11 *3171:A1 *2824:B2 3.0676e-05
12 *3171:A1 *666:89 0
13 *3171:A1 *666:109 0
14 *615:33 *2821:A2 0.000160635
15 *615:33 *2821:B1 0.000270209
16 *615:33 *2823:B1 0.000311221
17 *615:33 *2824:B2 0
18 *615:33 *3001:A0 0.000160617
19 *615:33 *619:11 0.000111708
20 *615:33 *666:89 0.000116971
21 *2823:A1 *615:33 1.19856e-05
22 *2824:C1 *3171:A1 1.19971e-05
23 *2985:D_N *3171:A1 0
24 *2988:S *2988:A1 1.61631e-05
25 *2989:B *2988:A1 0
26 *3001:S *615:33 0.000327695
27 *3002:B *615:33 0.000570964
28 *3156:C1 *3171:A1 0
29 *3171:A2 *3171:A1 3.25907e-05
30 *3340:A2 *3171:A1 0
31 *3340:A2 *615:33 1.03403e-05
32 *3377:D *615:33 9.71182e-06
33 *279:44 *3171:A1 0
34 *343:38 *615:33 1.10258e-05
35 *465:12 *3171:A1 0.000120286
36 *522:19 *2824:B1 4.91007e-05
37 *522:19 *615:33 4.63491e-05
*RES
1 *3373:Q *615:4 9.24915
2 *615:4 *2988:A1 20.9439
3 *615:4 *615:33 45.426
4 *615:33 *3171:A1 34.5122
5 *615:33 *2824:B1 12.9385
*END
*D_NET *616 0.00860115
*CONN
*I *3175:A1 I *D sky130_fd_sc_hd__a221o_1
*I *2823:B1 I *D sky130_fd_sc_hd__a221o_1
*I *2991:A1 I *D sky130_fd_sc_hd__mux2_1
*I *3374:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3175:A1 0.000921136
2 *2823:B1 0.000295785
3 *2991:A1 0.000131521
4 *3374:Q 0.000167298
5 *616:19 0.00220732
6 *616:10 0.00128922
7 *2823:B1 *2821:B1 3.31733e-05
8 *2823:B1 *2823:B2 1.47046e-05
9 *2823:B1 *3018:A1 0
10 *2823:B1 *671:85 0
11 *3175:A1 *3167:A1 0
12 *3175:A1 *3192:A1 0
13 *3175:A1 *3501:A 0
14 *3175:A1 *618:36 1.04307e-05
15 *616:10 *3377:CLK 0.000188982
16 *616:10 *3378:CLK 2.16355e-05
17 *616:10 *669:59 0
18 *616:19 *2821:A2 5.14106e-06
19 *2823:A1 *2823:B1 0.000400231
20 *2823:A1 *3175:A1 0.000599847
21 *2823:C1 *2823:B1 9.35753e-06
22 *2991:S *2991:A1 1.03403e-05
23 *2991:S *616:19 9.48729e-05
24 *3005:A *616:10 0
25 *3005:A *616:19 4.0752e-05
26 *3020:A *616:19 3.82228e-05
27 *3164:A *3175:A1 0.000138272
28 *3166:A *3175:A1 0.000132291
29 *3175:A2 *3175:A1 1.90494e-05
30 *3179:A2 *3175:A1 5.04829e-06
31 *3179:C1 *3175:A1 0
32 *3183:A2 *3175:A1 4.86773e-05
33 *3183:B1 *3175:A1 6.23875e-05
34 *3183:C1 *3175:A1 5.51411e-05
35 *3192:A2 *3175:A1 2.71407e-05
36 *3340:A1 *3175:A1 8.47525e-05
37 *3340:A1 *616:19 0.000116755
38 *3382:D *616:19 2.77625e-06
39 *343:20 *616:19 0.00065071
40 *343:38 *2823:B1 1.00981e-05
41 *465:21 *3175:A1 6.11002e-05
42 *478:13 *3175:A1 0.000379098
43 *487:17 *3175:A1 1.66626e-05
44 *615:33 *2823:B1 0.000311221
*RES
1 *3374:Q *616:10 22.4655
2 *616:10 *2991:A1 11.0817
3 *616:10 *616:19 20.7523
4 *616:19 *2823:B1 23.6453
5 *616:19 *3175:A1 41.3199
*END
*D_NET *617 0.00900556
*CONN
*I *2822:B1 I *D sky130_fd_sc_hd__a221o_1
*I *3179:A1 I *D sky130_fd_sc_hd__a221o_1
*I *2994:A1 I *D sky130_fd_sc_hd__mux2_1
*I *3375:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2822:B1 0.000961776
2 *3179:A1 0
3 *2994:A1 2.06324e-05
4 *3375:Q 0.000203269
5 *617:19 0.00119299
6 *617:13 0.00255163
7 *617:5 0.000620758
8 *2822:B1 *2821:A1 4.24012e-05
9 *2822:B1 *2821:A2 7.74361e-05
10 *2822:B1 *2822:B2 1.43983e-05
11 *2822:B1 *3381:CLK 0.000907712
12 *2822:B1 *618:36 3.06917e-06
13 *617:5 *2994:A0 3.14978e-05
14 *617:13 *2994:A0 0.000132414
15 *617:13 *669:42 6.99486e-05
16 *617:19 *815:DIODE 1.04747e-05
17 *617:19 *3004:A0 1.91391e-05
18 *617:19 *3341:A0 0.00014542
19 *617:19 *619:47 0.000106951
20 *617:19 *667:69 6.61114e-05
21 *617:19 *685:85 3.3513e-05
22 *617:19 *689:16 0.000307457
23 *617:19 *732:31 0.000330425
24 *2822:C1 *2822:B1 0
25 *3000:A *617:19 2.84001e-05
26 *3006:A *617:19 0.000224285
27 *3030:A *617:13 2.65831e-05
28 *3179:B1 *617:19 3.31733e-05
29 *3179:C1 *617:19 5.07314e-05
30 *3341:S *617:19 1.78514e-05
31 *3378:D *617:19 0.000412297
32 *278:16 *617:19 6.77328e-05
33 *334:14 *617:19 5.64929e-05
34 *334:19 *617:19 6.74811e-05
35 *351:25 *2822:B1 0
36 *358:12 *617:19 0
37 *522:39 *617:19 9.60216e-05
38 *614:8 *617:19 7.50872e-05
*RES
1 *3375:Q *617:5 13.8548
2 *617:5 *2994:A1 9.82786
3 *617:5 *617:13 9.59705
4 *617:13 *617:19 46.9912
5 *617:19 *3179:A1 9.24915
6 *617:13 *2822:B1 35.4384
*END
*D_NET *618 0.00951077
*CONN
*I *2997:A1 I *D sky130_fd_sc_hd__mux2_1
*I *3183:A1 I *D sky130_fd_sc_hd__a221o_1
*I *2822:A2 I *D sky130_fd_sc_hd__a221o_1
*I *3376:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2997:A1 0.000253363
2 *3183:A1 0
3 *2822:A2 1.9008e-05
4 *3376:Q 0
5 *618:36 0.00143809
6 *618:12 0.00227996
7 *618:5 0.00107623
8 *2822:A2 *2821:A1 6.50727e-05
9 *2997:A1 *669:30 0.000166411
10 *618:12 *820:DIODE 0
11 *618:12 *2822:A1 7.13807e-06
12 *618:12 *2822:B2 8.57132e-05
13 *618:12 *3376:CLK 6.05863e-05
14 *618:12 *662:11 0
15 *618:12 *669:30 8.52802e-05
16 *618:36 *820:DIODE 0
17 *618:36 *2821:A1 8.69817e-05
18 *618:36 *2821:A2 0.000769739
19 *618:36 *2822:A1 1.77537e-06
20 *618:36 *2986:A 4.23622e-05
21 *618:36 *3018:A1 0.000212491
22 *618:36 *3456:CLK 7.24855e-05
23 *618:36 *658:6 0.000116971
24 *618:36 *666:89 2.71055e-05
25 *618:36 *666:109 3.14373e-05
26 *618:36 *689:33 0.000212506
27 *2822:B1 *618:36 3.06917e-06
28 *2822:C1 *618:36 0
29 *2823:A1 *618:36 1.25301e-05
30 *2823:C1 *618:36 0.000221106
31 *2997:S *2997:A1 0.00017614
32 *3026:A *618:12 0.000615083
33 *3029:B *618:12 2.15184e-05
34 *3164:A *618:36 5.8941e-05
35 *3166:A *618:36 4.59895e-05
36 *3175:A1 *618:36 1.04307e-05
37 *3183:A2 *618:36 1.7312e-05
38 *3183:B1 *618:36 0.00023995
39 *3183:C1 *618:36 0.000112159
40 *3340:B1 *618:36 0.000131793
41 *3456:D *618:36 5.99802e-05
42 *246:39 *618:36 4.69495e-06
43 *316:19 *618:36 0.000171342
44 *348:33 *2822:A2 7.11935e-05
45 *358:30 *618:12 6.50727e-05
46 *465:12 *618:36 7.77309e-06
47 *467:18 *618:36 1.02986e-05
48 *467:22 *618:36 7.20535e-05
49 *468:10 *618:36 5.14391e-05
50 *476:9 *618:36 0.000157645
51 *522:39 *618:36 6.25413e-05
*RES
1 *3376:Q *618:5 13.7491
2 *618:5 *618:12 31.5472
3 *618:12 *2822:A2 14.7498
4 *618:12 *618:36 49.0516
5 *618:36 *3183:A1 9.24915
6 *618:5 *2997:A1 20.8779
*END
*D_NET *619 0.00757429
*CONN
*I *3188:A1 I *D sky130_fd_sc_hd__a221o_1
*I *2821:B1 I *D sky130_fd_sc_hd__a22o_1
*I *3001:A1 I *D sky130_fd_sc_hd__mux2_1
*I *3377:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3188:A1 0
2 *2821:B1 0.000431967
3 *3001:A1 0
4 *3377:Q 0.000213639
5 *619:47 0.00125827
6 *619:11 0.000491706
7 *619:7 0.00153165
8 *2821:B1 *2821:B2 0.00011735
9 *2821:B1 *3381:CLK 0.000266832
10 *2821:B1 *671:87 0
11 *2821:B1 *671:89 0
12 *619:11 *3500:A 7.14746e-05
13 *619:47 *3500:A 0.000156854
14 *619:47 *666:109 3.84411e-05
15 *619:47 *666:124 8.99834e-05
16 *619:47 *668:19 0
17 *619:47 *671:79 7.86825e-06
18 *619:47 *671:85 0.000261768
19 *619:47 *685:85 0.00015382
20 *619:47 *689:16 2.54649e-05
21 *619:47 *696:28 3.67801e-05
22 *2823:B1 *2821:B1 3.31733e-05
23 *2823:C1 *2821:B1 0
24 *2987:A *619:47 0.000378553
25 *3001:S *2821:B1 6.50727e-05
26 *3001:S *619:7 4.0752e-05
27 *3001:S *619:11 0.000107496
28 *3017:A *2821:B1 2.65904e-05
29 *3167:C1 *619:47 5.12223e-05
30 *3179:B1 *619:47 1.4769e-05
31 *3188:C1 *619:47 0.000142364
32 *3341:S *619:47 6.39394e-05
33 *3381:D *2821:B1 1.80122e-05
34 *3382:D *619:11 7.14746e-05
35 *3382:D *619:47 0.000340251
36 *168:21 *619:47 0.000193059
37 *343:38 *2821:B1 0.00010466
38 *487:17 *619:47 2.26985e-05
39 *494:13 *619:47 2.02035e-05
40 *522:39 *619:7 0.000207266
41 *614:8 *619:47 3.00073e-05
42 *615:33 *2821:B1 0.000270209
43 *615:33 *619:11 0.000111708
44 *617:19 *619:47 0.000106951
*RES
1 *3377:Q *619:7 17.2456
2 *619:7 *619:11 7.19474
3 *619:11 *3001:A1 9.24915
4 *619:11 *2821:B1 31.6659
5 *619:7 *619:47 46.3557
6 *619:47 *3188:A1 9.24915
*END
*D_NET *620 0.00553202
*CONN
*I *3192:A1 I *D sky130_fd_sc_hd__a221o_1
*I *2821:A2 I *D sky130_fd_sc_hd__a22o_1
*I *3004:A1 I *D sky130_fd_sc_hd__mux2_1
*I *3378:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3192:A1 0.0003319
2 *2821:A2 0.000917622
3 *3004:A1 0.000199278
4 *3378:Q 1.76235e-05
5 *620:23 0.00129981
6 *620:5 0.000267185
7 *2821:A2 *2824:B2 0
8 *2821:A2 *3167:A1 2.95757e-05
9 *2821:A2 *3501:A 9.24241e-05
10 *2821:A2 *657:8 0
11 *2821:A2 *666:89 3.29639e-05
12 *2821:A2 *671:85 2.82537e-05
13 *3004:A1 *689:16 0.000141792
14 *3192:A1 *3167:A1 0.00052954
15 *3192:A1 *3501:A 0.00018149
16 *620:5 *621:15 0
17 *620:23 *3167:A1 6.08467e-05
18 *2822:B1 *2821:A2 7.74361e-05
19 *2987:A *3004:A1 0.000141634
20 *3020:A *2821:A2 1.03403e-05
21 *3175:A1 *3192:A1 0
22 *3340:A1 *2821:A2 3.21865e-05
23 *3340:A1 *3004:A1 0
24 *3456:D *2821:A2 8.62625e-06
25 *288:31 *3004:A1 2.8429e-05
26 *288:31 *620:23 9.19632e-06
27 *522:19 *2821:A2 0.000158357
28 *615:33 *2821:A2 0.000160635
29 *616:19 *2821:A2 5.14106e-06
30 *618:36 *2821:A2 0.000769739
*RES
1 *3378:Q *620:5 9.82786
2 *620:5 *3004:A1 24.0464
3 *620:5 *620:23 5.778
4 *620:23 *2821:A2 45.5554
5 *620:23 *3192:A1 23.8862
*END
*D_NET *621 0.00480326
*CONN
*I *2824:A1 I *D sky130_fd_sc_hd__a221o_1
*I *3339:A I *D sky130_fd_sc_hd__or2b_1
*I *3167:A1 I *D sky130_fd_sc_hd__a221o_1
*I *3456:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2824:A1 0.000163943
2 *3339:A 0
3 *3167:A1 0.00049399
4 *3456:Q 0
5 *621:15 0.00131988
6 *621:4 0.000989828
7 *2824:A1 *2824:A2 1.07248e-05
8 *3167:A1 *671:85 0.000787243
9 *621:15 *3456:CLK 4.03114e-05
10 *2821:A2 *3167:A1 2.95757e-05
11 *2824:C1 *2824:A1 0
12 *3171:A1 *2824:A1 0
13 *3175:A1 *3167:A1 0
14 *3192:A1 *3167:A1 0.00052954
15 *3340:A1 *3167:A1 1.88152e-05
16 *3340:A1 *621:15 6.36477e-05
17 *3340:B1 *621:15 0.000167076
18 *3456:D *621:15 6.67095e-06
19 *279:44 *2824:A1 0.000114715
20 *288:31 *3167:A1 9.80242e-07
21 *476:20 *3167:A1 5.48015e-06
22 *478:13 *3167:A1 0
23 *620:5 *621:15 0
24 *620:23 *3167:A1 6.08467e-05
*RES
1 *3456:Q *621:4 9.24915
2 *621:4 *621:15 15.2637
3 *621:15 *3167:A1 34.7866
4 *621:15 *3339:A 9.24915
5 *621:4 *2824:A1 22.9879
*END
*D_NET *622 0.0437604
*CONN
*I *869:DIODE I *D sky130_fd_sc_hd__diode_2
*I *870:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3491:A I *D sky130_fd_sc_hd__clkbuf_2
*I *3490:A I *D sky130_fd_sc_hd__clkbuf_2
*I *867:DIODE I *D sky130_fd_sc_hd__diode_2
*I *868:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3489:A I *D sky130_fd_sc_hd__clkbuf_2
*I *3488:A I *D sky130_fd_sc_hd__clkbuf_2
*I *3485:A I *D sky130_fd_sc_hd__clkbuf_2
*I *864:DIODE I *D sky130_fd_sc_hd__diode_2
*I *863:DIODE I *D sky130_fd_sc_hd__diode_2
*I *866:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3487:A I *D sky130_fd_sc_hd__clkbuf_2
*I *3486:A I *D sky130_fd_sc_hd__clkbuf_2
*I *865:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3484:A I *D sky130_fd_sc_hd__clkbuf_2
*I *3483:X O *D sky130_fd_sc_hd__clkbuf_16
*CAP
1 *869:DIODE 0
2 *870:DIODE 5.75414e-05
3 *3491:A 6.48813e-05
4 *3490:A 0.000207615
5 *867:DIODE 2.88962e-05
6 *868:DIODE 0.000146778
7 *3489:A 0
8 *3488:A 0
9 *3485:A 2.06324e-05
10 *864:DIODE 0
11 *863:DIODE 0
12 *866:DIODE 0.000263311
13 *3487:A 0
14 *3486:A 0
15 *865:DIODE 0.00014896
16 *3484:A 0.000226808
17 *3483:X 0
18 *622:162 0.000508734
19 *622:156 0.000732158
20 *622:140 0.00107883
21 *622:138 0.00234115
22 *622:116 0.000889849
23 *622:106 0.00112384
24 *622:98 0.00150086
25 *622:61 0.00146895
26 *622:43 0.0013546
27 *622:41 0.00179477
28 *622:26 0.00233766
29 *622:21 0.00105569
30 *622:13 0.0014293
31 *622:11 0.00251039
32 *622:6 0.00381396
33 *622:4 0.00273847
34 *866:DIODE *732:14 0
35 *870:DIODE *733:29 1.80257e-05
36 *3484:A *2925:A0 0.000167076
37 *3484:A *3374:CLK 2.65831e-05
38 *3490:A *3131:C 0
39 *3490:A *3442:CLK 0.00010485
40 *3490:A *3495:A 0.000117376
41 *3490:A *3496:A 0.000192319
42 *3490:A *715:16 8.62625e-06
43 *622:6 *675:16 5.65129e-05
44 *622:6 *685:47 0
45 *622:6 *685:56 0
46 *622:11 *2892:A 5.05252e-05
47 *622:11 *3018:A0 9.07193e-05
48 *622:11 *3018:A1 4.31539e-05
49 *622:11 *3501:A 0.000294093
50 *622:11 *624:5 0.000400335
51 *622:11 *673:18 1.91391e-05
52 *622:11 *673:25 0.000124447
53 *622:11 *675:16 6.34651e-06
54 *622:11 *678:6 0
55 *622:13 *3018:A0 0.000136856
56 *622:13 *668:44 0.00011818
57 *622:21 *667:28 0
58 *622:26 *695:8 3.68867e-05
59 *622:26 *695:32 1.18938e-05
60 *622:41 *2933:A0 0
61 *622:41 *3357:CLK 0
62 *622:41 *3499:A 0
63 *622:41 *3503:A 0.000105213
64 *622:41 *625:6 8.07939e-05
65 *622:41 *642:6 0.000156823
66 *622:41 *642:10 0.000127179
67 *622:41 *642:32 0.000409182
68 *622:41 *695:32 4.85462e-05
69 *622:41 *696:28 0
70 *622:41 *697:6 0
71 *622:61 *2967:A 0.000118166
72 *622:61 *2976:A1 2.37478e-05
73 *622:61 *3173:A 6.50727e-05
74 *622:61 *3270:A0 0.000428003
75 *622:61 *3270:A1 0.000169872
76 *622:61 *3365:CLK 0
77 *622:61 *643:25 0
78 *622:61 *663:64 0.00067974
79 *622:61 *732:14 0
80 *622:98 *839:DIODE 0.00167077
81 *622:98 *646:14 1.66771e-05
82 *622:106 *2865:A 0.000171273
83 *622:106 *761:19 0.00011818
84 *622:116 *628:7 0.000956452
85 *622:116 *761:19 3.34025e-05
86 *622:138 *714:59 3.82228e-05
87 *622:138 *730:11 2.7285e-05
88 *622:140 *3131:C 0.000418562
89 *622:140 *715:16 8.96235e-05
90 *622:162 *733:7 5.05976e-05
91 *622:162 *733:29 0.000239657
92 *2868:C_N *868:DIODE 1.43848e-05
93 *2878:A *622:98 1.65872e-05
94 *2878:A *622:106 0.000415326
95 *2881:C *867:DIODE 6.64609e-05
96 *2881:C *868:DIODE 5.05252e-05
97 *2925:S *3484:A 6.49003e-05
98 *2926:A *622:26 0
99 *2926:A *622:41 0
100 *2926:B *622:26 0
101 *2927:A *622:26 1.92793e-05
102 *2933:S *622:41 0
103 *2937:B *622:41 0
104 *2942:S *622:41 0.000106206
105 *2965:B *622:61 8.18715e-05
106 *2974:C1 *622:61 9.24241e-05
107 *2985:D_N *622:6 3.57618e-05
108 *2992:A *622:21 6.12686e-06
109 *2992:B *622:21 5.0715e-05
110 *2993:A *622:21 6.47268e-05
111 *3012:S *622:11 0.000260374
112 *3014:A *622:11 3.82654e-05
113 *3018:S *622:11 0.000493194
114 *3019:B *622:11 2.29454e-05
115 *3045:A *622:138 3.24105e-05
116 *3103:A *622:138 3.90524e-05
117 *3106:A *622:138 7.92757e-06
118 *3106:B *622:138 3.82228e-05
119 *3106:B *622:140 0.000170592
120 *3114:A2 *622:140 0
121 *3131:A *622:140 0.000165287
122 *3151:A *622:6 0.000447016
123 *3153:B_N *622:6 0
124 *3155:A_N *622:6 2.61857e-05
125 *3155:B_N *622:6 0.000251428
126 *3157:A *622:6 3.22726e-05
127 *3265:B *622:41 0.000113374
128 *3269:A *622:61 2.9373e-05
129 *3275:A *622:162 3.25751e-05
130 *3276:B1 *622:162 3.58321e-05
131 *3292:C_N *870:DIODE 6.50586e-05
132 *3357:D *622:41 0
133 *3361:D *622:41 0
134 *3369:D *622:61 6.80864e-05
135 *3370:D *622:61 0
136 *3387:D *622:138 8.67253e-05
137 *3440:D *622:41 0
138 *3442:D *3490:A 9.12416e-06
139 *219:21 *868:DIODE 3.3171e-06
140 *221:47 *867:DIODE 7.50872e-05
141 *221:47 *868:DIODE 0.000186445
142 *241:7 *622:106 2.41483e-05
143 *246:50 *622:6 0.000164588
144 *248:40 *868:DIODE 4.34229e-05
145 *250:57 *622:98 6.36477e-05
146 *250:57 *622:106 0.000145204
147 *261:48 *622:6 4.12119e-05
148 *264:10 *622:6 0.000200221
149 *279:44 *622:11 0
150 *293:14 *622:41 0
151 *293:24 *622:41 0
152 *294:19 *622:41 0
153 *305:29 *622:21 1.56657e-05
154 *305:31 *622:21 2.69064e-05
155 *308:10 *622:6 4.66004e-05
156 *309:6 *622:6 0
157 *311:21 *622:61 3.51468e-05
158 *311:39 *622:61 8.8679e-05
159 *313:14 *622:6 7.14746e-05
160 *313:23 *622:6 0.000143047
161 *317:41 *622:61 0.000113968
162 *324:29 *622:41 0
163 *324:36 *622:41 0
164 *330:8 *622:6 4.20184e-06
165 *330:8 *622:11 8.49831e-05
166 *330:23 *622:6 2.81361e-06
167 *367:14 *622:140 8.39572e-05
168 *367:22 *622:140 0
169 *387:45 *3490:A 5.99111e-05
170 *387:45 *622:140 0.000440104
171 *399:24 *870:DIODE 0.000248437
172 *399:24 *622:162 0.000736136
173 *413:46 *870:DIODE 4.0752e-05
174 *414:9 *3491:A 0.000145106
175 *426:5 *622:138 6.92705e-05
176 *430:13 *622:140 6.35042e-05
177 *436:33 *3491:A 4.59164e-06
178 *461:8 *622:6 0
179 *462:26 *622:6 0
180 *462:35 *622:6 0
181 *472:8 *622:6 0.000352995
182 *522:39 *622:13 6.12686e-06
183 *522:55 *622:140 3.60268e-05
184 *522:59 *3490:A 5.46553e-05
185 *522:59 *622:140 9.37736e-06
186 *576:17 *3491:A 0.000166426
*RES
1 *3483:X *622:4 9.24915
2 *622:4 *622:6 34.7768
3 *622:6 *622:11 36.3727
4 *622:11 *622:13 10.7063
5 *622:13 *622:21 21.1496
6 *622:21 *622:26 10.3802
7 *622:26 *3484:A 19.4881
8 *622:26 *622:41 46.7525
9 *622:41 *622:43 4.5
10 *622:43 *865:DIODE 12.7697
11 *622:43 *3486:A 9.24915
12 *622:43 *622:61 41.8578
13 *622:61 *3487:A 13.7491
14 *622:61 *866:DIODE 18.6595
15 *622:21 *863:DIODE 9.24915
16 *622:13 *864:DIODE 9.24915
17 *622:11 *3485:A 9.82786
18 *622:6 *622:98 15.2961
19 *622:98 *3488:A 9.24915
20 *622:98 *622:106 12.9488
21 *622:106 *3489:A 9.24915
22 *622:106 *622:116 16.8942
23 *622:116 *868:DIODE 17.9655
24 *622:116 *867:DIODE 15.1659
25 *622:4 *622:138 30.9375
26 *622:138 *622:140 20.1031
27 *622:140 *3490:A 21.3737
28 *622:140 *622:156 9.10562
29 *622:156 *622:162 24.1719
30 *622:162 *3491:A 21.3269
31 *622:162 *870:DIODE 12.191
32 *622:156 *869:DIODE 9.24915
*END
*D_NET *623 0.00459076
*CONN
*I *3499:A I *D sky130_fd_sc_hd__clkbuf_2
*I *3492:A I *D sky130_fd_sc_hd__clkbuf_2
*I *3484:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3499:A 0.000719879
2 *3492:A 0.000440964
3 *3484:X 0
4 *623:5 0.00116084
5 *3492:A *3374:CLK 0.00053433
6 *3492:A *3375:CLK 6.08697e-06
7 *3492:A *631:28 0.000317707
8 *3492:A *631:38 0.000152878
9 *3492:A *695:8 0
10 *3499:A *840:DIODE 0
11 *3499:A *2928:A0 0.000113777
12 *3499:A *2933:A0 0.000540793
13 *3499:A *2933:A1 0.000185642
14 *3499:A *3374:CLK 3.67708e-05
15 *3499:A *695:8 0
16 *3499:A *695:32 1.14131e-06
17 *3499:A *696:28 4.55455e-05
18 *2925:S *3499:A 0.000209961
19 *2927:A *3492:A 0
20 *2950:A *3499:A 1.43848e-05
21 *2950:B *3499:A 0.000101133
22 *3374:D *3499:A 8.92568e-06
23 *305:29 *3499:A 0
24 *622:41 *3499:A 0
*RES
1 *3484:X *623:5 13.7491
2 *623:5 *3492:A 28.6312
3 *623:5 *3499:A 36.0508
*END
*D_NET *624 0.0049199
*CONN
*I *3501:A I *D sky130_fd_sc_hd__clkbuf_2
*I *3500:A I *D sky130_fd_sc_hd__clkbuf_2
*I *3485:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3501:A 0.000421696
2 *3500:A 0.00052448
3 *3485:X 0.000100619
4 *624:5 0.00104679
5 *3500:A *3382:CLK 0.000148129
6 *3500:A *639:16 8.01837e-05
7 *3500:A *639:41 0.00016791
8 *3500:A *639:52 0.000188997
9 *3500:A *661:10 9.49135e-05
10 *3500:A *662:11 0
11 *3500:A *671:89 0
12 *3500:A *689:16 0.000164235
13 *3501:A *671:85 0.000177006
14 *624:5 *3018:A0 0.000146924
15 *2821:A2 *3501:A 9.24241e-05
16 *3019:A *3501:A 1.34424e-05
17 *3019:A *624:5 2.41274e-06
18 *3019:B *3501:A 0.000203604
19 *3028:S *3500:A 5.80512e-05
20 *3032:B *3500:A 4.34143e-05
21 *3175:A1 *3501:A 0
22 *3192:A1 *3501:A 0.00018149
23 *3340:A1 *3501:A 8.33054e-05
24 *3382:D *3500:A 5.71095e-05
25 *619:11 *3500:A 7.14746e-05
26 *619:47 *3500:A 0.000156854
27 *622:11 *3501:A 0.000294093
28 *622:11 *624:5 0.000400335
*RES
1 *3485:X *624:5 13.8548
2 *624:5 *3500:A 35.8607
3 *624:5 *3501:A 30.6368
*END
*D_NET *625 0.00185136
*CONN
*I *3502:A I *D sky130_fd_sc_hd__clkbuf_2
*I *3503:A I *D sky130_fd_sc_hd__clkbuf_2
*I *3486:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3502:A 0.000108479
2 *3503:A 0.000275499
3 *3486:X 9.89333e-05
4 *625:6 0.00048291
5 *3502:A *641:31 0.000436811
6 *3503:A *3440:CLK 6.50586e-05
7 *3503:A *723:8 0
8 *3248:A *3503:A 1.92172e-05
9 *3265:B *3503:A 0.000178446
10 *622:41 *3503:A 0.000105213
11 *622:41 *625:6 8.07939e-05
*RES
1 *3486:X *625:6 16.8269
2 *625:6 *3503:A 21.8422
3 *625:6 *3502:A 18.3548
*END
*D_NET *626 0.00268429
*CONN
*I *3505:A I *D sky130_fd_sc_hd__clkbuf_2
*I *3504:A I *D sky130_fd_sc_hd__clkbuf_2
*I *3487:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3505:A 0.000340364
2 *3504:A 0.000263057
3 *3487:X 0.000132364
4 *626:7 0.000735785
5 *3504:A *671:79 1.77439e-05
6 *3505:A *644:7 2.9373e-05
7 *3505:A *644:13 0
8 *626:7 *3190:A 3.58208e-05
9 *626:7 *672:9 0.000689459
10 *2977:B *3504:A 0.000141194
11 *2977:B *626:7 0.000277488
12 *2978:B1 *626:7 2.16355e-05
13 *165:10 *3504:A 0
14 *165:10 *3505:A 0
15 *171:6 *3505:A 0
*RES
1 *3487:X *626:7 21.1278
2 *626:7 *3504:A 20.5642
3 *626:7 *3505:A 21.9815
*END
*D_NET *627 0.00436401
*CONN
*I *3507:A I *D sky130_fd_sc_hd__clkbuf_2
*I *3506:A I *D sky130_fd_sc_hd__clkbuf_2
*I *3488:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3507:A 0.000323139
2 *3506:A 0.00068975
3 *3488:X 0.000148988
4 *627:10 0.00116188
5 *3506:A *3380:CLK 0.000133878
6 *3506:A *670:28 0.000258388
7 *3506:A *671:120 0.000317322
8 *3507:A *646:14 0
9 *3507:A *670:28 0.000121405
10 *627:10 *833:DIODE 0.000114594
11 *627:10 *646:14 0
12 *2919:B *3506:A 9.04199e-05
13 *2982:B *3507:A 0.000329576
14 *249:43 *3507:A 0.000267404
15 *250:57 *627:10 0.000114594
16 *392:16 *3507:A 1.65872e-05
17 *522:19 *3506:A 8.81251e-05
18 *522:19 *3507:A 0.000112893
19 *522:55 *3507:A 7.50722e-05
*RES
1 *3488:X *627:10 17.1156
2 *627:10 *3506:A 34.7973
3 *627:10 *3507:A 24.9594
*END
*D_NET *628 0.00612118
*CONN
*I *3494:A I *D sky130_fd_sc_hd__clkbuf_2
*I *3493:A I *D sky130_fd_sc_hd__clkbuf_2
*I *3489:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3494:A 0.000112086
2 *3493:A 0.000416741
3 *3489:X 0.000380143
4 *628:7 0.00090897
5 *3493:A *2884:B1 0.000139435
6 *3493:A *3396:CLK 0.000264598
7 *3493:A *632:39 6.50586e-05
8 *3493:A *632:72 0.000144531
9 *3493:A *665:25 4.79439e-05
10 *628:7 *2825:A 4.73434e-05
11 *628:7 *2825:D 0.000107496
12 *628:7 *761:19 0.000175485
13 *628:7 *761:46 1.65872e-05
14 *628:7 *762:13 1.19207e-05
15 *2862:S *3493:A 0.000111708
16 *2873:A3 *628:7 0.000128736
17 *2881:C *628:7 0.000113968
18 *2882:B *628:7 0.000276151
19 *2883:B *3494:A 3.21548e-05
20 *2883:B *628:7 7.92757e-06
21 *2887:A1 *3493:A 0.000265236
22 *2887:A1 *3494:A 0.000115269
23 *2887:A2 *3493:A 0.000163982
24 *2887:A2 *3494:A 0.00010836
25 *3347:D *3493:A 3.08147e-05
26 *210:8 *3494:A 9.24241e-05
27 *210:8 *628:7 0.000154145
28 *212:8 *3493:A 0.000341252
29 *212:33 *3493:A 0.000336157
30 *241:7 *628:7 4.0752e-05
31 *254:100 *3494:A 7.34948e-06
32 *622:116 *628:7 0.000956452
*RES
1 *3489:X *628:7 30.0014
2 *628:7 *3493:A 32.6387
3 *628:7 *3494:A 17.829
*END
*D_NET *629 0.00529233
*CONN
*I *3496:A I *D sky130_fd_sc_hd__clkbuf_2
*I *3495:A I *D sky130_fd_sc_hd__clkbuf_2
*I *3490:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3496:A 0.000573661
2 *3495:A 0.000488507
3 *3490:X 0
4 *629:4 0.00106217
5 *3495:A *3442:CLK 0.000185522
6 *3495:A *634:52 0.000684349
7 *3495:A *663:52 0
8 *3495:A *714:97 0
9 *3496:A *3274:B1 7.39022e-06
10 *3496:A *3276:A1 0.000130777
11 *3496:A *3448:CLK 0.000195154
12 *3496:A *635:8 0.00037088
13 *3496:A *663:52 0.000576259
14 *3058:A2 *3495:A 6.50727e-05
15 *3058:B1 *3495:A 0.000107496
16 *3092:B1 *3496:A 8.62321e-06
17 *3442:D *3496:A 1.87611e-05
18 *3490:A *3495:A 0.000117376
19 *3490:A *3496:A 0.000192319
20 *254:26 *3495:A 7.77309e-06
21 *325:65 *3496:A 4.0327e-05
22 *370:44 *3495:A 0.000211478
23 *382:14 *3495:A 9.9803e-05
24 *385:18 *3495:A 2.7652e-05
25 *387:45 *3496:A 0.000120985
26 *522:69 *3496:A 0
27 *522:74 *3496:A 0
28 *522:93 *3496:A 0
*RES
1 *3490:X *629:4 9.24915
2 *629:4 *3495:A 34.83
3 *629:4 *3496:A 38.3901
*END
*D_NET *630 0.00407487
*CONN
*I *3498:A I *D sky130_fd_sc_hd__clkbuf_2
*I *3497:A I *D sky130_fd_sc_hd__clkbuf_2
*I *3491:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3498:A 0.000525068
2 *3497:A 0.000409857
3 *3491:X 0.000156859
4 *630:8 0.00109178
5 *3497:A *3072:C 0
6 *3497:A *734:15 0
7 *3498:A *3073:C 1.03986e-05
8 *3498:A *3302:B1_N 0
9 *3498:A *3307:A1 0
10 *3498:A *671:8 5.87297e-05
11 *3498:A *737:18 1.11594e-05
12 *3279:A1 *3497:A 0.000286051
13 *3286:D *3497:A 6.50586e-05
14 *3292:B *3497:A 0
15 *3296:B *3498:A 0
16 *3297:A1 *3497:A 0.00023836
17 *3297:A1 *3498:A 0.000125443
18 *3297:B1 *3498:A 5.39635e-06
19 *3297:C1 *3498:A 0.000110777
20 *3304:A2 *3498:A 0
21 *3304:B1 *3498:A 0
22 *3306:B *630:8 0.000220665
23 *3309:A2 *630:8 0.000268798
24 *3332:A1 *3498:A 0.000101133
25 *412:25 *3498:A 5.39635e-06
26 *564:9 *3497:A 6.08467e-05
27 *575:19 *3497:A 0
28 *575:23 *630:8 3.82228e-05
29 *576:17 *630:8 6.42019e-05
30 *585:14 *3497:A 0
31 *585:14 *3498:A 0
32 *587:17 *630:8 0.000220665
*RES
1 *3491:X *630:8 20.7514
2 *630:8 *3497:A 24.6096
3 *630:8 *3498:A 25.9624
*END
*D_NET *631 0.00456074
*CONN
*I *3373:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3374:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3375:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3434:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3356:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3492:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3373:CLK 0
2 *3374:CLK 0.000368426
3 *3375:CLK 0.000228919
4 *3434:CLK 0.00030537
5 *3356:CLK 0.00013634
6 *3492:X 2.21016e-05
7 *631:38 0.000415306
8 *631:28 0.000346061
9 *631:8 0.000592972
10 *631:5 0.000243625
11 *3374:CLK *840:DIODE 0
12 *3374:CLK *673:12 0
13 *3375:CLK *667:10 3.90891e-05
14 *3434:CLK *695:8 0
15 *631:5 *673:11 2.65667e-05
16 *631:28 *673:11 0.000151453
17 *631:38 *673:11 8.65358e-05
18 *2926:B *3434:CLK 3.31733e-05
19 *2927:A *3375:CLK 8.85525e-05
20 *2927:A *3434:CLK 0
21 *2990:A *3374:CLK 0
22 *2990:A *3375:CLK 0
23 *3356:D *3356:CLK 0.000123361
24 *3356:D *631:8 5.47516e-05
25 *3373:D *3375:CLK 0
26 *3374:D *3374:CLK 0.000223784
27 *3484:A *3374:CLK 2.65831e-05
28 *3492:A *3374:CLK 0.00053433
29 *3492:A *3375:CLK 6.08697e-06
30 *3492:A *631:28 0.000317707
31 *3492:A *631:38 0.000152878
32 *3499:A *3374:CLK 3.67708e-05
33 *305:33 *3375:CLK 0
*RES
1 *3492:X *631:5 9.97254
2 *631:5 *631:8 8.40826
3 *631:8 *3356:CLK 17.2697
4 *631:8 *3434:CLK 20.8779
5 *631:5 *631:28 4.05102
6 *631:28 *3375:CLK 25.0642
7 *631:28 *631:38 2.38721
8 *631:38 *3374:CLK 30.9127
9 *631:38 *3373:CLK 9.24915
*END
*D_NET *632 0.012303
*CONN
*I *3396:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3397:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3405:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3398:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3348:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3400:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3344:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3354:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3399:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3493:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3396:CLK 0.000358022
2 *3397:CLK 5.84534e-05
3 *3405:CLK 0
4 *3398:CLK 0.000204274
5 *3348:CLK 0
6 *3400:CLK 0.000243166
7 *3344:CLK 0.000311966
8 *3354:CLK 5.5217e-05
9 *3399:CLK 0.000274248
10 *3493:X 0
11 *632:77 0.000189351
12 *632:72 0.000563469
13 *632:47 0.000588008
14 *632:40 0.000741925
15 *632:39 0.000334212
16 *632:17 0.000505838
17 *632:7 0.000913272
18 *632:4 0.000567222
19 *3344:CLK *2850:A0 0
20 *3344:CLK *665:31 2.85139e-05
21 *3344:CLK *747:8 0
22 *3354:CLK *2859:A0 9.91596e-05
23 *3354:CLK *685:16 0.000383703
24 *3396:CLK *3069:A0 0.000387915
25 *3396:CLK *665:25 0.000110147
26 *3397:CLK *685:11 1.41976e-05
27 *3397:CLK *685:16 6.49003e-05
28 *3398:CLK *692:11 0.000193642
29 *3398:CLK *752:10 0.000183145
30 *3399:CLK *2843:A0 0
31 *3399:CLK *2901:A1 0
32 *3399:CLK *692:11 0.000147325
33 *632:7 *2857:A1 0.000154145
34 *632:7 *2862:A0 0.000512562
35 *632:7 *2862:A1 2.15348e-05
36 *632:7 *758:7 4.26566e-05
37 *632:17 *2850:A0 0
38 *632:17 *2901:A1 0
39 *632:40 *2840:A1 0.000143047
40 *632:40 *665:25 8.93599e-05
41 *632:47 *2839:A0 9.17188e-05
42 *632:47 *665:25 0.000231559
43 *632:47 *744:8 6.17339e-05
44 *632:72 *665:25 7.26877e-05
45 *632:77 *685:16 7.98171e-06
46 *2835:A *3396:CLK 0.000120742
47 *2839:S *632:47 0
48 *2840:A0 *632:40 5.90768e-05
49 *2840:A0 *632:47 3.1218e-05
50 *2849:A *3400:CLK 0
51 *2861:A *3344:CLK 0.000118485
52 *2861:A *3399:CLK 0.000144531
53 *2861:A *632:17 0.000243633
54 *2862:S *632:7 0.000116153
55 *2862:S *632:39 3.99701e-05
56 *2899:A *3400:CLK 1.07248e-05
57 *3348:D *3400:CLK 0.00017407
58 *3348:D *632:47 0.000132775
59 *3398:D *3398:CLK 0.000155569
60 *3399:D *3399:CLK 0.000147325
61 *3400:D *3400:CLK 0
62 *3404:D *3399:CLK 2.22788e-05
63 *3493:A *3396:CLK 0.000264598
64 *3493:A *632:39 6.50586e-05
65 *3493:A *632:72 0.000144531
66 *211:8 *3344:CLK 8.67988e-05
67 *219:21 *3396:CLK 0.000665391
68 *221:20 *632:40 0
69 *221:32 *3396:CLK 0.000260374
70 *227:14 *3399:CLK 0
71 *268:41 *3400:CLK 9.12601e-05
72 *268:41 *632:47 0.000258143
*RES
1 *3493:X *632:4 9.24915
2 *632:4 *632:7 18.5339
3 *632:7 *3399:CLK 23.2301
4 *632:7 *632:17 4.32351
5 *632:17 *3354:CLK 17.8002
6 *632:17 *3344:CLK 21.5851
7 *632:4 *632:39 6.3326
8 *632:39 *632:40 6.39977
9 *632:40 *632:47 17.8817
10 *632:47 *3400:CLK 25.4074
11 *632:47 *3348:CLK 9.24915
12 *632:40 *3398:CLK 22.237
13 *632:39 *632:72 3.07775
14 *632:72 *632:77 6.76664
15 *632:77 *3405:CLK 9.24915
16 *632:77 *3397:CLK 11.0817
17 *632:72 *3396:CLK 32.1601
*END
*D_NET *633 0.0165365
*CONN
*I *3416:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3415:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3395:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3411:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3412:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3345:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3346:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3347:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3494:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3416:CLK 0.000221729
2 *3415:CLK 0.000498612
3 *3395:CLK 0.00016061
4 *3411:CLK 0.000149453
5 *3412:CLK 0.000669735
6 *3345:CLK 0.00106823
7 *3346:CLK 0.00040292
8 *3347:CLK 0.00025002
9 *3494:X 0
10 *633:81 0.00076589
11 *633:78 0.000619926
12 *633:53 0.000939099
13 *633:31 0.00189427
14 *633:26 0.00104629
15 *633:6 0.000820702
16 *633:5 0.000216403
17 *3345:CLK *761:19 0.000423936
18 *3345:CLK *761:46 0.000118938
19 *3346:CLK *2884:A1 6.73186e-05
20 *3346:CLK *763:5 7.06457e-05
21 *3347:CLK *2825:C 3.12316e-05
22 *3347:CLK *2884:B1 0.000162583
23 *3347:CLK *665:25 0.000302173
24 *3347:CLK *764:5 0.00015511
25 *3412:CLK *823:DIODE 1.91246e-05
26 *3415:CLK *3141:A 0
27 *3415:CLK *3145:B 0.000130777
28 *3415:CLK *676:8 0
29 *633:6 *2825:C 3.64684e-05
30 *633:78 *770:8 5.05841e-05
31 *837:DIODE *3415:CLK 0
32 *838:DIODE *633:81 2.64881e-05
33 *2826:B *3345:CLK 7.12884e-05
34 *2834:A *3345:CLK 5.30145e-05
35 *2834:A *633:31 2.16355e-05
36 *2867:A *3411:CLK 0.000171273
37 *2873:A3 *3345:CLK 0.000249171
38 *2873:A3 *633:53 1.5714e-05
39 *2873:B1 *3345:CLK 0.000171273
40 *2883:B *3345:CLK 0.000175008
41 *2883:B *633:31 0.00055161
42 *2887:A1 *633:6 3.04193e-05
43 *2909:A *3345:CLK 9.18559e-06
44 *3070:A *3347:CLK 0.000122083
45 *3101:C *3412:CLK 1.55462e-05
46 *3120:B *3412:CLK 6.03102e-05
47 *3125:A2 *3412:CLK 0
48 *3127:A *3415:CLK 2.64881e-05
49 *3128:A1 *3412:CLK 4.01315e-05
50 *3128:A2 *3412:CLK 4.6659e-05
51 *3132:B *3412:CLK 0
52 *3146:A1 *3415:CLK 0.000195154
53 *3146:A1 *633:81 0.000220088
54 *3146:A2 *3415:CLK 0
55 *3146:B1 *3415:CLK 3.94829e-05
56 *3147:B *3395:CLK 2.16355e-05
57 *3147:B *3415:CLK 5.36397e-05
58 *3147:B *633:81 2.22342e-05
59 *3148:A *3395:CLK 0.000152239
60 *3345:D *3345:CLK 1.38386e-05
61 *3346:D *3346:CLK 0.000541776
62 *3347:D *3347:CLK 0.000166216
63 *3347:D *633:31 0.000116755
64 *3412:D *3412:CLK 3.71286e-05
65 *210:8 *633:26 0
66 *210:11 *3411:CLK 7.57519e-05
67 *211:8 *3345:CLK 1.80257e-05
68 *214:20 *3412:CLK 3.60268e-05
69 *214:22 *3345:CLK 7.09666e-06
70 *214:22 *3412:CLK 7.77309e-06
71 *214:22 *633:53 0.000113527
72 *216:17 *3412:CLK 2.2816e-05
73 *218:12 *3412:CLK 0
74 *218:14 *633:53 0
75 *220:13 *3347:CLK 8.30204e-05
76 *221:47 *633:31 0.000131876
77 *243:12 *3411:CLK 9.7211e-05
78 *243:12 *633:53 8.74731e-05
79 *254:100 *633:26 1.87469e-05
80 *254:100 *633:78 4.52611e-05
81 *258:8 *3347:CLK 2.24484e-05
82 *258:8 *633:6 0.000362216
83 *258:8 *633:26 0.000101118
84 *258:8 *633:78 0.000117007
85 *258:8 *633:81 0
86 *258:26 *3415:CLK 0
87 *259:29 *3411:CLK 1.92172e-05
88 *443:8 *3415:CLK 2.39313e-05
89 *456:131 *3412:CLK 3.75603e-05
90 *456:136 *3412:CLK 2.19973e-05
91 *456:136 *3415:CLK 0.000158997
92 *457:31 *3412:CLK 0.000197132
*RES
1 *3494:X *633:5 13.7491
2 *633:5 *633:6 6.39977
3 *633:6 *3347:CLK 24.369
4 *633:6 *3346:CLK 26.6738
5 *633:5 *633:26 1.832
6 *633:26 *633:31 20.8155
7 *633:31 *3345:CLK 40.1422
8 *633:31 *633:53 3.3953
9 *633:53 *3412:CLK 29.3383
10 *633:53 *3411:CLK 19.0876
11 *633:26 *633:78 12.4621
12 *633:78 *633:81 8.40826
13 *633:81 *3395:CLK 18.9094
14 *633:81 *3415:CLK 28.6312
15 *633:78 *3416:CLK 14.4094
*END
*D_NET *634 0.00809384
*CONN
*I *3442:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3392:CLK I *D sky130_fd_sc_hd__dfxtp_2
*I *3393:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3391:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3389:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3388:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3387:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3495:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3442:CLK 0.000301436
2 *3392:CLK 0
3 *3393:CLK 0.000168328
4 *3391:CLK 0.000189109
5 *3389:CLK 0
6 *3388:CLK 0.00020553
7 *3387:CLK 0.000298899
8 *3495:X 0
9 *634:52 0.000789757
10 *634:33 0.000442701
11 *634:10 0.000683455
12 *634:8 0.000290924
13 *634:7 0.000447147
14 *634:4 0.000738307
15 *3391:CLK *663:63 0.000157941
16 *3393:CLK *3087:B 8.84036e-05
17 *3442:CLK *3057:A 4.56475e-05
18 *634:10 *667:55 1.91246e-05
19 *634:52 *3057:A 1.81083e-05
20 *3085:B *3393:CLK 0.00037073
21 *3085:B *634:8 0.000227589
22 *3085:B *634:10 0.000148144
23 *3085:B *634:33 0.000104783
24 *3389:D *634:8 0.000127164
25 *3389:D *634:10 3.67708e-05
26 *3391:D *3391:CLK 0.000218288
27 *3393:D *3393:CLK 1.07248e-05
28 *3490:A *3442:CLK 0.00010485
29 *3495:A *3442:CLK 0.000185522
30 *3495:A *634:52 0.000684349
31 *254:26 *634:52 7.14746e-05
32 *370:23 *3387:CLK 0
33 *370:34 *3387:CLK 0
34 *370:34 *634:10 0
35 *370:44 *634:7 7.48797e-05
36 *370:44 *634:8 0
37 *370:44 *634:10 0
38 *370:44 *634:52 1.67988e-05
39 *373:24 *634:7 2.41274e-06
40 *373:33 *3393:CLK 0
41 *373:33 *634:7 5.03285e-05
42 *373:33 *634:8 0
43 *373:33 *634:33 0
44 *377:10 *634:10 4.52614e-05
45 *377:16 *3387:CLK 0.000178667
46 *377:16 *634:10 0.000170162
47 *385:18 *3442:CLK 3.31882e-05
48 *424:34 *3388:CLK 5.02973e-05
49 *456:26 *3387:CLK 0.000202183
50 *456:34 *3391:CLK 9.44578e-05
*RES
1 *3495:X *634:4 9.24915
2 *634:4 *634:7 8.55102
3 *634:7 *634:8 4.32351
4 *634:8 *634:10 5.98452
5 *634:10 *3387:CLK 21.3947
6 *634:10 *3388:CLK 17.125
7 *634:8 *3389:CLK 13.7491
8 *634:7 *634:33 2.24725
9 *634:33 *3391:CLK 20.5732
10 *634:33 *3393:CLK 20.5642
11 *634:4 *634:52 13.9865
12 *634:52 *3392:CLK 13.7491
13 *634:52 *3442:CLK 22.1506
*END
*D_NET *635 0.014093
*CONN
*I *3431:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3430:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3428:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3429:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3425:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3406:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3448:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3496:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3431:CLK 0.000613398
2 *3430:CLK 0.000141299
3 *3428:CLK 0.000319189
4 *3429:CLK 0
5 *3425:CLK 0.00109462
6 *3406:CLK 0
7 *3448:CLK 0.000260606
8 *3496:X 6.29054e-05
9 *635:53 0.000828491
10 *635:50 0.00164035
11 *635:31 0.00129788
12 *635:27 0.00111647
13 *635:8 0.000392146
14 *635:7 0.000448711
15 *3425:CLK *3081:A 0
16 *3425:CLK *3082:A 0.000157173
17 *3425:CLK *703:8 0.000217951
18 *3428:CLK *706:8 7.34948e-06
19 *3431:CLK *708:12 6.07095e-05
20 *3431:CLK *709:10 0.000165921
21 *3448:CLK *663:52 0.000111708
22 *3448:CLK *671:28 5.75508e-05
23 *3448:CLK *707:15 3.83819e-05
24 *635:8 *663:52 9.22013e-06
25 *635:8 *707:15 0.00017062
26 *635:27 *3084:A 0.000110297
27 *635:27 *3197:A 2.16355e-05
28 *635:27 *3197:B 0.000146645
29 *635:27 *663:52 2.97007e-05
30 *635:27 *707:7 7.3298e-05
31 *635:27 *707:15 6.74467e-05
32 *635:50 *3080:A 0
33 *635:50 *708:12 5.47736e-05
34 *635:50 *708:14 1.87469e-05
35 *635:50 *708:19 8.72619e-05
36 *635:50 *709:10 2.81678e-06
37 *635:53 *706:8 1.92172e-05
38 *3083:A_N *635:7 0.000164843
39 *3092:A1 *3448:CLK 0.000636366
40 *3092:A2 *3448:CLK 1.65872e-05
41 *3092:B1 *3448:CLK 3.08557e-05
42 *3198:A2 *635:27 0
43 *3201:A *3425:CLK 1.43848e-05
44 *3202:A2 *635:27 0.000561725
45 *3202:A2 *635:31 0.000683001
46 *3205:B1 *635:27 1.77537e-06
47 *3226:A2 *635:50 0.000323366
48 *3226:C1 *3425:CLK 0
49 *3230:B *3430:CLK 0
50 *3230:C *635:53 6.89596e-05
51 *3231:A *3430:CLK 0
52 *3235:B *635:53 2.41274e-06
53 *3236:A *3431:CLK 1.43983e-05
54 *3406:D *3448:CLK 0.000167076
55 *3425:D *3425:CLK 3.18826e-06
56 *3428:D *3428:CLK 0.000176469
57 *3429:D *3425:CLK 3.67528e-06
58 *3429:D *635:31 1.87611e-05
59 *3431:D *3431:CLK 1.27531e-05
60 *3496:A *3448:CLK 0.000195154
61 *3496:A *635:8 0.00037088
62 *247:57 *635:53 0.000532383
63 *325:65 *3448:CLK 3.67708e-05
64 *501:8 *635:27 7.65861e-05
65 *504:42 *3425:CLK 8.70324e-05
66 *504:42 *635:50 0
67 *523:47 *635:50 4.90939e-05
*RES
1 *3496:X *635:7 15.5817
2 *635:7 *635:8 6.81502
3 *635:8 *3448:CLK 25.73
4 *635:8 *3406:CLK 13.7491
5 *635:7 *635:27 15.6475
6 *635:27 *635:31 7.95736
7 *635:31 *3425:CLK 37.0434
8 *635:31 *3429:CLK 9.24915
9 *635:27 *635:50 20.4506
10 *635:50 *635:53 15.7609
11 *635:53 *3428:CLK 17.737
12 *635:53 *3430:CLK 21.7421
13 *635:50 *3431:CLK 24.7789
*END
*D_NET *636 0.0100489
*CONN
*I *3450:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3445:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3444:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3451:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3449:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3414:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3413:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3410:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3443:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3497:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3450:CLK 5.42461e-05
2 *3445:CLK 0.000104221
3 *3444:CLK 1.26312e-05
4 *3451:CLK 2.31637e-05
5 *3449:CLK 0
6 *3414:CLK 3.48648e-05
7 *3413:CLK 0
8 *3410:CLK 0.000211308
9 *3443:CLK 0.000498775
10 *3497:X 0
11 *636:63 0.000367971
12 *636:27 0.000845319
13 *636:24 0.000779074
14 *636:20 0.000360536
15 *636:18 0.000665389
16 *636:17 0.000643006
17 *636:15 0.000585761
18 *636:4 0.00103029
19 *3444:CLK *735:7 2.65831e-05
20 *3445:CLK *736:11 6.50727e-05
21 *636:18 *666:6 0
22 *636:27 *772:7 7.98171e-06
23 *636:63 *666:6 0
24 *3132:A *3443:CLK 1.44467e-05
25 *3135:B1 *3410:CLK 6.08467e-05
26 *3139:A1 *636:27 0.000115615
27 *3139:B1 *636:27 0.000236357
28 *3139:C1 *636:24 0
29 *3283:A1 *3443:CLK 0.000165521
30 *3283:A2 *3443:CLK 0.000263107
31 *3285:B *3443:CLK 0
32 *3286:A *636:15 0.0001301
33 *3286:C *636:15 1.00846e-05
34 *3286:D *3443:CLK 0.00013956
35 *3286:D *636:15 0.000105441
36 *3300:B1 *3445:CLK 8.01741e-05
37 *3300:B1 *636:15 7.02172e-06
38 *3300:B1 *636:63 0.000138719
39 *3309:A1 *3443:CLK 0.000101133
40 *3315:A1 *636:20 0
41 *3315:B1 *636:20 0
42 *3315:B1 *636:24 0
43 *3319:A1 *636:15 0.000107496
44 *3319:B1 *636:15 2.65831e-05
45 *3413:D *3410:CLK 0.000162505
46 *3413:D *636:27 0.000133638
47 *3414:D *3414:CLK 7.60278e-05
48 *3414:D *636:27 0.000379505
49 *3444:D *3444:CLK 6.50727e-05
50 *3444:D *3445:CLK 2.24484e-05
51 *3444:D *636:63 0.00012568
52 *3445:D *3445:CLK 6.50727e-05
53 *3450:D *3450:CLK 6.50727e-05
54 *3450:D *636:15 4.02303e-05
55 *3451:D *3451:CLK 6.50727e-05
56 *172:12 *3445:CLK 7.06329e-05
57 *172:12 *636:63 3.88059e-05
58 *436:33 *3443:CLK 4.47578e-05
59 *439:60 *636:18 0
60 *439:60 *636:63 0
61 *454:35 *636:18 0.000185638
62 *454:35 *636:20 0.000164505
63 *454:35 *636:24 5.8261e-05
64 *560:13 *3443:CLK 5.04829e-06
65 *560:41 *3443:CLK 0.000250542
66 *564:19 *636:15 3.99086e-06
67 *564:31 *636:15 7.98425e-06
68 *591:8 *636:20 0
69 *591:17 *636:18 0
70 *591:32 *636:18 0
*RES
1 *3497:X *636:4 9.24915
2 *636:4 *3443:CLK 33.8363
3 *636:4 *636:15 12.9247
4 *636:15 *636:17 4.5
5 *636:17 *636:18 9.72179
6 *636:18 *636:20 6.81502
7 *636:20 *636:24 7.1625
8 *636:24 *636:27 17.9402
9 *636:27 *3410:CLK 15.1569
10 *636:27 *3413:CLK 9.24915
11 *636:24 *3414:CLK 10.5513
12 *636:20 *3449:CLK 13.7491
13 *636:18 *3451:CLK 14.4725
14 *636:17 *636:63 7.23027
15 *636:63 *3444:CLK 14.4725
16 *636:63 *3445:CLK 17.9655
17 *636:15 *3450:CLK 10.9612
*END
*D_NET *637 0.0131554
*CONN
*I *3455:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3446:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3433:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3424:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3454:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3418:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3432:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3452:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3453:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3447:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3498:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3455:CLK 5.53902e-05
2 *3446:CLK 0.000370461
3 *3433:CLK 0
4 *3424:CLK 0.000174849
5 *3454:CLK 0.000526123
6 *3418:CLK 0
7 *3432:CLK 5.87126e-05
8 *3452:CLK 3.57807e-05
9 *3453:CLK 0
10 *3447:CLK 0.000334734
11 *3498:X 0
12 *637:86 0.000662316
13 *637:60 0.0010045
14 *637:52 0.000720348
15 *637:45 0.000752138
16 *637:34 0.000456299
17 *637:31 0.000556947
18 *637:21 0.000603643
19 *637:7 0.00119974
20 *637:4 0.000910863
21 *3424:CLK *3533:A 0.000228593
22 *3446:CLK *671:8 0
23 *3446:CLK *737:9 0.000303822
24 *3446:CLK *737:11 0.000461961
25 *3447:CLK *663:27 3.20069e-06
26 *3454:CLK *670:10 0.000156827
27 *637:21 *663:27 0.000134086
28 *637:31 *3079:C 0
29 *637:31 *3242:B 1.32509e-05
30 *637:31 *663:11 0
31 *637:31 *663:27 7.06329e-05
32 *3244:A2 *637:31 3.12316e-05
33 *3247:B *3447:CLK 3.29691e-05
34 *3277:A *3446:CLK 0.000268892
35 *3332:B1 *3446:CLK 1.09738e-05
36 *3332:B1 *3455:CLK 3.28261e-05
37 *3332:B1 *637:86 0.000113968
38 *3337:A1_N *3447:CLK 7.08723e-06
39 *3337:A1_N *637:7 0.000590839
40 *3337:A1_N *637:86 0.000213712
41 *3418:D *637:60 1.87611e-05
42 *3424:D *3424:CLK 8.58348e-05
43 *3424:D *3454:CLK 0
44 *3433:D *637:31 0.000282698
45 *3447:D *3447:CLK 0.000280451
46 *3452:D *637:34 0
47 *3452:D *637:45 0
48 *3453:D *637:21 0
49 *3454:D *3454:CLK 0.000511333
50 *3516:A *637:52 0.000123282
51 *83:8 *637:52 2.82583e-05
52 *172:12 *3454:CLK 0
53 *398:12 *3446:CLK 5.56367e-05
54 *398:29 *3446:CLK 2.16355e-05
55 *398:29 *3447:CLK 3.25887e-05
56 *398:64 *3446:CLK 0.000193657
57 *398:64 *3455:CLK 6.74071e-05
58 *541:8 *3447:CLK 1.71442e-05
59 *563:5 *3446:CLK 4.17142e-05
60 *563:20 *3446:CLK 3.49128e-05
61 *602:13 *3447:CLK 0
62 *602:13 *637:7 0.000207277
63 *602:13 *637:21 0
64 *603:12 *3446:CLK 2.18741e-05
65 *605:14 *3455:CLK 3.31882e-05
*RES
1 *3498:X *637:4 9.24915
2 *637:4 *637:7 16.3155
3 *637:7 *3447:CLK 23.0105
4 *637:7 *637:21 5.56926
5 *637:21 *3453:CLK 13.7491
6 *637:21 *637:31 15.9206
7 *637:31 *637:34 7.993
8 *637:34 *3452:CLK 14.4725
9 *637:34 *637:45 11.315
10 *637:45 *3432:CLK 10.5271
11 *637:45 *637:52 11.2609
12 *637:52 *3418:CLK 9.24915
13 *637:52 *637:60 6.70347
14 *637:60 *3454:CLK 35.4861
15 *637:60 *3424:CLK 14.9881
16 *637:31 *3433:CLK 9.24915
17 *637:4 *637:86 8.55102
18 *637:86 *3446:CLK 29.1942
19 *637:86 *3455:CLK 15.9964
*END
*D_NET *638 0.00687012
*CONN
*I *3359:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3363:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3357:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3358:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3436:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3435:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3499:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3359:CLK 0.00033071
2 *3363:CLK 9.77334e-05
3 *3357:CLK 0.000235348
4 *3358:CLK 3.5247e-05
5 *3436:CLK 0
6 *3435:CLK 0.000288368
7 *3499:X 0
8 *638:53 0.000999333
9 *638:35 0.000917457
10 *638:27 0.000372733
11 *638:7 0.00109599
12 *638:4 0.00103389
13 *3359:CLK *696:28 6.85769e-05
14 *3359:CLK *725:15 0.000247786
15 *3363:CLK *696:28 0
16 *3363:CLK *725:15 0.00015324
17 *638:7 *2933:A1 0.000123176
18 *638:7 *720:7 2.16355e-05
19 *638:27 *2936:A1 5.27412e-05
20 *638:35 *2936:A1 3.86121e-05
21 *638:53 *2936:A1 0.000387328
22 *2933:S *3357:CLK 0
23 *2934:B *3357:CLK 1.47102e-05
24 *2937:B *3359:CLK 0
25 *2950:A *3363:CLK 6.0685e-05
26 *2951:A *3363:CLK 2.65831e-05
27 *3253:A *3435:CLK 0
28 *3255:S *3358:CLK 0
29 *3357:D *3357:CLK 1.07248e-05
30 *3359:D *3359:CLK 6.3657e-05
31 *3435:D *3435:CLK 0.000127164
32 *3436:D *3435:CLK 0
33 *3436:D *638:7 5.66169e-05
34 *294:11 *638:53 1.00846e-05
35 *457:75 *3435:CLK 0
36 *622:41 *3357:CLK 0
*RES
1 *3499:X *638:4 9.24915
2 *638:4 *638:7 12.8041
3 *638:7 *3435:CLK 25.7876
4 *638:7 *3436:CLK 9.24915
5 *638:4 *638:27 12.8039
6 *638:27 *3358:CLK 10.2378
7 *638:27 *638:35 1.8326
8 *638:35 *3357:CLK 23.8184
9 *638:35 *638:53 17.6417
10 *638:53 *3363:CLK 17.135
11 *638:53 *3359:CLK 21.4297
*END
*D_NET *639 0.00967094
*CONN
*I *3382:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3381:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3385:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3383:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3420:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3386:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3376:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3500:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3382:CLK 4.49093e-05
2 *3381:CLK 0.000306805
3 *3385:CLK 0.000422789
4 *3383:CLK 6.76507e-05
5 *3420:CLK 0.000240325
6 *3386:CLK 0.000284255
7 *3376:CLK 0.00114257
8 *3500:X 0
9 *639:52 0.000413493
10 *639:41 0.000541645
11 *639:25 0.000539289
12 *639:16 0.000740685
13 *639:13 0.000282194
14 *639:4 0.00114257
15 *3381:CLK *2821:B2 4.88429e-05
16 *3381:CLK *3028:A0 0.000127288
17 *3382:CLK *689:16 0.000141001
18 *3420:CLK *669:18 0
19 *639:16 *662:11 0
20 *639:16 *689:16 0.00042505
21 *639:25 *662:11 1.43848e-05
22 *639:41 *689:16 0.000159284
23 *639:52 *689:16 0.000188943
24 *2821:B1 *3381:CLK 0.000266832
25 *2822:B1 *3381:CLK 0.000907712
26 *3024:A *3420:CLK 1.25946e-05
27 *3026:A *3376:CLK 5.75657e-05
28 *3029:B *3376:CLK 9.14669e-05
29 *3032:B *639:16 0
30 *3376:D *3376:CLK 2.51928e-05
31 *3381:D *3381:CLK 8.62906e-05
32 *3383:D *3383:CLK 9.39797e-05
33 *3383:D *639:25 5.04829e-06
34 *3385:D *3385:CLK 1.87611e-05
35 *3386:D *3386:CLK 7.02539e-05
36 *3500:A *3382:CLK 0.000148129
37 *3500:A *639:16 8.01837e-05
38 *3500:A *639:41 0.00016791
39 *3500:A *639:52 0.000188997
40 *168:21 *3420:CLK 0.000115456
41 *618:12 *3376:CLK 6.05863e-05
*RES
1 *3500:X *639:4 9.24915
2 *639:4 *3376:CLK 27.0447
3 *639:4 *639:13 4.5
4 *639:13 *639:16 12.1455
5 *639:16 *3386:CLK 16.0973
6 *639:16 *639:25 5.16022
7 *639:25 *3420:CLK 25.0642
8 *639:25 *3383:CLK 11.6364
9 *639:13 *639:41 3.07775
10 *639:41 *3385:CLK 22.2611
11 *639:41 *639:52 3.493
12 *639:52 *3381:CLK 27.2525
13 *639:52 *3382:CLK 16.4116
*END
*D_NET *640 0.00701422
*CONN
*I *3456:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3457:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3378:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3377:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3501:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3456:CLK 0.000404031
2 *3457:CLK 0.000175254
3 *3378:CLK 0.000174103
4 *3377:CLK 0.000341712
5 *3501:X 0
6 *640:8 0.000675498
7 *640:7 0.00124969
8 *640:4 0.00131878
9 *3377:CLK *667:28 0
10 *3377:CLK *668:39 5.84608e-05
11 *3377:CLK *668:44 4.01437e-05
12 *3377:CLK *669:59 0
13 *3378:CLK *808:DIODE 4.23874e-05
14 *3378:CLK *668:39 1.43983e-05
15 *3456:CLK *2986:A 4.23622e-05
16 *3457:CLK *2949:A0 9.75356e-05
17 *3457:CLK *3192:B2 4.31703e-05
18 *3457:CLK *667:28 0
19 *3457:CLK *668:35 0.000306512
20 *3457:CLK *732:50 0.000111358
21 *640:8 *2924:A 3.63593e-05
22 *640:8 *667:28 0
23 *640:8 *668:35 0.000171309
24 *640:8 *668:39 2.352e-05
25 *640:8 *732:50 3.91944e-05
26 *2823:A1 *3456:CLK 8.54331e-05
27 *2951:A *3457:CLK 7.77309e-06
28 *2987:A *640:7 2.16355e-05
29 *3003:A *3377:CLK 0
30 *3005:A *3377:CLK 0
31 *3005:A *640:8 0
32 *3341:S *3456:CLK 6.33291e-06
33 *3341:S *640:7 7.92757e-06
34 *3363:D *3457:CLK 4.3116e-06
35 *3377:D *3377:CLK 3.90891e-05
36 *279:43 *640:7 0.000923433
37 *333:5 *3456:CLK 0.000105636
38 *333:5 *640:7 0.000123458
39 *616:10 *3377:CLK 0.000188982
40 *616:10 *3378:CLK 2.16355e-05
41 *618:36 *3456:CLK 7.24855e-05
42 *621:15 *3456:CLK 4.03114e-05
*RES
1 *3501:X *640:4 9.24915
2 *640:4 *640:7 19.6431
3 *640:7 *640:8 4.73876
4 *640:8 *3377:CLK 23.4709
5 *640:8 *3378:CLK 18.3548
6 *640:7 *3457:CLK 20.5964
7 *640:4 *3456:CLK 26.7925
*END
*D_NET *641 0.00958465
*CONN
*I *3366:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3368:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3441:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3439:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3437:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3422:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3502:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3366:CLK 0.000230371
2 *3368:CLK 0.000142963
3 *3441:CLK 0.000163557
4 *3439:CLK 0
5 *3437:CLK 0.000645829
6 *3422:CLK 0.000363244
7 *3502:X 0
8 *641:41 0.000488101
9 *641:31 0.000660509
10 *641:11 0.00124252
11 *641:10 0.000582493
12 *641:4 0.000731234
13 *3366:CLK *3267:A0 0.000171273
14 *3366:CLK *3267:A1 0.000164815
15 *3368:CLK *3264:A0 0.000112756
16 *3368:CLK *725:15 0
17 *3441:CLK *725:8 0
18 *3260:A *3437:CLK 0
19 *3266:A *641:10 0
20 *3268:B *3441:CLK 0.000156823
21 *3269:A *3368:CLK 0.000148144
22 *3269:A *3441:CLK 0
23 *3271:A *3441:CLK 5.41377e-05
24 *3271:B *3441:CLK 0
25 *3422:D *3422:CLK 0.00139274
26 *3422:D *641:11 0.000844452
27 *3437:D *3437:CLK 3.90891e-05
28 *3438:D *3437:CLK 0
29 *3439:D *641:10 3.01634e-05
30 *3502:A *641:31 0.000436811
31 *170:11 *3368:CLK 9.9028e-05
32 *324:29 *3441:CLK 0.000231378
33 *324:36 *3441:CLK 0.000148129
34 *542:19 *3366:CLK 0.000114938
35 *542:24 *3366:CLK 3.58208e-05
36 *542:24 *3368:CLK 0
37 *542:24 *3441:CLK 5.92342e-05
38 *542:24 *641:41 9.40969e-05
*RES
1 *3502:X *641:4 9.24915
2 *641:4 *641:10 16.6834
3 *641:10 *641:11 9.04245
4 *641:11 *3422:CLK 23.8376
5 *641:11 *3437:CLK 34.615
6 *641:10 *3439:CLK 9.24915
7 *641:4 *641:31 9.59705
8 *641:31 *3441:CLK 25.4794
9 *641:31 *641:41 2.94181
10 *641:41 *3368:CLK 23.7141
11 *641:41 *3366:CLK 16.6519
*END
*D_NET *642 0.00982639
*CONN
*I *3440:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3361:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3367:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3362:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3364:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3360:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3438:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3421:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3503:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3440:CLK 0.000168487
2 *3361:CLK 0
3 *3367:CLK 0.000364368
4 *3362:CLK 2.93219e-05
5 *3364:CLK 0.000546219
6 *3360:CLK 0
7 *3438:CLK 0
8 *3421:CLK 0.000485801
9 *3503:X 0
10 *642:37 0.000790383
11 *642:32 0.000434491
12 *642:27 0.000662681
13 *642:13 0.00150728
14 *642:10 0.00117231
15 *642:6 0.000145296
16 *642:4 0.000241623
17 *3364:CLK *2945:A0 0.000254967
18 *3364:CLK *725:15 3.68867e-05
19 *642:13 *2942:A1 0.000243918
20 *2941:A *642:37 0.000334808
21 *2942:S *642:13 9.82896e-06
22 *2942:S *642:27 7.34948e-06
23 *2944:A *642:32 0
24 *2945:S *3364:CLK 6.50727e-05
25 *2947:A *3364:CLK 0.000313495
26 *3248:A *3440:CLK 6.85021e-05
27 *3265:B *3440:CLK 0
28 *3438:D *642:13 3.18826e-06
29 *3503:A *3440:CLK 6.50586e-05
30 *1:29 *3364:CLK 4.99109e-05
31 *169:15 *3367:CLK 3.99086e-06
32 *169:17 *3367:CLK 0.00017923
33 *169:17 *3421:CLK 0.00076936
34 *169:17 *642:13 0.000161369
35 *169:17 *642:27 1.80122e-05
36 *293:24 *3364:CLK 0
37 *293:24 *642:32 0
38 *543:10 *642:6 0
39 *543:10 *642:10 0
40 *622:41 *642:6 0.000156823
41 *622:41 *642:10 0.000127179
42 *622:41 *642:32 0.000409182
*RES
1 *3503:X *642:4 9.24915
2 *642:4 *642:6 7.1625
3 *642:6 *642:10 6.74725
4 *642:10 *642:13 17.2409
5 *642:13 *3421:CLK 27.1653
6 *642:13 *3438:CLK 9.24915
7 *642:10 *642:27 1.85672
8 *642:27 *642:32 16.2303
9 *642:32 *3360:CLK 9.24915
10 *642:32 *642:37 6.26943
11 *642:37 *3364:CLK 33.3058
12 *642:37 *3362:CLK 9.97254
13 *642:27 *3367:CLK 18.3157
14 *642:6 *3361:CLK 13.7491
15 *642:4 *3440:CLK 13.903
*END
*D_NET *643 0.00557052
*CONN
*I *3394:CLK I *D sky130_fd_sc_hd__dfxtp_2
*I *3370:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3369:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3365:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3371:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3504:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3394:CLK 0.000289609
2 *3370:CLK 0
3 *3369:CLK 0
4 *3365:CLK 0.000641436
5 *3371:CLK 0.000124022
6 *3504:X 2.08357e-05
7 *643:25 0.0011107
8 *643:20 0.000832761
9 *643:17 0.000756624
10 *643:7 0.000248373
11 *3365:CLK *649:8 0
12 *643:7 *671:50 0.000167076
13 *643:17 *672:12 0
14 *643:20 *653:10 0.000527905
15 *2968:B1 *3365:CLK 0
16 *2977:B *3371:CLK 5.03872e-05
17 *2977:B *643:17 5.24652e-05
18 *2980:A2 *643:7 0.000167076
19 *3191:B1 *3371:CLK 9.18497e-05
20 *3191:B1 *3394:CLK 0.000113968
21 *3191:B1 *643:17 7.40296e-05
22 *3366:D *3365:CLK 5.04829e-06
23 *3369:D *3365:CLK 3.90891e-05
24 *3369:D *643:25 1.2601e-05
25 *3370:D *643:20 0.000103827
26 *316:38 *3371:CLK 1.2601e-05
27 *317:41 *3365:CLK 8.53577e-05
28 *495:13 *3394:CLK 4.28814e-05
29 *622:61 *3365:CLK 0
30 *622:61 *643:25 0
*RES
1 *3504:X *643:7 15.5817
2 *643:7 *3371:CLK 17.6574
3 *643:7 *643:17 7.993
4 *643:17 *643:20 10.5857
5 *643:20 *643:25 15.9206
6 *643:25 *3365:CLK 28.6312
7 *643:25 *3369:CLK 13.7491
8 *643:20 *3370:CLK 9.24915
9 *643:17 *3394:CLK 16.0973
*END
*D_NET *644 0.00656842
*CONN
*I *3419:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3423:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3427:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3417:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3426:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3458:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3505:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3419:CLK 8.02157e-05
2 *3423:CLK 0.00039618
3 *3427:CLK 3.61157e-05
4 *3417:CLK 0.000358276
5 *3426:CLK 3.15787e-05
6 *3458:CLK 0
7 *3505:X 0.000351158
8 *644:48 0.000908999
9 *644:37 0.000998275
10 *644:27 0.00107111
11 *644:13 0.000365735
12 *644:7 0.00086859
13 *3207:B *644:37 4.99469e-05
14 *3417:D *3417:CLK 0.000123361
15 *3423:D *3423:CLK 0.000276239
16 *3426:D *3426:CLK 6.64392e-05
17 *3458:D *644:13 0.000121788
18 *3505:A *644:7 2.9373e-05
19 *3505:A *644:13 0
20 *171:6 *644:13 0.000102707
21 *171:6 *644:27 0.000143639
22 *171:6 *644:37 0
23 *171:6 *644:48 0
24 *206:13 *3426:CLK 0.000171899
25 *206:13 *644:13 1.67988e-05
*RES
1 *3505:X *644:7 18.9094
2 *644:7 *644:13 13.5926
3 *644:13 *3458:CLK 9.24915
4 *644:13 *3426:CLK 11.0817
5 *644:7 *644:27 10.0693
6 *644:27 *3417:CLK 18.2916
7 *644:27 *644:37 17.4451
8 *644:37 *3427:CLK 14.4725
9 *644:37 *644:48 15.0523
10 *644:48 *3423:CLK 18.8703
11 *644:48 *3419:CLK 11.1059
*END
*D_NET *645 0.0115788
*CONN
*I *3355:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3404:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3349:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3401:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3402:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3403:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3350:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3352:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3353:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3384:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3506:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3355:CLK 0.000272193
2 *3404:CLK 0.000381063
3 *3349:CLK 0
4 *3401:CLK 4.51066e-05
5 *3402:CLK 0.000507915
6 *3403:CLK 2.06324e-05
7 *3350:CLK 0
8 *3352:CLK 0.000466639
9 *3353:CLK 0
10 *3384:CLK 0.000139634
11 *3506:X 0.000118238
12 *645:80 0.000497722
13 *645:60 0.000961253
14 *645:59 0.00107024
15 *645:49 0.000744032
16 *645:32 0.000734445
17 *645:25 0.000514601
18 *645:13 0.00037717
19 *645:8 0.000477104
20 *645:6 0.000561253
21 *3352:CLK *2853:A0 5.99527e-05
22 *3352:CLK *2912:A1 0.000103943
23 *3352:CLK *667:90 0
24 *3355:CLK *2921:A1 1.3028e-05
25 *3402:CLK *2854:A1 0
26 *3402:CLK *756:10 0.000174892
27 *3404:CLK *784:DIODE 0
28 *3404:CLK *2850:A0 0
29 *3404:CLK *2857:A1 4.58003e-05
30 *3404:CLK *2901:A1 0.000532383
31 *645:6 *667:90 0.000218518
32 *645:8 *667:90 0.000341237
33 *645:13 *2915:A1 2.65831e-05
34 *645:25 *2915:A1 2.99929e-05
35 *645:25 *667:90 0.000148144
36 *645:32 *818:DIODE 7.49392e-05
37 *645:32 *667:90 0.000379568
38 *645:32 *670:47 5.34081e-05
39 *645:60 *2850:A0 0
40 *645:60 *2854:A1 0
41 *645:60 *756:10 0.000196653
42 *645:80 *2850:A0 0
43 *2851:A0 *645:60 0
44 *2853:S *645:60 0
45 *2854:S *3401:CLK 0.000111722
46 *2856:S *645:60 0
47 *2856:S *645:80 0
48 *2902:A *3404:CLK 0
49 *2902:B *3404:CLK 7.48797e-05
50 *2903:A *3404:CLK 0
51 *2905:B *3352:CLK 6.66538e-05
52 *2905:B *645:32 5.9708e-05
53 *2915:S *645:49 0.000103123
54 *3349:D *3404:CLK 0.00012568
55 *3349:D *645:80 3.67708e-05
56 *3350:D *645:32 0.000134577
57 *3352:D *3352:CLK 0.000146934
58 *3353:D *645:13 9.38269e-05
59 *3355:D *3355:CLK 7.79498e-05
60 *3355:D *645:6 0
61 *3402:D *3402:CLK 1.87611e-05
62 *3403:D *645:59 1.87611e-05
63 *260:8 *3352:CLK 0.000221215
64 *260:8 *645:6 0
65 *260:8 *645:8 0
66 *260:8 *645:25 0
67 *260:8 *645:32 0
*RES
1 *3506:X *645:6 17.6574
2 *645:6 *645:8 5.98452
3 *645:8 *645:13 10.393
4 *645:13 *3384:CLK 12.191
5 *645:13 *3353:CLK 9.24915
6 *645:8 *645:25 2.6625
7 *645:25 *645:32 14.2809
8 *645:32 *3352:CLK 32.3091
9 *645:32 *3350:CLK 9.24915
10 *645:25 *645:49 8.55102
11 *645:49 *3403:CLK 9.82786
12 *645:49 *645:59 15.7609
13 *645:59 *645:60 10.5523
14 *645:60 *3402:CLK 25.8935
15 *645:60 *3401:CLK 15.0271
16 *645:59 *645:80 3.07775
17 *645:80 *3349:CLK 13.7491
18 *645:80 *3404:CLK 25.8637
19 *645:6 *3355:CLK 18.2342
*END
*D_NET *646 0.0104894
*CONN
*I *3409:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3390:CLK I *D sky130_fd_sc_hd__dfxtp_2
*I *3408:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3407:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3372:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3351:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3380:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3379:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *3507:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *3409:CLK 6.99933e-05
2 *3390:CLK 0
3 *3408:CLK 0.000257459
4 *3407:CLK 0.000109392
5 *3372:CLK 0.00011072
6 *3351:CLK 2.79256e-05
7 *3380:CLK 0.000567123
8 *3379:CLK 3.29888e-05
9 *3507:X 0.000108294
10 *646:62 0.000543666
11 *646:57 0.000610776
12 *646:48 0.000425369
13 *646:15 0.000685435
14 *646:14 0.000524
15 *646:10 0.000668276
16 *646:7 0.0003165
17 *3351:CLK *665:31 1.88014e-05
18 *3379:CLK *665:33 2.16355e-05
19 *3407:CLK *685:36 3.31745e-05
20 *3408:CLK *795:DIODE 0
21 *3408:CLK *2869:A 6.50586e-05
22 *3408:CLK *666:46 0.000329545
23 *3408:CLK *674:43 3.60268e-05
24 *3408:CLK *674:45 7.77309e-06
25 *3409:CLK *714:14 0.000141512
26 *646:10 *685:36 0.000151726
27 *646:14 *685:16 1.55462e-05
28 *646:14 *685:36 0.000108801
29 *646:15 *665:31 7.58194e-05
30 *646:15 *665:33 4.36956e-05
31 *646:48 *676:24 6.36544e-06
32 *646:57 *714:14 5.56367e-05
33 *646:62 *674:43 0.000103306
34 *646:62 *714:14 6.11872e-05
35 *2908:B *646:14 0.000196638
36 *2920:A *3380:CLK 8.62602e-05
37 *2982:B *3372:CLK 2.61028e-05
38 *3010:A *3380:CLK 0.000194684
39 *3142:A *3409:CLK 8.98169e-05
40 *3372:D *3372:CLK 0.000221441
41 *3379:D *3380:CLK 0.000122378
42 *3390:D *646:62 1.87611e-05
43 *3506:A *3380:CLK 0.000133878
44 *3507:A *646:14 0
45 *249:27 *646:62 2.81515e-05
46 *249:31 *646:62 0.000858763
47 *249:43 *3407:CLK 6.08467e-05
48 *249:43 *3409:CLK 2.09495e-05
49 *249:43 *646:7 0.000161234
50 *249:43 *646:10 2.95757e-05
51 *249:43 *646:48 0.000141864
52 *249:43 *646:57 0.000584626
53 *254:11 *3408:CLK 0.000229069
54 *259:37 *3407:CLK 2.41274e-06
55 *271:29 *3372:CLK 2.41274e-06
56 *271:29 *646:10 0.000186445
57 *271:29 *646:48 0.000108381
58 *348:9 *3380:CLK 0.000260388
59 *348:21 *3380:CLK 6.08467e-05
60 *457:19 *3408:CLK 1.5966e-05
61 *522:55 *3372:CLK 5.61031e-05
62 *522:55 *646:10 3.42731e-05
63 *522:55 *646:57 0.000206919
64 *622:98 *646:14 1.66771e-05
65 *627:10 *646:14 0
*RES
1 *3507:X *646:7 15.5817
2 *646:7 *646:10 5.47156
3 *646:10 *646:14 14.637
4 *646:14 *646:15 2.94181
5 *646:15 *3379:CLK 9.97254
6 *646:15 *3380:CLK 33.8604
7 *646:14 *3351:CLK 9.97254
8 *646:10 *3372:CLK 17.8002
9 *646:7 *646:48 2.6625
10 *646:48 *3407:CLK 16.1364
11 *646:48 *646:57 10.5523
12 *646:57 *646:62 15.6403
13 *646:62 *3408:CLK 27.1996
14 *646:62 *3390:CLK 9.24915
15 *646:57 *3409:CLK 16.4116
*END
*D_NET *647 0.00229088
*CONN
*I *3162:A I *D sky130_fd_sc_hd__and2_1
*I *2962:A I *D sky130_fd_sc_hd__or2_1
*I *3364:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3162:A 0.000115093
2 *2962:A 1.59132e-05
3 *3364:Q 0.000497308
4 *647:8 0.000628314
5 *3159:A1 *647:8 6.85778e-05
6 *3182:A2 *2962:A 2.65831e-05
7 *1:29 *647:8 0.000470599
8 *316:38 *3162:A 0.00014686
9 *316:38 *647:8 0.000153449
10 *424:51 *2962:A 2.65831e-05
11 *478:13 *647:8 8.24963e-05
12 *487:17 *3162:A 0
13 *487:17 *647:8 0
14 *494:13 *647:8 5.91001e-05
*RES
1 *3364:Q *647:8 24.8939
2 *647:8 *2962:A 14.4725
3 *647:8 *3162:A 16.8269
*END
*D_NET *648 0.00267747
*CONN
*I *3169:A I *D sky130_fd_sc_hd__and2_1
*I *2965:A I *D sky130_fd_sc_hd__or2_1
*I *3365:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3169:A 0.000179894
2 *2965:A 0.000262575
3 *3365:Q 0
4 *648:4 0.000442468
5 *2965:A *797:DIODE 3.86121e-05
6 *2965:A *3173:A 0.000576786
7 *3169:A *3194:A 0.000263059
8 *2966:B1 *2965:A 2.44829e-05
9 *3187:A2 *2965:A 0.000141864
10 *3187:B1 *3169:A 0.00011818
11 *316:38 *2965:A 0.000145462
12 *469:32 *3169:A 1.19721e-05
13 *473:16 *3169:A 1.92336e-05
14 *493:23 *3169:A 0.000452882
*RES
1 *3365:Q *648:4 9.24915
2 *648:4 *2965:A 27.9045
3 *648:4 *3169:A 17.0618
*END
*D_NET *649 0.00315149
*CONN
*I *3173:A I *D sky130_fd_sc_hd__or2_1
*I *2967:A I *D sky130_fd_sc_hd__or2_1
*I *3366:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3173:A 0.000325901
2 *2967:A 1.24136e-05
3 *3366:Q 0.000102161
4 *649:8 0.000440476
5 *3173:A *663:64 0.00099651
6 *842:DIODE *3173:A 0.000113002
7 *2965:A *3173:A 0.000576786
8 *2965:B *2967:A 0.000118166
9 *2965:B *3173:A 0.000186206
10 *2968:B1 *649:8 7.50722e-05
11 *2974:C1 *649:8 2.15634e-05
12 *3365:CLK *649:8 0
13 *622:61 *2967:A 0.000118166
14 *622:61 *3173:A 6.50727e-05
*RES
1 *3366:Q *649:8 20.9116
2 *649:8 *2967:A 10.5271
3 *649:8 *3173:A 25.1397
*END
*D_NET *650 0.00475668
*CONN
*I *3177:A I *D sky130_fd_sc_hd__and2_1
*I *2969:A I *D sky130_fd_sc_hd__or2_1
*I *3367:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3177:A 0.000759223
2 *2969:A 0.000237314
3 *3367:Q 5.56756e-05
4 *650:7 0.00105221
5 *2969:A *3188:B2 3.14978e-05
6 *2969:A *669:59 0
7 *3177:A *3181:A 0.00070643
8 *3177:A *3183:B2 0.000357457
9 *3177:A *665:76 0.000796598
10 *2969:B *2969:A 0.000446137
11 *2969:B *3177:A 5.23737e-05
12 *2970:B1 *2969:A 9.98245e-05
13 *3184:C1 *3177:A 7.83643e-05
14 *3367:D *650:7 5.20546e-06
15 *169:15 *2969:A 0
16 *169:15 *3177:A 0
17 *470:35 *3177:A 7.83643e-05
*RES
1 *3367:Q *650:7 14.4725
2 *650:7 *2969:A 22.5333
3 *650:7 *3177:A 26.0472
*END
*D_NET *651 0.0066649
*CONN
*I *3181:A I *D sky130_fd_sc_hd__and2_1
*I *2971:A I *D sky130_fd_sc_hd__or2_1
*I *3368:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3181:A 0.00235817
2 *2971:A 2.70194e-05
3 *3368:Q 0.000212322
4 *651:8 0.00259751
5 *2971:A *800:DIODE 1.84293e-05
6 *2971:A *667:28 7.18816e-06
7 *3181:A *3183:B2 3.99213e-05
8 *3181:A *665:76 0.000200209
9 *3181:A *666:46 4.19401e-06
10 *3181:A *667:38 0
11 *3181:A *667:55 0
12 *3181:A *668:19 0
13 *651:8 *667:28 2.73004e-05
14 *651:8 *667:38 6.1252e-05
15 *2969:B *2971:A 1.05272e-06
16 *2974:B1 *2971:A 6.50586e-05
17 *3177:A *3181:A 0.00070643
18 *3368:D *651:8 1.43983e-05
19 *166:15 *3181:A 0.000222768
20 *317:22 *2971:A 1.27831e-06
21 *317:22 *651:8 3.58903e-05
22 *317:41 *651:8 4.53805e-05
23 *370:34 *3181:A 1.91246e-05
*RES
1 *3368:Q *651:8 19.8342
2 *651:8 *2971:A 14.8512
3 *651:8 *3181:A 26.652
*END
*D_NET *652 0.00102935
*CONN
*I *2975:A I *D sky130_fd_sc_hd__or2_1
*I *3185:A I *D sky130_fd_sc_hd__and2_1
*I *3369:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2975:A 0.000146178
2 *3185:A 8.01097e-05
3 *3369:Q 9.35842e-05
4 *652:5 0.000319871
5 *3185:A *671:79 0.000252312
6 *2976:B1 *2975:A 1.4091e-06
7 *2976:B1 *652:5 5.4694e-06
8 *2979:B *2975:A 1.64789e-05
9 *325:30 *3185:A 0.000113939
*RES
1 *3369:Q *652:5 10.5271
2 *652:5 *3185:A 22.5727
3 *652:5 *2975:A 11.6605
*END
*D_NET *653 0.00308353
*CONN
*I *2977:A I *D sky130_fd_sc_hd__or2_1
*I *3190:A I *D sky130_fd_sc_hd__and2_1
*I *3370:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2977:A 0
2 *3190:A 0.000564389
3 *3370:Q 0.000377479
4 *653:10 0.000941868
5 *3190:A *672:9 7.64432e-05
6 *653:10 *732:14 1.12605e-05
7 *2977:B *3190:A 0.000377259
8 *2978:B1 *653:10 7.50722e-05
9 *165:10 *653:10 9.60366e-05
10 *626:7 *3190:A 3.58208e-05
11 *643:20 *653:10 0.000527905
*RES
1 *3370:Q *653:10 25.796
2 *653:10 *3190:A 19.3043
3 *653:10 *2977:A 9.24915
*END
*D_NET *654 0.00257141
*CONN
*I *2979:A I *D sky130_fd_sc_hd__or2_1
*I *3194:A I *D sky130_fd_sc_hd__or2_1
*I *3371:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2979:A 0.000119133
2 *3194:A 0.00048952
3 *3371:Q 0
4 *654:5 0.000608654
5 *2979:B *2979:A 9.37259e-05
6 *3169:A *3194:A 0.000263059
7 *3169:B *3194:A 0.00014805
8 *3190:B *2979:A 0
9 *3190:B *3194:A 0
10 *167:8 *2979:A 5.84338e-05
11 *167:8 *3194:A 7.55244e-05
12 *456:34 *3194:A 4.86061e-05
13 *456:43 *3194:A 5.03265e-05
14 *472:20 *3194:A 0.000221294
15 *473:8 *3194:A 0
16 *473:12 *3194:A 0
17 *473:16 *3194:A 0.0002136
18 *493:23 *3194:A 0.000181483
*RES
1 *3371:Q *654:5 13.7491
2 *654:5 *3194:A 28.5482
3 *654:5 *2979:A 17.6574
*END
*D_NET *655 0.00299299
*CONN
*I *3008:A1 I *D sky130_fd_sc_hd__mux2_1
*I *2823:A2 I *D sky130_fd_sc_hd__a221o_1
*I *3379:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3008:A1 3.97394e-05
2 *2823:A2 0.000757519
3 *3379:Q 9.05846e-05
4 *655:8 0.000887843
5 *655:8 *3008:A0 0.000169108
6 *2823:A1 *2823:A2 0
7 *3009:B *655:8 2.12377e-05
8 *3010:A *2823:A2 0.000377259
9 *3010:A *3008:A1 0.000111722
10 *3016:A *2823:A2 0.000240485
11 *3380:D *2823:A2 0.000180681
12 *260:8 *655:8 0
13 *343:38 *2823:A2 0.000116816
*RES
1 *3379:Q *655:8 21.3269
2 *655:8 *2823:A2 29.8539
3 *655:8 *3008:A1 10.5271
*END
*D_NET *656 0.00104763
*CONN
*I *2824:A2 I *D sky130_fd_sc_hd__a221o_1
*I *3012:A1 I *D sky130_fd_sc_hd__mux2_1
*I *3380:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2824:A2 0.000114615
2 *3012:A1 0
3 *3380:Q 0.000178733
4 *656:8 0.000293349
5 *2824:A1 *2824:A2 1.07248e-05
6 *2824:C1 *2824:A2 0
7 *3014:A *656:8 3.77659e-05
8 *3016:A *656:8 6.46887e-05
9 *279:44 *2824:A2 0.000195124
10 *279:44 *656:8 0.000116986
11 *351:38 *2824:A2 9.98029e-06
12 *351:38 *656:8 8.92568e-06
13 *522:19 *2824:A2 1.67329e-05
*RES
1 *3380:Q *656:8 17.829
2 *656:8 *3012:A1 13.7491
3 *656:8 *2824:A2 17.4411
*END
*D_NET *657 0.00273581
*CONN
*I *2824:B2 I *D sky130_fd_sc_hd__a221o_1
*I *3015:A1 I *D sky130_fd_sc_hd__mux2_1
*I *3381:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2824:B2 0.000446623
2 *3015:A1 0
3 *3381:Q 0.000602438
4 *657:8 0.00104906
5 *2821:A2 *2824:B2 0
6 *2821:A2 *657:8 0
7 *2824:B1 *2824:B2 0.000122939
8 *2824:C1 *2824:B2 0.000255589
9 *2922:A *657:8 7.88424e-05
10 *3013:B *2824:B2 2.41267e-05
11 *3171:A1 *2824:B2 3.0676e-05
12 *3381:D *657:8 9.54212e-06
13 *351:25 *657:8 1.49697e-05
14 *351:38 *2824:B2 4.18216e-05
15 *351:38 *657:8 6.61829e-06
16 *522:19 *2824:B2 5.25612e-05
17 *615:33 *2824:B2 0
*RES
1 *3381:Q *657:8 23.375
2 *657:8 *3015:A1 13.7491
3 *657:8 *2824:B2 25.5025
*END
*D_NET *658 0.00109369
*CONN
*I *3018:A1 I *D sky130_fd_sc_hd__mux2_1
*I *2823:B2 I *D sky130_fd_sc_hd__a221o_1
*I *3382:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3018:A1 0.000125182
2 *2823:B2 3.5672e-06
3 *3382:Q 4.97916e-05
4 *658:6 0.000178541
5 *2823:A1 *2823:B2 1.47046e-05
6 *2823:A1 *3018:A1 8.62321e-06
7 *2823:B1 *2823:B2 1.47046e-05
8 *2823:B1 *3018:A1 0
9 *2823:C1 *658:6 0.000120584
10 *3018:S *3018:A1 6.08467e-05
11 *522:39 *3018:A1 0.000144531
12 *618:36 *3018:A1 0.000212491
13 *618:36 *658:6 0.000116971
14 *622:11 *3018:A1 4.31539e-05
*RES
1 *3382:Q *658:6 15.9964
2 *658:6 *2823:B2 13.924
3 *658:6 *3018:A1 18.9354
*END
*D_NET *659 0.00255147
*CONN
*I *2822:B2 I *D sky130_fd_sc_hd__a221o_1
*I *3022:A1 I *D sky130_fd_sc_hd__mux2_1
*I *3383:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2822:B2 0.000251926
2 *3022:A1 4.93821e-05
3 *3383:Q 0.00058801
4 *659:8 0.000889317
5 *2822:B2 *2822:A1 8.61654e-05
6 *2822:B2 *3025:A1 0.000252342
7 *659:8 *3025:A1 3.31733e-05
8 *659:8 *669:18 8.80426e-05
9 *2822:B1 *2822:B2 1.43983e-05
10 *3022:S *3022:A1 2.65667e-05
11 *348:33 *2822:B2 0.000186435
12 *618:12 *2822:B2 8.57132e-05
*RES
1 *3383:Q *659:8 24.7573
2 *659:8 *3022:A1 15.0271
3 *659:8 *2822:B2 23.1095
*END
*D_NET *660 0.00246605
*CONN
*I *2822:A1 I *D sky130_fd_sc_hd__a221o_1
*I *3025:A1 I *D sky130_fd_sc_hd__mux2_1
*I *3384:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2822:A1 0.000131918
2 *3025:A1 0.000211143
3 *3384:Q 0.0002248
4 *660:7 0.00056786
5 *2822:A1 *670:67 0
6 *3025:A1 *670:54 5.08751e-05
7 *3025:A1 *670:67 6.50586e-05
8 *2822:B2 *2822:A1 8.61654e-05
9 *2822:B2 *3025:A1 0.000252342
10 *2822:C1 *2822:A1 0
11 *3022:S *3025:A1 0.000530006
12 *3025:S *3025:A1 6.50727e-05
13 *3026:B *3025:A1 0
14 *3026:B *660:7 6.92613e-05
15 *3027:A *3025:A1 0.00016946
16 *351:8 *2822:A1 0
17 *351:8 *3025:A1 0
18 *618:12 *2822:A1 7.13807e-06
19 *618:36 *2822:A1 1.77537e-06
20 *659:8 *3025:A1 3.31733e-05
*RES
1 *3384:Q *660:7 17.2456
2 *660:7 *3025:A1 24.7573
3 *660:7 *2822:A1 16.4116
*END
*D_NET *661 0.00145472
*CONN
*I *2821:B2 I *D sky130_fd_sc_hd__a22o_1
*I *3028:A1 I *D sky130_fd_sc_hd__mux2_1
*I *3385:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2821:B2 0.000183682
2 *3028:A1 3.07124e-05
3 *3385:Q 9.81485e-05
4 *661:10 0.000312543
5 *2821:B2 *3028:A0 0.000213725
6 *3028:A1 *3028:A0 6.08467e-05
7 *2821:B1 *2821:B2 0.00011735
8 *3028:S *661:10 9.49135e-05
9 *3381:CLK *2821:B2 4.88429e-05
10 *3381:D *2821:B2 0.000199047
11 *3500:A *661:10 9.49135e-05
*RES
1 *3385:Q *661:10 20.8045
2 *661:10 *3028:A1 9.97254
3 *661:10 *2821:B2 16.4349
*END
*D_NET *662 0.00259886
*CONN
*I *2821:A1 I *D sky130_fd_sc_hd__a22o_1
*I *3031:A1 I *D sky130_fd_sc_hd__mux2_1
*I *3386:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2821:A1 0.000247887
2 *3031:A1 0
3 *3386:Q 0.000461029
4 *662:11 0.000708917
5 *662:11 *819:DIODE 0.000115746
6 *662:11 *669:18 0
7 *662:11 *689:16 0
8 *2822:A2 *2821:A1 6.50727e-05
9 *2822:B1 *2821:A1 4.24012e-05
10 *2823:C1 *2821:A1 6.50586e-05
11 *3024:A *662:11 4.72932e-05
12 *3028:S *2821:A1 2.12993e-05
13 *3028:S *662:11 0.000225482
14 *3032:B *662:11 0.000310124
15 *3500:A *662:11 0
16 *168:21 *662:11 9.32217e-05
17 *348:33 *2821:A1 9.39633e-05
18 *618:12 *662:11 0
19 *618:36 *2821:A1 8.69817e-05
20 *639:16 *662:11 0
21 *639:25 *662:11 1.43848e-05
*RES
1 *3386:Q *662:11 32.3273
2 *662:11 *3031:A1 9.24915
3 *662:11 *2821:A1 25.6995
*END
*D_NET *663 0.0221615
*CONN
*I *834:DIODE I *D sky130_fd_sc_hd__diode_2
*I *844:DIODE I *D sky130_fd_sc_hd__diode_2
*I *854:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3270:A0 I *D sky130_fd_sc_hd__mux2_1
*I *3197:B I *D sky130_fd_sc_hd__nor2_1
*I *3079:A I *D sky130_fd_sc_hd__or3_1
*I *3508:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *834:DIODE 0
2 *844:DIODE 0
3 *854:DIODE 0
4 *3270:A0 0.000253646
5 *3197:B 7.03805e-05
6 *3079:A 8.51286e-05
7 *3508:X 0.00118783
8 *663:64 0.00128519
9 *663:63 0.00281832
10 *663:52 0.00267131
11 *663:31 0.00117266
12 *663:27 0.000965525
13 *663:11 0.00102856
14 *663:5 0.00138348
15 *3079:A *3242:B 0.000159035
16 *663:5 *3330:B 0.000580996
17 *663:5 *3334:A 0.00032843
18 *663:11 *3242:B 5.04829e-06
19 *663:27 *3242:B 2.95757e-05
20 *663:52 *671:28 0.00041102
21 *663:52 *707:15 1.41291e-05
22 *663:52 *714:97 0.000526393
23 *663:52 *715:16 0.000106534
24 *663:63 *715:16 0.000295161
25 *829:DIODE *663:63 5.36862e-05
26 *881:DIODE *663:5 0.000175485
27 *3059:A2 *663:63 6.08467e-05
28 *3059:B1 *663:63 0.000154145
29 *3078:B *663:27 1.65078e-05
30 *3083:A_N *663:27 0.00017315
31 *3083:A_N *663:31 0.000129589
32 *3092:A2 *663:52 0.000112185
33 *3092:B1 *663:52 1.80257e-05
34 *3173:A *663:64 0.00099651
35 *3185:B *663:63 4.34841e-05
36 *3187:A2 *663:63 7.14746e-05
37 *3191:B1 *663:63 2.652e-05
38 *3195:A1 *663:63 4.91225e-06
39 *3195:A2 *663:63 0.000111708
40 *3195:B1 *663:63 0.000453431
41 *3198:A2 *3197:B 1.18938e-05
42 *3325:A *663:27 1.43983e-05
43 *3330:A *663:5 0.00033061
44 *3330:A *663:11 9.40969e-05
45 *3332:A3 *663:5 1.00937e-05
46 *3334:B *663:5 2.41483e-05
47 *3335:B1 *663:5 6.78549e-05
48 *3391:CLK *663:63 0.000157941
49 *3391:D *663:63 0.000218288
50 *3392:D *663:52 0.00024385
51 *3392:D *663:63 1.15389e-05
52 *3406:D *663:52 0.000190057
53 *3447:CLK *663:27 3.20069e-06
54 *3448:CLK *663:52 0.000111708
55 *3495:A *663:52 0
56 *3496:A *663:52 0.000576259
57 *385:18 *663:52 0
58 *398:35 *663:27 3.61136e-05
59 *401:8 *3197:B 2.18741e-05
60 *401:8 *663:52 9.89011e-05
61 *407:11 *663:52 4.31703e-05
62 *456:34 *663:63 2.48676e-05
63 *472:30 *663:63 2.50864e-05
64 *512:15 *663:27 0
65 *513:59 *3079:A 4.02288e-05
66 *513:59 *663:11 1.96574e-05
67 *541:8 *663:27 0.000259486
68 *602:13 *663:27 4.81015e-05
69 *622:61 *3270:A0 0.000428003
70 *622:61 *663:64 0.00067974
71 *635:8 *663:52 9.22013e-06
72 *635:27 *3197:B 0.000146645
73 *635:27 *663:52 2.97007e-05
74 *637:21 *663:27 0.000134086
75 *637:31 *663:11 0
76 *637:31 *663:27 7.06329e-05
*RES
1 *3508:X *663:5 39.9211
2 *663:5 *663:11 13.9132
3 *663:11 *3079:A 12.3839
4 *663:11 *663:27 25.9639
5 *663:27 *663:31 7.99641
6 *663:31 *3197:B 16.4116
7 *663:31 *663:52 46.0972
8 *663:52 *663:63 43.7653
9 *663:63 *663:64 18.4707
10 *663:64 *3270:A0 13.8548
11 *663:64 *854:DIODE 9.24915
12 *663:27 *844:DIODE 9.24915
13 *663:5 *834:DIODE 9.24915
*END
*D_NET *664 0.0244116
*CONN
*I *777:DIODE I *D sky130_fd_sc_hd__diode_2
*I *2890:C I *D sky130_fd_sc_hd__or4_2
*I *3509:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *777:DIODE 0.000400211
2 *2890:C 6.72571e-05
3 *3509:X 0
4 *664:18 0.000485102
5 *664:15 0.0051689
6 *664:6 0.00745371
7 *664:5 0.00230244
8 *777:DIODE *2921:A1 3.59433e-06
9 *777:DIODE *666:55 0.000534349
10 *2890:C *2890:A 0
11 *2890:C *2890:D 3.67708e-05
12 *2890:C *667:90 4.87343e-05
13 *664:6 *675:8 0.0013186
14 *664:6 *684:8 0.00158487
15 *664:6 *686:8 0.00217585
16 *664:15 *667:75 0.000151388
17 *664:15 *670:67 0.000289662
18 *664:15 *672:54 1.57481e-05
19 *664:15 *672:76 0.000716648
20 *664:15 *686:8 0.0011521
21 *664:18 *667:90 1.41396e-05
22 io_wbs_data_o[14] *664:6 2.5386e-05
23 io_wbs_data_o[17] *664:6 3.13394e-05
24 io_wbs_data_o[20] *664:6 4.76318e-05
25 io_wbs_data_o[28] *664:6 7.86825e-06
26 *871:DIODE *664:6 7.50872e-05
27 *88:10 *664:6 0.000165169
28 *348:21 *2890:C 9.75356e-05
29 *348:21 *664:18 4.15236e-05
*RES
1 *3509:X *664:5 13.7491
2 *664:5 *664:6 93.1875
3 *664:6 *664:15 46.7921
4 *664:15 *664:18 4.16265
5 *664:18 *2890:C 16.4116
6 *664:18 *777:DIODE 20.5732
*END
*D_NET *665 0.0281563
*CONN
*I *783:DIODE I *D sky130_fd_sc_hd__diode_2
*I *2897:A0 I *D sky130_fd_sc_hd__mux2_1
*I *813:DIODE I *D sky130_fd_sc_hd__diode_2
*I *824:DIODE I *D sky130_fd_sc_hd__diode_2
*I *796:DIODE I *D sky130_fd_sc_hd__diode_2
*I *2964:A1 I *D sky130_fd_sc_hd__o211a_1
*I *859:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3341:A0 I *D sky130_fd_sc_hd__mux2_1
*I *3038:A0 I *D sky130_fd_sc_hd__mux2_1
*I *3008:A0 I *D sky130_fd_sc_hd__mux2_1
*I *3510:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *783:DIODE 0
2 *2897:A0 0
3 *813:DIODE 0
4 *824:DIODE 0.000188061
5 *796:DIODE 0
6 *2964:A1 0
7 *859:DIODE 0.000387629
8 *3341:A0 0.000604126
9 *3038:A0 4.09772e-05
10 *3008:A0 0.000485235
11 *3510:X 0.00082639
12 *665:87 0.00104333
13 *665:85 0.000327426
14 *665:76 0.00149983
15 *665:53 0.00175012
16 *665:48 0.00160987
17 *665:33 0.00169542
18 *665:31 0.00157704
19 *665:25 0.00246484
20 *665:11 0.00132553
21 *665:9 0.000990578
22 *824:DIODE *795:DIODE 7.70305e-05
23 *824:DIODE *670:26 0.000287406
24 *859:DIODE *3179:B2 0.000623449
25 *3008:A0 *666:55 2.75427e-05
26 *3008:A0 *666:78 0.000167076
27 *3341:A0 *3156:B2 0.000118166
28 *3341:A0 *669:59 0
29 *3341:A0 *732:31 0.000238734
30 *665:9 *3529:A 0.000179286
31 *665:25 *2840:A1 0.000143047
32 *665:25 *2843:A1 0
33 *665:31 *747:8 1.43983e-05
34 *665:31 *761:8 2.65831e-05
35 *665:48 *793:DIODE 7.13655e-06
36 *665:48 *795:DIODE 0.000118058
37 *665:48 *804:DIODE 0.000182068
38 *665:48 *3067:A 0.000405209
39 *665:48 *670:26 0.000587496
40 *665:48 *670:28 0.000239842
41 *665:48 *689:59 7.70061e-05
42 *665:53 *668:17 0.000171515
43 *665:53 *668:19 0.000229159
44 *665:53 *668:113 6.1576e-05
45 *665:76 *3183:B2 0.00057332
46 *665:76 *666:124 5.46889e-05
47 *665:76 *668:19 0.000646457
48 *665:85 *3188:B2 6.91515e-06
49 *665:85 *666:124 0.000353437
50 *665:85 *732:14 9.85961e-05
51 *2827:A *665:25 0
52 *2864:A *665:25 0
53 *2897:S *665:11 0.000115934
54 *2897:S *665:25 0.000111722
55 *2961:A *665:76 0.000107496
56 *2964:A2 *665:85 0.000172569
57 *2964:B1 *665:85 0.000222149
58 *2972:A *3341:A0 0.000146555
59 *2972:A *665:85 5.2092e-05
60 *2972:A *665:87 0.000108675
61 *3009:A *3008:A0 1.80887e-05
62 *3038:S *3038:A0 6.08467e-05
63 *3052:A *665:48 0.000298473
64 *3151:B *3038:A0 1.9101e-05
65 *3151:B *665:76 0.000171636
66 *3177:A *665:76 0.000796598
67 *3181:A *665:76 0.000200209
68 *3344:CLK *665:31 2.85139e-05
69 *3344:D *665:31 0.000121129
70 *3347:CLK *665:25 0.000302173
71 *3347:D *665:25 5.05252e-05
72 *3351:CLK *665:31 1.88014e-05
73 *3351:D *665:31 5.81544e-05
74 *3379:CLK *665:33 2.16355e-05
75 *3379:D *665:33 3.18826e-06
76 *3379:D *665:48 3.98472e-05
77 *3387:D *824:DIODE 9.75356e-05
78 *3396:CLK *665:25 0.000110147
79 *3405:D *665:25 0
80 *3493:A *665:25 4.79439e-05
81 *55:10 *665:9 5.25197e-05
82 *220:13 *665:25 9.80242e-07
83 *220:13 *665:31 8.2739e-05
84 *248:40 *665:25 0
85 *249:51 *665:48 1.2693e-05
86 *260:8 *3008:A0 0
87 *268:41 *665:25 3.31733e-05
88 *278:16 *3341:A0 0.000313698
89 *319:23 *3341:A0 7.3979e-05
90 *319:23 *665:85 1.07248e-05
91 *319:23 *665:87 0.000115772
92 *319:25 *665:85 4.33979e-05
93 *348:9 *665:48 0.000388262
94 *348:21 *3008:A0 3.34802e-05
95 *358:12 *3341:A0 1.11638e-05
96 *374:21 *824:DIODE 2.65667e-05
97 *424:53 *665:76 5.01835e-05
98 *456:26 *3038:A0 2.33103e-06
99 *456:26 *665:76 4.36592e-05
100 *617:19 *3341:A0 0.00014542
101 *632:40 *665:25 8.93599e-05
102 *632:47 *665:25 0.000231559
103 *632:72 *665:25 7.26877e-05
104 *646:15 *665:31 7.58194e-05
105 *646:15 *665:33 4.36956e-05
106 *655:8 *3008:A0 0.000169108
*RES
1 *3510:X *665:9 38.1338
2 *665:9 *665:11 4.05102
3 *665:11 *665:25 40.753
4 *665:25 *665:31 27.8025
5 *665:31 *665:33 4.05102
6 *665:33 *3008:A0 27.457
7 *665:33 *665:48 40.0773
8 *665:48 *665:53 8.24077
9 *665:53 *3038:A0 14.8512
10 *665:53 *665:76 20.9225
11 *665:76 *665:85 24.3977
12 *665:85 *665:87 2.24725
13 *665:87 *3341:A0 29.9518
14 *665:87 *859:DIODE 20.5732
15 *665:85 *2964:A1 13.7491
16 *665:76 *796:DIODE 9.24915
17 *665:48 *824:DIODE 19.8342
18 *665:31 *813:DIODE 9.24915
19 *665:11 *2897:A0 9.24915
20 *665:9 *783:DIODE 9.24915
*END
*D_NET *666 0.0403298
*CONN
*I *825:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3012:A0 I *D sky130_fd_sc_hd__mux2_1
*I *814:DIODE I *D sky130_fd_sc_hd__diode_2
*I *2966:A1 I *D sky130_fd_sc_hd__o211a_1
*I *797:DIODE I *D sky130_fd_sc_hd__diode_2
*I *857:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3338:A0 I *D sky130_fd_sc_hd__mux2_1
*I *2901:A0 I *D sky130_fd_sc_hd__mux2_1
*I *784:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3043:A0 I *D sky130_fd_sc_hd__mux2_1
*I *3511:X O *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 *825:DIODE 0
2 *3012:A0 1.84399e-05
3 *814:DIODE 0
4 *2966:A1 8.00752e-05
5 *797:DIODE 0.000249942
6 *857:DIODE 0.000772533
7 *3338:A0 0.000104188
8 *2901:A0 0
9 *784:DIODE 0.00015076
10 *3043:A0 0.000637736
11 *3511:X 0
12 *666:124 0.000868858
13 *666:109 0.00271848
14 *666:89 0.00189427
15 *666:80 0.000468996
16 *666:78 0.00100996
17 *666:55 0.000671
18 *666:48 0.00206709
19 *666:46 0.00181875
20 *666:27 0.00237924
21 *666:15 0.00184814
22 *666:6 0.00336509
23 *666:5 0.00208105
24 *784:DIODE *2857:A1 0.000536595
25 *797:DIODE *671:79 5.83019e-05
26 *857:DIODE *2936:A0 7.50872e-05
27 *857:DIODE *3175:B2 0.000124619
28 *857:DIODE *668:19 0
29 *857:DIODE *668:24 0
30 *857:DIODE *668:35 0
31 *857:DIODE *669:59 1.8959e-05
32 *857:DIODE *732:31 6.50586e-05
33 *3043:A0 *3184:A1 0.000619237
34 *666:6 *674:8 0
35 *666:15 *3282:B1_N 0.00016553
36 *666:15 *3320:A 0.000122378
37 *666:27 *3111:A 0.000216458
38 *666:27 *3111:C 0.000247443
39 *666:27 *3184:A1 0.000373061
40 *666:27 *670:26 7.14746e-05
41 *666:27 *716:15 3.70748e-05
42 *666:27 *716:21 1.85016e-05
43 *666:46 *795:DIODE 0
44 *666:46 *668:12 0
45 *666:46 *668:17 0
46 *666:46 *668:92 7.19972e-05
47 *666:46 *670:26 0
48 *666:46 *674:45 3.90689e-06
49 *666:46 *676:31 9.22013e-06
50 *666:46 *712:14 1.79672e-05
51 *666:48 *780:DIODE 0.000124594
52 *666:48 *804:DIODE 9.10666e-05
53 *666:48 *674:45 1.87269e-05
54 *666:48 *676:31 0.000167579
55 *666:55 *2901:A1 3.14978e-05
56 *666:55 *2921:A1 2.02247e-05
57 *666:109 *3171:B2 0.00033442
58 *666:109 *3183:B2 0.000333731
59 *666:109 *668:19 0
60 *666:109 *685:85 0
61 *666:124 *671:79 2.99549e-05
62 *666:124 *732:14 1.64477e-05
63 io_wbs_data_o[7] *666:6 0.000120279
64 *777:DIODE *666:55 0.000534349
65 *2821:A2 *666:89 3.29639e-05
66 *2857:S *784:DIODE 0.000137345
67 *2872:A *666:15 8.92498e-05
68 *2872:A *666:27 0.00100754
69 *2895:B *666:48 0.000213064
70 *2896:A *666:55 9.46346e-05
71 *2902:A *666:55 0.000128094
72 *2902:B *666:55 0
73 *2903:A *784:DIODE 0.000175485
74 *2920:A *666:55 0.000151741
75 *2955:B *666:46 0
76 *2961:A *666:124 7.39022e-06
77 *2965:A *797:DIODE 3.86121e-05
78 *2981:B *666:48 9.22013e-06
79 *3008:A0 *666:55 2.75427e-05
80 *3008:A0 *666:78 0.000167076
81 *3009:A *666:78 0.00012123
82 *3009:B *666:48 0
83 *3009:B *666:55 0
84 *3012:S *3012:A0 0.000103983
85 *3012:S *666:78 0
86 *3013:B *666:80 1.03403e-05
87 *3014:A *3012:A0 2.65831e-05
88 *3014:A *666:78 0.000634404
89 *3018:S *3012:A0 1.41976e-05
90 *3018:S *666:80 0.000261004
91 *3018:S *666:89 0.00016553
92 *3021:A *857:DIODE 6.92705e-05
93 *3045:A *3043:A0 0
94 *3151:B *3043:A0 0.000110777
95 *3168:A1 *3043:A0 2.65831e-05
96 *3171:A1 *666:89 0
97 *3171:A1 *666:109 0
98 *3172:A1 *3043:A0 9.49926e-05
99 *3178:B1 *666:124 6.77221e-05
100 *3179:B1 *666:124 2.01828e-05
101 *3181:A *666:46 4.19401e-06
102 *3186:A *666:15 0.000324166
103 *3188:C1 *666:124 6.34651e-06
104 *3282:A1 *666:15 0.000434578
105 *3282:A2 *666:15 0.000632015
106 *3300:B1 *666:6 0.000261552
107 *3321:A2 *666:15 2.20702e-05
108 *3321:A3 *666:15 6.08467e-05
109 *3321:B1 *666:15 0.000158997
110 *3340:A2 *666:89 0.000297259
111 *3342:B1 *857:DIODE 0.000296127
112 *3365:D *797:DIODE 4.27003e-05
113 *3388:D *3043:A0 0.00011439
114 *3390:D *666:46 0
115 *3404:CLK *784:DIODE 0
116 *3408:CLK *666:46 0.000329545
117 *3424:D *666:6 0
118 *3446:D *666:6 0.000148129
119 *3456:D *666:89 0.000149628
120 *2:10 *666:6 0
121 *5:10 *666:6 0
122 *165:10 *797:DIODE 0.000304528
123 *165:10 *666:124 0.000511222
124 *168:21 *666:109 0.000227965
125 *168:21 *666:124 9.57557e-06
126 *170:11 *2966:A1 3.95644e-05
127 *172:12 *666:6 0
128 *246:39 *666:109 4.39495e-06
129 *248:24 *666:15 0.000243587
130 *260:8 *666:48 0
131 *262:9 *666:48 8.51085e-05
132 *262:9 *666:55 0.000172387
133 *262:18 *666:48 0
134 *263:6 *666:48 4.73076e-05
135 *265:17 *666:46 0
136 *265:17 *666:48 0
137 *266:6 *666:48 0.000291645
138 *279:43 *3338:A0 0.000264612
139 *311:13 *666:124 0.000101118
140 *319:23 *857:DIODE 4.70104e-05
141 *348:9 *666:48 0
142 *348:21 *666:55 0.000435243
143 *369:44 *666:46 0
144 *370:23 *666:46 0
145 *370:34 *666:46 0
146 *374:21 *3043:A0 2.21473e-05
147 *424:51 *666:124 1.6644e-05
148 *424:53 *666:124 5.17829e-05
149 *430:7 *666:27 2.59398e-05
150 *436:15 *666:15 0.000729678
151 *447:7 *666:15 0.00013005
152 *447:7 *666:27 3.21548e-05
153 *454:35 *666:6 5.01511e-05
154 *456:26 *3043:A0 5.75983e-05
155 *457:19 *666:109 0
156 *457:53 *857:DIODE 0
157 *457:53 *666:109 3.87699e-06
158 *466:10 *666:109 9.35979e-05
159 *468:10 *666:109 5.42738e-05
160 *469:12 *666:109 0.000232887
161 *487:17 *666:109 0.000162063
162 *615:33 *666:89 0.000116971
163 *618:36 *666:89 2.71055e-05
164 *618:36 *666:109 3.14373e-05
165 *619:47 *666:109 3.84411e-05
166 *619:47 *666:124 8.99834e-05
167 *636:18 *666:6 0
168 *636:63 *666:6 0
169 *665:76 *666:124 5.46889e-05
170 *665:85 *666:124 0.000353437
*RES
1 *3511:X *666:5 13.7491
2 *666:5 *666:6 54.9843
3 *666:6 *666:15 45.6371
4 *666:15 *666:27 27.5853
5 *666:27 *3043:A0 31.5293
6 *666:27 *666:46 31.1494
7 *666:46 *666:48 20.5183
8 *666:48 *666:55 25.3674
9 *666:55 *784:DIODE 25.796
10 *666:55 *2901:A0 9.24915
11 *666:48 *666:78 24.0076
12 *666:78 *666:80 2.94181
13 *666:80 *666:89 16.5429
14 *666:89 *3338:A0 16.691
15 *666:89 *666:109 23.8843
16 *666:109 *857:DIODE 26.7387
17 *666:109 *666:124 22.4337
18 *666:124 *797:DIODE 21.151
19 *666:124 *2966:A1 15.6394
20 *666:80 *814:DIODE 9.24915
21 *666:78 *3012:A0 10.5271
22 *666:27 *825:DIODE 9.24915
*END
*D_NET *667 0.0305861
*CONN
*I *815:DIODE I *D sky130_fd_sc_hd__diode_2
*I *2904:A0 I *D sky130_fd_sc_hd__mux2_1
*I *785:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3015:A0 I *D sky130_fd_sc_hd__mux2_1
*I *826:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3047:A0 I *D sky130_fd_sc_hd__mux2_1
*I *2968:A1 I *D sky130_fd_sc_hd__o211a_1
*I *798:DIODE I *D sky130_fd_sc_hd__diode_2
*I *2988:A0 I *D sky130_fd_sc_hd__mux2_1
*I *807:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3512:X O *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 *815:DIODE 4.09187e-05
2 *2904:A0 5.56756e-05
3 *785:DIODE 0.000213913
4 *3015:A0 6.9147e-05
5 *826:DIODE 6.8497e-05
6 *3047:A0 0
7 *2968:A1 3.84094e-05
8 *798:DIODE 0
9 *2988:A0 3.23147e-05
10 *807:DIODE 0
11 *3512:X 0.0012435
12 *667:90 0.00132342
13 *667:75 0.00220044
14 *667:69 0.00158607
15 *667:55 0.00233968
16 *667:38 0.00282983
17 *667:28 0.00238519
18 *667:27 0.00186495
19 *667:25 0.000561459
20 *667:17 0.00066231
21 *667:10 0.002183
22 *667:7 0.00289026
23 *785:DIODE *2890:D 7.14746e-05
24 *815:DIODE *689:16 1.5714e-05
25 *826:DIODE *3111:C 6.50727e-05
26 *826:DIODE *3184:A1 0.000231308
27 *2904:A0 *2853:A0 5.20546e-06
28 *3015:A0 *671:120 8.10634e-05
29 *667:7 *689:7 0.000723019
30 *667:7 *689:14 9.06956e-05
31 *667:7 *690:7 0.000321277
32 *667:28 *2924:A 8.89319e-06
33 *667:28 *2945:A0 4.01573e-05
34 *667:28 *2945:A1 0
35 *667:28 *668:24 0
36 *667:28 *668:35 0
37 *667:28 *668:39 0.000268565
38 *667:28 *668:44 0.000151726
39 *667:28 *725:15 0
40 *667:28 *732:42 0.000170572
41 *667:28 *732:50 3.72807e-05
42 *667:38 *3267:A1 0
43 *667:38 *724:15 0
44 *667:69 *689:16 6.16319e-05
45 *667:90 *2890:D 0.00104063
46 *667:90 *671:120 0.000380521
47 *887:DIODE *667:7 2.82583e-05
48 *2872:A *826:DIODE 0.000583258
49 *2890:C *667:90 4.87343e-05
50 *2905:B *667:90 4.7294e-05
51 *2923:A *667:90 0.000171288
52 *2945:S *667:28 0
53 *2951:A *667:28 2.04806e-05
54 *2968:B1 *2968:A1 2.87136e-06
55 *2969:B *667:28 0
56 *2971:A *667:28 7.18816e-06
57 *2988:A1 *667:25 1.96227e-05
58 *2988:A1 *667:28 7.14746e-05
59 *2988:S *2988:A0 9.9774e-06
60 *2988:S *667:25 1.43848e-05
61 *2988:S *667:69 6.68703e-05
62 *2989:B *667:28 0
63 *2996:A *667:10 0
64 *2998:A *667:10 0
65 *2998:B *667:10 0
66 *3002:A *815:DIODE 6.08467e-05
67 *3002:A *667:69 0.000199733
68 *3002:B *667:69 3.14978e-05
69 *3003:A *667:28 0
70 *3016:B *667:90 0.00021569
71 *3181:A *667:38 0
72 *3181:A *667:55 0
73 *3352:CLK *667:90 0
74 *3359:D *667:28 0
75 *3362:D *667:28 0
76 *3363:D *667:28 9.60216e-05
77 *3368:D *2968:A1 2.1203e-06
78 *3375:CLK *667:10 3.90891e-05
79 *3377:CLK *667:28 0
80 *3377:D *667:28 0
81 *3457:CLK *667:28 0
82 *1:14 *667:28 0.000273277
83 *1:29 *667:28 0.000101133
84 *47:8 *667:7 1.43983e-05
85 *166:15 *667:55 0.000196624
86 *170:11 *2968:A1 1.94236e-05
87 *247:34 *667:55 0.000290631
88 *260:8 *785:DIODE 0
89 *260:8 *667:90 0
90 *278:31 *667:28 0
91 *279:44 *667:75 2.86353e-06
92 *305:31 *667:28 0
93 *305:33 *667:10 0
94 *305:33 *667:28 0
95 *317:41 *667:38 0
96 *334:19 *667:69 7.18738e-05
97 *334:30 *667:28 0
98 *348:21 *667:90 6.90997e-05
99 *351:38 *667:75 1.66626e-05
100 *358:9 *667:28 0
101 *370:44 *667:55 2.33103e-06
102 *542:15 *667:38 0
103 *542:15 *667:55 0
104 *617:19 *815:DIODE 1.04747e-05
105 *617:19 *667:69 6.61114e-05
106 *622:21 *667:28 0
107 *634:10 *667:55 1.91246e-05
108 *640:8 *667:28 0
109 *645:6 *667:90 0.000218518
110 *645:8 *667:90 0.000341237
111 *645:25 *667:90 0.000148144
112 *645:32 *667:90 0.000379568
113 *651:8 *667:28 2.73004e-05
114 *651:8 *667:38 6.1252e-05
115 *664:15 *667:75 0.000151388
116 *664:18 *667:90 1.41396e-05
*RES
1 *3512:X *667:7 35.5475
2 *667:7 *667:10 45.781
3 *667:10 *807:DIODE 9.24915
4 *667:10 *667:17 7.95736
5 *667:17 *2988:A0 10.2378
6 *667:17 *667:25 2.38721
7 *667:25 *667:27 4.5
8 *667:27 *667:28 49.586
9 *667:28 *798:DIODE 13.7491
10 *667:28 *667:38 9.12996
11 *667:38 *2968:A1 17.9426
12 *667:38 *667:55 13.1927
13 *667:55 *3047:A0 9.24915
14 *667:55 *826:DIODE 15.5186
15 *667:25 *667:69 17.5246
16 *667:69 *667:75 9.53726
17 *667:75 *3015:A0 15.5817
18 *667:75 *667:90 48.86
19 *667:90 *785:DIODE 17.5531
20 *667:90 *2904:A0 14.4725
21 *667:69 *815:DIODE 14.8512
*END
*D_NET *668 0.0351515
*CONN
*I *827:DIODE I *D sky130_fd_sc_hd__diode_2
*I *786:DIODE I *D sky130_fd_sc_hd__diode_2
*I *2907:A0 I *D sky130_fd_sc_hd__mux2_1
*I *3050:A0 I *D sky130_fd_sc_hd__mux2_1
*I *2970:A1 I *D sky130_fd_sc_hd__o211a_1
*I *808:DIODE I *D sky130_fd_sc_hd__diode_2
*I *2991:A0 I *D sky130_fd_sc_hd__mux2_1
*I *816:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3018:A0 I *D sky130_fd_sc_hd__mux2_1
*I *799:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3513:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *827:DIODE 7.14857e-05
2 *786:DIODE 0.00021228
3 *2907:A0 0
4 *3050:A0 0
5 *2970:A1 6.2077e-05
6 *808:DIODE 0.000108409
7 *2991:A0 0
8 *816:DIODE 0
9 *3018:A0 0.000721428
10 *799:DIODE 0
11 *3513:X 0.00296967
12 *668:113 0.00368978
13 *668:92 0.00371429
14 *668:44 0.000801616
15 *668:39 0.000342681
16 *668:35 0.0014435
17 *668:24 0.00136217
18 *668:19 0.00221717
19 *668:17 0.00255598
20 *668:12 0.00349481
21 *786:DIODE *2907:A1 0.000538632
22 *827:DIODE *718:40 0.00011818
23 *2970:A1 *669:59 4.04556e-05
24 *668:12 *3074:C 0.000113066
25 *668:12 *670:26 0
26 *668:12 *674:8 4.76198e-05
27 *668:17 *795:DIODE 0.000202406
28 *668:17 *670:26 0
29 *668:19 *3183:B2 0.000439079
30 *668:24 *3183:B2 0.000178528
31 *668:35 *812:DIODE 7.20173e-06
32 *668:35 *2936:A0 0
33 *668:35 *2945:A0 6.31809e-05
34 *668:35 *3175:B2 0
35 *668:35 *669:59 0
36 *668:113 *793:DIODE 0
37 *668:113 *685:16 4.15236e-05
38 io_wbs_ack_o *668:12 3.52699e-05
39 *857:DIODE *668:19 0
40 *857:DIODE *668:24 0
41 *857:DIODE *668:35 0
42 *2908:B *786:DIODE 2.41274e-06
43 *2909:A *786:DIODE 6.50727e-05
44 *2920:A *786:DIODE 0.000435297
45 *2969:B *668:24 1.77302e-05
46 *2969:B *668:35 0.000276889
47 *2970:A2 *2970:A1 3.12764e-05
48 *2970:B1 *2970:A1 1.78528e-05
49 *3005:A *668:35 0
50 *3019:A *3018:A0 0.00019405
51 *3019:B *3018:A0 0.000111673
52 *3037:A *668:92 0.000144531
53 *3038:S *668:92 3.09152e-06
54 *3039:A *668:92 8.92568e-06
55 *3181:A *668:19 0
56 *3342:B1 *668:35 0
57 *3354:D *786:DIODE 1.03403e-05
58 *3377:CLK *668:39 5.84608e-05
59 *3377:CLK *668:44 4.01437e-05
60 *3378:CLK *808:DIODE 4.23874e-05
61 *3378:CLK *668:39 1.43983e-05
62 *3457:CLK *668:35 0.000306512
63 *3457:D *668:35 0
64 *166:15 *668:12 0.00443075
65 *166:15 *668:17 0
66 *169:15 *2970:A1 3.0577e-05
67 *358:9 *668:35 5.45571e-05
68 *369:44 *668:92 5.41227e-05
69 *370:8 *668:92 1.9101e-05
70 *370:23 *668:92 4.70237e-05
71 *397:12 *668:12 0
72 *456:26 *668:92 0
73 *522:39 *3018:A0 0.000825094
74 *522:39 *668:44 2.82583e-05
75 *619:47 *668:19 0
76 *622:11 *3018:A0 9.07193e-05
77 *622:13 *3018:A0 0.000136856
78 *622:13 *668:44 0.00011818
79 *624:5 *3018:A0 0.000146924
80 *640:8 *668:35 0.000171309
81 *640:8 *668:39 2.352e-05
82 *665:53 *668:17 0.000171515
83 *665:53 *668:19 0.000229159
84 *665:53 *668:113 6.1576e-05
85 *665:76 *668:19 0.000646457
86 *666:46 *668:12 0
87 *666:46 *668:17 0
88 *666:46 *668:92 7.19972e-05
89 *666:109 *668:19 0
90 *667:28 *668:24 0
91 *667:28 *668:35 0
92 *667:28 *668:39 0.000268565
93 *667:28 *668:44 0.000151726
*RES
1 *3513:X *668:12 31.3251
2 *668:12 *668:17 10.9767
3 *668:17 *668:19 5.09824
4 *668:19 *668:24 5.23971
5 *668:24 *799:DIODE 13.7491
6 *668:24 *668:35 31.9799
7 *668:35 *668:39 11.626
8 *668:39 *668:44 8.4405
9 *668:44 *3018:A0 30.8787
10 *668:44 *816:DIODE 9.24915
11 *668:39 *2991:A0 13.7491
12 *668:35 *808:DIODE 11.0817
13 *668:19 *2970:A1 19.1054
14 *668:17 *668:92 9.56093
15 *668:92 *3050:A0 13.7491
16 *668:92 *668:113 19.0755
17 *668:113 *2907:A0 9.24915
18 *668:113 *786:DIODE 19.5937
19 *668:12 *827:DIODE 15.0271
*END
*D_NET *669 0.0241871
*CONN
*I *2912:A0 I *D sky130_fd_sc_hd__mux2_1
*I *787:DIODE I *D sky130_fd_sc_hd__diode_2
*I *817:DIODE I *D sky130_fd_sc_hd__diode_2
*I *828:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3054:A0 I *D sky130_fd_sc_hd__mux2_1
*I *2974:A1 I *D sky130_fd_sc_hd__o211a_1
*I *800:DIODE I *D sky130_fd_sc_hd__diode_2
*I *809:DIODE I *D sky130_fd_sc_hd__diode_2
*I *2994:A0 I *D sky130_fd_sc_hd__mux2_1
*I *3022:A0 I *D sky130_fd_sc_hd__mux2_1
*I *3514:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *2912:A0 0.000218424
2 *787:DIODE 0
3 *817:DIODE 0
4 *828:DIODE 0.000522757
5 *3054:A0 0
6 *2974:A1 5.64244e-05
7 *800:DIODE 0.000518276
8 *809:DIODE 6.87979e-05
9 *2994:A0 0.000125866
10 *3022:A0 0
11 *3514:X 0.000631424
12 *669:112 0.000370214
13 *669:90 0.000522757
14 *669:88 0.0019335
15 *669:62 0.000650435
16 *669:59 0.00359893
17 *669:42 0.00194894
18 *669:30 0.00178649
19 *669:18 0.0018101
20 *669:11 0.000721094
21 *669:8 0.00106439
22 *828:DIODE *718:12 0.000181152
23 *669:8 *678:6 0.0012765
24 *669:8 *690:7 5.56461e-05
25 *669:88 *718:12 0.00025251
26 *669:112 *678:6 0.000170592
27 *857:DIODE *669:59 1.8959e-05
28 *2913:B *2912:A0 0.00011818
29 *2914:A *669:11 9.90116e-05
30 *2963:A *669:59 0.000183432
31 *2969:A *669:59 0
32 *2969:B *669:88 0
33 *2970:A1 *669:59 4.04556e-05
34 *2970:B1 *669:59 3.11571e-05
35 *2971:A *800:DIODE 1.84293e-05
36 *2971:B *800:DIODE 2.85139e-05
37 *2972:A *669:59 0.000269296
38 *2972:A *669:88 5.99785e-05
39 *2974:A2 *2974:A1 3.25772e-05
40 *2974:A2 *669:62 4.60733e-07
41 *2974:B1 *800:DIODE 5.94362e-05
42 *2974:B1 *2974:A1 2.25129e-05
43 *2974:B1 *669:62 2.02853e-06
44 *2974:C1 *669:88 0.000230418
45 *2997:A1 *669:30 0.000166411
46 *2997:S *669:30 0
47 *2997:S *669:42 0
48 *3002:B *669:42 5.41377e-05
49 *3002:B *669:59 3.74738e-05
50 *3003:A *669:59 6.62271e-05
51 *3005:A *669:59 0.000366379
52 *3006:A *669:59 9.17188e-05
53 *3023:A *669:30 1.41291e-05
54 *3023:B *669:30 0.000120773
55 *3024:A *669:18 0
56 *3030:A *669:30 0
57 *3030:A *669:42 7.09666e-06
58 *3032:B *669:30 0.000228796
59 *3041:A *669:88 2.12377e-05
60 *3054:A1 *828:DIODE 6.08467e-05
61 *3162:B *669:88 4.2267e-05
62 *3180:A2 *669:88 2.77625e-06
63 *3182:A1 *669:88 1.4091e-06
64 *3189:A1 *828:DIODE 0.000126477
65 *3195:A2 *669:88 0.000122378
66 *3341:A0 *669:59 0
67 *3342:A1 *669:59 0.000139288
68 *3342:B1 *669:59 0.000479643
69 *3352:D *669:8 8.07939e-05
70 *3364:D *669:59 6.46135e-05
71 *3368:D *669:88 0
72 *3377:CLK *669:59 0
73 *3385:D *669:30 3.44886e-05
74 *3420:CLK *669:18 0
75 *169:15 *669:59 5.94667e-05
76 *169:15 *669:62 6.50586e-05
77 *169:15 *669:88 1.04965e-05
78 *246:50 *669:88 4.83807e-05
79 *288:31 *669:59 0.000125263
80 *312:7 *669:88 1.03403e-05
81 *317:21 *669:88 2.08649e-05
82 *317:22 *669:88 0
83 *319:23 *669:59 1.87469e-05
84 *319:48 *669:88 0.000132276
85 *334:19 *809:DIODE 0.000122378
86 *334:30 *669:42 0
87 *358:12 *669:30 0
88 *358:12 *669:42 0
89 *358:12 *669:59 0.000150627
90 *358:16 *669:30 0
91 *369:14 *828:DIODE 8.16827e-05
92 *369:20 *828:DIODE 7.92757e-06
93 *424:34 *669:88 0.000486757
94 *424:51 *669:88 0.000258157
95 *487:17 *669:88 0.000135114
96 *493:21 *828:DIODE 1.41976e-05
97 *493:23 *828:DIODE 2.77625e-06
98 *616:10 *669:59 0
99 *617:5 *2994:A0 3.14978e-05
100 *617:13 *2994:A0 0.000132414
101 *617:13 *669:42 6.99486e-05
102 *618:12 *669:30 8.52802e-05
103 *659:8 *669:18 8.80426e-05
104 *662:11 *669:18 0
105 *668:35 *669:59 0
*RES
1 *3514:X *669:8 36.7912
2 *669:8 *669:11 11.324
3 *669:11 *669:18 20.1419
4 *669:18 *3022:A0 9.24915
5 *669:18 *669:30 34.5776
6 *669:30 *2994:A0 13.3002
7 *669:30 *669:42 11.8042
8 *669:42 *809:DIODE 15.0271
9 *669:42 *669:59 46.4105
10 *669:59 *669:62 5.83225
11 *669:62 *800:DIODE 17.1281
12 *669:62 *2974:A1 10.8828
13 *669:59 *669:88 47.2606
14 *669:88 *669:90 4.5
15 *669:90 *3054:A0 9.24915
16 *669:90 *828:DIODE 30.2188
17 *669:11 *817:DIODE 9.24915
18 *669:8 *669:112 8.30115
19 *669:112 *787:DIODE 9.24915
20 *669:112 *2912:A0 14.4335
*END
*D_NET *670 0.0370586
*CONN
*I *830:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3059:A1 I *D sky130_fd_sc_hd__o21a_1
*I *801:DIODE I *D sky130_fd_sc_hd__diode_2
*I *2976:A1 I *D sky130_fd_sc_hd__o211a_1
*I *788:DIODE I *D sky130_fd_sc_hd__diode_2
*I *2915:A0 I *D sky130_fd_sc_hd__mux2_1
*I *3025:A0 I *D sky130_fd_sc_hd__mux2_1
*I *2997:A0 I *D sky130_fd_sc_hd__mux2_1
*I *810:DIODE I *D sky130_fd_sc_hd__diode_2
*I *818:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3515:X O *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 *830:DIODE 0.000178127
2 *3059:A1 2.39931e-05
3 *801:DIODE 9.81557e-06
4 *2976:A1 0.000229869
5 *788:DIODE 0
6 *2915:A0 0
7 *3025:A0 0
8 *2997:A0 0
9 *810:DIODE 0.000125386
10 *818:DIODE 0.000413666
11 *3515:X 0.000854805
12 *670:121 0.00020212
13 *670:99 0.00194374
14 *670:93 0.00183155
15 *670:67 0.00172461
16 *670:54 0.00210243
17 *670:47 0.00061754
18 *670:35 0.000642585
19 *670:31 0.00024983
20 *670:28 0.00152183
21 *670:26 0.00253605
22 *670:18 0.00439628
23 *670:10 0.00397413
24 *801:DIODE *732:14 7.86825e-06
25 *830:DIODE *3082:B 0.000103304
26 *3059:A1 *3082:B 3.73224e-05
27 *670:10 *3335:A1 1.2693e-05
28 *670:10 *3337:B2 0.00026818
29 *670:10 *728:8 1.3807e-05
30 *670:18 *3057:A 7.22711e-05
31 *670:18 *3299:B1_N 4.3116e-06
32 *670:26 *3057:A 0.000355072
33 *670:26 *3082:B 0
34 *670:26 *712:14 0
35 *670:28 *2854:A1 0
36 *670:28 *2856:A1 0
37 *670:28 *2890:A 0.000577773
38 *670:28 *3067:A 1.13071e-05
39 *670:28 *676:24 0
40 *670:67 *672:54 2.95956e-05
41 *670:67 *672:76 0.000382972
42 *670:99 *672:23 0
43 *824:DIODE *670:26 0.000287406
44 *2822:A1 *670:67 0
45 *2856:S *670:28 0
46 *2857:A0 *670:28 0
47 *2858:A *670:28 6.31954e-05
48 *2896:A *670:28 8.3647e-05
49 *2902:A *670:28 0
50 *2905:A *670:31 0.000185642
51 *2905:A *670:35 9.14834e-05
52 *2905:B *818:DIODE 0.000122083
53 *2905:B *670:35 0.000113968
54 *2906:A *670:35 7.92757e-06
55 *2912:S *670:35 0.000413252
56 *2916:B *670:54 1.84293e-05
57 *2995:B *810:DIODE 0.000270186
58 *2995:B *670:67 2.81678e-06
59 *2997:S *670:67 0
60 *2998:A *670:67 0
61 *2998:B *810:DIODE 7.16893e-05
62 *3025:A1 *670:54 5.08751e-05
63 *3025:A1 *670:67 6.50586e-05
64 *3025:S *670:54 2.41483e-05
65 *3026:B *670:67 3.07073e-05
66 *3027:A *670:54 0.00035243
67 *3027:A *670:67 6.08467e-05
68 *3051:A *670:28 0.00010828
69 *3058:A1 *670:18 9.52443e-05
70 *3058:A1 *670:26 0.000184222
71 *3059:B1 *670:26 0.000193046
72 *3104:B1 *670:26 0
73 *3287:A *670:18 3.12316e-05
74 *3294:A2 *670:18 0.00011497
75 *3298:A *670:18 0.000162884
76 *3326:A *670:18 3.86242e-05
77 *3334:B *670:10 0
78 *3335:A2 *670:10 0.000203818
79 *3337:B1 *670:10 0.000310094
80 *3350:D *818:DIODE 3.40288e-05
81 *3353:D *670:54 1.84293e-05
82 *3379:D *670:28 0.000144531
83 *3384:D *670:54 1.84293e-05
84 *3408:D *670:28 0.000101148
85 *3418:D *670:10 0
86 *3454:CLK *670:10 0.000156827
87 *3454:D *670:10 0.000182869
88 *3506:A *670:28 0.000258388
89 *3507:A *670:28 0.000121405
90 *165:10 *801:DIODE 2.02035e-05
91 *172:11 *670:18 0.000415267
92 *172:11 *670:93 0.000271544
93 *172:11 *670:99 0.0016588
94 *172:12 *670:10 0
95 *172:12 *670:18 0
96 *249:43 *670:26 0
97 *249:51 *670:28 0.000388264
98 *254:14 *670:26 0.000505227
99 *254:41 *670:18 7.51972e-05
100 *260:8 *818:DIODE 6.31665e-05
101 *260:8 *670:47 8.19079e-05
102 *262:9 *670:28 0
103 *267:18 *670:28 0
104 *271:29 *670:28 0
105 *271:40 *670:28 0
106 *311:21 *2976:A1 5.38612e-06
107 *325:7 *2976:A1 8.65421e-06
108 *325:19 *2976:A1 5.04829e-06
109 *325:47 *670:99 0
110 *348:9 *670:28 0
111 *348:21 *670:28 0
112 *351:8 *670:67 0.000354669
113 *373:33 *830:DIODE 9.88568e-05
114 *373:33 *3059:A1 3.73224e-05
115 *385:18 *670:18 2.60879e-06
116 *387:13 *830:DIODE 1.93033e-05
117 *398:64 *670:10 0
118 *412:26 *670:18 1.72564e-05
119 *412:46 *670:18 6.6732e-05
120 *413:24 *670:18 0.000388232
121 *413:46 *670:18 1.81081e-06
122 *436:33 *670:18 0
123 *522:19 *670:28 0.000201018
124 *522:55 *670:28 6.79599e-05
125 *542:15 *2976:A1 7.33453e-05
126 *542:15 *670:99 0.000259104
127 *564:34 *670:18 4.0143e-05
128 *567:12 *670:18 5.12663e-05
129 *572:6 *670:18 0
130 *601:11 *670:10 0.000290644
131 *622:61 *2976:A1 2.37478e-05
132 *645:32 *818:DIODE 7.49392e-05
133 *645:32 *670:47 5.34081e-05
134 *664:15 *670:67 0.000289662
135 *665:48 *670:26 0.000587496
136 *665:48 *670:28 0.000239842
137 *666:27 *670:26 7.14746e-05
138 *666:46 *670:26 0
139 *668:12 *670:26 0
140 *668:17 *670:26 0
*RES
1 *3515:X *670:10 42.2994
2 *670:10 *670:18 31.9678
3 *670:18 *670:26 36.2124
4 *670:26 *670:28 46.264
5 *670:28 *670:31 9.10562
6 *670:31 *670:35 9.66022
7 *670:35 *818:DIODE 21.5719
8 *670:35 *670:47 7.993
9 *670:47 *670:54 14.2509
10 *670:54 *670:67 27.3214
11 *670:67 *810:DIODE 13.8789
12 *670:67 *2997:A0 9.24915
13 *670:54 *3025:A0 9.24915
14 *670:47 *2915:A0 9.24915
15 *670:31 *788:DIODE 9.24915
16 *670:18 *670:93 3.80112
17 *670:93 *670:99 5.00512
18 *670:99 *2976:A1 18.3712
19 *670:99 *801:DIODE 17.4965
20 *670:93 *670:121 3.36879
21 *670:121 *3059:A1 14.543
22 *670:121 *830:DIODE 17.6214
*END
*D_NET *671 0.0337901
*CONN
*I *831:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3001:A0 I *D sky130_fd_sc_hd__mux2_1
*I *811:DIODE I *D sky130_fd_sc_hd__diode_2
*I *789:DIODE I *D sky130_fd_sc_hd__diode_2
*I *2918:A0 I *D sky130_fd_sc_hd__mux2_1
*I *3028:A0 I *D sky130_fd_sc_hd__mux2_1
*I *819:DIODE I *D sky130_fd_sc_hd__diode_2
*I *2978:A1 I *D sky130_fd_sc_hd__o211a_1
*I *802:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3061:A0 I *D sky130_fd_sc_hd__mux2_1
*I *3516:X O *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 *831:DIODE 0
2 *3001:A0 0.000109415
3 *811:DIODE 0
4 *789:DIODE 0.000379616
5 *2918:A0 0
6 *3028:A0 7.93009e-05
7 *819:DIODE 0.000200181
8 *2978:A1 3.52736e-05
9 *802:DIODE 0.000108351
10 *3061:A0 0.000185343
11 *3516:X 0.00100434
12 *671:120 0.00138116
13 *671:106 0.0013148
14 *671:89 0.000442709
15 *671:87 0.000548587
16 *671:85 0.00135462
17 *671:79 0.00260783
18 *671:52 0.000318388
19 *671:50 0.0028183
20 *671:42 0.00123429
21 *671:28 0.00158743
22 *671:20 0.00288399
23 *671:8 0.00251172
24 *789:DIODE *2918:A1 4.36818e-05
25 *789:DIODE *685:16 4.26859e-05
26 *819:DIODE *820:DIODE 4.05774e-05
27 *819:DIODE *672:54 5.33358e-06
28 *671:8 *3329:A 0.000118485
29 *671:8 *726:8 0.000120052
30 *671:8 *726:10 0.000191609
31 *671:8 *726:12 0.000229911
32 *671:8 *737:16 8.37979e-05
33 *671:8 *737:18 0.000113197
34 *671:20 *3301:B 1.75155e-06
35 *671:20 *3310:A1 3.4026e-05
36 *671:20 *738:13 7.14746e-05
37 *671:20 *739:25 7.97944e-05
38 *671:28 *3088:B2 3.63968e-05
39 *671:85 *696:28 3.76125e-05
40 *671:120 *2918:A1 3.60363e-05
41 *797:DIODE *671:79 5.83019e-05
42 *860:DIODE *671:8 0
43 *2821:A2 *671:85 2.82537e-05
44 *2821:B1 *671:87 0
45 *2821:B1 *671:89 0
46 *2821:B2 *3028:A0 0.000213725
47 *2823:B1 *671:85 0
48 *2823:C1 *819:DIODE 0
49 *2920:A *789:DIODE 0.000315549
50 *2923:A *671:120 0.000171288
51 *2977:B *671:79 0
52 *2980:A2 *2978:A1 1.16726e-05
53 *2980:A2 *671:50 0.000406102
54 *2980:A2 *671:52 0.000360145
55 *2980:B1 *671:50 0.000117356
56 *3002:A *671:85 3.10924e-05
57 *3002:A *671:87 3.62762e-05
58 *3002:A *671:89 3.5534e-06
59 *3015:A0 *671:120 8.10634e-05
60 *3015:S *671:120 0.000183992
61 *3016:B *671:120 0.000268798
62 *3017:A *819:DIODE 7.09666e-06
63 *3017:A *671:89 0.000140436
64 *3017:A *671:106 8.20087e-05
65 *3017:A *671:120 0.000174908
66 *3020:A *671:85 0.000184931
67 *3028:A1 *3028:A0 6.08467e-05
68 *3028:S *819:DIODE 5.02462e-05
69 *3028:S *671:89 7.07115e-06
70 *3061:S *3061:A0 3.01683e-06
71 *3062:B *3061:A0 0
72 *3063:A *671:28 4.58003e-05
73 *3085:B *3061:A0 0.000100655
74 *3085:B *671:28 5.19349e-05
75 *3088:A1 *671:28 0.000559227
76 *3088:B1 *671:28 1.00981e-05
77 *3088:C1 *671:28 5.56461e-05
78 *3092:A1 *671:20 0.00019069
79 *3163:A2 *671:79 0.000239779
80 *3167:A1 *671:85 0.000787243
81 *3167:C1 *671:85 0.000110477
82 *3178:B1 *671:79 6.07763e-05
83 *3185:A *671:79 0.000252312
84 *3188:C1 *671:79 7.5185e-06
85 *3188:C1 *671:85 1.9366e-05
86 *3189:A1 *3061:A0 6.50727e-05
87 *3296:B *671:20 4.31703e-05
88 *3297:B1 *671:20 0.000131623
89 *3297:C1 *671:8 0.000110777
90 *3297:C1 *671:20 2.304e-06
91 *3332:A1 *671:8 0.0003014
92 *3332:A3 *671:8 0
93 *3335:B2 *671:8 0
94 *3365:D *671:79 0.000101133
95 *3370:D *802:DIODE 0.000122378
96 *3370:D *671:52 1.61631e-05
97 *3381:CLK *3028:A0 0.000127288
98 *3382:D *671:85 2.34312e-05
99 *3406:D *671:28 0.000171273
100 *3418:D *671:8 0
101 *3446:CLK *671:8 0
102 *3448:CLK *671:28 5.75508e-05
103 *3448:D *671:20 6.67095e-06
104 *3452:D *671:8 0
105 *3498:A *671:8 5.87297e-05
106 *3500:A *671:89 0
107 *3501:A *671:85 0.000177006
108 *3504:A *671:79 1.77439e-05
109 *3506:A *671:120 0.000317322
110 *165:10 *671:79 0
111 *168:21 *671:79 0.000183538
112 *267:13 *789:DIODE 0.000121696
113 *311:39 *802:DIODE 1.00981e-05
114 *311:39 *2978:A1 7.40769e-05
115 *311:39 *671:52 7.6719e-06
116 *316:38 *671:79 0
117 *325:30 *671:79 4.69281e-05
118 *325:47 *671:79 6.14003e-06
119 *325:65 *671:42 4.88955e-05
120 *325:65 *671:50 0.00101447
121 *343:20 *671:85 9.2346e-06
122 *343:22 *671:85 4.20662e-05
123 *387:13 *3061:A0 3.01723e-05
124 *399:17 *671:20 0
125 *399:24 *671:20 0
126 *407:11 *671:28 3.49679e-05
127 *412:9 *671:20 4.41134e-05
128 *412:25 *671:20 3.7124e-05
129 *424:51 *671:79 1.67245e-05
130 *424:53 *671:79 7.48069e-05
131 *436:33 *671:20 2.41274e-06
132 *456:69 *3061:A0 0.000148129
133 *456:74 *3061:A0 0
134 *456:74 *671:28 0
135 *476:20 *671:85 0
136 *476:46 *671:79 4.94042e-05
137 *476:46 *671:85 7.12788e-06
138 *477:31 *671:85 0.000196454
139 *487:17 *671:85 7.12632e-06
140 *494:13 *671:79 0.000154062
141 *507:49 *671:8 3.92275e-05
142 *522:93 *671:20 4.30278e-05
143 *563:20 *671:8 0
144 *603:12 *671:8 7.34932e-05
145 *615:33 *3001:A0 0.000160617
146 *619:47 *671:79 7.86825e-06
147 *619:47 *671:85 0.000261768
148 *643:7 *671:50 0.000167076
149 *662:11 *819:DIODE 0.000115746
150 *663:52 *671:28 0.00041102
151 *666:124 *671:79 2.99549e-05
152 *667:90 *671:120 0.000380521
*RES
1 *3516:X *671:8 48.7335
2 *671:8 *671:20 43.0765
3 *671:20 *671:28 31.5115
4 *671:28 *3061:A0 19.9294
5 *671:28 *671:42 5.2234
6 *671:42 *671:50 25.343
7 *671:50 *671:52 5.16022
8 *671:52 *802:DIODE 12.191
9 *671:52 *2978:A1 10.9612
10 *671:50 *671:79 43.3383
11 *671:79 *671:85 33.611
12 *671:85 *671:87 1.832
13 *671:87 *671:89 4.32351
14 *671:89 *819:DIODE 19.3184
15 *671:89 *3028:A0 17.2456
16 *671:87 *671:106 11.324
17 *671:106 *671:120 32.6252
18 *671:120 *2918:A0 9.24915
19 *671:120 *789:DIODE 26.9346
20 *671:106 *811:DIODE 9.24915
21 *671:85 *3001:A0 15.5817
22 *671:42 *831:DIODE 9.24915
*END
*D_NET *672 0.0310613
*CONN
*I *832:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3064:A0 I *D sky130_fd_sc_hd__mux2_1
*I *803:DIODE I *D sky130_fd_sc_hd__diode_2
*I *2980:A1 I *D sky130_fd_sc_hd__o211a_1
*I *790:DIODE I *D sky130_fd_sc_hd__diode_2
*I *2921:A0 I *D sky130_fd_sc_hd__mux2_1
*I *3031:A0 I *D sky130_fd_sc_hd__mux2_1
*I *820:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3004:A0 I *D sky130_fd_sc_hd__mux2_1
*I *812:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3517:X O *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 *832:DIODE 0
2 *3064:A0 0.000124044
3 *803:DIODE 0
4 *2980:A1 5.86923e-05
5 *790:DIODE 0.000133613
6 *2921:A0 0.000165093
7 *3031:A0 0
8 *820:DIODE 0.000342632
9 *3004:A0 6.47693e-05
10 *812:DIODE 0.000309478
11 *3517:X 0.00128644
12 *672:117 0.000764293
13 *672:108 0.000789875
14 *672:76 0.000953624
15 *672:54 0.000377916
16 *672:50 0.00139291
17 *672:25 0.000646645
18 *672:23 0.00493254
19 *672:12 0.00420274
20 *672:9 0.00266509
21 *672:8 0.00232885
22 *672:6 0.00128644
23 *790:DIODE *2921:A1 0
24 *812:DIODE *673:18 0
25 *812:DIODE *675:16 0.000758308
26 *3004:A0 *689:16 1.5714e-05
27 *3064:A0 *3064:A1 0.000280285
28 *3064:A0 *718:8 0.000174205
29 *672:23 *3183:B2 5.7836e-05
30 *672:23 *673:18 0
31 *672:23 *675:16 0.000580404
32 *672:25 *673:18 0
33 *672:25 *675:16 0.00059436
34 *672:117 *3080:B 0.000196706
35 *672:117 *3085:A 0.000124942
36 *672:117 *718:8 0.000143032
37 *819:DIODE *820:DIODE 4.05774e-05
38 *819:DIODE *672:54 5.33358e-06
39 *2916:B *2921:A0 0.00027329
40 *2917:A *2921:A0 6.50586e-05
41 *2921:S *2921:A0 3.01683e-06
42 *2922:B *790:DIODE 3.96425e-05
43 *2922:B *2921:A0 7.12632e-06
44 *2923:A *790:DIODE 0
45 *2977:B *672:9 4.0752e-05
46 *2980:A2 *2980:A1 5.11223e-05
47 *3026:A *820:DIODE 7.97944e-05
48 *3065:B *3064:A0 0.000103943
49 *3066:A *672:117 6.50727e-05
50 *3190:A *672:9 7.64432e-05
51 *3190:B *672:12 0.000372822
52 *3190:B *672:23 1.66626e-05
53 *3191:A2 *672:108 1.43983e-05
54 *3191:B1 *672:12 8.44087e-05
55 *3191:B1 *672:23 1.1573e-05
56 *168:21 *672:23 0
57 *168:21 *672:50 0.00137363
58 *171:6 *672:12 6.31665e-05
59 *260:8 *2921:A0 0
60 *279:43 *3004:A0 1.81884e-05
61 *325:47 *672:23 0.000297005
62 *330:8 *790:DIODE 0.000238514
63 *330:8 *2921:A0 8.59412e-05
64 *348:21 *2921:A0 2.15348e-05
65 *456:74 *672:117 0
66 *495:13 *3064:A0 0
67 *495:13 *672:117 0
68 *617:19 *3004:A0 1.91391e-05
69 *618:12 *820:DIODE 0
70 *618:36 *820:DIODE 0
71 *626:7 *672:9 0.000689459
72 *643:17 *672:12 0
73 *664:15 *672:54 1.57481e-05
74 *664:15 *672:76 0.000716648
75 *668:35 *812:DIODE 7.20173e-06
76 *670:67 *672:54 2.95956e-05
77 *670:67 *672:76 0.000382972
78 *670:99 *672:23 0
*RES
1 *3517:X *672:6 46.3098
2 *672:6 *672:8 4.5
3 *672:8 *672:9 58.9568
4 *672:9 *672:12 11.7303
5 *672:12 *672:23 13.1897
6 *672:23 *672:25 0.853032
7 *672:25 *812:DIODE 18.5408
8 *672:25 *3004:A0 18.2199
9 *672:23 *672:50 3.6613
10 *672:50 *672:54 3.83553
11 *672:54 *820:DIODE 20.5403
12 *672:54 *3031:A0 13.7491
13 *672:50 *672:76 5.9046
14 *672:76 *2921:A0 19.4486
15 *672:76 *790:DIODE 18.2803
16 *672:12 *2980:A1 15.7264
17 *672:9 *672:108 3.49641
18 *672:108 *803:DIODE 9.24915
19 *672:108 *672:117 21.8819
20 *672:117 *3064:A0 19.7687
21 *672:117 *832:DIODE 13.7491
*END
*D_NET *673 0.01947
*CONN
*I *840:DIODE I *D sky130_fd_sc_hd__diode_2
*I *2892:A I *D sky130_fd_sc_hd__nand2_1
*I *833:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3067:A I *D sky130_fd_sc_hd__and2_1
*I *3149:B I *D sky130_fd_sc_hd__and2b_1
*I *781:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3518:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *840:DIODE 0.000450474
2 *2892:A 5.92714e-05
3 *833:DIODE 0.000453263
4 *3067:A 0.000197119
5 *3149:B 0
6 *781:DIODE 0
7 *3518:X 0.000237849
8 *673:34 0.00114038
9 *673:28 0.000611673
10 *673:25 0.000245477
11 *673:18 0.00258446
12 *673:12 0.00316987
13 *673:11 0.00304276
14 *673:7 0.00308115
15 *2892:A *675:16 1.07248e-05
16 *2892:A *675:19 6.08467e-05
17 *673:18 *675:16 0
18 *673:28 *675:19 0.000317707
19 *673:34 *675:19 0.000224381
20 *812:DIODE *673:18 0
21 *880:DIODE *673:7 0.000175485
22 *2990:A *673:12 7.14746e-05
23 *3007:B *673:34 6.59414e-05
24 *3373:D *673:11 1.60502e-06
25 *3374:CLK *840:DIODE 0
26 *3374:CLK *673:12 0
27 *3374:D *840:DIODE 7.09395e-05
28 *3499:A *840:DIODE 0
29 *249:51 *3067:A 0.000364246
30 *250:57 *833:DIODE 0.00036382
31 *250:57 *673:34 0.00066364
32 *261:7 *673:34 2.41483e-05
33 *264:10 *2892:A 5.04829e-06
34 *278:26 *840:DIODE 0.000255439
35 *278:31 *840:DIODE 6.92705e-05
36 *279:44 *2892:A 0
37 *279:44 *673:25 0
38 *288:5 *840:DIODE 3.21548e-05
39 *305:29 *840:DIODE 0.00029238
40 *305:29 *673:12 3.42625e-05
41 *305:31 *673:12 7.90257e-05
42 *305:33 *673:12 2.39535e-05
43 *622:11 *2892:A 5.05252e-05
44 *622:11 *673:18 1.91391e-05
45 *622:11 *673:25 0.000124447
46 *627:10 *833:DIODE 0.000114594
47 *631:5 *673:11 2.65667e-05
48 *631:28 *673:11 0.000151453
49 *631:38 *673:11 8.65358e-05
50 *665:48 *3067:A 0.000405209
51 *670:28 *3067:A 1.13071e-05
52 *672:23 *673:18 0
53 *672:25 *673:18 0
*RES
1 *3518:X *673:7 16.0852
2 *673:7 *673:11 46.5414
3 *673:11 *673:12 6.19214
4 *673:12 *673:18 12.5969
5 *673:18 *781:DIODE 13.7491
6 *673:18 *673:25 2.24725
7 *673:25 *673:28 7.99641
8 *673:28 *3149:B 9.24915
9 *673:28 *673:34 13.4793
10 *673:34 *3067:A 25.4794
11 *673:34 *833:DIODE 17.9299
12 *673:25 *2892:A 15.474
13 *673:12 *840:DIODE 24.271
*END
*D_NET *674 0.0209778
*CONN
*I *823:DIODE I *D sky130_fd_sc_hd__diode_2
*I *806:DIODE I *D sky130_fd_sc_hd__diode_2
*I *794:DIODE I *D sky130_fd_sc_hd__diode_2
*I *2954:A I *D sky130_fd_sc_hd__nand2_1
*I *2959:A I *D sky130_fd_sc_hd__and2_1
*I *3035:C I *D sky130_fd_sc_hd__and3b_1
*I *2984:C_N I *D sky130_fd_sc_hd__nor3b_1
*I *2891:C I *D sky130_fd_sc_hd__nand3b_1
*I *780:DIODE I *D sky130_fd_sc_hd__diode_2
*I *792:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3519:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *823:DIODE 1.23819e-05
2 *806:DIODE 0.000290839
3 *794:DIODE 0
4 *2954:A 0
5 *2959:A 7.01934e-05
6 *3035:C 0.000129097
7 *2984:C_N 4.87071e-05
8 *2891:C 0
9 *780:DIODE 0.00024571
10 *792:DIODE 4.51842e-05
11 *3519:X 2.92047e-05
12 *674:78 0.000373004
13 *674:73 0.000173714
14 *674:59 0.000252326
15 *674:45 0.000599979
16 *674:43 0.00111652
17 *674:30 0.001104
18 *674:18 0.000399948
19 *674:13 0.00115985
20 *674:11 0.00213411
21 *674:8 0.00366977
22 *674:7 0.00222963
23 *780:DIODE *804:DIODE 0.000285547
24 *806:DIODE *2831:D 1.38872e-05
25 *806:DIODE *3093:A 0
26 *806:DIODE *766:15 2.7961e-05
27 *806:DIODE *766:42 0
28 *2959:A *2959:B 2.37036e-06
29 *2984:C_N *2891:A_N 0.000277502
30 *2984:C_N *2891:B 3.75603e-05
31 *2984:C_N *685:42 0.000326398
32 *3035:C *3035:A_N 0.000211478
33 *674:8 *2830:A 8.71534e-05
34 *674:8 *3074:C 1.51492e-05
35 *674:8 *679:11 0
36 *674:8 *740:6 4.90694e-05
37 *674:8 *741:10 3.34802e-05
38 *674:8 *742:8 0.000153225
39 *674:8 *742:19 0.000165578
40 *674:8 *773:9 0
41 *674:11 *676:24 0
42 *674:13 *676:24 0
43 *674:18 *793:DIODE 4.2439e-05
44 *674:18 *676:24 0
45 *674:30 *793:DIODE 1.91391e-05
46 *674:43 *795:DIODE 4.66386e-05
47 *674:43 *689:59 8.62625e-06
48 *674:43 *714:14 0.00012058
49 *674:45 *804:DIODE 9.75356e-05
50 *674:45 *676:31 0.00018643
51 *674:45 *689:59 0.000297871
52 *674:59 *2891:B 1.09551e-05
53 *674:59 *676:31 2.65667e-05
54 *674:59 *685:38 7.42866e-05
55 *674:59 *685:42 1.19856e-05
56 io_wbs_ack_o *674:8 1.90395e-05
57 io_wbs_data_o[16] *674:8 0
58 io_wbs_data_o[18] *674:8 0
59 io_wbs_data_o[26] *674:8 0
60 *883:DIODE *674:8 0.000134041
61 *884:DIODE *674:7 2.65831e-05
62 *2982:B *780:DIODE 9.77091e-06
63 *3039:A *3035:C 4.51706e-05
64 *3051:A *674:78 0.000258114
65 *3051:B *674:78 0.000162583
66 *3095:A1 *806:DIODE 6.14003e-06
67 *3095:A1 *674:18 1.94916e-05
68 *3095:A1 *674:30 6.14274e-05
69 *3095:A2 *806:DIODE 0
70 *3095:A2 *674:18 6.09999e-05
71 *3095:A2 *674:30 0.000170177
72 *3095:B1 *806:DIODE 0
73 *3096:A2 *806:DIODE 0
74 *3109:B1 *674:43 6.11359e-06
75 *3115:B1 *674:43 1.5006e-05
76 *3120:C *806:DIODE 0.000112657
77 *3120:C *674:11 6.31471e-05
78 *3120:C *674:13 0.000122148
79 *3125:A2 *823:DIODE 4.19401e-06
80 *3128:A1 *674:11 5.9311e-05
81 *3128:A1 *674:13 6.25838e-06
82 *3146:A2 *674:8 0
83 *3390:D *674:43 5.68237e-06
84 *3408:CLK *674:43 3.60268e-05
85 *3408:CLK *674:45 7.77309e-06
86 *3409:D *674:43 6.50727e-05
87 *3412:CLK *823:DIODE 1.91246e-05
88 *3415:D *674:8 0
89 *5:10 *674:8 4.02949e-05
90 *80:10 *674:8 0
91 *218:11 *674:11 0.00111184
92 *245:5 *3035:C 0.000260374
93 *254:11 *674:43 4.18989e-05
94 *254:11 *674:45 0.000118485
95 *254:74 *792:DIODE 6.08467e-05
96 *259:37 *806:DIODE 1.35981e-05
97 *309:6 *3035:C 2.99979e-05
98 *310:9 *2959:A 0.000173305
99 *310:9 *674:78 0.000342205
100 *392:16 *674:11 0
101 *397:12 *674:8 7.12632e-06
102 *436:15 *806:DIODE 2.78622e-05
103 *454:35 *674:8 0
104 *456:131 *674:30 6.08467e-05
105 *456:131 *674:43 0.000171273
106 *457:19 *3035:C 1.00937e-05
107 *646:62 *674:43 0.000103306
108 *666:6 *674:8 0
109 *666:46 *674:45 3.90689e-06
110 *666:48 *780:DIODE 0.000124594
111 *666:48 *674:45 1.87269e-05
112 *668:12 *674:8 4.76198e-05
*RES
1 *3519:X *674:7 14.4725
2 *674:7 *674:8 58.0987
3 *674:8 *674:11 7.31967
4 *674:11 *674:13 1.65618
5 *674:13 *674:18 5.01024
6 *674:18 *792:DIODE 14.4725
7 *674:18 *674:30 8.7164
8 *674:30 *674:43 22.6914
9 *674:43 *674:45 7.23027
10 *674:45 *780:DIODE 20.7358
11 *674:45 *674:59 9.68434
12 *674:59 *2891:C 9.24915
13 *674:59 *2984:C_N 13.3243
14 *674:43 *674:73 4.5
15 *674:73 *674:78 7.01694
16 *674:78 *3035:C 23.4382
17 *674:78 *2959:A 12.3597
18 *674:73 *2954:A 9.24915
19 *674:30 *794:DIODE 9.24915
20 *674:13 *806:DIODE 24.1406
21 *674:11 *823:DIODE 17.4965
*END
*D_NET *675 0.0282045
*CONN
*I *2892:B I *D sky130_fd_sc_hd__nand2_1
*I *3149:A_N I *D sky130_fd_sc_hd__and2b_1
*I *839:DIODE I *D sky130_fd_sc_hd__diode_2
*I *782:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3520:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *2892:B 0
2 *3149:A_N 0
3 *839:DIODE 0.00175697
4 *782:DIODE 0.000176037
5 *3520:X 2.59228e-05
6 *675:22 0.00194591
7 *675:19 0.000150031
8 *675:16 0.00433719
9 *675:8 0.00664502
10 *675:7 0.00247089
11 *839:DIODE *761:19 9.94268e-06
12 *839:DIODE *762:6 2.56676e-05
13 *839:DIODE *762:32 2.33103e-06
14 *675:8 *686:8 0.00214037
15 *675:16 *2986:A 0.00202895
16 *812:DIODE *675:16 0.000758308
17 *882:DIODE *675:7 6.50727e-05
18 *2889:A *782:DIODE 5.68225e-06
19 *2892:A *675:16 1.07248e-05
20 *2892:A *675:19 6.08467e-05
21 *3007:B *675:19 0.000142393
22 *3344:D *839:DIODE 7.86847e-05
23 *88:10 *675:8 0.000139123
24 *243:26 *839:DIODE 0
25 *250:48 *839:DIODE 0
26 *264:10 *675:19 1.19856e-05
27 *279:44 *675:16 4.28288e-05
28 *309:6 *782:DIODE 0.000107128
29 *309:6 *675:22 1.5714e-05
30 *330:8 *782:DIODE 0
31 *392:16 *839:DIODE 0
32 *522:19 *782:DIODE 0.0002817
33 *622:6 *675:16 5.65129e-05
34 *622:11 *675:16 6.34651e-06
35 *622:98 *839:DIODE 0.00167077
36 *664:6 *675:8 0.0013186
37 *672:23 *675:16 0.000580404
38 *672:25 *675:16 0.00059436
39 *673:18 *675:16 0
40 *673:28 *675:19 0.000317707
41 *673:34 *675:19 0.000224381
*RES
1 *3520:X *675:7 14.4725
2 *675:7 *675:8 86.3358
3 *675:8 *675:16 26.09
4 *675:16 *675:19 6.84815
5 *675:19 *675:22 4.87861
6 *675:22 *782:DIODE 19.1458
7 *675:22 *839:DIODE 26.8597
8 *675:19 *3149:A_N 9.24915
9 *675:16 *2892:B 9.24915
*END
*D_NET *676 0.0101021
*CONN
*I *2900:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *2880:A I *D sky130_fd_sc_hd__buf_2
*I *2869:A I *D sky130_fd_sc_hd__inv_2
*I *2885:A I *D sky130_fd_sc_hd__clkbuf_2
*I *3521:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *2900:A 0
2 *2880:A 0
3 *2869:A 0.000105305
4 *2885:A 2.51034e-05
5 *3521:X 0.000674619
6 *676:31 0.000336184
7 *676:24 0.00189707
8 *676:8 0.00236591
9 *676:8 *3146:B2 0.000123118
10 *676:24 *793:DIODE 0
11 *676:24 *685:36 0.000134954
12 *676:31 *685:36 0.000129801
13 *676:31 *685:38 0.000158371
14 *838:DIODE *2885:A 7.12632e-06
15 *838:DIODE *676:8 5.38612e-06
16 *3051:A *676:24 0.000114594
17 *3120:C *676:24 0.00188023
18 *3146:A2 *676:8 0.000530137
19 *3147:B *676:8 4.2485e-05
20 *3408:CLK *2869:A 6.50586e-05
21 *3415:CLK *676:8 0
22 *250:48 *676:24 0.000520575
23 *254:11 *2869:A 1.92336e-05
24 *258:26 *676:8 0
25 *271:29 *676:24 0.000182184
26 *392:16 *676:24 0.000290332
27 *443:8 *676:8 1.92926e-05
28 *457:19 *2869:A 7.88289e-05
29 *646:48 *676:24 6.36544e-06
30 *666:46 *676:31 9.22013e-06
31 *666:48 *676:31 0.000167579
32 *670:28 *676:24 0
33 *674:11 *676:24 0
34 *674:13 *676:24 0
35 *674:18 *676:24 0
36 *674:45 *676:31 0.00018643
37 *674:59 *676:31 2.65667e-05
*RES
1 *3521:X *676:8 31.623
2 *676:8 *2885:A 14.1278
3 *676:8 *676:24 20.4731
4 *676:24 *676:31 17.6532
5 *676:31 *2869:A 12.7456
6 *676:31 *2880:A 9.24915
7 *676:24 *2900:A 9.24915
*END
*D_NET *677 0.00395544
*CONN
*I *3069:A0 I *D sky130_fd_sc_hd__mux2_1
*I *3529:A I *D sky130_fd_sc_hd__buf_2
*I *3396:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3069:A0 0.000414859
2 *3529:A 0.000988733
3 *3396:Q 4.99433e-05
4 *677:5 0.00145354
5 *3069:A0 *3069:A1 0.000171273
6 *3529:A *692:8 7.50872e-05
7 *3396:CLK *3069:A0 0.000387915
8 *3396:D *3069:A0 0.000180681
9 *39:10 *3529:A 7.08723e-06
10 *49:10 *3529:A 2.352e-05
11 *55:10 *3529:A 2.352e-05
12 *665:9 *3529:A 0.000179286
*RES
1 *3396:Q *677:5 9.97254
2 *677:5 *3529:A 44.1658
3 *677:5 *3069:A0 21.6433
*END
*D_NET *678 0.00950971
*CONN
*I *3530:A I *D sky130_fd_sc_hd__buf_2
*I *2824:X O *D sky130_fd_sc_hd__a221o_1
*CAP
1 *3530:A 0.00115651
2 *2824:X 0
3 *678:6 0.00268635
4 *678:5 0.00152984
5 *3530:A *690:7 0.000808562
6 *3530:A *694:7 6.92705e-05
7 io_uartInt *3530:A 9.19886e-06
8 *891:DIODE *3530:A 7.97944e-05
9 *2913:A *678:6 0.000727423
10 *2913:B *678:6 9.2346e-06
11 *3016:A *678:6 5.01511e-05
12 *51:8 *3530:A 7.48797e-05
13 *279:44 *678:6 0.000684085
14 *279:49 *678:6 0.000177326
15 *330:8 *678:6 0
16 *351:8 *678:6 0
17 *622:11 *678:6 0
18 *669:8 *678:6 0.0012765
19 *669:112 *678:6 0.000170592
*RES
1 *2824:X *678:5 13.7491
2 *678:5 *678:6 51.6623
3 *678:6 *3530:A 43.746
*END
*D_NET *679 0.00300883
*CONN
*I *3531:A I *D sky130_fd_sc_hd__buf_2
*I *3395:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3531:A 0
2 *3395:Q 0.00102854
3 *679:11 0.00102854
4 *679:11 *3074:C 0.000159478
5 *679:11 *740:6 7.77309e-06
6 *679:11 *773:9 0.000127194
7 *679:11 *774:9 0.00015324
8 *679:11 *775:8 0
9 *883:DIODE *679:11 0.000169297
10 *80:10 *679:11 0.000290737
11 *89:8 *679:11 4.40325e-05
12 *674:8 *679:11 0
*RES
1 *3395:Q *679:11 48.9346
2 *679:11 *3531:A 9.24915
*END
*D_NET *680 0.00180888
*CONN
*I *3532:A I *D sky130_fd_sc_hd__buf_2
*I *3417:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3532:A 0.000717781
2 *3417:Q 0.000717781
3 *3532:A *3538:A 0
4 io_wbs_data_o[0] *3532:A 7.97944e-05
5 *171:6 *3532:A 0.000293521
*RES
1 *3417:Q *3532:A 48.7428
*END
*D_NET *681 0.00148856
*CONN
*I *3533:A I *D sky130_fd_sc_hd__buf_2
*I *3418:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3533:A 0.00059987
2 *3418:Q 0.00059987
3 io_wbs_data_o[7] *3533:A 0
4 *3424:CLK *3533:A 0.000228593
5 *3424:D *3533:A 6.02254e-05
*RES
1 *3418:Q *3533:A 37.6788
*END
*D_NET *682 0.000435177
*CONN
*I *3534:A I *D sky130_fd_sc_hd__buf_2
*I *3419:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3534:A 0.000168074
2 *3419:Q 0.000168074
3 io_wbs_data_o[2] *3534:A 9.9028e-05
*RES
1 *3419:Q *3534:A 32.1327
*END
*D_NET *683 0.00661611
*CONN
*I *3535:A I *D sky130_fd_sc_hd__buf_2
*I *3420:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3535:A 0
2 *3420:Q 0.000619417
3 *683:9 0.00268864
4 *683:8 0.00330805
5 *683:8 *689:16 0
*RES
1 *3420:Q *683:8 33.7845
2 *683:8 *683:9 64.5028
3 *683:9 *3535:A 9.24915
*END
*D_NET *684 0.00528232
*CONN
*I *3536:A I *D sky130_fd_sc_hd__buf_2
*I *3421:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3536:A 3.2628e-05
2 *3421:Q 5.44442e-05
3 *684:8 0.00136753
4 *684:7 0.00138935
5 *684:8 *686:8 0.000532054
6 io_wbs_data_o[21] *684:8 7.00991e-05
7 io_wbs_data_o[8] *684:8 0.000112225
8 *87:11 *684:8 0.000139123
9 *664:6 *684:8 0.00158487
*RES
1 *3421:Q *684:7 14.4725
2 *684:7 *684:8 48.7555
3 *684:8 *3536:A 14.4725
*END
*D_NET *685 0.0262013
*CONN
*I *779:DIODE I *D sky130_fd_sc_hd__diode_2
*I *2891:B I *D sky130_fd_sc_hd__nand3b_1
*I *2984:B I *D sky130_fd_sc_hd__nor3b_1
*I *2952:A I *D sky130_fd_sc_hd__inv_2
*I *821:DIODE I *D sky130_fd_sc_hd__diode_2
*I *791:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3160:A I *D sky130_fd_sc_hd__and4_1
*I *841:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3035:A_N I *D sky130_fd_sc_hd__and3b_1
*I *805:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3522:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *779:DIODE 0
2 *2891:B 2.74471e-05
3 *2984:B 0
4 *2952:A 0
5 *821:DIODE 0.00114021
6 *791:DIODE 0
7 *3160:A 0.000177706
8 *841:DIODE 0
9 *3035:A_N 7.25538e-05
10 *805:DIODE 0.000159997
11 *3522:X 0.000801316
12 *685:109 0.00144702
13 *685:85 0.00134223
14 *685:63 0.00178309
15 *685:59 0.000277614
16 *685:56 0.000546632
17 *685:47 0.000498826
18 *685:42 0.000300243
19 *685:38 0.00021817
20 *685:36 0.00114466
21 *685:16 0.00268908
22 *685:11 0.00227713
23 *821:DIODE *3099:B 9.95542e-06
24 *3160:A *714:41 6.50727e-05
25 *685:16 *2859:A0 0.000142842
26 *685:38 *689:39 0.000277488
27 *685:38 *689:47 0.000139947
28 *685:42 *2891:A_N 1.65872e-05
29 *685:42 *689:33 1.92172e-05
30 *685:42 *689:39 0.000423922
31 *685:47 *2959:B 0
32 *685:47 *689:33 0.000175485
33 *685:47 *689:36 0
34 *685:47 *689:90 0
35 *685:85 *3179:B2 2.7745e-05
36 *685:85 *696:28 0.000107496
37 *685:109 *714:41 1.10011e-05
38 *789:DIODE *685:16 4.26859e-05
39 *885:DIODE *685:11 0.000171273
40 *2859:S *685:16 6.08467e-05
41 *2860:A0 *685:16 0.000228593
42 *2908:B *685:16 0.000306497
43 *2955:C *685:109 0.0001407
44 *2955:D *685:56 0.000212491
45 *2955:D *685:109 0.000113374
46 *2960:A_N *685:63 0.000151453
47 *2960:B_N *685:59 6.50586e-05
48 *2960:B_N *685:63 0.000417492
49 *2960:C *685:63 4.58003e-05
50 *2984:C_N *2891:B 3.75603e-05
51 *2984:C_N *685:42 0.000326398
52 *3035:C *3035:A_N 0.000211478
53 *3037:A *821:DIODE 6.85321e-05
54 *3038:S *821:DIODE 3.99086e-06
55 *3039:B *821:DIODE 5.82695e-05
56 *3040:A *821:DIODE 0.000242786
57 *3096:B1 *685:36 0.000104731
58 *3099:A *821:DIODE 0.000139101
59 *3151:B *821:DIODE 3.09155e-05
60 *3155:A_N *685:47 4.70005e-05
61 *3155:C *3035:A_N 6.50727e-05
62 *3157:A *685:56 4.98393e-05
63 *3160:C *3160:A 0.000120066
64 *3160:C *685:85 8.32204e-06
65 *3179:C1 *685:85 0.000103123
66 *3354:CLK *685:16 0.000383703
67 *3354:D *685:16 1.87611e-05
68 *3397:CLK *685:11 1.41976e-05
69 *3397:CLK *685:16 6.49003e-05
70 *3397:D *685:11 7.44658e-05
71 *3405:D *685:16 0.000320683
72 *3407:CLK *685:36 3.31745e-05
73 *245:5 *3035:A_N 6.49003e-05
74 *246:39 *685:85 0.000369883
75 *249:27 *685:59 1.00846e-05
76 *249:27 *685:63 7.99086e-05
77 *249:27 *685:85 0.000189539
78 *249:43 *685:36 0.000107496
79 *250:57 *685:36 2.32311e-05
80 *259:48 *685:36 0.000113788
81 *261:48 *685:47 1.44467e-05
82 *267:8 *805:DIODE 0.000413154
83 *267:8 *685:16 0.000367499
84 *267:13 *685:16 0.000192931
85 *271:29 *685:16 7.77309e-06
86 *271:29 *685:36 0.00010689
87 *308:10 *685:56 2.36813e-05
88 *308:10 *685:109 1.3807e-05
89 *311:13 *3160:A 7.6719e-06
90 *315:8 *685:85 2.24576e-05
91 *316:19 *685:63 2.41274e-06
92 *319:7 *685:85 0.000113968
93 *319:23 *685:85 0.000304791
94 *367:40 *821:DIODE 2.90905e-05
95 *368:16 *3035:A_N 0.000118166
96 *368:16 *685:47 4.86172e-06
97 *368:16 *685:56 3.90935e-05
98 *369:14 *685:109 7.50872e-05
99 *392:16 *685:36 0.000107496
100 *456:26 *821:DIODE 0.000143017
101 *456:26 *685:109 0
102 *457:19 *3035:A_N 6.19191e-05
103 *469:12 *685:85 0.000314053
104 *477:5 *685:85 2.20702e-05
105 *477:15 *685:85 0.000392175
106 *481:11 *685:85 6.21451e-06
107 *522:55 *685:36 0.000500453
108 *617:19 *685:85 3.3513e-05
109 *619:47 *685:85 0.00015382
110 *622:6 *685:47 0
111 *622:6 *685:56 0
112 *632:77 *685:16 7.98171e-06
113 *646:10 *685:36 0.000151726
114 *646:14 *685:16 1.55462e-05
115 *646:14 *685:36 0.000108801
116 *666:109 *685:85 0
117 *668:113 *685:16 4.15236e-05
118 *674:59 *2891:B 1.09551e-05
119 *674:59 *685:38 7.42866e-05
120 *674:59 *685:42 1.19856e-05
121 *676:24 *685:36 0.000134954
122 *676:31 *685:36 0.000129801
123 *676:31 *685:38 0.000158371
*RES
1 *3522:X *685:11 28.4915
2 *685:11 *685:16 45.309
3 *685:16 *805:DIODE 18.3548
4 *685:16 *685:36 38.9991
5 *685:36 *685:38 5.16022
6 *685:38 *685:42 5.73894
7 *685:42 *685:47 9.82561
8 *685:47 *3035:A_N 17.8002
9 *685:47 *685:56 6.81502
10 *685:56 *685:59 5.2234
11 *685:59 *685:63 13.5424
12 *685:63 *685:85 45.1056
13 *685:85 *841:DIODE 9.24915
14 *685:63 *3160:A 18.2442
15 *685:59 *791:DIODE 9.24915
16 *685:56 *685:109 11.2323
17 *685:109 *821:DIODE 37.7185
18 *685:109 *2952:A 9.24915
19 *685:42 *2984:B 9.24915
20 *685:38 *2891:B 10.2378
21 *685:36 *779:DIODE 9.24915
*END
*D_NET *686 0.0111382
*CONN
*I *3537:A I *D sky130_fd_sc_hd__buf_2
*I *3422:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3537:A 0
2 *3422:Q 0.000136428
3 *686:8 0.00231511
4 *686:7 0.00245153
5 io_wbs_data_o[13] *686:8 4.8017e-05
6 io_wbs_data_o[3] *686:8 7.13655e-06
7 io_wbs_data_o[4] *686:8 4.40531e-05
8 *46:8 *686:8 0
9 *87:11 *686:8 0.000135526
10 *664:6 *686:8 0.00217585
11 *664:15 *686:8 0.0011521
12 *675:8 *686:8 0.00214037
13 *684:8 *686:8 0.000532054
*RES
1 *3422:Q *686:7 15.5817
2 *686:7 *686:8 95.679
3 *686:8 *3537:A 13.7491
*END
*D_NET *687 0.000378387
*CONN
*I *3538:A I *D sky130_fd_sc_hd__buf_2
*I *3423:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3538:A 0.000162757
2 *3423:Q 0.000162757
3 io_wbs_data_o[6] *3538:A 5.28741e-05
4 *3532:A *3538:A 0
*RES
1 *3423:Q *3538:A 31.5781
*END
*D_NET *688 0.000219396
*CONN
*I *3539:A I *D sky130_fd_sc_hd__buf_2
*I *3424:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3539:A 0.000109698
2 *3424:Q 0.000109698
3 io_wbs_data_o[7] *3539:A 0
*RES
1 *3424:Q *3539:A 30.1608
*END
*D_NET *689 0.0289843
*CONN
*I *2891:A_N I *D sky130_fd_sc_hd__nand3b_1
*I *2984:A I *D sky130_fd_sc_hd__nor3b_1
*I *2959:B I *D sky130_fd_sc_hd__and2_1
*I *3035:B I *D sky130_fd_sc_hd__and3b_1
*I *795:DIODE I *D sky130_fd_sc_hd__diode_2
*I *793:DIODE I *D sky130_fd_sc_hd__diode_2
*I *2954:B I *D sky130_fd_sc_hd__nand2_1
*I *804:DIODE I *D sky130_fd_sc_hd__diode_2
*I *778:DIODE I *D sky130_fd_sc_hd__diode_2
*I *822:DIODE I *D sky130_fd_sc_hd__diode_2
*I *3523:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *2891:A_N 9.70336e-05
2 *2984:A 0
3 *2959:B 0.000190636
4 *3035:B 4.18965e-05
5 *795:DIODE 0.000629497
6 *793:DIODE 0.00105882
7 *2954:B 0
8 *804:DIODE 0.000661492
9 *778:DIODE 0
10 *822:DIODE 0
11 *3523:X 0.000905712
12 *689:90 0.000284004
13 *689:59 0.00187407
14 *689:47 0.000959984
15 *689:39 0.000523654
16 *689:36 0.000517242
17 *689:33 0.000902888
18 *689:19 0.000956417
19 *689:16 0.00228051
20 *689:14 0.00339314
21 *689:7 0.00222377
22 *689:14 *690:7 0.00104479
23 *689:19 *3156:B2 3.21865e-05
24 *689:33 *3156:B2 3.9673e-05
25 io_wbs_data_o[15] *689:14 0.00016553
26 io_wbs_data_o[19] *689:7 2.65831e-05
27 *780:DIODE *804:DIODE 0.000285547
28 *815:DIODE *689:16 1.5714e-05
29 *824:DIODE *795:DIODE 7.70305e-05
30 *874:DIODE *689:7 1.80647e-05
31 *886:DIODE *689:7 0.000103943
32 *887:DIODE *689:14 0.000265537
33 *2959:A *2959:B 2.37036e-06
34 *2984:C_N *2891:A_N 0.000277502
35 *2987:A *689:16 8.92953e-05
36 *3004:A0 *689:16 1.5714e-05
37 *3004:A1 *689:16 0.000141792
38 *3006:A *689:16 0.000224285
39 *3029:B *689:16 8.14166e-05
40 *3033:A *689:16 0
41 *3052:A *795:DIODE 0.000298473
42 *3095:A1 *793:DIODE 1.5714e-05
43 *3104:A2 *793:DIODE 6.08467e-05
44 *3154:A_N *689:33 8.65358e-05
45 *3154:C *689:33 6.73022e-05
46 *3154:D *689:33 0.000167076
47 *3156:C1 *689:33 0.000311221
48 *3164:A *689:33 2.27135e-05
49 *3192:C1 *689:33 6.23875e-05
50 *3341:S *689:16 0.000132632
51 *3382:CLK *689:16 0.000141001
52 *3387:D *795:DIODE 9.34919e-05
53 *3390:D *795:DIODE 0.000116986
54 *3408:CLK *795:DIODE 0
55 *3500:A *689:16 0.000164235
56 *3512:A *689:7 3.25584e-05
57 *47:8 *689:14 6.50727e-05
58 *168:21 *689:16 0
59 *254:74 *793:DIODE 6.50586e-05
60 *261:48 *689:36 0
61 *309:6 *2959:B 0.00017393
62 *309:6 *689:36 7.65861e-05
63 *309:6 *689:90 7.14746e-05
64 *310:9 *2959:B 6.58463e-05
65 *331:5 *689:33 0.000197119
66 *348:9 *804:DIODE 3.00073e-05
67 *358:12 *689:16 0
68 *358:16 *689:16 0
69 *368:16 *2959:B 0.000126934
70 *374:21 *795:DIODE 3.97002e-05
71 *457:19 *3035:B 6.50586e-05
72 *465:12 *689:33 0.000115598
73 *465:21 *689:33 0.000156085
74 *466:5 *689:33 0.000156684
75 *466:25 *689:33 0.000350024
76 *497:7 *689:33 0.000148235
77 *522:19 *804:DIODE 0.000370815
78 *522:39 *689:16 9.24241e-05
79 *617:19 *689:16 0.000307457
80 *618:36 *689:33 0.000212506
81 *619:47 *689:16 2.54649e-05
82 *639:16 *689:16 0.00042505
83 *639:41 *689:16 0.000159284
84 *639:52 *689:16 0.000188943
85 *662:11 *689:16 0
86 *665:48 *793:DIODE 7.13655e-06
87 *665:48 *795:DIODE 0.000118058
88 *665:48 *804:DIODE 0.000182068
89 *665:48 *689:59 7.70061e-05
90 *666:46 *795:DIODE 0
91 *666:48 *804:DIODE 9.10666e-05
92 *667:7 *689:7 0.000723019
93 *667:7 *689:14 9.06956e-05
94 *667:69 *689:16 6.16319e-05
95 *668:17 *795:DIODE 0.000202406
96 *668:113 *793:DIODE 0
97 *674:18 *793:DIODE 4.2439e-05
98 *674:30 *793:DIODE 1.91391e-05
99 *674:43 *795:DIODE 4.66386e-05
100 *674:43 *689:59 8.62625e-06
101 *674:45 *804:DIODE 9.75356e-05
102 *674:45 *689:59 0.000297871
103 *676:24 *793:DIODE 0
104 *683:8 *689:16 0
105 *685:38 *689:39 0.000277488
106 *685:38 *689:47 0.000139947
107 *685:42 *2891:A_N 1.65872e-05
108 *685:42 *689:33 1.92172e-05
109 *685:42 *689:39 0.000423922
110 *685:47 *2959:B 0
111 *685:47 *689:33 0.000175485
112 *685:47 *689:36 0
113 *685:47 *689:90 0
*RES
1 *3523:X *689:7 34.9418
2 *689:7 *689:14 43.3253
3 *689:14 *689:16 60.3459
4 *689:16 *689:19 7.44181
5 *689:19 *822:DIODE 9.24915
6 *689:19 *689:33 37.1179
7 *689:33 *689:36 5.91674
8 *689:36 *689:39 11.8786
9 *689:39 *778:DIODE 9.24915
10 *689:39 *689:47 6.3326
11 *689:47 *804:DIODE 31.2592
12 *689:47 *689:59 6.30206
13 *689:59 *2954:B 13.7491
14 *689:59 *793:DIODE 23.9295
15 *689:59 *795:DIODE 31.5323
16 *689:36 *689:90 1.41674
17 *689:90 *3035:B 14.4725
18 *689:90 *2959:B 19.6233
19 *689:33 *2984:A 9.24915
20 *689:33 *2891:A_N 12.7456
*END
*D_NET *690 0.00662452
*CONN
*I *2888:B I *D sky130_fd_sc_hd__or2_1
*I *2983:B_N I *D sky130_fd_sc_hd__or2b_1
*I *3524:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *2888:B 4.21904e-05
2 *2983:B_N 0
3 *3524:X 2.06324e-05
4 *690:12 0.000201973
5 *690:7 0.0017147
6 *690:5 0.00157555
7 *2888:B *2983:A 4.26566e-05
8 *690:12 *2890:D 0
9 io_wbs_data_o[15] *690:7 0.00016553
10 *876:DIODE *690:7 0.000175485
11 *887:DIODE *690:7 0.000175485
12 *3530:A *690:7 0.000808562
13 *81:8 *690:7 0.000224395
14 *260:7 *2888:B 5.56461e-05
15 *667:7 *690:7 0.000321277
16 *669:8 *690:7 5.56461e-05
17 *689:14 *690:7 0.00104479
*RES
1 *3524:X *690:5 9.82786
2 *690:5 *690:7 56.1838
3 *690:7 *690:12 12.493
4 *690:12 *2983:B_N 9.24915
5 *690:12 *2888:B 11.0817
*END
*D_NET *691 0.00427674
*CONN
*I *2888:A I *D sky130_fd_sc_hd__or2_1
*I *2983:A I *D sky130_fd_sc_hd__or2b_1
*I *3525:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *2888:A 0
2 *2983:A 0.000276537
3 *3525:X 0.000112109
4 *691:9 0.00197404
5 *691:8 0.00180961
6 *2888:B *2983:A 4.26566e-05
7 *260:7 *2983:A 6.14949e-06
8 *260:7 *691:9 5.56461e-05
*RES
1 *3525:X *691:8 20.9116
2 *691:8 *691:9 41.2095
3 *691:9 *2983:A 14.1441
4 *691:9 *2888:A 9.24915
*END
*D_NET *692 0.00916656
*CONN
*I *2890:B I *D sky130_fd_sc_hd__or4_2
*I *3526:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *2890:B 0.000425987
2 *3526:X 4.61029e-05
3 *692:11 0.00363068
4 *692:8 0.0032508
5 *2890:B *2856:A0 9.72789e-05
6 *2890:B *2890:D 3.01634e-05
7 *692:11 *2840:A1 0.000311261
8 *692:11 *745:7 0.000224395
9 *2856:S *2890:B 0.000269565
10 *2857:A0 *2890:B 0.000174074
11 *2858:A *2890:B 0.000108054
12 *3349:D *692:11 2.54559e-05
13 *3398:CLK *692:11 0.000193642
14 *3398:D *692:11 3.02812e-05
15 *3399:CLK *692:11 0.000147325
16 *3399:D *692:11 1.46876e-05
17 *3529:A *692:8 7.50872e-05
18 *49:10 *692:8 1.05746e-05
19 *268:20 *2890:B 0.000101148
*RES
1 *3526:X *692:8 19.6659
2 *692:8 *692:11 48.998
3 *692:11 *2890:B 31.342
*END
*D_NET *693 0.00808827
*CONN
*I *2890:A I *D sky130_fd_sc_hd__or4_2
*I *3527:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *2890:A 0.00098534
2 *3527:X 2.06324e-05
3 *693:7 0.00342798
4 *693:5 0.00246327
5 *2890:A *2854:A1 0
6 *890:DIODE *693:7 0.000175485
7 *2855:A *2890:A 1.32509e-05
8 *2890:C *2890:A 0
9 *2904:S *2890:A 0
10 *2921:S *2890:A 2.65667e-05
11 *3402:D *2890:A 0.000222682
12 *3403:D *2890:A 2.7961e-05
13 *268:5 *2890:A 0.000147325
14 *268:20 *2890:A 0
15 *268:22 *2890:A 0
16 *268:24 *2890:A 0
17 *670:28 *2890:A 0.000577773
*RES
1 *3527:X *693:5 9.82786
2 *693:5 *693:7 55.0746
3 *693:7 *2890:A 47.1104
*END
*D_NET *694 0.00384252
*CONN
*I *2890:D I *D sky130_fd_sc_hd__or4_2
*I *3528:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *2890:D 0.00110667
2 *3528:X 3.91978e-05
3 *694:7 0.00114586
4 *2890:D *2904:A1 0.000125695
5 *785:DIODE *2890:D 7.14746e-05
6 *2890:B *2890:D 3.01634e-05
7 *2890:C *2890:D 3.67708e-05
8 *2904:S *2890:D 3.57027e-05
9 *3530:A *694:7 6.92705e-05
10 *260:8 *2890:D 0
11 *268:20 *2890:D 5.16327e-05
12 *268:22 *2890:D 3.9739e-05
13 *268:24 *2890:D 4.97193e-05
14 *667:90 *2890:D 0.00104063
15 *690:12 *2890:D 0
*RES
1 *3528:X *694:7 14.4725
2 *694:7 *2890:D 49.6318
*END
*D_NET *695 0.0065117
*CONN
*I *3156:B2 I *D sky130_fd_sc_hd__a221o_1
*I *2925:A0 I *D sky130_fd_sc_hd__mux2_1
*I *3356:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3156:B2 0.000221028
2 *2925:A0 2.7312e-05
3 *3356:Q 0.000389222
4 *695:32 0.0014837
5 *695:8 0.00167921
6 *2925:A0 *2925:A1 6.50727e-05
7 *695:32 *2928:A0 7.50872e-05
8 *695:32 *2949:A0 2.32625e-05
9 *695:32 *725:15 0.000320436
10 *695:32 *732:50 0.000269633
11 *2925:S *2925:A0 6.50586e-05
12 *2925:S *695:8 6.08697e-06
13 *2925:S *695:32 4.20322e-05
14 *2926:B *695:8 0
15 *2927:A *695:8 0.000101133
16 *2929:B *695:32 2.65831e-05
17 *2948:A *695:32 3.12057e-05
18 *2950:B *695:32 4.7081e-06
19 *2951:A *695:32 1.65872e-05
20 *3156:C1 *3156:B2 5.18438e-05
21 *3341:A0 *3156:B2 0.000118166
22 *3342:A2 *695:32 0.000213725
23 *3342:B1 *695:32 3.14978e-05
24 *3434:CLK *695:8 0
25 *3457:D *695:32 1.31657e-05
26 *3484:A *2925:A0 0.000167076
27 *3492:A *695:8 0
28 *3499:A *695:8 0
29 *3499:A *695:32 1.14131e-06
30 *279:9 *695:32 0.000225519
31 *305:10 *695:32 6.92705e-05
32 *614:17 *3156:B2 0.000603752
33 *622:26 *695:8 3.68867e-05
34 *622:26 *695:32 1.18938e-05
35 *622:41 *695:32 4.85462e-05
36 *689:19 *3156:B2 3.21865e-05
37 *689:33 *3156:B2 3.9673e-05
*RES
1 *3356:Q *695:8 22.1209
2 *695:8 *2925:A0 15.5817
3 *695:8 *695:32 43.0761
4 *695:32 *3156:B2 18.2132
*END
*D_NET *696 0.00476256
*CONN
*I *3167:B2 I *D sky130_fd_sc_hd__a221o_1
*I *2928:A0 I *D sky130_fd_sc_hd__mux2_1
*I *3357:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3167:B2 0
2 *2928:A0 9.59538e-05
3 *3357:Q 3.39645e-05
4 *696:28 0.00158242
5 *696:7 0.00171234
6 *696:28 *2933:A0 0
7 *696:28 *3175:B2 2.20796e-05
8 *696:28 *697:6 0
9 *2874:A *696:28 0.00011818
10 *2910:A *696:28 1.47046e-05
11 *2937:B *696:28 9.49135e-05
12 *2950:A *696:28 0
13 *2950:B *696:7 9.18559e-06
14 *2950:B *696:28 0.000127179
15 *2963:A *696:28 6.31839e-05
16 *3167:C1 *696:28 4.76248e-05
17 *3359:CLK *696:28 6.85769e-05
18 *3359:D *696:28 0.000208176
19 *3363:CLK *696:28 0
20 *3499:A *2928:A0 0.000113777
21 *3499:A *696:28 4.55455e-05
22 *249:27 *696:28 4.96417e-05
23 *293:5 *696:28 9.14669e-05
24 *305:10 *2928:A0 1.77537e-06
25 *305:10 *696:28 4.89469e-06
26 *305:29 *2928:A0 0
27 *619:47 *696:28 3.67801e-05
28 *622:41 *696:28 0
29 *671:85 *696:28 3.76125e-05
30 *685:85 *696:28 0.000107496
31 *695:32 *2928:A0 7.50872e-05
*RES
1 *3357:Q *696:7 14.4725
2 *696:7 *2928:A0 16.8269
3 *696:7 *696:28 49.7142
4 *696:28 *3167:B2 9.24915
*END
*D_NET *697 0.00783219
*CONN
*I *3171:B2 I *D sky130_fd_sc_hd__a221o_1
*I *2933:A0 I *D sky130_fd_sc_hd__mux2_1
*I *3358:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3171:B2 0.00172854
2 *2933:A0 0.000281411
3 *3358:Q 7.12804e-05
4 *697:6 0.00208123
5 *2933:A0 *2933:A1 6.92705e-05
6 *2950:B *2933:A0 1.77537e-06
7 *3039:A *3171:B2 0.00146166
8 *3156:B1 *3171:B2 1.47046e-05
9 *3499:A *2933:A0 0.000540793
10 *358:9 *3171:B2 0.000736767
11 *457:53 *3171:B2 0.000203056
12 *465:12 *3171:B2 4.32443e-06
13 *467:22 *3171:B2 1.55462e-05
14 *468:10 *3171:B2 0.000287409
15 *622:41 *2933:A0 0
16 *622:41 *697:6 0
17 *666:109 *3171:B2 0.00033442
18 *696:28 *2933:A0 0
19 *696:28 *697:6 0
*RES
1 *3358:Q *697:6 15.3735
2 *697:6 *2933:A0 22.7494
3 *697:6 *3171:B2 31.646
*END
*D_NET *698 0.00553737
*CONN
*I *3175:B2 I *D sky130_fd_sc_hd__a221o_1
*I *2936:A0 I *D sky130_fd_sc_hd__mux2_1
*I *3359:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3175:B2 0.000840237
2 *2936:A0 0.000779167
3 *3359:Q 0
4 *698:5 0.0016194
5 *2936:A0 *2932:A 6.36477e-05
6 *2936:A0 *732:31 0.000526209
7 *857:DIODE *2936:A0 7.50872e-05
8 *857:DIODE *3175:B2 0.000124619
9 *2874:A *3175:B2 0.000107496
10 *3179:A2 *3175:B2 1.47046e-05
11 *246:5 *3175:B2 0.000612779
12 *246:39 *3175:B2 0.000110306
13 *294:5 *2936:A0 0.00016553
14 *294:7 *2936:A0 0.000154145
15 *294:11 *2936:A0 0.000118166
16 *476:20 *3175:B2 3.00073e-05
17 *476:35 *3175:B2 2.32908e-05
18 *476:46 *3175:B2 8.62625e-06
19 *477:31 *3175:B2 0.000141864
20 *668:35 *2936:A0 0
21 *668:35 *3175:B2 0
22 *696:28 *3175:B2 2.20796e-05
*RES
1 *3359:Q *698:5 13.7491
2 *698:5 *2936:A0 27.536
3 *698:5 *3175:B2 39.0946
*END
*D_NET *699 0.00548925
*CONN
*I *3179:B2 I *D sky130_fd_sc_hd__a221o_1
*I *2939:A0 I *D sky130_fd_sc_hd__mux2_1
*I *3360:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3179:B2 0.000706829
2 *2939:A0 0
3 *3360:Q 9.93539e-05
4 *699:9 0.000806183
5 *3179:B2 *2939:A1 5.31074e-05
6 *699:9 *2939:A1 0.000434578
7 *699:9 *722:8 9.96342e-05
8 *859:DIODE *3179:B2 0.000623449
9 *2938:A *3179:B2 0.000217923
10 *2940:B *699:9 0
11 *2947:A *3179:B2 0.000267404
12 *3179:A2 *3179:B2 0
13 *3179:C1 *3179:B2 4.71781e-05
14 *3362:D *3179:B2 9.95542e-06
15 *1:14 *3179:B2 0.00155339
16 *1:14 *699:9 0.00043038
17 *319:23 *3179:B2 0.000112149
18 *685:85 *3179:B2 2.7745e-05
*RES
1 *3360:Q *699:9 24.6868
2 *699:9 *2939:A0 9.24915
3 *699:9 *3179:B2 37.9017
*END
*D_NET *700 0.00813905
*CONN
*I *3183:B2 I *D sky130_fd_sc_hd__a221o_1
*I *2942:A0 I *D sky130_fd_sc_hd__mux2_1
*I *3361:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3183:B2 0.00172317
2 *2942:A0 0.000593053
3 *3361:Q 7.19214e-05
4 *700:6 0.00238815
5 *2942:A0 *725:15 8.74401e-05
6 *700:6 *725:15 0.000108103
7 *2944:A *2942:A0 0
8 *3177:A *3183:B2 0.000357457
9 *3181:A *3183:B2 3.99213e-05
10 *3183:A2 *3183:B2 1.47046e-05
11 *3183:B1 *3183:B2 1.47046e-05
12 *3361:D *700:6 0
13 *168:21 *3183:B2 4.45061e-05
14 *169:17 *2942:A0 0.000891233
15 *246:39 *3183:B2 2.37368e-05
16 *316:19 *3183:B2 1.5714e-05
17 *468:10 *3183:B2 4.69495e-06
18 *487:17 *3183:B2 0.000178047
19 *665:76 *3183:B2 0.00057332
20 *666:109 *3183:B2 0.000333731
21 *668:19 *3183:B2 0.000439079
22 *668:24 *3183:B2 0.000178528
23 *672:23 *3183:B2 5.7836e-05
*RES
1 *3361:Q *700:6 15.7888
2 *700:6 *2942:A0 24.9706
3 *700:6 *3183:B2 27.1398
*END
*D_NET *701 0.00339403
*CONN
*I *2945:A0 I *D sky130_fd_sc_hd__mux2_1
*I *3188:B2 I *D sky130_fd_sc_hd__a221o_1
*I *3362:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2945:A0 0.0002812
2 *3188:B2 0.00083006
3 *3362:Q 0
4 *701:4 0.00111126
5 *2945:S *2945:A0 6.50727e-05
6 *2964:A2 *3188:B2 1.71477e-05
7 *2964:B1 *3188:B2 0.000148467
8 *2964:C1 *3188:B2 6.50586e-05
9 *2969:A *3188:B2 3.14978e-05
10 *3179:B1 *3188:B2 0.000254096
11 *3188:B1 *3188:B2 4.31603e-06
12 *3364:CLK *2945:A0 0.000254967
13 *3364:D *3188:B2 6.91078e-06
14 *1:29 *2945:A0 0.000213725
15 *665:85 *3188:B2 6.91515e-06
16 *667:28 *2945:A0 4.01573e-05
17 *668:35 *2945:A0 6.31809e-05
*RES
1 *3362:Q *701:4 9.24915
2 *701:4 *3188:B2 25.8933
3 *701:4 *2945:A0 28.2903
*END
*D_NET *702 0.00269169
*CONN
*I *3192:B2 I *D sky130_fd_sc_hd__a221o_1
*I *2949:A0 I *D sky130_fd_sc_hd__mux2_1
*I *3363:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3192:B2 0.000866059
2 *2949:A0 0.000201362
3 *3363:Q 0
4 *702:4 0.00106742
5 *2949:A0 *725:15 2.99287e-05
6 *2949:A0 *732:50 8.41339e-05
7 *2951:A *2949:A0 0.000157497
8 *3192:B1 *3192:B2 4.80635e-06
9 *3192:C1 *3192:B2 3.26962e-05
10 *3363:D *2949:A0 6.50586e-05
11 *3457:CLK *2949:A0 9.75356e-05
12 *3457:CLK *3192:B2 4.31703e-05
13 *3457:D *3192:B2 1.87611e-05
14 *695:32 *2949:A0 2.32625e-05
*RES
1 *3363:Q *702:4 9.24915
2 *702:4 *2949:A0 24.6868
3 *702:4 *3192:B2 23.6749
*END
*D_NET *703 0.00385453
*CONN
*I *3082:A I *D sky130_fd_sc_hd__xnor2_1
*I *3217:D I *D sky130_fd_sc_hd__and4_1
*I *3212:C I *D sky130_fd_sc_hd__and3_1
*I *3196:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *3425:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3082:A 0.000137799
2 *3217:D 0.000509236
3 *3212:C 0.000129078
4 *3196:A 0
5 *3425:Q 0
6 *703:17 0.000768141
7 *703:8 0.000297209
8 *703:4 0.000305181
9 *3217:D *3217:C 0.000114786
10 *703:8 *3087:A 0
11 *703:8 *704:18 0
12 *703:17 *3087:A 0
13 *703:17 *704:18 0
14 *3209:A1 *3217:D 7.24449e-05
15 *3425:CLK *3082:A 0.000157173
16 *3425:CLK *703:8 0.000217951
17 *456:74 *703:8 3.77804e-05
18 *500:41 *703:17 4.51485e-05
19 *504:42 *3082:A 0.000640564
20 *504:42 *703:8 5.03285e-05
21 *506:5 *3212:C 0.000350177
22 *506:5 *3217:D 2.15348e-05
*RES
1 *3425:Q *703:4 9.24915
2 *703:4 *703:8 9.96496
3 *703:8 *3196:A 13.7491
4 *703:8 *703:17 7.1625
5 *703:17 *3212:C 13.1073
6 *703:17 *3217:D 17.7611
7 *703:4 *3082:A 17.0618
*END
*D_NET *704 0.00310617
*CONN
*I *3217:C I *D sky130_fd_sc_hd__and4_1
*I *3203:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *3085:A I *D sky130_fd_sc_hd__xnor2_1
*I *3426:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3217:C 0.000291791
2 *3203:A 0
3 *3085:A 0.000324169
4 *3426:Q 0
5 *704:18 0.000719011
6 *704:5 0.000751389
7 *3085:A *3080:B 9.40969e-05
8 *704:18 *3212:A 0
9 *3201:A *704:18 0
10 *3206:A2 *704:18 0
11 *3209:A1 *3217:C 0.000171273
12 *3217:D *3217:C 0.000114786
13 *3425:D *704:18 3.68867e-05
14 *167:8 *3085:A 0
15 *167:8 *704:18 0
16 *456:74 *3085:A 0
17 *456:74 *704:18 0
18 *500:41 *704:18 3.635e-05
19 *506:5 *3217:C 0.00015709
20 *506:5 *704:18 0.00016491
21 *514:8 *3217:C 5.07314e-05
22 *514:8 *704:18 6.87482e-05
23 *672:117 *3085:A 0.000124942
24 *703:8 *704:18 0
25 *703:17 *704:18 0
*RES
1 *3426:Q *704:5 13.7491
2 *704:5 *3085:A 22.5389
3 *704:5 *704:18 15.6391
4 *704:18 *3203:A 9.24915
5 *704:18 *3217:C 18.7256
*END
*D_NET *705 0.00491692
*CONN
*I *3217:B I *D sky130_fd_sc_hd__and4_1
*I *3081:A I *D sky130_fd_sc_hd__xnor2_1
*I *3209:B1 I *D sky130_fd_sc_hd__a31o_1
*I *3212:A I *D sky130_fd_sc_hd__and3_1
*I *3427:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3217:B 0
2 *3081:A 0.000411708
3 *3209:B1 0
4 *3212:A 0.000175843
5 *3427:Q 0.000114536
6 *705:32 0.000632643
7 *705:23 0.000327001
8 *705:8 0.000396444
9 *3081:A *3082:B 0
10 *3081:A *3087:A 0.000209311
11 *3081:A *706:10 3.5534e-06
12 *3081:A *714:97 0.000144695
13 *705:32 *706:10 9.85601e-05
14 *3205:A2 *3212:A 0.000224395
15 *3205:A2 *705:23 0.000152944
16 *3205:B1 *3212:A 0.000197548
17 *3206:A2 *3212:A 3.88655e-06
18 *3209:A2 *705:23 0.000186816
19 *3215:C *3212:A 0.000122366
20 *3215:C *705:8 0
21 *3215:C *705:23 0.000220058
22 *3216:A *3212:A 2.41274e-06
23 *3216:A *705:8 0
24 *3220:A2 *705:32 0.000213739
25 *3425:CLK *3081:A 0
26 *3429:D *3081:A 0
27 *167:8 *705:8 0
28 *206:13 *3081:A 0.000377259
29 *247:34 *705:8 4.51619e-05
30 *247:46 *705:23 0.000285227
31 *247:46 *705:32 0.000370815
32 *500:41 *3212:A 0
33 *528:14 *705:32 0
34 *704:18 *3212:A 0
*RES
1 *3427:Q *705:8 20.9116
2 *705:8 *3212:A 24.5446
3 *705:8 *705:23 6.46234
4 *705:23 *3209:B1 9.24915
5 *705:23 *705:32 12.8745
6 *705:32 *3081:A 27.1067
7 *705:32 *3217:B 13.7491
*END
*D_NET *706 0.0037551
*CONN
*I *3219:A I *D sky130_fd_sc_hd__nor2_1
*I *3222:A1 I *D sky130_fd_sc_hd__o211a_1
*I *3217:A I *D sky130_fd_sc_hd__and4_1
*I *3087:A I *D sky130_fd_sc_hd__xor2_1
*I *3428:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3219:A 1.83577e-05
2 *3222:A1 0.000177629
3 *3217:A 0
4 *3087:A 0.000521575
5 *3428:Q 0.00015089
6 *706:28 0.000235429
7 *706:10 0.000930811
8 *706:8 0.000599568
9 *3087:A *3080:B 3.14978e-05
10 *3087:A *3082:B 0
11 *3087:A *3087:B 1.44611e-05
12 *847:DIODE *3222:A1 0.000235336
13 *847:DIODE *706:8 9.98029e-06
14 *3081:A *3087:A 0.000209311
15 *3081:A *706:10 3.5534e-06
16 *3085:B *3087:A 1.44467e-05
17 *3086:C1 *3087:A 2.65831e-05
18 *3209:A1 *706:10 0
19 *3219:B *3222:A1 6.08467e-05
20 *3219:B *706:10 0.00024189
21 *3220:A2 *706:10 5.1573e-05
22 *3222:A2 *3219:A 2.14786e-05
23 *3222:A2 *3222:A1 7.47529e-05
24 *3230:B *706:8 0
25 *3230:C *706:8 0
26 *3428:CLK *706:8 7.34948e-06
27 *3428:D *706:8 0
28 *456:74 *3087:A 0
29 *528:14 *706:10 0
30 *635:53 *706:8 1.92172e-05
31 *703:8 *3087:A 0
32 *703:17 *3087:A 0
33 *705:32 *706:10 9.85601e-05
*RES
1 *3428:Q *706:8 16.7198
2 *706:8 *706:10 10.9675
3 *706:10 *3087:A 27.5163
4 *706:10 *3217:A 13.7491
5 *706:8 *706:28 5.2328
6 *706:28 *3222:A1 15.0122
7 *706:28 *3219:A 9.97254
*END
*D_NET *707 0.0050962
*CONN
*I *3223:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *3088:B2 I *D sky130_fd_sc_hd__a221oi_1
*I *3086:B2 I *D sky130_fd_sc_hd__o221a_1
*I *3429:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3223:A 0.000283396
2 *3088:B2 0.000403805
3 *3086:B2 1.43889e-05
4 *3429:Q 0.000151574
5 *707:15 0.000892977
6 *707:7 0.000909754
7 *3223:A *3080:A 1.1539e-05
8 *3223:A *3084:A 3.6236e-05
9 *707:7 *3084:A 5.48642e-05
10 *707:15 *3080:A 0.000337654
11 *707:15 *3086:A2 2.57847e-05
12 *3086:B1 *3088:B2 2.15348e-05
13 *3088:A1 *3086:B2 2.91008e-06
14 *3088:A1 *3088:B2 1.1709e-05
15 *3088:A1 *707:15 2.12616e-05
16 *3088:B1 *3086:B2 1.64789e-05
17 *3088:B1 *3088:B2 0.00036437
18 *3089:A2 *707:15 6.78596e-05
19 *3092:B1 *707:15 8.62625e-06
20 *3198:B1_N *3223:A 0.000108381
21 *3448:CLK *707:15 3.83819e-05
22 *407:11 *707:7 0.000118166
23 *407:11 *707:15 0.000522342
24 *501:8 *3223:A 0.000102632
25 *501:8 *707:15 8.62625e-06
26 *528:14 *3223:A 0.000199054
27 *635:8 *707:15 0.00017062
28 *635:27 *707:7 7.3298e-05
29 *635:27 *707:15 6.74467e-05
30 *663:52 *707:15 1.41291e-05
31 *671:28 *3088:B2 3.63968e-05
*RES
1 *3429:Q *707:7 18.3548
2 *707:7 *707:15 22.7773
3 *707:15 *3086:B2 9.82786
4 *707:15 *3088:B2 17.2547
5 *707:7 *3223:A 22.8176
*END
*D_NET *708 0.00428248
*CONN
*I *3228:A I *D sky130_fd_sc_hd__and3_1
*I *3227:B1 I *D sky130_fd_sc_hd__a31o_1
*I *3084:A I *D sky130_fd_sc_hd__inv_2
*I *3233:B I *D sky130_fd_sc_hd__and4_1
*I *3430:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3228:A 9.34923e-06
2 *3227:B1 4.56853e-05
3 *3084:A 0.000384108
4 *3233:B 0
5 *3430:Q 0.000325495
6 *708:19 0.000760227
7 *708:14 0.00045431
8 *708:12 0.00036735
9 *3084:A *3080:A 0.000314694
10 *3084:A *709:16 9.75356e-05
11 *3223:A *3084:A 3.6236e-05
12 *3225:B1 *708:19 0.000182119
13 *3226:A2 *708:19 7.50872e-05
14 *3227:A1 *3227:B1 4.56667e-05
15 *3228:C *3227:B1 6.08467e-05
16 *3228:C *3228:A 6.50727e-05
17 *3228:C *708:14 2.09495e-05
18 *3228:C *708:19 0.000136823
19 *3230:B *708:12 0
20 *3431:CLK *708:12 6.07095e-05
21 *247:46 *708:12 0
22 *502:10 *3084:A 4.26935e-05
23 *502:22 *3227:B1 4.02303e-05
24 *502:22 *3228:A 6.50727e-05
25 *502:36 *708:12 0
26 *502:36 *708:14 0
27 *504:12 *3227:B1 0.000107496
28 *504:31 *708:19 3.29001e-05
29 *515:32 *708:19 7.50722e-05
30 *515:41 *708:19 1.07248e-05
31 *523:19 *3084:A 1.37669e-05
32 *523:19 *708:19 5.04829e-06
33 *523:33 *3084:A 0.000121271
34 *528:14 *3084:A 0
35 *635:27 *3084:A 0.000110297
36 *635:50 *708:12 5.47736e-05
37 *635:50 *708:14 1.87469e-05
38 *635:50 *708:19 8.72619e-05
39 *707:7 *3084:A 5.48642e-05
*RES
1 *3430:Q *708:12 21.0849
2 *708:12 *708:14 1.00149
3 *708:14 *708:19 13.5657
4 *708:19 *3233:B 9.24915
5 *708:19 *3084:A 29.0053
6 *708:14 *3227:B1 16.1364
7 *708:12 *3228:A 14.4725
*END
*D_NET *709 0.00534502
*CONN
*I *3232:B1 I *D sky130_fd_sc_hd__a21o_1
*I *3233:A I *D sky130_fd_sc_hd__and4_1
*I *3080:A I *D sky130_fd_sc_hd__xnor2_1
*I *3431:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3232:B1 0
2 *3233:A 3.30919e-05
3 *3080:A 0.000530783
4 *3431:Q 0.000241726
5 *709:16 0.00106346
6 *709:10 0.000741308
7 *3080:A *714:97 0.000117566
8 *3084:A *3080:A 0.000314694
9 *3084:A *709:16 9.75356e-05
10 *3223:A *3080:A 1.1539e-05
11 *3232:A1 *709:16 0.000114584
12 *3232:A2 *709:16 0.000229128
13 *3233:D *3233:A 0.000212189
14 *3431:CLK *709:10 0.000165921
15 *206:13 *3080:A 0.0004138
16 *247:57 *709:16 0.000104872
17 *407:11 *3080:A 0.000316675
18 *502:10 *709:16 0
19 *504:42 *3080:A 0
20 *515:41 *3233:A 0.000212189
21 *523:8 *3080:A 5.25667e-05
22 *523:8 *709:16 1.36815e-05
23 *523:33 *709:16 1.72464e-05
24 *523:47 *709:16 0
25 *635:50 *3080:A 0
26 *635:50 *709:10 2.81678e-06
27 *707:15 *3080:A 0.000337654
*RES
1 *3431:Q *709:10 23.7141
2 *709:10 *709:16 17.603
3 *709:16 *3080:A 33.0107
4 *709:16 *3233:A 16.1364
5 *709:10 *3232:B1 9.24915
*END
*D_NET *710 0.00493269
*CONN
*I *3237:B1 I *D sky130_fd_sc_hd__a21o_1
*I *3238:A1 I *D sky130_fd_sc_hd__a21o_1
*I *3243:A1 I *D sky130_fd_sc_hd__a31o_1
*I *3079:C I *D sky130_fd_sc_hd__or3_1
*I *3432:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3237:B1 0.000112931
2 *3238:A1 2.06324e-05
3 *3243:A1 0.000351764
4 *3079:C 0.000210042
5 *3432:Q 0.000275664
6 *710:20 0.000399521
7 *710:10 0.000352587
8 *710:8 0.000504015
9 *3079:C *3242:B 5.22654e-06
10 *3243:A1 *3243:B1 0.000158357
11 *3200:A *3243:A1 1.41976e-05
12 *3234:A1 *3243:A1 8.01987e-05
13 *3238:A2 *3243:A1 0.00047703
14 *3238:B1 *3079:C 5.41377e-05
15 *3239:A *3238:A1 0
16 *3239:A *3243:A1 2.41483e-05
17 *3239:A *710:20 5.99527e-05
18 *3240:A *3237:B1 0.00049413
19 *3240:B *3237:B1 7.49459e-05
20 *3240:C *710:10 1.47102e-05
21 *3241:A *710:8 0
22 *3243:A2 *3243:A1 6.50586e-05
23 *3243:A3 *3243:A1 6.50727e-05
24 *3244:A1 *3243:A1 0.000277502
25 *3244:A1 *710:20 1.61631e-05
26 *3244:A2 *3079:C 5.9708e-05
27 *3432:D *710:8 2.3299e-05
28 *503:13 *3243:A1 5.9852e-05
29 *512:15 *3079:C 0.000336155
30 *512:23 *3243:A1 0.00013822
31 *513:15 *3243:A1 5.51483e-06
32 *531:19 *3243:A1 4.25348e-05
33 *531:28 *3243:A1 4.3116e-06
34 *536:5 *710:20 0.00015511
35 *637:31 *3079:C 0
*RES
1 *3432:Q *710:8 18.7989
2 *710:8 *710:10 2.6625
3 *710:10 *3079:C 20.9794
4 *710:10 *710:20 6.3326
5 *710:20 *3243:A1 31.3686
6 *710:20 *3238:A1 9.82786
7 *710:8 *3237:B1 19.464
*END
*D_NET *711 0.00292404
*CONN
*I *3242:B I *D sky130_fd_sc_hd__nor2_1
*I *3079:B I *D sky130_fd_sc_hd__or3_1
*I *3243:B1 I *D sky130_fd_sc_hd__a31o_1
*I *3433:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3242:B 0.000211045
2 *3079:B 0
3 *3243:B1 0.000377007
4 *3433:Q 0
5 *711:16 0.000365623
6 *711:4 0.000531585
7 *3079:A *3242:B 0.000159035
8 *3079:C *3242:B 5.22654e-06
9 *3083:A_N *711:16 5.22654e-06
10 *3243:A1 *3243:B1 0.000158357
11 *3243:A2 *3243:B1 2.41483e-05
12 *3243:A3 *3243:B1 1.67988e-05
13 *3244:A1 *711:16 0
14 *3244:A2 *3243:B1 0.000377259
15 *3244:B1 *3243:B1 0.000268798
16 *512:15 *3242:B 1.89331e-05
17 *512:15 *711:16 0.000290644
18 *513:59 *3242:B 6.64818e-05
19 *522:102 *711:16 0
20 *637:31 *3242:B 1.32509e-05
21 *663:11 *3242:B 5.04829e-06
22 *663:27 *3242:B 2.95757e-05
*RES
1 *3433:Q *711:4 9.24915
2 *711:4 *3243:B1 19.9795
3 *711:4 *711:16 14.154
4 *711:16 *3079:B 9.24915
5 *711:16 *3242:B 22.7765
*END
*D_NET *712 0.00550603
*CONN
*I *3082:B I *D sky130_fd_sc_hd__xnor2_1
*I *3111:C I *D sky130_fd_sc_hd__or4_2
*I *3042:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *3388:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3082:B 0.00120807
2 *3111:C 8.61527e-05
3 *3042:A 0.000171875
4 *3388:Q 0
5 *712:14 0.00140296
6 *712:4 0.000280619
7 *3111:C *3184:A1 0.000313481
8 *3111:C *716:21 0.000154145
9 *826:DIODE *3111:C 6.50727e-05
10 *830:DIODE *3082:B 0.000103304
11 *2872:A *3111:C 6.24655e-05
12 *3059:A1 *3082:B 3.73224e-05
13 *3059:A2 *3082:B 0.000153809
14 *3063:A *3082:B 0
15 *3065:A *3082:B 6.31809e-05
16 *3081:A *3082:B 0
17 *3083:C *3082:B 7.50872e-05
18 *3087:A *3082:B 0
19 *3088:A2 *3082:B 0.000119972
20 *3088:C1 *3082:B 0
21 *3226:C1 *3082:B 5.04829e-06
22 *254:41 *3082:B 0.000202821
23 *370:34 *712:14 0
24 *370:44 *3082:B 0
25 *370:44 *712:14 0
26 *373:33 *3082:B 9.95569e-05
27 *387:13 *3082:B 4.27148e-05
28 *424:34 *3042:A 0.00042169
29 *504:42 *3082:B 0.000171273
30 *666:27 *3111:C 0.000247443
31 *666:46 *712:14 1.79672e-05
32 *670:26 *3082:B 0
33 *670:26 *712:14 0
*RES
1 *3388:Q *712:4 9.24915
2 *712:4 *3042:A 14.8434
3 *712:4 *712:14 6.74725
4 *712:14 *3111:C 18.9094
5 *712:14 *3082:B 46.0661
*END
*D_NET *713 0.00160976
*CONN
*I *3046:A I *D sky130_fd_sc_hd__clkbuf_2
*I *3111:B I *D sky130_fd_sc_hd__or4_2
*I *3389:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3046:A 0.00022182
2 *3111:B 0.00020663
3 *3389:Q 0.000205504
4 *713:5 0.000633953
5 *3046:A *714:97 0
6 *3111:B *3034:A 0.000122083
7 *3111:B *730:32 9.96342e-05
8 *254:14 *3046:A 0
9 *254:14 *3111:B 4.23937e-05
10 *493:21 *3046:A 2.54369e-05
11 *493:21 *713:5 5.23101e-05
*RES
1 *3389:Q *713:5 12.191
2 *713:5 *3111:B 23.8184
3 *713:5 *3046:A 22.1896
*END
*D_NET *714 0.0148374
*CONN
*I *3081:B I *D sky130_fd_sc_hd__xnor2_1
*I *3111:A I *D sky130_fd_sc_hd__or4_2
*I *3112:B1 I *D sky130_fd_sc_hd__o31ai_1
*I *3050:A1 I *D sky130_fd_sc_hd__mux2_1
*I *3176:A1 I *D sky130_fd_sc_hd__o211a_1
*I *3390:Q O *D sky130_fd_sc_hd__dfxtp_2
*CAP
1 *3081:B 0
2 *3111:A 3.18935e-05
3 *3112:B1 1.63602e-05
4 *3050:A1 0
5 *3176:A1 0
6 *3390:Q 0.000236749
7 *714:97 0.00145142
8 *714:75 0.00178647
9 *714:59 0.00070362
10 *714:41 0.00112869
11 *714:23 0.00152326
12 *714:14 0.00101542
13 *3111:A *716:21 2.74378e-05
14 *714:75 *730:32 0
15 *2955:B *714:41 0.000382369
16 *3038:S *714:41 5.20546e-06
17 *3046:A *714:97 0
18 *3050:S *714:23 6.92705e-05
19 *3050:S *714:41 0.000111722
20 *3080:A *714:97 0.000117566
21 *3081:A *714:97 0.000144695
22 *3086:C1 *714:97 0
23 *3089:A1 *714:97 0.000122083
24 *3089:A2 *714:97 7.50872e-05
25 *3092:B1 *714:97 3.43906e-05
26 *3098:A *714:75 3.07848e-05
27 *3099:A *714:59 0.000207394
28 *3103:A *714:59 2.29454e-05
29 *3104:B1 *714:14 0
30 *3104:B1 *714:59 0
31 *3106:A *3112:B1 0.000114584
32 *3106:A *714:59 0.000265808
33 *3106:A *714:75 6.08467e-05
34 *3113:B *714:75 7.23857e-05
35 *3142:A *714:23 9.46208e-05
36 *3151:B *714:41 9.24241e-05
37 *3151:C *714:41 9.24241e-05
38 *3159:A1 *714:41 4.39365e-05
39 *3160:A *714:41 6.50727e-05
40 *3160:C *714:41 8.62625e-06
41 *3176:A2 *714:41 0.000380513
42 *3409:CLK *714:14 0.000141512
43 *3495:A *714:97 0
44 *206:13 *714:97 0.000231317
45 *249:43 *714:14 2.01028e-05
46 *308:7 *714:41 0.000169041
47 *311:13 *714:41 1.88014e-05
48 *374:8 *714:75 0.000137956
49 *374:11 *3112:B1 0.000114584
50 *374:11 *714:75 9.32983e-05
51 *382:39 *714:97 0.000141554
52 *385:18 *714:97 0
53 *407:11 *714:97 0.000187673
54 *430:7 *3111:A 5.36612e-05
55 *456:26 *714:23 0.000462683
56 *456:26 *714:41 0.000176957
57 *456:131 *714:23 2.12616e-05
58 *481:11 *714:41 5.65074e-05
59 *493:21 *714:97 0.000113107
60 *522:55 *714:14 0.000239304
61 *522:55 *714:59 3.91559e-05
62 *522:55 *714:75 3.25539e-05
63 *522:59 *714:75 0.000256225
64 *522:59 *714:97 0.000465435
65 *522:69 *714:97 9.91024e-05
66 *622:138 *714:59 3.82228e-05
67 *646:57 *714:14 5.56367e-05
68 *646:62 *714:14 6.11872e-05
69 *663:52 *714:97 0.000526393
70 *666:27 *3111:A 0.000216458
71 *674:43 *714:14 0.00012058
72 *685:109 *714:41 1.10011e-05
*RES
1 *3390:Q *714:14 31.5483
2 *714:14 *714:23 16.887
3 *714:23 *714:41 44.124
4 *714:41 *3176:A1 9.24915
5 *714:23 *3050:A1 9.24915
6 *714:14 *714:59 24.8784
7 *714:59 *3112:B1 10.5271
8 *714:59 *714:75 14.2127
9 *714:75 *3111:A 16.1364
10 *714:75 *714:97 49.0552
11 *714:97 *3081:B 9.24915
*END
*D_NET *715 0.00506433
*CONN
*I *3131:C I *D sky130_fd_sc_hd__or4_2
*I *3053:A I *D sky130_fd_sc_hd__clkbuf_2
*I *3087:B I *D sky130_fd_sc_hd__xor2_1
*I *3391:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3131:C 0.000191436
2 *3053:A 0
3 *3087:B 0.000412778
4 *3391:Q 0
5 *715:16 0.00121425
6 *715:4 0.00143559
7 *3063:A *3087:B 0.000140154
8 *3065:A *3087:B 0
9 *3085:B *3087:B 0
10 *3087:A *3087:B 1.44611e-05
11 *3088:C1 *3087:B 5.62332e-05
12 *3130:A3 *3131:C 0.000187735
13 *3393:CLK *3087:B 8.84036e-05
14 *3393:D *3087:B 6.31809e-05
15 *3490:A *3131:C 0
16 *3490:A *715:16 8.62625e-06
17 *373:33 *3087:B 0
18 *430:13 *3131:C 3.67708e-05
19 *522:59 *3131:C 8.12307e-05
20 *522:59 *715:16 0.0002236
21 *622:140 *3131:C 0.000418562
22 *622:140 *715:16 8.96235e-05
23 *663:52 *715:16 0.000106534
24 *663:63 *715:16 0.000295161
*RES
1 *3391:Q *715:4 9.24915
2 *715:4 *3087:B 30.8777
3 *715:4 *715:16 22.9967
4 *715:16 *3053:A 13.7491
5 *715:16 *3131:C 22.1275
*END
*D_NET *716 0.0116693
*CONN
*I *3130:A1 I *D sky130_fd_sc_hd__o31a_1
*I *3124:A I *D sky130_fd_sc_hd__xnor2_1
*I *3131:B I *D sky130_fd_sc_hd__or4_2
*I *3057:A I *D sky130_fd_sc_hd__inv_2
*I *3184:A1 I *D sky130_fd_sc_hd__o211a_1
*I *3392:Q O *D sky130_fd_sc_hd__dfxtp_2
*CAP
1 *3130:A1 0
2 *3124:A 0.000208659
3 *3131:B 0
4 *3057:A 0.00047509
5 *3184:A1 0.000987548
6 *3392:Q 0.000233263
7 *716:21 0.00184757
8 *716:15 0.000605178
9 *716:12 0.000602407
10 *716:10 0.000406768
11 *3124:A *718:40 7.48114e-05
12 *716:10 *3274:B1 0.000125695
13 *826:DIODE *3184:A1 0.000231308
14 *2872:A *3184:A1 7.60126e-05
15 *3043:A0 *3184:A1 0.000619237
16 *3045:A *3184:A1 0.000251655
17 *3058:A1 *3057:A 1.03403e-05
18 *3059:B1 *3057:A 0.000187949
19 *3103:A *3124:A 0
20 *3111:A *716:21 2.74378e-05
21 *3111:C *3184:A1 0.000313481
22 *3111:C *716:21 0.000154145
23 *3113:B *3124:A 9.12416e-06
24 *3184:A2 *3184:A1 0.000498217
25 *3189:A1 *3184:A1 0.000863167
26 *3195:A2 *3184:A1 1.64144e-05
27 *3274:A1 *716:10 7.86847e-05
28 *3290:B *716:10 0
29 *3290:B *716:12 0
30 *3305:C *716:12 0
31 *3442:CLK *3057:A 4.56475e-05
32 *248:24 *716:12 0
33 *254:14 *3057:A 0.000403603
34 *254:26 *3057:A 0.000104747
35 *367:8 *716:10 3.32165e-06
36 *367:14 *3124:A 4.5415e-05
37 *367:14 *716:10 6.79599e-05
38 *367:14 *716:12 0.000234746
39 *385:18 *3057:A 7.90605e-05
40 *387:45 *716:10 7.97864e-05
41 *430:7 *716:15 1.41976e-05
42 *430:7 *716:21 0.00026099
43 *430:19 *3124:A 0.000324151
44 *447:7 *716:15 6.08467e-05
45 *463:18 *3184:A1 6.08467e-05
46 *472:20 *3184:A1 9.85734e-05
47 *494:13 *3184:A1 3.99086e-06
48 *495:13 *3184:A1 3.20069e-06
49 *634:52 *3057:A 1.81083e-05
50 *666:27 *3184:A1 0.000373061
51 *666:27 *716:15 3.70748e-05
52 *666:27 *716:21 1.85016e-05
53 *670:18 *3057:A 7.22711e-05
54 *670:26 *3057:A 0.000355072
*RES
1 *3392:Q *716:10 20.6374
2 *716:10 *716:12 4.32351
3 *716:12 *716:15 7.99641
4 *716:15 *716:21 7.57154
5 *716:21 *3184:A1 49.6922
6 *716:21 *3057:A 33.8167
7 *716:15 *3131:B 9.24915
8 *716:12 *3124:A 20.6409
9 *716:10 *3130:A1 13.7491
*END
*D_NET *717 0.0010723
*CONN
*I *3086:A2 I *D sky130_fd_sc_hd__o221a_1
*I *3060:A I *D sky130_fd_sc_hd__clkbuf_2
*I *3393:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3086:A2 0.000158912
2 *3060:A 4.09681e-05
3 *3393:Q 7.17283e-05
4 *717:7 0.000271608
5 *3088:A1 *3086:A2 6.36477e-05
6 *325:65 *717:7 0.000372922
7 *385:18 *3060:A 0
8 *385:18 *3086:A2 0
9 *387:7 *717:7 6.67308e-05
10 *707:15 *3086:A2 2.57847e-05
*RES
1 *3393:Q *717:7 17.8002
2 *717:7 *3060:A 14.7506
3 *717:7 *3086:A2 17.135
*END
*D_NET *718 0.0149662
*CONN
*I *3080:B I *D sky130_fd_sc_hd__xnor2_1
*I *3064:A1 I *D sky130_fd_sc_hd__mux2_1
*I *3140:A I *D sky130_fd_sc_hd__nor2_2
*I *3136:A I *D sky130_fd_sc_hd__xor2_1
*I *3193:A1 I *D sky130_fd_sc_hd__o21a_1
*I *3394:Q O *D sky130_fd_sc_hd__dfxtp_2
*CAP
1 *3080:B 0.00137559
2 *3064:A1 5.46631e-05
3 *3140:A 0.000219007
4 *3136:A 0.000184388
5 *3193:A1 3.94644e-05
6 *3394:Q 0.000136428
7 *718:40 0.00240645
8 *718:12 0.00259661
9 *718:8 0.00080048
10 *718:7 0.00170375
11 *827:DIODE *718:40 0.00011818
12 *828:DIODE *718:12 0.000181152
13 *3041:A *718:12 0
14 *3061:S *3064:A1 0.00016491
15 *3064:A0 *3064:A1 0.000280285
16 *3064:A0 *718:8 0.000174205
17 *3064:S *3064:A1 0.000224381
18 *3085:A *3080:B 9.40969e-05
19 *3085:B *3080:B 7.09013e-05
20 *3086:C1 *3080:B 0.000144935
21 *3087:A *3080:B 3.14978e-05
22 *3089:A3 *3080:B 0.000110701
23 *3118:B *3136:A 2.77419e-05
24 *3118:B *718:40 4.42142e-05
25 *3123:A *3140:A 6.50727e-05
26 *3124:A *718:40 7.48114e-05
27 *3124:B *3140:A 6.08467e-05
28 *3124:B *718:40 0.000198723
29 *3151:A *3193:A1 6.08467e-05
30 *3151:A *718:12 2.95757e-05
31 *3151:A *718:40 6.08467e-05
32 *3151:B *3193:A1 0
33 *3151:B *718:40 0.000129633
34 *3180:A2 *3193:A1 3.01683e-06
35 *3180:A2 *718:40 0
36 *3189:A1 *718:8 0
37 *3189:A1 *718:12 0
38 *3279:A2 *3136:A 0
39 *3285:B *3136:A 0.000188325
40 *3285:B *718:40 3.85006e-05
41 *3388:D *718:40 9.17771e-05
42 *246:50 *718:12 0
43 *254:41 *3080:B 0
44 *369:14 *718:12 0.000383385
45 *369:20 *718:12 8.96188e-05
46 *374:8 *718:40 5.52748e-05
47 *382:14 *718:12 7.83365e-05
48 *424:34 *3193:A1 2.15184e-05
49 *424:34 *718:40 0.000956739
50 *430:13 *718:40 5.05976e-05
51 *430:19 *3140:A 2.65831e-05
52 *430:19 *718:40 1.99289e-05
53 *441:5 *3140:A 9.63433e-05
54 *447:11 *3136:A 0.000175485
55 *447:21 *3136:A 6.92705e-05
56 *456:69 *718:8 0
57 *456:74 *3080:B 0
58 *456:74 *718:8 0
59 *463:5 *3193:A1 0.000115934
60 *463:5 *718:40 4.88955e-05
61 *495:13 *718:8 0
62 *495:13 *718:12 0
63 *669:88 *718:12 0.00025251
64 *672:117 *3080:B 0.000196706
65 *672:117 *718:8 0.000143032
*RES
1 *3394:Q *718:7 15.5817
2 *718:7 *718:8 5.98452
3 *718:8 *718:12 21.6963
4 *718:12 *3193:A1 11.1059
5 *718:12 *718:40 43.0216
6 *718:40 *3136:A 19.6294
7 *718:40 *3140:A 20.0427
8 *718:8 *3064:A1 17.8002
9 *718:7 *3080:B 47.9272
*END
*D_NET *719 0.00299061
*CONN
*I *3252:A1 I *D sky130_fd_sc_hd__mux2_1
*I *2928:A1 I *D sky130_fd_sc_hd__mux2_1
*I *3249:A0 I *D sky130_fd_sc_hd__mux2_1
*I *3435:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3252:A1 0.000146407
2 *2928:A1 0.000498903
3 *3249:A0 0
4 *3435:Q 0
5 *719:9 0.000644225
6 *719:4 0.000291729
7 *851:DIODE *3252:A1 1.15389e-05
8 *2925:S *2928:A1 6.73186e-05
9 *2926:A *2928:A1 6.50727e-05
10 *2929:B *2928:A1 2.15184e-05
11 *2930:A *2928:A1 0.000113968
12 *3249:S *2928:A1 4.82966e-05
13 *3249:S *3252:A1 0.000153225
14 *3249:S *719:9 0.000334808
15 *3250:B *719:9 5.07314e-05
16 *3252:S *3252:A1 0.00011818
17 *279:9 *2928:A1 0.000419643
18 *457:60 *3252:A1 5.04829e-06
*RES
1 *3435:Q *719:4 9.24915
2 *719:4 *719:9 5.61838
3 *719:9 *3249:A0 9.24915
4 *719:9 *2928:A1 22.7284
5 *719:4 *3252:A1 22.7442
*END
*D_NET *720 0.00182057
*CONN
*I *2933:A1 I *D sky130_fd_sc_hd__mux2_1
*I *3255:A1 I *D sky130_fd_sc_hd__mux2_1
*I *3252:A0 I *D sky130_fd_sc_hd__mux2_1
*I *3436:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2933:A1 0.000224487
2 *3255:A1 0.000127388
3 *3252:A0 7.64365e-05
4 *3436:Q 5.36212e-05
5 *720:9 0.000203824
6 *720:7 0.000278108
7 *3255:A1 *3255:A0 2.65831e-05
8 *2933:A0 *2933:A1 6.92705e-05
9 *2934:B *2933:A1 3.14978e-05
10 *3255:S *3255:A1 0.000102633
11 *3499:A *2933:A1 0.000185642
12 *543:22 *3255:A1 3.31882e-05
13 *543:24 *3252:A0 0.000153225
14 *543:24 *3255:A1 0.000109859
15 *638:7 *2933:A1 0.000123176
16 *638:7 *720:7 2.16355e-05
*RES
1 *3436:Q *720:7 10.5513
2 *720:7 *720:9 4.5
3 *720:9 *3252:A0 16.4116
4 *720:9 *3255:A1 17.7138
5 *720:7 *2933:A1 17.737
*END
*D_NET *721 0.00367994
*CONN
*I *3258:A1 I *D sky130_fd_sc_hd__mux2_1
*I *2936:A1 I *D sky130_fd_sc_hd__mux2_1
*I *3255:A0 I *D sky130_fd_sc_hd__mux2_1
*I *3437:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3258:A1 0
2 *2936:A1 0.000397454
3 *3255:A0 2.37122e-05
4 *3437:Q 0.000214522
5 *721:13 0.000625258
6 *721:8 0.000418614
7 *721:8 *722:8 2.7961e-05
8 *2934:B *721:13 0
9 *2940:B *721:8 0
10 *2940:B *721:13 0
11 *3255:A1 *3255:A0 2.65831e-05
12 *3255:S *2936:A1 1.41291e-05
13 *3256:B *721:13 0
14 *3358:D *2936:A1 0.000127573
15 *294:11 *2936:A1 0.000842351
16 *543:16 *721:8 0.000132307
17 *543:16 *721:13 3.31882e-05
18 *543:22 *721:13 0.000317606
19 *638:27 *2936:A1 5.27412e-05
20 *638:35 *2936:A1 3.86121e-05
21 *638:53 *2936:A1 0.000387328
*RES
1 *3437:Q *721:8 18.2442
2 *721:8 *721:13 11.0632
3 *721:13 *3255:A0 9.97254
4 *721:13 *2936:A1 26.6106
5 *721:8 *3258:A1 13.7491
*END
*D_NET *722 0.00249898
*CONN
*I *3261:A1 I *D sky130_fd_sc_hd__mux2_1
*I *2939:A1 I *D sky130_fd_sc_hd__mux2_1
*I *3258:A0 I *D sky130_fd_sc_hd__mux2_1
*I *3438:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3261:A1 0.000233971
2 *2939:A1 0.000133784
3 *3258:A0 6.65682e-05
4 *3438:Q 0
5 *722:8 0.000532904
6 *722:5 0.000566522
7 *3261:A1 *2942:A1 4.44553e-05
8 *2940:B *722:8 0
9 *2943:B *3261:A1 0
10 *2943:B *722:8 0
11 *3179:B2 *2939:A1 5.31074e-05
12 *3258:S *3258:A0 4.31703e-05
13 *3262:A *3261:A1 6.50727e-05
14 *1:14 *2939:A1 1.92336e-05
15 *1:14 *3258:A0 8.65358e-05
16 *543:16 *3261:A1 9.14834e-05
17 *543:16 *722:8 0
18 *699:9 *2939:A1 0.000434578
19 *699:9 *722:8 9.96342e-05
20 *721:8 *722:8 2.7961e-05
*RES
1 *3438:Q *722:5 13.7491
2 *722:5 *722:8 13.3913
3 *722:8 *3258:A0 11.6364
4 *722:8 *2939:A1 14.964
5 *722:5 *3261:A1 20.4599
*END
*D_NET *723 0.00336424
*CONN
*I *3264:A1 I *D sky130_fd_sc_hd__mux2_1
*I *3261:A0 I *D sky130_fd_sc_hd__mux2_1
*I *2942:A1 I *D sky130_fd_sc_hd__mux2_1
*I *3439:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3264:A1 0.000255406
2 *3261:A0 0
3 *2942:A1 0.000213842
4 *3439:Q 0
5 *723:8 0.000663909
6 *723:4 0.000705474
7 *2942:S *2942:A1 6.50727e-05
8 *3261:A1 *2942:A1 4.44553e-05
9 *3262:A *2942:A1 2.71542e-05
10 *3262:A *723:8 4.87343e-05
11 *3266:A *723:8 5.62332e-05
12 *3422:D *723:8 4.33819e-05
13 *3503:A *723:8 0
14 *169:17 *2942:A1 0.000214705
15 *170:11 *3264:A1 0.000411971
16 *170:11 *723:8 0.0002817
17 *324:12 *723:8 3.98327e-05
18 *543:10 *2942:A1 2.72089e-05
19 *543:10 *723:8 2.12377e-05
20 *642:13 *2942:A1 0.000243918
*RES
1 *3439:Q *723:4 9.24915
2 *723:4 *723:8 15.366
3 *723:8 *2942:A1 23.7875
4 *723:8 *3261:A0 13.7491
5 *723:4 *3264:A1 13.8548
*END
*D_NET *724 0.00383422
*CONN
*I *3267:A1 I *D sky130_fd_sc_hd__mux2_1
*I *3264:A0 I *D sky130_fd_sc_hd__mux2_1
*I *2945:A1 I *D sky130_fd_sc_hd__mux2_1
*I *3440:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3267:A1 0.000210355
2 *3264:A0 0.00037463
3 *2945:A1 0.000262633
4 *3440:Q 0.000162753
5 *724:15 0.000688141
6 *724:7 0.000528542
7 *2945:A1 *725:15 0.000452133
8 *3267:A1 *725:15 0.000188012
9 *724:15 *725:15 0.000189481
10 *3264:S *3264:A0 6.50586e-05
11 *3366:CLK *3267:A1 0.000164815
12 *3368:CLK *3264:A0 0.000112756
13 *3440:D *3264:A0 2.62612e-05
14 *170:11 *3264:A0 0.00039496
15 *324:7 *724:7 1.36928e-05
16 *667:28 *2945:A1 0
17 *667:38 *3267:A1 0
18 *667:38 *724:15 0
*RES
1 *3440:Q *724:7 16.1364
2 *724:7 *2945:A1 21.8099
3 *724:7 *724:15 3.493
4 *724:15 *3264:A0 23.5873
5 *724:15 *3267:A1 19.0748
*END
*D_NET *725 0.00693797
*CONN
*I *3270:A1 I *D sky130_fd_sc_hd__mux2_1
*I *3267:A0 I *D sky130_fd_sc_hd__mux2_1
*I *2949:A1 I *D sky130_fd_sc_hd__mux2_1
*I *3441:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3270:A1 4.76489e-05
2 *3267:A0 1.54601e-05
3 *2949:A1 0
4 *3441:Q 0.000253305
5 *725:15 0.00108057
6 *725:10 0.00125818
7 *725:8 0.000463104
8 *2931:A *725:15 0.000527284
9 *2937:B *725:15 0
10 *2942:A0 *725:15 8.74401e-05
11 *2945:A1 *725:15 0.000452133
12 *2945:S *725:15 0.000495372
13 *2948:A *725:15 6.08467e-05
14 *2949:A0 *725:15 2.99287e-05
15 *2950:A *725:15 7.55264e-05
16 *2973:A *725:8 0.000271745
17 *3248:A *725:15 0
18 *3267:A1 *725:15 0.000188012
19 *3268:B *725:10 0
20 *3269:A *725:10 0
21 *3269:A *725:15 0
22 *3270:S *725:10 0
23 *3271:B *725:8 3.34802e-05
24 *3359:CLK *725:15 0.000247786
25 *3361:D *725:15 0
26 *3363:CLK *725:15 0.00015324
27 *3364:CLK *725:15 3.68867e-05
28 *3366:CLK *3267:A0 0.000171273
29 *3368:CLK *725:15 0
30 *3440:D *725:15 0
31 *3441:CLK *725:8 0
32 *1:29 *725:15 0
33 *278:31 *725:15 2.95757e-05
34 *293:24 *725:15 0
35 *542:19 *3267:A0 4.88955e-05
36 *542:19 *725:10 0
37 *542:24 *3267:A0 0.000122378
38 *622:61 *3270:A1 0.000169872
39 *667:28 *725:15 0
40 *695:32 *725:15 0.000320436
41 *700:6 *725:15 0.000108103
42 *724:15 *725:15 0.000189481
*RES
1 *3441:Q *725:8 18.9382
2 *725:8 *725:10 3.90826
3 *725:10 *725:15 44.7096
4 *725:15 *2949:A1 9.24915
5 *725:10 *3267:A0 15.5817
6 *725:8 *3270:A1 15.5817
*END
*D_NET *726 0.00310398
*CONN
*I *3327:S I *D sky130_fd_sc_hd__mux2_1
*I *3330:B I *D sky130_fd_sc_hd__nand2_1
*I *3077:A I *D sky130_fd_sc_hd__nor4_1
*I *3329:A I *D sky130_fd_sc_hd__or2_1
*I *3452:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3327:S 4.24084e-05
2 *3330:B 0.000159352
3 *3077:A 2.52783e-05
4 *3329:A 7.82245e-05
5 *3452:Q 0.000120484
6 *726:12 0.000200804
7 *726:10 0.00033658
8 *726:8 0.00024282
9 *3077:A *727:7 1.19721e-05
10 *3077:A *727:18 6.08467e-05
11 *3329:A *727:18 0.000118485
12 *726:12 *727:18 2.95757e-05
13 *3327:A0 *3327:S 1.92336e-05
14 *3332:A3 *3330:B 1.43848e-05
15 *3332:B1 *3329:A 6.50727e-05
16 *3335:A2 *3327:S 6.50586e-05
17 *3335:B2 *726:8 0
18 *3335:B2 *726:10 0
19 *507:49 *3077:A 0.000111708
20 *603:12 *726:10 7.09685e-05
21 *603:12 *726:12 8.96665e-05
22 *663:5 *3330:B 0.000580996
23 *671:8 *3329:A 0.000118485
24 *671:8 *726:8 0.000120052
25 *671:8 *726:10 0.000191609
26 *671:8 *726:12 0.000229911
*RES
1 *3452:Q *726:8 16.7198
2 *726:8 *726:10 3.493
3 *726:10 *726:12 4.32351
4 *726:12 *3329:A 16.7198
5 *726:12 *3077:A 15.0271
6 *726:10 *3330:B 20.0186
7 *726:8 *3327:S 15.0271
*END
*D_NET *727 0.00300463
*CONN
*I *3331:A I *D sky130_fd_sc_hd__inv_2
*I *3329:B I *D sky130_fd_sc_hd__or2_1
*I *3077:B I *D sky130_fd_sc_hd__nor4_1
*I *3453:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3331:A 0.000460859
2 *3329:B 0
3 *3077:B 0
4 *3453:Q 0.000127442
5 *727:18 0.000554587
6 *727:7 0.00022117
7 *3331:A *3077:C 0.000413113
8 *3331:A *3337:B2 0.000109033
9 *3331:A *729:5 9.97706e-05
10 *727:7 *3077:D 0
11 *727:18 *3077:C 6.08467e-05
12 *3077:A *727:7 1.19721e-05
13 *3077:A *727:18 6.08467e-05
14 *3329:A *727:18 0.000118485
15 *3332:B1 *3331:A 0.000162439
16 *3455:D *3331:A 1.87611e-05
17 *172:12 *3331:A 0
18 *400:7 *727:7 0.000375025
19 *400:7 *727:18 5.51483e-06
20 *507:49 *727:7 6.12686e-06
21 *507:49 *727:18 1.65872e-05
22 *603:12 *727:18 0.000144546
23 *605:14 *3331:A 7.92757e-06
24 *726:12 *727:18 2.95757e-05
*RES
1 *3453:Q *727:7 14.2888
2 *727:7 *3077:B 9.24915
3 *727:7 *727:18 12.9405
4 *727:18 *3329:B 9.24915
5 *727:18 *3331:A 31.7839
*END
*D_NET *728 0.0028073
*CONN
*I *3335:A1 I *D sky130_fd_sc_hd__a22o_1
*I *3334:A I *D sky130_fd_sc_hd__xnor2_1
*I *3336:B I *D sky130_fd_sc_hd__or4_1
*I *3077:D I *D sky130_fd_sc_hd__nor4_1
*I *3454:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3335:A1 4.78465e-05
2 *3334:A 8.40991e-05
3 *3336:B 0
4 *3077:D 0.000156325
5 *3454:Q 4.90937e-05
6 *728:13 0.000277228
7 *728:8 0.000295275
8 *728:7 0.000187213
9 *3077:D *3077:C 0.000160617
10 *3324:B *3077:D 6.78549e-05
11 *3324:B *728:13 2.29454e-05
12 *3334:B *3334:A 1.61631e-05
13 *3334:B *728:13 0
14 *3335:A2 *3335:A1 0.000153225
15 *3335:A2 *728:7 1.43983e-05
16 *3335:A2 *728:8 5.92192e-05
17 *3335:B1 *3335:A1 0.000146098
18 *3335:B1 *728:8 0.000195139
19 *3336:A *3077:D 3.01683e-06
20 *3336:D *3077:D 0.000111722
21 *398:64 *3077:D 6.50727e-05
22 *507:49 *3077:D 4.5651e-05
23 *601:11 *728:8 0.000144546
24 *601:11 *728:13 0.000149628
25 *603:12 *728:8 0
26 *603:12 *728:13 0
27 *663:5 *3334:A 0.00032843
28 *670:10 *3335:A1 1.2693e-05
29 *670:10 *728:8 1.3807e-05
30 *727:7 *3077:D 0
*RES
1 *3454:Q *728:7 14.4725
2 *728:7 *728:8 4.32351
3 *728:8 *728:13 7.8859
4 *728:13 *3077:D 15.0363
5 *728:13 *3336:B 9.24915
6 *728:8 *3334:A 17.2456
7 *728:7 *3335:A1 16.8269
*END
*D_NET *729 0.00298022
*CONN
*I *3337:B2 I *D sky130_fd_sc_hd__a2bb2o_1
*I *3077:C I *D sky130_fd_sc_hd__nor4_1
*I *3455:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3337:B2 0.000104609
2 *3077:C 0.000441609
3 *3455:Q 0.000264262
4 *729:5 0.00081048
5 *3077:D *3077:C 0.000160617
6 *3331:A *3077:C 0.000413113
7 *3331:A *3337:B2 0.000109033
8 *3331:A *729:5 9.97706e-05
9 *3334:B *3077:C 5.05252e-05
10 *398:64 *3077:C 4.98393e-05
11 *400:7 *3077:C 4.58003e-05
12 *507:49 *3077:C 5.51483e-06
13 *605:14 *3077:C 9.60216e-05
14 *670:10 *3337:B2 0.00026818
15 *727:18 *3077:C 6.08467e-05
*RES
1 *3455:Q *729:5 13.3002
2 *729:5 *3077:C 28.4591
3 *729:5 *3337:B2 22.9879
*END
*D_NET *730 0.00328295
*CONN
*I *3034:A I *D sky130_fd_sc_hd__clkbuf_2
*I *3111:D I *D sky130_fd_sc_hd__or4_2
*I *3112:A3 I *D sky130_fd_sc_hd__o31ai_1
*I *3099:B I *D sky130_fd_sc_hd__and2_1
*I *3387:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3034:A 0.000109698
2 *3111:D 0
3 *3112:A3 0
4 *3099:B 0.000127446
5 *3387:Q 0.000195271
6 *730:32 0.000435053
7 *730:21 0.000441652
8 *730:11 0.000439014
9 *821:DIODE *3099:B 9.95542e-06
10 *3099:A *3099:B 0.000117376
11 *3104:B1 *3099:B 0.000172706
12 *3104:B1 *730:21 2.6046e-05
13 *3111:B *3034:A 0.000122083
14 *3111:B *730:32 9.96342e-05
15 *254:14 *3034:A 0.000114955
16 *254:14 *730:32 0.000186089
17 *367:40 *3099:B 0.00016553
18 *374:8 *730:32 0
19 *424:34 *730:21 0.000198737
20 *424:34 *730:32 0.000133176
21 *493:21 *3034:A 0.000161243
22 *622:138 *730:11 2.7285e-05
23 *714:75 *730:32 0
*RES
1 *3387:Q *730:11 17.8484
2 *730:11 *3099:B 18.6595
3 *730:11 *730:21 3.90826
4 *730:21 *3112:A3 13.7491
5 *730:21 *730:32 8.13406
6 *730:32 *3111:D 13.7491
7 *730:32 *3034:A 17.829
*END
*D_NET *731 0.00215164
*CONN
*I *3249:A1 I *D sky130_fd_sc_hd__mux2_1
*I *2925:A1 I *D sky130_fd_sc_hd__mux2_1
*I *3434:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3249:A1 0.00011451
2 *2925:A1 0.000361709
3 *3434:Q 0.000520509
4 *731:8 0.000996728
5 *2925:A0 *2925:A1 6.50727e-05
6 *2926:A *3249:A1 0
7 *2926:A *731:8 0
8 *2930:A *3249:A1 0
9 *3250:B *3249:A1 0
10 *3434:D *2925:A1 2.16355e-05
11 *3434:D *731:8 7.14746e-05
*RES
1 *3434:Q *731:8 22.2658
2 *731:8 *2925:A1 22.237
3 *731:8 *3249:A1 16.4116
*END
*D_NET *732 0.0143616
*CONN
*I *2986:A I *D sky130_fd_sc_hd__or2_1
*I *2924:A I *D sky130_fd_sc_hd__clkbuf_2
*I *2949:S I *D sky130_fd_sc_hd__mux2_1
*I *2932:A I *D sky130_fd_sc_hd__clkbuf_2
*I *3458:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2986:A 0.000885197
2 *2924:A 2.87603e-05
3 *2949:S 0
4 *2932:A 2.24293e-05
5 *3458:Q 0.000288253
6 *732:50 0.00103621
7 *732:42 0.000414387
8 *732:31 0.000940936
9 *732:14 0.00200354
10 *732:7 0.00166542
11 *801:DIODE *732:14 7.86825e-06
12 *857:DIODE *732:31 6.50586e-05
13 *866:DIODE *732:14 0
14 *2910:A *732:31 1.58844e-06
15 *2931:A *732:42 0
16 *2936:A0 *2932:A 6.36477e-05
17 *2936:A0 *732:31 0.000526209
18 *2949:A0 *732:50 8.41339e-05
19 *2951:A *732:50 6.50586e-05
20 *2961:A *732:14 6.6932e-05
21 *2964:A2 *732:14 0.000277906
22 *2964:C1 *732:14 1.31072e-05
23 *2966:B1 *732:14 0.000322347
24 *2976:B1 *732:14 0.000127194
25 *2978:B1 *732:14 0.000331044
26 *3021:A *732:31 0.000367321
27 *3179:B1 *732:14 6.11074e-05
28 *3179:B1 *732:31 9.15367e-06
29 *3341:A0 *732:31 0.000238734
30 *3370:D *732:14 6.46135e-05
31 *3456:CLK *2986:A 4.23622e-05
32 *3457:CLK *732:50 0.000111358
33 *165:10 *732:14 0.000226593
34 *278:5 *732:31 1.73057e-05
35 *311:21 *732:14 0.000160085
36 *311:39 *732:14 8.58403e-05
37 *312:12 *732:14 7.8406e-05
38 *312:31 *732:14 0.000183778
39 *312:33 *732:14 0.00020728
40 *317:21 *732:14 9.75356e-05
41 *319:23 *732:14 2.59119e-05
42 *319:23 *732:31 3.56464e-05
43 *319:25 *732:14 2.1384e-05
44 *617:19 *732:31 0.000330425
45 *618:36 *2986:A 4.23622e-05
46 *622:61 *732:14 0
47 *640:8 *2924:A 3.63593e-05
48 *640:8 *732:50 3.91944e-05
49 *653:10 *732:14 1.12605e-05
50 *665:85 *732:14 9.85961e-05
51 *666:124 *732:14 1.64477e-05
52 *667:28 *2924:A 8.89319e-06
53 *667:28 *732:42 0.000170572
54 *667:28 *732:50 3.72807e-05
55 *675:16 *2986:A 0.00202895
56 *695:32 *732:50 0.000269633
*RES
1 *3458:Q *732:7 17.8002
2 *732:7 *732:14 46.0319
3 *732:14 *732:31 25.5806
4 *732:31 *2932:A 9.97254
5 *732:31 *732:42 16.6455
6 *732:42 *2949:S 9.24915
7 *732:42 *732:50 10.3119
8 *732:50 *2924:A 14.543
9 *732:50 *2986:A 24.0076
*END
*D_NET *733 0.00710865
*CONN
*I *3072:D I *D sky130_fd_sc_hd__or4_1
*I *3280:A I *D sky130_fd_sc_hd__inv_2
*I *3278:B I *D sky130_fd_sc_hd__nor2_1
*I *3274:B1 I *D sky130_fd_sc_hd__a21o_1
*I *3276:A1 I *D sky130_fd_sc_hd__o211a_1
*I *3442:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3072:D 0.000180433
2 *3280:A 3.8015e-05
3 *3278:B 7.1929e-05
4 *3274:B1 0.000227195
5 *3276:A1 4.34871e-05
6 *3442:Q 0
7 *733:41 0.000623299
8 *733:29 0.00144446
9 *733:7 0.000631751
10 *733:4 0.00132875
11 *870:DIODE *733:29 1.80257e-05
12 *3245:A *733:41 0.000154145
13 *3274:A2 *3274:B1 6.50727e-05
14 *3275:A *3274:B1 0
15 *3276:B1 *3274:B1 0.000382884
16 *3276:B1 *3276:A1 5.21927e-05
17 *3288:A2 *3072:D 4.99469e-05
18 *3292:B *733:41 0.000111722
19 *3292:C_N *3278:B 2.28508e-05
20 *3292:C_N *733:29 5.89555e-05
21 *3496:A *3274:B1 7.39022e-06
22 *3496:A *3276:A1 0.000130777
23 *387:45 *3274:B1 7.66022e-05
24 *413:46 *3072:D 3.04443e-05
25 *413:46 *733:29 0.000171273
26 *540:15 *3278:B 0.000111722
27 *540:15 *733:41 0.000311871
28 *564:34 *3072:D 4.1865e-05
29 *572:6 *3072:D 5.79689e-05
30 *576:17 *733:29 0
31 *585:14 *3278:B 4.79289e-05
32 *585:14 *733:29 0.000199753
33 *622:162 *733:7 5.05976e-05
34 *622:162 *733:29 0.000239657
35 *716:10 *3274:B1 0.000125695
*RES
1 *3442:Q *733:4 9.24915
2 *733:4 *733:7 9.66022
3 *733:7 *3276:A1 15.9964
4 *733:7 *3274:B1 22.8509
5 *733:4 *733:29 21.8875
6 *733:29 *3278:B 16.0286
7 *733:29 *733:41 14.6517
8 *733:41 *3280:A 10.2378
9 *733:41 *3072:D 23.1595
*END
*D_NET *734 0.00323995
*CONN
*I *3072:C I *D sky130_fd_sc_hd__or4_1
*I *3278:A I *D sky130_fd_sc_hd__nor2_1
*I *3282:B1_N I *D sky130_fd_sc_hd__a21boi_1
*I *3443:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3072:C 0.000654772
2 *3278:A 0
3 *3282:B1_N 0.000182124
4 *3443:Q 0
5 *734:15 0.000875201
6 *734:5 0.000402553
7 *3072:C *3072:B 4.80635e-06
8 *3279:A1 *734:15 0
9 *3283:A1 *3282:B1_N 0
10 *3283:A1 *734:15 0
11 *3283:A2 *3282:B1_N 0
12 *3292:B *3072:C 3.00073e-05
13 *3497:A *3072:C 0
14 *3497:A *734:15 0
15 *395:7 *3072:C 8.31819e-05
16 *395:11 *3072:C 2.16355e-05
17 *399:24 *3072:C 0.000311261
18 *413:46 *3072:C 4.01933e-05
19 *493:52 *3282:B1_N 0.000186241
20 *493:52 *734:15 0.000138272
21 *493:67 *734:15 1.91246e-05
22 *564:9 *734:15 7.50872e-05
23 *585:14 *3072:C 1.0662e-05
24 *585:14 *3282:B1_N 2.36003e-05
25 *585:14 *734:15 1.56948e-05
26 *666:15 *3282:B1_N 0.00016553
*RES
1 *3443:Q *734:5 13.7491
2 *734:5 *3282:B1_N 19.9053
3 *734:5 *734:15 5.56926
4 *734:15 *3278:A 13.7491
5 *734:15 *3072:C 26.2257
*END
*D_NET *735 0.00362363
*CONN
*I *3284:A I *D sky130_fd_sc_hd__inv_2
*I *3072:B I *D sky130_fd_sc_hd__or4_1
*I *3444:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3284:A 0.000160566
2 *3072:B 0.000239573
3 *3444:Q 0.000849377
4 *735:7 0.00124952
5 *3072:C *3072:B 4.80635e-06
6 *3295:B1 *3284:A 4.73136e-05
7 *3298:A *3072:B 7.92757e-06
8 *3298:C *3072:B 1.47919e-05
9 *3299:A1 *3284:A 0.000339753
10 *3444:CLK *735:7 2.65831e-05
11 *3444:D *735:7 4.10553e-05
12 *413:46 *3072:B 0.000258208
13 *569:6 *3284:A 9.60366e-05
14 *569:8 *3284:A 0.000264598
15 *587:33 *3284:A 2.352e-05
*RES
1 *3444:Q *735:7 21.6433
2 *735:7 *3072:B 14.9881
3 *735:7 *3284:A 25.8947
*END
*D_NET *736 0.00279348
*CONN
*I *3293:A I *D sky130_fd_sc_hd__inv_2
*I *3072:A I *D sky130_fd_sc_hd__or4_1
*I *3445:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3293:A 0
2 *3072:A 7.41982e-05
3 *3445:Q 0.000865527
4 *736:11 0.000939725
5 *3294:A1 *736:11 2.137e-05
6 *3294:A3 *736:11 6.08467e-05
7 *3295:A2 *736:11 6.23875e-05
8 *3295:B1 *736:11 6.08467e-05
9 *3445:CLK *736:11 6.50727e-05
10 *3445:D *736:11 0.000156508
11 *398:12 *3072:A 5.92342e-05
12 *563:41 *3072:A 4.17631e-05
13 *572:6 *3072:A 0.000167677
14 *576:17 *736:11 6.7671e-06
15 *577:5 *736:11 0.000211559
*RES
1 *3445:Q *736:11 29.0461
2 *736:11 *3072:A 21.3269
3 *736:11 *3293:A 9.24915
*END
*D_NET *737 0.00735915
*CONN
*I *3299:B1_N I *D sky130_fd_sc_hd__a21boi_1
*I *3296:A I *D sky130_fd_sc_hd__nor2_1
*I *3301:B I *D sky130_fd_sc_hd__or4b_1
*I *3307:A2 I *D sky130_fd_sc_hd__o31a_1
*I *3073:C I *D sky130_fd_sc_hd__or3_1
*I *3446:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3299:B1_N 9.77396e-05
2 *3296:A 3.5247e-05
3 *3301:B 0.000331856
4 *3307:A2 0.00038779
5 *3073:C 7.93456e-05
6 *3446:Q 0.000903409
7 *737:18 0.000575881
8 *737:16 0.000520074
9 *737:11 0.00026206
10 *737:9 0.00114849
11 *3073:C *3073:A 6.64392e-05
12 *3307:A2 *3073:A 3.17253e-05
13 *3307:A2 *3307:A1 3.53803e-05
14 *3297:A1 *3073:C 5.05252e-05
15 *3297:A1 *737:18 0.000117007
16 *3297:B1 *3301:B 4.79321e-06
17 *3297:C1 *3301:B 0.000110297
18 *3302:A2 *737:11 0.00030153
19 *3302:A2 *737:16 6.50727e-05
20 *3308:B *3073:C 0.000267462
21 *3308:B *3307:A2 0.000421688
22 *3309:A2 *3073:C 8.10717e-05
23 *3446:CLK *737:9 0.000303822
24 *3446:CLK *737:11 0.000461961
25 *3446:D *737:9 3.18826e-06
26 *3498:A *3073:C 1.03986e-05
27 *3498:A *737:18 1.11594e-05
28 *172:12 *3299:B1_N 0
29 *398:29 *737:11 5.03285e-05
30 *398:29 *737:16 1.43983e-05
31 *412:9 *3301:B 2.65667e-05
32 *412:25 *3301:B 6.75453e-05
33 *413:24 *3299:B1_N 5.19205e-05
34 *413:24 *737:11 0.000205101
35 *436:33 *3301:B 2.16355e-05
36 *436:33 *737:18 5.1493e-06
37 *563:20 *737:16 1.90218e-05
38 *563:20 *737:18 9.0164e-06
39 *670:18 *3299:B1_N 4.3116e-06
40 *671:8 *737:16 8.37979e-05
41 *671:8 *737:18 0.000113197
42 *671:20 *3301:B 1.75155e-06
*RES
1 *3446:Q *737:9 24.0305
2 *737:9 *737:11 7.37864
3 *737:11 *737:16 7.05539
4 *737:16 *737:18 3.493
5 *737:18 *3073:C 17.6924
6 *737:18 *3307:A2 21.3448
7 *737:16 *3301:B 18.9094
8 *737:11 *3296:A 10.2378
9 *737:9 *3299:B1_N 20.9116
*END
*D_NET *738 0.00352856
*CONN
*I *3302:B1_N I *D sky130_fd_sc_hd__a21bo_1
*I *3301:A I *D sky130_fd_sc_hd__or4b_1
*I *3073:B I *D sky130_fd_sc_hd__or3_1
*I *3307:A1 I *D sky130_fd_sc_hd__o31a_1
*I *3447:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3302:B1_N 0.000194237
2 *3301:A 0
3 *3073:B 0.000125017
4 *3307:A1 7.99782e-05
5 *3447:Q 6.57113e-05
6 *738:13 0.000499888
7 *738:8 0.000367364
8 *738:5 0.000332419
9 *3307:A1 *3073:A 6.50586e-05
10 *738:13 *3073:A 4.97617e-05
11 *3296:B *3302:B1_N 0.000171056
12 *3302:A1 *3302:B1_N 2.36743e-05
13 *3302:A2 *3302:B1_N 7.97098e-06
14 *3304:A1 *738:8 9.75356e-05
15 *3304:B1 *3302:B1_N 2.57509e-05
16 *3304:B1 *738:8 0.000145329
17 *3307:A2 *3307:A1 3.53803e-05
18 *3309:A2 *3073:B 0.000218638
19 *3309:A2 *3307:A1 2.69685e-05
20 *3309:A2 *738:13 6.08467e-05
21 *3498:A *3302:B1_N 0
22 *3498:A *3307:A1 0
23 *398:29 *3302:B1_N 0.000430192
24 *398:29 *738:5 0.000164815
25 *436:33 *738:13 0
26 *575:23 *738:13 3.04443e-05
27 *575:32 *738:8 1.07248e-05
28 *575:32 *738:13 0.000204064
29 *585:14 *3307:A1 1.6644e-05
30 *585:14 *738:8 7.61979e-06
31 *671:20 *738:13 7.14746e-05
*RES
1 *3447:Q *738:5 11.0817
2 *738:5 *738:8 7.57775
3 *738:8 *738:13 11.2107
4 *738:13 *3307:A1 20.3893
5 *738:13 *3073:B 11.6364
6 *738:8 *3301:A 13.7491
7 *738:5 *3302:B1_N 25.3441
*END
*D_NET *739 0.00252693
*CONN
*I *3307:B1 I *D sky130_fd_sc_hd__o31a_1
*I *3073:A I *D sky130_fd_sc_hd__or3_1
*I *3310:A1 I *D sky130_fd_sc_hd__o211a_1
*I *3448:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3307:B1 0
2 *3073:A 0.00012045
3 *3310:A1 0.000550222
4 *3448:Q 0
5 *739:25 0.000235246
6 *739:4 0.000665019
7 *3073:C *3073:A 6.64392e-05
8 *3247:B *3310:A1 4.98393e-05
9 *3307:A1 *3073:A 6.50586e-05
10 *3307:A2 *3073:A 3.17253e-05
11 *3308:B *3073:A 6.96206e-05
12 *3309:A2 *3073:A 0.000316224
13 *3310:A2 *3310:A1 7.76697e-06
14 *3448:D *3310:A1 7.50872e-05
15 *399:24 *3310:A1 1.75625e-05
16 *414:17 *3310:A1 9.30857e-05
17 *671:20 *3310:A1 3.4026e-05
18 *671:20 *739:25 7.97944e-05
19 *738:13 *3073:A 4.97617e-05
*RES
1 *3448:Q *739:4 9.24915
2 *739:4 *3310:A1 28.7123
3 *739:4 *739:25 3.78577
4 *739:25 *3073:A 14.9881
5 *739:25 *3307:B1 9.24915
*END
*D_NET *740 0.00274948
*CONN
*I *3074:C I *D sky130_fd_sc_hd__or3_1
*I *3311:A_N I *D sky130_fd_sc_hd__and2b_1
*I *3313:B1_N I *D sky130_fd_sc_hd__a21bo_1
*I *3449:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3074:C 0.000280529
2 *3311:A_N 0
3 *3313:B1_N 0.000155084
4 *3449:Q 7.42718e-05
5 *740:9 0.000349336
6 *740:6 0.000549052
7 *3074:C *3316:A 1.61631e-05
8 *3074:C *742:8 3.46062e-05
9 *3074:C *742:19 7.58884e-05
10 io_wbs_ack_o *3074:C 0.000107981
11 *875:DIODE *740:9 0.000271044
12 *3311:B *3313:B1_N 0.000115615
13 *80:10 *740:6 0.000153225
14 *591:8 *3313:B1_N 0.000222149
15 *668:12 *3074:C 0.000113066
16 *674:8 *3074:C 1.51492e-05
17 *674:8 *740:6 4.90694e-05
18 *679:11 *3074:C 0.000159478
19 *679:11 *740:6 7.77309e-06
*RES
1 *3449:Q *740:6 16.8269
2 *740:6 *740:9 9.66022
3 *740:9 *3313:B1_N 14.4094
4 *740:9 *3311:A_N 9.24915
5 *740:6 *3074:C 23.7791
*END
*D_NET *741 0.000865961
*CONN
*I *3074:B I *D sky130_fd_sc_hd__or3_1
*I *3316:A I *D sky130_fd_sc_hd__inv_2
*I *3450:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3074:B 0
2 *3316:A 0.000183061
3 *3450:Q 0.00015168
4 *741:10 0.000334742
5 *3316:A *3318:A1 0.000139747
6 io_wbs_data_o[18] *741:10 7.08723e-06
7 *3074:C *3316:A 1.61631e-05
8 *674:8 *741:10 3.34802e-05
*RES
1 *3450:Q *741:10 20.9439
2 *741:10 *3316:A 14.8675
3 *741:10 *3074:B 9.24915
*END
*D_NET *742 0.00245695
*CONN
*I *3074:A I *D sky130_fd_sc_hd__or3_1
*I *3318:A1 I *D sky130_fd_sc_hd__o2111a_1
*I *3320:A I *D sky130_fd_sc_hd__inv_2
*I *3451:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3074:A 0
2 *3318:A1 0.000296743
3 *3320:A 0.000226755
4 *3451:Q 0.000187806
5 *742:19 0.000374156
6 *742:8 0.000491975
7 io_wbs_ack_o *742:8 7.50872e-05
8 *3074:C *742:8 3.46062e-05
9 *3074:C *742:19 7.58884e-05
10 *3316:A *3318:A1 0.000139747
11 *595:7 *3318:A1 0.000113002
12 *666:15 *3320:A 0.000122378
13 *674:8 *742:8 0.000153225
14 *674:8 *742:19 0.000165578
*RES
1 *3451:Q *742:8 18.2442
2 *742:8 *3320:A 19.464
3 *742:8 *742:19 7.57775
4 *742:19 *3318:A1 17.2306
5 *742:19 *3074:A 9.24915
*END
*D_NET *743 0.00421575
*CONN
*I *3210:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *3078:A I *D sky130_fd_sc_hd__nand2_1
*I *3204:A I *D sky130_fd_sc_hd__inv_2
*I *3197:A I *D sky130_fd_sc_hd__nor2_1
*I *3089:B1 I *D sky130_fd_sc_hd__a31o_1
*I *3406:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3210:A 2.3665e-05
2 *3078:A 0
3 *3204:A 0
4 *3197:A 0.000156087
5 *3089:B1 0.000753135
6 *3406:Q 4.3182e-05
7 *743:23 0.000174188
8 *743:21 9.9608e-05
9 *743:18 0.000467418
10 *743:5 0.00115856
11 *3078:B *3210:A 6.08467e-05
12 *3078:B *743:21 0.000171273
13 *3083:A_N *743:18 0.000170592
14 *3089:A1 *3089:B1 1.09551e-05
15 *3089:A2 *3089:B1 1.95771e-05
16 *3198:A1 *743:18 0
17 *3205:B1 *3197:A 4.31539e-05
18 *3333:A2 *743:18 1.37925e-05
19 *3406:D *3089:B1 1.87611e-05
20 *399:6 *743:18 6.49002e-05
21 *399:17 *743:18 0.000123173
22 *401:7 *3197:A 0.000111722
23 *401:7 *743:21 6.50727e-05
24 *401:7 *743:23 6.24655e-05
25 *412:9 *3089:B1 4.74108e-05
26 *412:9 *743:5 3.28898e-06
27 *501:8 *3197:A 0.000107496
28 *507:23 *3197:A 1.41853e-05
29 *507:23 *743:21 3.83336e-05
30 *507:23 *743:23 0.000171273
31 *522:93 *743:18 0
32 *635:27 *3197:A 2.16355e-05
*RES
1 *3406:Q *743:5 9.97254
2 *743:5 *3089:B1 20.3894
3 *743:5 *743:18 19.9675
4 *743:18 *743:21 3.52053
5 *743:21 *743:23 1.8326
6 *743:23 *3197:A 14.4576
7 *743:23 *3204:A 9.24915
8 *743:21 *3078:A 9.24915
9 *743:18 *3210:A 9.97254
*END
*D_NET *744 0.00139487
*CONN
*I *2839:A0 I *D sky130_fd_sc_hd__mux2_1
*I *2897:A1 I *D sky130_fd_sc_hd__mux2_1
*I *3348:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2839:A0 0.000232132
2 *2897:A1 0
3 *3348:Q 0.000388576
4 *744:8 0.000620708
5 *2839:S *2839:A0 0
6 *632:47 *2839:A0 9.17188e-05
7 *632:47 *744:8 6.17339e-05
*RES
1 *3348:Q *744:8 20.4627
2 *744:8 *2897:A1 13.7491
3 *744:8 *2839:A0 20.184
*END
*D_NET *745 0.00388992
*CONN
*I *2901:A1 I *D sky130_fd_sc_hd__mux2_1
*I *2843:A0 I *D sky130_fd_sc_hd__mux2_1
*I *3349:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2901:A1 0.000335868
2 *2843:A0 0.000463045
3 *3349:Q 5.6265e-05
4 *745:7 0.000855178
5 *2843:A0 *2850:A0 0.000208915
6 *2901:A1 *2850:A0 0.000325416
7 *2901:A1 *2857:A1 7.92757e-06
8 *2901:A1 *2862:A0 0.000134732
9 *2846:A *2843:A0 0.000171273
10 *2902:A *2901:A1 0.000543025
11 *3399:CLK *2843:A0 0
12 *3399:CLK *2901:A1 0
13 *3399:D *2843:A0 0
14 *3404:CLK *2901:A1 0.000532383
15 *3404:D *2901:A1 0
16 *632:17 *2901:A1 0
17 *666:55 *2901:A1 3.14978e-05
18 *692:11 *745:7 0.000224395
*RES
1 *3349:Q *745:7 16.1364
2 *745:7 *2843:A0 25.73
3 *745:7 *2901:A1 29.3548
*END
*D_NET *746 0.00355627
*CONN
*I *2847:A0 I *D sky130_fd_sc_hd__mux2_1
*I *2904:A1 I *D sky130_fd_sc_hd__mux2_1
*I *3350:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2847:A0 0.000803048
2 *2904:A1 0.000375194
3 *3350:Q 0
4 *746:4 0.00117824
5 *2847:A0 *2851:A1 0.000118128
6 *2847:A0 *2853:A1 0.000134832
7 *2852:A *2847:A0 0.000113968
8 *2854:A0 *2847:A0 0.000165521
9 *2890:D *2904:A1 0.000125695
10 *2904:S *2904:A1 5.04734e-05
11 *268:41 *2847:A0 0.000434572
12 *268:41 *2904:A1 5.65954e-05
*RES
1 *3350:Q *746:4 9.24915
2 *746:4 *2904:A1 25.6807
3 *746:4 *2847:A0 32.7595
*END
*D_NET *747 0.00374354
*CONN
*I *2907:A1 I *D sky130_fd_sc_hd__mux2_1
*I *2850:A0 I *D sky130_fd_sc_hd__mux2_1
*I *3351:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2907:A1 0.00027327
2 *2850:A0 0.00077467
3 *3351:Q 0.000133849
4 *747:8 0.00118179
5 *2850:A0 *2857:A1 2.652e-05
6 *786:DIODE *2907:A1 0.000538632
7 *2843:A0 *2850:A0 0.000208915
8 *2846:A *2850:A0 0
9 *2901:A1 *2850:A0 0.000325416
10 *2902:A *2850:A0 0
11 *2903:A *2850:A0 1.32509e-05
12 *2905:A *2850:A0 6.92705e-05
13 *2909:A *747:8 0
14 *3344:CLK *2850:A0 0
15 *3344:CLK *747:8 0
16 *3349:D *2850:A0 0
17 *3354:D *2850:A0 0.000118485
18 *3404:CLK *2850:A0 0
19 *225:26 *2850:A0 6.50727e-05
20 *632:17 *2850:A0 0
21 *645:60 *2850:A0 0
22 *645:80 *2850:A0 0
23 *665:31 *747:8 1.43983e-05
*RES
1 *3351:Q *747:8 16.3045
2 *747:8 *2850:A0 35.4061
3 *747:8 *2907:A1 21.7065
*END
*D_NET *748 0.00279483
*CONN
*I *2853:A0 I *D sky130_fd_sc_hd__mux2_1
*I *2912:A1 I *D sky130_fd_sc_hd__mux2_1
*I *3352:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2853:A0 0.000823027
2 *2912:A1 0.000290591
3 *3352:Q 0
4 *748:4 0.00111362
5 *2853:A0 *2854:A1 0.000165212
6 *2854:A0 *2853:A0 9.04668e-05
7 *2904:A0 *2853:A0 5.20546e-06
8 *2906:A *2912:A1 5.0459e-05
9 *3352:CLK *2853:A0 5.99527e-05
10 *3352:CLK *2912:A1 0.000103943
11 *260:8 *2912:A1 0
12 *330:8 *2912:A1 9.23542e-05
*RES
1 *3352:Q *748:4 9.24915
2 *748:4 *2912:A1 26.5138
3 *748:4 *2853:A0 37.0246
*END
*D_NET *749 0.00201967
*CONN
*I *2856:A0 I *D sky130_fd_sc_hd__mux2_1
*I *2915:A1 I *D sky130_fd_sc_hd__mux2_1
*I *3353:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2856:A0 0.00044976
2 *2915:A1 0.000339414
3 *3353:Q 0
4 *749:4 0.000789174
5 *2856:A0 *2856:A1 6.64392e-05
6 *2856:S *2856:A0 1.37189e-05
7 *2858:A *2856:A0 0.000121014
8 *2890:B *2856:A0 9.72789e-05
9 *3403:D *2856:A0 6.50586e-05
10 *260:8 *2915:A1 2.12377e-05
11 *645:13 *2915:A1 2.65831e-05
12 *645:25 *2915:A1 2.99929e-05
*RES
1 *3353:Q *749:4 9.24915
2 *749:4 *2915:A1 23.7169
3 *749:4 *2856:A0 19.0632
*END
*D_NET *750 0.00281516
*CONN
*I *2859:A0 I *D sky130_fd_sc_hd__mux2_1
*I *2918:A1 I *D sky130_fd_sc_hd__mux2_1
*I *3354:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2859:A0 0.000967839
2 *2918:A1 0.000142511
3 *3354:Q 0
4 *750:4 0.00111035
5 *789:DIODE *2918:A1 4.36818e-05
6 *2859:S *2859:A0 2.06837e-05
7 *3354:CLK *2859:A0 9.91596e-05
8 *3354:D *2859:A0 5.68237e-06
9 *267:13 *2859:A0 2.41483e-05
10 *267:13 *2918:A1 0.000222229
11 *671:120 *2918:A1 3.60363e-05
12 *685:16 *2859:A0 0.000142842
*RES
1 *3354:Q *750:4 9.24915
2 *750:4 *2918:A1 13.903
3 *750:4 *2859:A0 25.2362
*END
*D_NET *751 0.00723748
*CONN
*I *2862:A0 I *D sky130_fd_sc_hd__mux2_1
*I *2921:A1 I *D sky130_fd_sc_hd__mux2_1
*I *3355:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2862:A0 0.00162782
2 *2921:A1 0.00073742
3 *3355:Q 0
4 *751:4 0.00236524
5 *2862:A0 *2857:A1 1.32665e-05
6 *2862:A0 *2862:A1 0.000118166
7 *2862:A0 *758:7 0.000171288
8 *777:DIODE *2921:A1 3.59433e-06
9 *790:DIODE *2921:A1 0
10 *2863:B *2862:A0 2.42273e-05
11 *2901:A1 *2862:A0 0.000134732
12 *2902:A *2862:A0 0.00119353
13 *2902:A *2921:A1 6.50727e-05
14 *2922:B *2921:A1 0
15 *2923:A *2921:A1 3.67528e-06
16 *3355:CLK *2921:A1 1.3028e-05
17 *3355:D *2921:A1 1.08218e-05
18 *3404:D *2862:A0 3.03605e-05
19 *260:8 *2921:A1 0.000192457
20 *632:7 *2862:A0 0.000512562
21 *666:55 *2921:A1 2.02247e-05
*RES
1 *3355:Q *751:4 9.24915
2 *751:4 *2921:A1 30.23
3 *751:4 *2862:A0 40.9339
*END
*D_NET *752 0.00196835
*CONN
*I *2837:A1 I *D sky130_fd_sc_hd__mux2_1
*I *2839:A1 I *D sky130_fd_sc_hd__mux2_1
*I *3398:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2837:A1 0.000446024
2 *2839:A1 0
3 *3398:Q 0.000333962
4 *752:10 0.000779986
5 *2839:S *2837:A1 0.000198714
6 *2839:S *752:10 2.652e-05
7 *2840:A0 *752:10 0
8 *2841:A *2837:A1 0
9 *3398:CLK *752:10 0.000183145
*RES
1 *3398:Q *752:10 24.2715
2 *752:10 *2839:A1 9.24915
3 *752:10 *2837:A1 28.6971
*END
*D_NET *753 0.00219956
*CONN
*I *2840:A1 I *D sky130_fd_sc_hd__mux2_1
*I *2843:A1 I *D sky130_fd_sc_hd__mux2_1
*I *3399:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2840:A1 0.000153492
2 *2843:A1 0.00064041
3 *3399:Q 0
4 *753:4 0.000793902
5 *2843:S *2843:A1 1.43983e-05
6 *248:40 *2843:A1 0
7 *632:40 *2840:A1 0.000143047
8 *665:25 *2840:A1 0.000143047
9 *665:25 *2843:A1 0
10 *692:11 *2840:A1 0.000311261
*RES
1 *3399:Q *753:4 9.24915
2 *753:4 *2843:A1 28.7055
3 *753:4 *2840:A1 24.4081
*END
*D_NET *754 0.00104247
*CONN
*I *2845:A1 I *D sky130_fd_sc_hd__mux2_1
*I *2847:A1 I *D sky130_fd_sc_hd__mux2_1
*I *3400:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2845:A1 8.47791e-05
2 *2847:A1 0
3 *3400:Q 0.000263441
4 *754:8 0.00034822
5 *2845:A1 *2850:A1 0
6 *754:8 *2850:A1 0
7 *2848:A0 *754:8 0
8 *227:19 *2845:A1 0.000151726
9 *227:19 *754:8 0.000183965
10 *227:21 *754:8 1.03403e-05
*RES
1 *3400:Q *754:8 18.9382
2 *754:8 *2847:A1 13.7491
3 *754:8 *2845:A1 16.4116
*END
*D_NET *755 0.00202725
*CONN
*I *2850:A1 I *D sky130_fd_sc_hd__mux2_1
*I *2848:A1 I *D sky130_fd_sc_hd__mux2_1
*I *3401:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2850:A1 0.00047015
2 *2848:A1 0.000111746
3 *3401:Q 8.16103e-05
4 *755:7 0.000663506
5 *2845:A1 *2850:A1 0
6 *2848:A0 *2848:A1 0.000200236
7 *2848:A0 *2850:A1 7.50872e-05
8 *2848:S *2848:A1 1.2693e-05
9 *2905:A *2850:A1 0.000383703
10 *227:21 *755:7 2.85139e-05
11 *754:8 *2850:A1 0
*RES
1 *3401:Q *755:7 15.0271
2 *755:7 *2848:A1 17.6574
3 *755:7 *2850:A1 22.5389
*END
*D_NET *756 0.00198857
*CONN
*I *2851:A1 I *D sky130_fd_sc_hd__mux2_1
*I *2853:A1 I *D sky130_fd_sc_hd__mux2_1
*I *3402:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2851:A1 2.63203e-05
2 *2853:A1 5.58673e-05
3 *3402:Q 0.000235718
4 *756:10 0.000317906
5 *2847:A0 *2851:A1 0.000118128
6 *2847:A0 *2853:A1 0.000134832
7 *2851:S *756:10 0
8 *2852:A *756:10 0.000137272
9 *3402:CLK *756:10 0.000174892
10 *268:41 *2851:A1 0.000277502
11 *268:41 *2853:A1 0.000313481
12 *645:60 *756:10 0.000196653
*RES
1 *3402:Q *756:10 26.6181
2 *756:10 *2853:A1 12.7456
3 *756:10 *2851:A1 12.191
*END
*D_NET *757 0.00190353
*CONN
*I *2856:A1 I *D sky130_fd_sc_hd__mux2_1
*I *2854:A1 I *D sky130_fd_sc_hd__mux2_1
*I *3403:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2856:A1 0.00015897
2 *2854:A1 0.000346891
3 *3403:Q 0
4 *757:5 0.000505861
5 *2853:A0 *2854:A1 0.000165212
6 *2853:S *2854:A1 0.000219701
7 *2854:A0 *2854:A1 2.24424e-05
8 *2856:A0 *2856:A1 6.64392e-05
9 *2856:S *2854:A1 0.000120052
10 *2856:S *2856:A1 0.000297957
11 *2890:A *2854:A1 0
12 *3402:CLK *2854:A1 0
13 *3402:D *2854:A1 0
14 *645:60 *2854:A1 0
15 *670:28 *2854:A1 0
16 *670:28 *2856:A1 0
*RES
1 *3403:Q *757:5 13.7491
2 *757:5 *2854:A1 24.1943
3 *757:5 *2856:A1 19.49
*END
*D_NET *758 0.00383488
*CONN
*I *2859:A1 I *D sky130_fd_sc_hd__mux2_1
*I *2857:A1 I *D sky130_fd_sc_hd__mux2_1
*I *3404:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2859:A1 0.000104119
2 *2857:A1 0.00102166
3 *3404:Q 2.90404e-05
4 *758:7 0.00115482
5 *784:DIODE *2857:A1 0.000536595
6 *2842:A *2857:A1 0.00010623
7 *2842:A *2859:A1 0.000135905
8 *2850:A0 *2857:A1 2.652e-05
9 *2857:S *2857:A1 1.41291e-05
10 *2862:A0 *2857:A1 1.32665e-05
11 *2862:A0 *758:7 0.000171288
12 *2901:A1 *2857:A1 7.92757e-06
13 *2903:A *2857:A1 9.43043e-05
14 *3404:CLK *2857:A1 4.58003e-05
15 *3404:D *2857:A1 0.000176469
16 *220:19 *2857:A1 0
17 *220:19 *2859:A1 0
18 *632:7 *2857:A1 0.000154145
19 *632:7 *758:7 4.26566e-05
*RES
1 *3404:Q *758:7 15.5817
2 *758:7 *2857:A1 41.3098
3 *758:7 *2859:A1 16.4116
*END
*D_NET *759 0.00177798
*CONN
*I *2860:A1 I *D sky130_fd_sc_hd__mux2_1
*I *2862:A1 I *D sky130_fd_sc_hd__mux2_1
*I *3405:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2860:A1 0.000437274
2 *2862:A1 0.000109527
3 *3405:Q 0
4 *759:5 0.000546801
5 *2860:S *2860:A1 8.50305e-05
6 *2862:A0 *2862:A1 0.000118166
7 *2863:B *2860:A1 0.000174175
8 *2863:B *2862:A1 0.000127716
9 *220:19 *2860:A1 7.06329e-05
10 *220:19 *2862:A1 6.66393e-05
11 *248:40 *2862:A1 2.04806e-05
12 *632:7 *2862:A1 2.15348e-05
*RES
1 *3405:Q *759:5 13.7491
2 *759:5 *2862:A1 18.2442
3 *759:5 *2860:A1 22.5417
*END
*D_NET *760 0.000992555
*CONN
*I *3069:A1 I *D sky130_fd_sc_hd__mux2_1
*I *2828:A I *D sky130_fd_sc_hd__and2_1
*I *3397:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3069:A1 0.000234642
2 *2828:A 0.000167917
3 *3397:Q 0
4 *760:5 0.000402559
5 *2828:B *2828:A 1.61631e-05
6 *3069:A0 *3069:A1 0.000171273
*RES
1 *3397:Q *760:5 13.7491
2 *760:5 *2828:A 17.8531
3 *760:5 *3069:A1 18.6595
*END
*D_NET *761 0.00479275
*CONN
*I *2825:A I *D sky130_fd_sc_hd__nor4_1
*I *2881:A I *D sky130_fd_sc_hd__or3_1
*I *2868:A I *D sky130_fd_sc_hd__or3b_1
*I *2877:A I *D sky130_fd_sc_hd__or4b_1
*I *2865:A I *D sky130_fd_sc_hd__inv_2
*I *3344:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2825:A 5.85414e-05
2 *2881:A 0
3 *2868:A 0
4 *2877:A 0.000131952
5 *2865:A 1.27633e-05
6 *3344:Q 0.000125144
7 *761:46 0.000240806
8 *761:19 0.000428383
9 *761:10 0.000283668
10 *761:8 0.000307409
11 *2825:A *2825:B 0.000293465
12 *2877:A *762:32 4.01573e-05
13 *761:19 *2826:A 3.51249e-05
14 *761:19 *762:32 0
15 *761:46 *2825:B 6.08467e-05
16 *761:46 *762:13 1.65872e-05
17 *839:DIODE *761:19 9.94268e-06
18 *2826:B *761:8 4.3116e-06
19 *2826:B *761:19 0.000171273
20 *2826:B *761:46 3.80436e-07
21 *2827:A *761:19 6.24655e-05
22 *2868:B *761:8 0.000118485
23 *2868:B *761:19 7.50443e-05
24 *2873:A3 *761:46 7.09666e-06
25 *2876:A2 *761:19 0
26 *2876:B2 *761:19 1.07248e-05
27 *2877:C *2877:A 0
28 *2879:A *2877:A 0
29 *2881:C *2825:A 6.92705e-05
30 *2881:C *761:46 6.50727e-05
31 *2882:B *2825:A 0.000114594
32 *3344:D *761:19 0.000125695
33 *3345:CLK *761:19 0.000423936
34 *3345:CLK *761:46 0.000118938
35 *211:8 *761:19 7.97944e-05
36 *219:21 *761:8 5.47736e-05
37 *219:21 *761:46 9.94501e-05
38 *241:7 *2865:A 0.000175485
39 *241:7 *761:19 0.000365308
40 *242:32 *2877:A 0
41 *242:32 *761:19 1.70077e-05
42 *622:106 *2865:A 0.000171273
43 *622:106 *761:19 0.00011818
44 *622:116 *761:19 3.34025e-05
45 *628:7 *2825:A 4.73434e-05
46 *628:7 *761:19 0.000175485
47 *628:7 *761:46 1.65872e-05
48 *665:31 *761:8 2.65831e-05
*RES
1 *3344:Q *761:8 17.135
2 *761:8 *761:10 4.5
3 *761:10 *761:19 22.9916
4 *761:19 *2865:A 11.0817
5 *761:19 *2877:A 21.3269
6 *761:10 *2868:A 9.24915
7 *761:8 *761:46 9.75954
8 *761:46 *2881:A 9.24915
9 *761:46 *2825:A 12.7456
*END
*D_NET *762 0.00427482
*CONN
*I *2876:C1 I *D sky130_fd_sc_hd__a221o_1
*I *2877:D_N I *D sky130_fd_sc_hd__or4b_1
*I *2825:B I *D sky130_fd_sc_hd__nor4_1
*I *2881:B I *D sky130_fd_sc_hd__or3_1
*I *3345:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2876:C1 0.00013748
2 *2877:D_N 0
3 *2825:B 0.000174508
4 *2881:B 0
5 *3345:Q 7.61668e-05
6 *762:32 0.000344632
7 *762:13 0.000693947
8 *762:6 0.000802757
9 *2876:C1 *765:15 8.30064e-05
10 *762:32 *765:15 0.000129135
11 *839:DIODE *762:6 2.56676e-05
12 *839:DIODE *762:32 2.33103e-06
13 *2825:A *2825:B 0.000293465
14 *2834:A *2876:C1 1.03434e-05
15 *2873:A3 *762:13 4.0752e-05
16 *2876:A2 *2876:C1 8.83832e-05
17 *2876:B1 *2876:C1 3.81056e-05
18 *2876:B2 *2876:C1 9.58297e-05
19 *2876:B2 *762:32 0
20 *2877:A *762:32 4.01573e-05
21 *2877:B *2876:C1 0.00014144
22 *2877:C *762:32 6.50727e-05
23 *2878:B *2876:C1 0.000175485
24 *2878:B *762:32 9.82896e-06
25 *2882:B *2825:B 2.29454e-05
26 *2883:B *762:13 0.000315461
27 *241:7 *762:13 0.000317721
28 *255:7 *2825:B 6.08467e-05
29 *628:7 *762:13 1.19207e-05
30 *761:19 *762:32 0
31 *761:46 *2825:B 6.08467e-05
32 *761:46 *762:13 1.65872e-05
*RES
1 *3345:Q *762:6 15.5811
2 *762:6 *762:13 14.8446
3 *762:13 *2881:B 9.24915
4 *762:13 *2825:B 14.4335
5 *762:6 *762:32 9.84972
6 *762:32 *2877:D_N 9.24915
7 *762:32 *2876:C1 16.1214
*END
*D_NET *763 0.00216776
*CONN
*I *2882:A I *D sky130_fd_sc_hd__xor2_1
*I *2825:D I *D sky130_fd_sc_hd__nor4_1
*I *2884:A1 I *D sky130_fd_sc_hd__o21ai_1
*I *3346:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2882:A 0
2 *2825:D 0.000171318
3 *2884:A1 0.000225717
4 *3346:Q 0.000174592
5 *763:20 0.000266687
6 *763:5 0.000495679
7 *2882:B *2825:D 0.000457655
8 *2883:C *763:20 1.44467e-05
9 *3346:CLK *2884:A1 6.73186e-05
10 *3346:CLK *763:5 7.06457e-05
11 *3346:D *763:20 0
12 *210:8 *2825:D 1.65872e-05
13 *258:8 *763:20 6.31665e-05
14 *259:11 *2884:A1 3.6455e-05
15 *628:7 *2825:D 0.000107496
*RES
1 *3346:Q *763:5 12.191
2 *763:5 *2884:A1 14.8675
3 *763:5 *763:20 11.6625
4 *763:20 *2825:D 14.964
5 *763:20 *2882:A 9.24915
*END
*D_NET *764 0.00263844
*CONN
*I *2825:C I *D sky130_fd_sc_hd__nor4_1
*I *2884:B1 I *D sky130_fd_sc_hd__o21ai_1
*I *3347:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2825:C 0.00037266
2 *2884:B1 0.00018721
3 *3347:Q 0.000169028
4 *764:5 0.000728898
5 *2882:B *2825:C 9.96899e-05
6 *2884:A2 *2884:B1 6.08467e-05
7 *2887:A1 *2825:C 0.000191541
8 *3070:A *764:5 6.50727e-05
9 *3347:CLK *2825:C 3.12316e-05
10 *3347:CLK *2884:B1 0.000162583
11 *3347:CLK *764:5 0.00015511
12 *3347:D *2884:B1 0.000143032
13 *3493:A *2884:B1 0.000139435
14 *212:33 *2825:C 7.14746e-05
15 *220:13 *764:5 2.52287e-06
16 *259:11 *2884:B1 2.16355e-05
17 *633:6 *2825:C 3.64684e-05
*RES
1 *3347:Q *764:5 12.191
2 *764:5 *2884:B1 23.4676
3 *764:5 *2825:C 26.4843
*END
*D_NET *765 0.00301661
*CONN
*I *2876:A1 I *D sky130_fd_sc_hd__a221o_1
*I *2826:A I *D sky130_fd_sc_hd__nand2_1
*I *3372:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2876:A1 0
2 *2826:A 0.000232289
3 *3372:Q 0.000481455
4 *765:15 0.000713744
5 *2826:B *2826:A 0
6 *2873:B1 *2826:A 0.000141533
7 *2876:A2 *765:15 9.48415e-05
8 *2876:B2 *2826:A 1.09738e-05
9 *2876:B2 *765:15 0.000107496
10 *2876:C1 *765:15 8.30064e-05
11 *2877:C *765:15 2.15184e-05
12 *2878:A *765:15 0.000164829
13 *2878:B *765:15 0.000448044
14 *2878:C *765:15 0.000130035
15 *2879:A *765:15 1.50126e-05
16 *3344:D *2826:A 0
17 *242:32 *2826:A 0.00018643
18 *248:29 *2826:A 2.11397e-05
19 *761:19 *2826:A 3.51249e-05
20 *762:32 *765:15 0.000129135
*RES
1 *3372:Q *765:15 25.0674
2 *765:15 *2826:A 25.3817
3 *765:15 *2876:A1 9.24915
*END
*D_NET *766 0.00448001
*CONN
*I *3101:B I *D sky130_fd_sc_hd__or3_1
*I *3093:A I *D sky130_fd_sc_hd__nand2_1
*I *2831:D I *D sky130_fd_sc_hd__or4_1
*I *3095:C1 I *D sky130_fd_sc_hd__a211o_1
*I *3097:A1 I *D sky130_fd_sc_hd__o21a_1
*I *3407:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3101:B 0.000477698
2 *3093:A 8.70432e-05
3 *2831:D 0.000125297
4 *3095:C1 0
5 *3097:A1 0.000106035
6 *3407:Q 0
7 *766:42 0.000680447
8 *766:15 0.000534939
9 *766:5 0.000601298
10 *766:4 0.000162803
11 *2831:D *2831:B 7.50722e-05
12 *2831:D *767:21 0.000105372
13 *766:15 *767:21 3.61993e-05
14 *806:DIODE *2831:D 1.38872e-05
15 *806:DIODE *3093:A 0
16 *806:DIODE *766:15 2.7961e-05
17 *806:DIODE *766:42 0
18 *2866:A *3101:B 5.0715e-05
19 *3095:A1 *766:15 0.000102028
20 *3095:A2 *3097:A1 0
21 *3095:B1 *3097:A1 1.07248e-05
22 *3096:A2 *766:15 0
23 *3097:A2 *2831:D 1.25301e-05
24 *3119:A *2831:D 5.04829e-06
25 *3120:C *2831:D 3.00073e-05
26 *3120:C *766:42 0
27 *3125:A1 *3101:B 0.000162495
28 *216:9 *3101:B 7.50872e-05
29 *242:11 *3101:B 1.90042e-05
30 *242:18 *3093:A 8.78597e-05
31 *242:18 *766:42 0.000118237
32 *243:36 *3101:B 2.25226e-05
33 *250:21 *3101:B 8.62625e-06
34 *259:37 *3093:A 0
35 *259:37 *766:15 4.28856e-07
36 *259:48 *3097:A1 0.000166166
37 *436:15 *2831:D 8.62625e-06
38 *457:19 *766:15 2.15184e-05
39 *457:31 *3101:B 0.000217937
40 *457:31 *766:15 0.000326398
*RES
1 *3407:Q *766:4 9.24915
2 *766:4 *766:5 0.723396
3 *766:5 *766:15 19.3734
4 *766:15 *3097:A1 21.3269
5 *766:15 *3095:C1 9.24915
6 *766:5 *2831:D 22.1233
7 *766:4 *766:42 7.47064
8 *766:42 *3093:A 15.9964
9 *766:42 *3101:B 32.1258
*END
*D_NET *767 0.00347851
*CONN
*I *3101:A I *D sky130_fd_sc_hd__or3_1
*I *2831:C I *D sky130_fd_sc_hd__or4_1
*I *3097:B1 I *D sky130_fd_sc_hd__o21a_1
*I *3408:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3101:A 0.0001149
2 *2831:C 0
3 *3097:B1 7.50216e-05
4 *3408:Q 9.18837e-05
5 *767:21 0.000613731
6 *767:5 0.000665737
7 *3101:A *2831:A 9.78978e-05
8 *2831:D *767:21 0.000105372
9 *3095:A1 *767:21 0.000217937
10 *3104:A2 *3097:B1 6.08467e-05
11 *3119:A *767:21 0.000100366
12 *254:74 *3097:B1 6.08467e-05
13 *259:48 *3097:B1 0.000112148
14 *436:15 *767:21 6.92705e-05
15 *457:19 *767:5 6.14429e-06
16 *457:19 *767:21 3.93679e-06
17 *457:31 *3101:A 0.000465078
18 *457:31 *767:21 0.000469043
19 *522:55 *3097:B1 0.000112148
20 *766:15 *767:21 3.61993e-05
*RES
1 *3408:Q *767:5 10.5271
2 *767:5 *3097:B1 21.2198
3 *767:5 *767:21 12.2736
4 *767:21 *2831:C 9.24915
5 *767:21 *3101:A 14.4094
*END
*D_NET *768 0.00199632
*CONN
*I *3107:A I *D sky130_fd_sc_hd__or2_1
*I *2831:B I *D sky130_fd_sc_hd__or4_1
*I *3105:A I *D sky130_fd_sc_hd__nand2_1
*I *3409:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3107:A 4.32167e-05
2 *2831:B 0.000325949
3 *3105:A 4.38513e-05
4 *3409:Q 6.11094e-05
5 *768:19 0.000467925
6 *768:8 0.00020372
7 *2831:D *2831:B 7.50722e-05
8 *3095:A1 *768:8 2.55493e-05
9 *3097:A2 *2831:B 0.000104576
10 *3102:A2 *2831:B 3.94365e-05
11 *3105:B *3105:A 4.80635e-06
12 *3105:B *3107:A 0.000167076
13 *3105:B *768:19 6.52872e-05
14 *3107:B *768:19 0
15 *3109:A1 *3105:A 1.61631e-05
16 *3119:A *2831:B 6.51637e-05
17 *427:10 *3107:A 2.15348e-05
18 *427:10 *768:19 1.61631e-05
19 *436:15 *2831:B 0.00012175
20 *436:15 *768:8 4.27148e-05
21 *456:131 *3105:A 1.31657e-05
22 *456:131 *768:19 7.02172e-06
23 *457:31 *2831:B 6.50727e-05
*RES
1 *3409:Q *768:8 20.0811
2 *768:8 *3105:A 10.5513
3 *768:8 *768:19 2.96592
4 *768:19 *2831:B 27.0333
5 *768:19 *3107:A 11.0817
*END
*D_NET *769 0.00221437
*CONN
*I *3114:C1 I *D sky130_fd_sc_hd__a211o_1
*I *2831:A I *D sky130_fd_sc_hd__or4_1
*I *3110:A I *D sky130_fd_sc_hd__nand2_1
*I *3410:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3114:C1 2.19858e-05
2 *2831:A 0.000355295
3 *3110:A 0.000155024
4 *3410:Q 0
5 *769:20 0.000674616
6 *769:4 0.000452359
7 *3094:A *2831:A 9.57203e-05
8 *3101:A *2831:A 9.78978e-05
9 *3102:A2 *2831:A 3.9739e-05
10 *3102:A2 *769:20 0
11 *3108:B1 *3110:A 1.07974e-05
12 *3125:A1 *2831:A 6.91981e-05
13 *3410:D *769:20 3.26189e-05
14 *243:36 *2831:A 4.86886e-05
15 *243:53 *2831:A 1.87469e-05
16 *248:29 *2831:A 0
17 *248:29 *769:20 0
18 *416:9 *2831:A 2.69064e-05
19 *416:9 *3114:C1 6.50586e-05
20 *422:22 *2831:A 4.97193e-05
*RES
1 *3410:Q *769:4 9.24915
2 *769:4 *3110:A 12.625
3 *769:4 *769:20 10.8518
4 *769:20 *2831:A 24.5078
5 *769:20 *3114:C1 14.4725
*END
*D_NET *770 0.0041389
*CONN
*I *2833:A I *D sky130_fd_sc_hd__or4_2
*I *3117:A I *D sky130_fd_sc_hd__or2_1
*I *3126:B I *D sky130_fd_sc_hd__or4_1
*I *3116:B1 I *D sky130_fd_sc_hd__o21ai_1
*I *3411:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2833:A 0.000246113
2 *3117:A 0.000319079
3 *3126:B 0
4 *3116:B1 0.000150441
5 *3411:Q 0.000315323
6 *770:19 0.000319079
7 *770:17 0.00029574
8 *770:8 0.000515392
9 *3117:A *3126:A 4.85765e-05
10 *2833:B *2833:A 2.41274e-06
11 *2833:C *2833:A 2.65667e-05
12 *3120:A *3116:B1 0.000118351
13 *3120:B *3116:B1 0.000217873
14 *3122:A2 *3116:B1 2.65667e-05
15 *3122:A2 *3117:A 1.92172e-05
16 *3126:C *2833:A 2.04806e-05
17 *3126:C *770:8 5.64761e-05
18 *3126:C *770:17 5.22071e-05
19 *3126:D *3117:A 7.92757e-06
20 *3411:D *3117:A 0.000165521
21 *216:17 *3117:A 2.142e-05
22 *216:24 *3117:A 0.00039542
23 *221:47 *2833:A 2.72214e-05
24 *254:100 *2833:A 0.00018456
25 *254:100 *770:8 0.00013689
26 *254:100 *770:17 0.000127194
27 *457:31 *3116:B1 0.000272271
28 *633:78 *770:8 5.05841e-05
*RES
1 *3411:Q *770:8 19.9081
2 *770:8 *3116:B1 20.0186
3 *770:8 *770:17 2.24725
4 *770:17 *770:19 4.5
5 *770:19 *3126:B 9.24915
6 *770:19 *3117:A 19.0873
7 *770:17 *2833:A 20.2322
*END
*D_NET *771 0.0037127
*CONN
*I *2832:C I *D sky130_fd_sc_hd__or3_1
*I *3125:B1 I *D sky130_fd_sc_hd__o21ai_1
*I *3126:A I *D sky130_fd_sc_hd__or4_1
*I *3412:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2832:C 9.07325e-05
2 *3125:B1 0.000503713
3 *3126:A 0.000569914
4 *3412:Q 0
5 *771:8 0.00128282
6 *771:4 0.000299924
7 *2833:C *3126:A 0
8 *3117:A *3126:A 4.85765e-05
9 *3122:A1 *3126:A 0.000164044
10 *3122:A2 *3126:A 2.15184e-05
11 *3122:B1 *3126:A 0.000100777
12 *3122:B1 *771:8 2.68066e-05
13 *3126:D *3126:A 0.000113968
14 *3128:B2 *3126:A 0
15 *216:24 *3126:A 9.68716e-06
16 *254:74 *3125:B1 0.000180135
17 *254:82 *3125:B1 2.56976e-05
18 *254:92 *3125:B1 6.50586e-05
19 *456:136 *2832:C 0.000174074
20 *456:136 *771:8 3.52622e-05
*RES
1 *3412:Q *771:4 9.24915
2 *771:4 *771:8 8.30395
3 *771:8 *3126:A 25.0182
4 *771:8 *3125:B1 22.1164
5 *771:4 *2832:C 12.4803
*END
*D_NET *772 0.0020961
*CONN
*I *3129:A I *D sky130_fd_sc_hd__clkbuf_1
*I *2832:B I *D sky130_fd_sc_hd__or3_1
*I *3413:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3129:A 6.52072e-05
2 *2832:B 0.000236945
3 *3413:Q 9.71101e-05
4 *772:7 0.000399263
5 *2832:B *2832:A 8.65358e-05
6 *3127:A *2832:B 0
7 *3139:A1 *772:7 5.04829e-06
8 *254:115 *2832:B 0.000357135
9 *254:115 *3129:A 0.000240104
10 *258:26 *2832:B 0.000353522
11 *258:26 *3129:A 0.000247246
12 *636:27 *772:7 7.98171e-06
*RES
1 *3413:Q *772:7 15.0271
2 *772:7 *2832:B 23.1148
3 *772:7 *3129:A 18.0727
*END
*D_NET *773 0.00428653
*CONN
*I *3137:B1 I *D sky130_fd_sc_hd__o21ai_1
*I *2832:A I *D sky130_fd_sc_hd__or3_1
*I *3145:B I *D sky130_fd_sc_hd__or4_1
*I *3138:A I *D sky130_fd_sc_hd__or3_1
*I *3414:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *3137:B1 0
2 *2832:A 0.000153125
3 *3145:B 7.0271e-05
4 *3138:A 4.58003e-05
5 *3414:Q 0.000316945
6 *773:24 0.000349576
7 *773:13 0.000390407
8 *773:9 0.000535372
9 *3145:B *3141:A 0
10 *773:24 *3141:A 0
11 *2832:B *2832:A 8.65358e-05
12 *3127:A *2832:A 0.000127271
13 *3127:A *3145:B 4.27003e-05
14 *3137:A1 *3138:A 2.01874e-05
15 *3137:A1 *773:13 0.000332945
16 *3137:A2 *3138:A 0.000235336
17 *3137:A2 *773:13 0.0004261
18 *3138:C *3138:A 1.16726e-05
19 *3139:B1 *773:13 1.00937e-05
20 *3141:B *773:13 7.24449e-05
21 *3143:B1 *773:13 6.50586e-05
22 *3145:C *3145:B 0
23 *3415:CLK *3145:B 0.000130777
24 *444:8 *3145:B 0
25 *444:8 *773:24 0
26 *453:7 *3138:A 5.0715e-05
27 *453:7 *773:13 2.65831e-05
28 *456:136 *2832:A 0.000659414
29 *674:8 *773:9 0
30 *679:11 *773:9 0.000127194
*RES
1 *3414:Q *773:9 26.2112
2 *773:9 *773:13 9.47649
3 *773:13 *3138:A 12.2151
4 *773:13 *773:24 7.1625
5 *773:24 *3145:B 16.4116
6 *773:24 *2832:A 21.1278
7 *773:9 *3137:B1 9.24915
*END
*D_NET *774 0.00267432
*CONN
*I *2830:B I *D sky130_fd_sc_hd__or2_1
*I *3141:A I *D sky130_fd_sc_hd__xnor2_1
*I *3145:A I *D sky130_fd_sc_hd__or4_1
*I *3415:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2830:B 0
2 *3141:A 0.000285934
3 *3145:A 7.56331e-05
4 *3415:Q 0.000125009
5 *774:17 0.000772249
6 *774:9 0.00053569
7 *837:DIODE *3141:A 1.77537e-06
8 *883:DIODE *774:9 0.00015324
9 *2833:B *3145:A 9.40969e-05
10 *2833:B *774:17 8.92922e-05
11 *3137:A2 *3141:A 1.36691e-05
12 *3143:A1 *774:17 2.48219e-05
13 *3143:B1 *3141:A 0
14 *3145:B *3141:A 0
15 *3415:CLK *3141:A 0
16 *215:5 *774:17 0.000283432
17 *456:136 *3141:A 6.62407e-05
18 *679:11 *774:9 0.00015324
19 *773:24 *3141:A 0
*RES
1 *3415:Q *774:9 22.7442
2 *774:9 *774:17 10.9233
3 *774:17 *3145:A 11.6364
4 *774:17 *3141:A 25.0642
5 *774:9 *2830:B 9.24915
*END
*D_NET *775 0.0023298
*CONN
*I *2830:A I *D sky130_fd_sc_hd__or2_1
*I *3146:B2 I *D sky130_fd_sc_hd__a22o_1
*I *3416:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *2830:A 9.13846e-05
2 *3146:B2 0.000233567
3 *3416:Q 0.000474205
4 *775:8 0.000799157
5 *837:DIODE *3146:B2 0.000381471
6 *883:DIODE *2830:A 0
7 *883:DIODE *775:8 0
8 *3146:A2 *2830:A 0
9 *3146:A2 *3146:B2 0.000139747
10 *3146:A2 *775:8 0
11 *3148:A *775:8 0
12 *3395:D *775:8 0
13 *3416:D *775:8 0
14 *89:8 *775:8 0
15 *674:8 *2830:A 8.71534e-05
16 *676:8 *3146:B2 0.000123118
17 *679:11 *775:8 0
*RES
1 *3416:Q *775:8 23.0907
2 *775:8 *3146:B2 22.8157
3 *775:8 *2830:A 15.9964
*END