Connected VDD and GND to vccd1 and vssd1 manually
diff --git a/def/user_project_wrapper.def b/def/user_project_wrapper.def
index db5b6fe..85029d7 100644
--- a/def/user_project_wrapper.def
+++ b/def/user_project_wrapper.def
@@ -1308,7 +1308,7 @@
     - via4_3100x3100 + VIARULE M4M5_PR + CUTSIZE 800 800  + LAYERS met4 via4 met5  + CUTSPACING 800 800  + ENCLOSURE 350 350 350 350  + ROWCOL 2 2  ;
 END VIAS
 COMPONENTS 1 ;
-    - u_flash_array_8x8 flash_array_8x8 + FIXED ( 1227185 2200000 ) N ;
+    - u_flash_array_8x8 flash_array_8x8 + FIXED ( 1230285 2200000 ) N ;
 END COMPONENTS
 PINS 645 ;
     - analog_io[0] + NET analog_io[0] + DIRECTION INOUT + USE SIGNAL
@@ -4207,8 +4207,8 @@
 END BLOCKAGES
 SPECIALNETS 8 ;
     - vccd1 ( PIN vccd1 ) + USE POWER
-      + ROUTED met4 0 + SHAPE STRIPE ( 1267420 2535880 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 1267420 2355880 ) via4_3100x3100
+      + ROUTED met4 0 + SHAPE STRIPE ( 1270520 2535880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 1270520 2355880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2928100 3522800 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2890520 3522800 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2710520 3522800 ) via4_3100x3100
@@ -7679,199 +7679,208 @@
     - analog_io[0] ( PIN analog_io[0] ) ( u_flash_array_8x8 BL[0] ) + USE SIGNAL
       + ROUTED met2 ( 2900990 1426980 ) ( * 1428170 )
       NEW met3 ( 2900990 1426980 ) ( 2917780 * 0 )
-      NEW met1 ( 1298810 1428170 ) ( 2900990 * )
-      NEW met3 ( 1298810 2176340 ) ( 1302730 * )
-      NEW met2 ( 1302730 2176340 ) ( * 2177700 0 )
-      NEW met2 ( 1298810 1428170 ) ( * 2176340 )
+      NEW met1 ( 1308930 1428170 ) ( 2900990 * )
+      NEW met1 ( 1305250 2156450 ) ( 1308930 * )
+      NEW met2 ( 1308930 1428170 ) ( * 2156450 )
+      NEW met2 ( 1305250 2174300 ) ( 1305830 * )
+      NEW met2 ( 1305830 2174300 ) ( * 2175490 )
+      NEW met2 ( 1305710 2175490 ) ( 1305830 * )
+      NEW met2 ( 1305710 2175490 ) ( * 2176170 )
+      NEW met2 ( 1305710 2176170 ) ( 1305830 * )
+      NEW met2 ( 1305830 2176170 ) ( * 2177700 0 )
+      NEW met2 ( 1305250 2156450 ) ( * 2174300 )
       NEW met1 ( 2900990 1428170 ) M1M2_PR
       NEW met2 ( 2900990 1426980 ) M2M3_PR_M
-      NEW met1 ( 1298810 1428170 ) M1M2_PR
-      NEW met2 ( 1298810 2176340 ) M2M3_PR_M
-      NEW met2 ( 1302730 2176340 ) M2M3_PR_M ;
+      NEW met1 ( 1308930 1428170 ) M1M2_PR
+      NEW met1 ( 1308930 2156450 ) M1M2_PR
+      NEW met1 ( 1305250 2156450 ) M1M2_PR ;
     - analog_io[10] ( PIN analog_io[10] ) ( u_flash_array_8x8 GSL[0] ) + USE SIGNAL
       + ROUTED met2 ( 2230770 3502170 ) ( * 3517980 0 )
-      NEW met1 ( 1301570 3502170 ) ( 2230770 * )
-      NEW met2 ( 1301570 2235600 ) ( * 3502170 )
-      NEW met2 ( 1301570 2235600 ) ( 1302030 * )
-      NEW met2 ( 1302030 2195550 ) ( * 2235600 )
-      NEW met1 ( 1301885 2195550 ) ( 1302030 * )
-      NEW li1 ( 1301885 2194870 0 ) ( * 2195550 )
+      NEW met1 ( 1309390 3502170 ) ( 2230770 * )
+      NEW met1 ( 1305710 2226150 ) ( 1309390 * )
+      NEW met2 ( 1305710 2198100 ) ( * 2226150 )
+      NEW met2 ( 1305250 2198100 ) ( 1305710 * )
+      NEW met2 ( 1305250 2195550 ) ( * 2198100 )
+      NEW met1 ( 1305065 2195550 ) ( 1305250 * )
+      NEW li1 ( 1305065 2194870 0 ) ( * 2195550 )
+      NEW met2 ( 1309390 2226150 ) ( * 3502170 )
       NEW met1 ( 2230770 3502170 ) M1M2_PR
-      NEW met1 ( 1301570 3502170 ) M1M2_PR
-      NEW met1 ( 1302030 2195550 ) M1M2_PR
-      NEW li1 ( 1301885 2195550 ) L1M1_PR_MR ;
+      NEW met1 ( 1309390 3502170 ) M1M2_PR
+      NEW met1 ( 1309390 2226150 ) M1M2_PR
+      NEW met1 ( 1305710 2226150 ) M1M2_PR
+      NEW met1 ( 1305250 2195550 ) M1M2_PR
+      NEW li1 ( 1305065 2195550 ) L1M1_PR_MR ;
     - analog_io[11] ( PIN analog_io[11] ) ( u_flash_array_8x8 GSL[1] ) + USE SIGNAL
-      + ROUTED met1 ( 1302030 3502510 ) ( 1906010 * )
-      NEW met2 ( 1302030 2304600 ) ( 1302490 * )
-      NEW met2 ( 1302030 2304600 ) ( * 3502510 )
+      + ROUTED met1 ( 1307550 3502510 ) ( 1906010 * )
       NEW met2 ( 1906010 3502510 ) ( * 3517980 0 )
-      NEW met2 ( 1302030 2194700 ) ( 1302490 * )
-      NEW met2 ( 1302030 2193510 ) ( * 2194700 )
-      NEW met1 ( 1301885 2193510 ) ( 1302030 * )
-      NEW li1 ( 1301885 2193510 ) ( * 2194180 0 )
-      NEW met2 ( 1302490 2194700 ) ( * 2304600 )
-      NEW met1 ( 1302030 3502510 ) M1M2_PR
+      NEW met2 ( 1305250 2194700 ) ( 1307550 * )
+      NEW met2 ( 1305250 2193510 ) ( * 2194700 )
+      NEW met1 ( 1305065 2193510 ) ( 1305250 * )
+      NEW li1 ( 1305065 2193510 ) ( * 2194180 0 )
+      NEW met2 ( 1307550 2194700 ) ( * 3502510 )
+      NEW met1 ( 1307550 3502510 ) M1M2_PR
       NEW met1 ( 1906010 3502510 ) M1M2_PR
-      NEW met1 ( 1302030 2193510 ) M1M2_PR
-      NEW li1 ( 1301885 2193510 ) L1M1_PR_MR ;
+      NEW met1 ( 1305250 2193510 ) M1M2_PR
+      NEW li1 ( 1305065 2193510 ) L1M1_PR_MR ;
     - analog_io[12] ( PIN analog_io[12] ) ( u_flash_array_8x8 WL0[0] ) + USE SIGNAL
-      + ROUTED met1 ( 1301110 3502850 ) ( 1581710 * )
+      + ROUTED met1 ( 1335150 3502850 ) ( 1581710 * )
+      NEW met2 ( 1335150 2200650 ) ( * 3502850 )
       NEW met2 ( 1581710 3502850 ) ( * 3517980 0 )
-      NEW met1 ( 1300650 2200990 ) ( 1301110 * )
-      NEW li1 ( 1300650 2196825 ) ( * 2200990 )
-      NEW li1 ( 1300650 2196825 ) ( 1301930 * 0 )
-      NEW met2 ( 1301110 2200990 ) ( * 3502850 )
-      NEW met1 ( 1301110 3502850 ) M1M2_PR
+      NEW li1 ( 1304330 2199290 ) ( * 2200650 )
+      NEW li1 ( 1303870 2199290 ) ( 1304330 * )
+      NEW li1 ( 1303870 2197930 ) ( * 2199290 )
+      NEW li1 ( 1303870 2197930 ) ( 1304950 * )
+      NEW li1 ( 1304950 2196910 0 ) ( * 2197930 )
+      NEW met1 ( 1304330 2200650 ) ( 1335150 * )
+      NEW met1 ( 1335150 3502850 ) M1M2_PR
       NEW met1 ( 1581710 3502850 ) M1M2_PR
-      NEW met1 ( 1301110 2200990 ) M1M2_PR
-      NEW li1 ( 1300650 2200990 ) L1M1_PR_MR ;
+      NEW met1 ( 1335150 2200650 ) M1M2_PR
+      NEW li1 ( 1304330 2200650 ) L1M1_PR_MR ;
     - analog_io[13] ( PIN analog_io[13] ) ( u_flash_array_8x8 WL0[1] ) + USE SIGNAL
       + ROUTED met1 ( 1257410 3500470 ) ( 1262470 * )
-      NEW met2 ( 1262470 2183990 ) ( * 3500470 )
+      NEW met2 ( 1262470 2183650 ) ( * 3500470 )
       NEW met2 ( 1257410 3500470 ) ( * 3517980 0 )
-      NEW met1 ( 1290070 2183650 ) ( * 2183990 )
-      NEW met1 ( 1262470 2183990 ) ( 1290070 * )
-      NEW li1 ( 1308240 2196395 0 ) ( 1309850 * )
-      NEW li1 ( 1309850 2194190 ) ( * 2196395 )
-      NEW met2 ( 1309850 2183820 ) ( * 2194190 )
-      NEW met3 ( 1307410 2183820 ) ( 1309850 * )
-      NEW met2 ( 1307410 2183650 ) ( * 2183820 )
-      NEW met1 ( 1290070 2183650 ) ( 1307410 * )
+      NEW met1 ( 1262470 2183650 ) ( 1304100 * )
+      NEW met1 ( 1304100 2183310 ) ( * 2183650 )
+      NEW met1 ( 1304100 2183310 ) ( 1304330 * )
+      NEW met2 ( 1304330 2183310 ) ( * 2189940 )
+      NEW met3 ( 1304330 2189940 ) ( 1311230 * )
+      NEW met2 ( 1311230 2189940 ) ( * 2196570 )
+      NEW met1 ( 1311230 2196570 ) ( * 2196740 )
+      NEW li1 ( 1311230 2196400 0 ) ( * 2196740 )
       NEW met1 ( 1257410 3500470 ) M1M2_PR
       NEW met1 ( 1262470 3500470 ) M1M2_PR
-      NEW met1 ( 1262470 2183990 ) M1M2_PR
-      NEW li1 ( 1309850 2194190 ) L1M1_PR_MR
-      NEW met1 ( 1309850 2194190 ) M1M2_PR
-      NEW met2 ( 1309850 2183820 ) M2M3_PR_M
-      NEW met2 ( 1307410 2183820 ) M2M3_PR_M
-      NEW met1 ( 1307410 2183650 ) M1M2_PR
-      NEW met1 ( 1309850 2194190 ) RECT ( -355 -70 0 70 )  ;
+      NEW met1 ( 1262470 2183650 ) M1M2_PR
+      NEW met1 ( 1304330 2183310 ) M1M2_PR
+      NEW met2 ( 1304330 2189940 ) M2M3_PR_M
+      NEW met2 ( 1311230 2189940 ) M2M3_PR_M
+      NEW met1 ( 1311230 2196570 ) M1M2_PR
+      NEW li1 ( 1311230 2196740 ) L1M1_PR_MR ;
     - analog_io[14] ( PIN analog_io[14] ) ( u_flash_array_8x8 WL0[2] ) + USE SIGNAL
       + ROUTED met1 ( 932650 3500810 ) ( 938170 * )
-      NEW met2 ( 938170 2186370 ) ( * 3500810 )
+      NEW met2 ( 938170 2182970 ) ( * 3500810 )
       NEW met2 ( 932650 3500810 ) ( * 3517980 0 )
-      NEW li1 ( 1299270 2195965 ) ( 1301930 * 0 )
-      NEW met1 ( 938170 2186370 ) ( 1299270 * )
-      NEW li1 ( 1299270 2186370 ) ( * 2195965 )
+      NEW li1 ( 1302490 2195890 ) ( 1304330 * )
+      NEW li1 ( 1304330 2195890 ) ( * 2195965 )
+      NEW li1 ( 1304330 2195965 ) ( 1304950 * 0 )
+      NEW met1 ( 938170 2182970 ) ( 1302490 * )
+      NEW li1 ( 1302490 2182970 ) ( * 2195890 )
       NEW met1 ( 932650 3500810 ) M1M2_PR
       NEW met1 ( 938170 3500810 ) M1M2_PR
-      NEW met1 ( 938170 2186370 ) M1M2_PR
-      NEW li1 ( 1299270 2186370 ) L1M1_PR_MR ;
+      NEW met1 ( 938170 2182970 ) M1M2_PR
+      NEW li1 ( 1302490 2182970 ) L1M1_PR_MR ;
     - analog_io[15] ( PIN analog_io[15] ) ( u_flash_array_8x8 WL0[3] ) + USE SIGNAL
       + ROUTED met1 ( 608350 3498430 ) ( 613870 * )
-      NEW met2 ( 613870 2183650 ) ( * 3498430 )
+      NEW met2 ( 613870 2183310 ) ( * 3498430 )
       NEW met2 ( 608350 3498430 ) ( * 3517980 0 )
-      NEW met1 ( 613870 2183650 ) ( 1269600 * )
-      NEW met1 ( 1269600 2182970 ) ( * 2183650 )
-      NEW li1 ( 1308240 2195535 0 ) ( 1309390 * )
-      NEW li1 ( 1309390 2193850 ) ( * 2195535 )
-      NEW met2 ( 1309390 2183140 ) ( * 2193850 )
-      NEW met3 ( 1307410 2183140 ) ( 1309390 * )
-      NEW met2 ( 1307410 2182970 ) ( * 2183140 )
-      NEW met1 ( 1269600 2182970 ) ( 1307410 * )
+      NEW met1 ( 1302950 2182630 ) ( * 2183310 )
+      NEW met1 ( 613870 2183310 ) ( 1302950 * )
+      NEW met2 ( 1304790 2182630 ) ( * 2183140 )
+      NEW met3 ( 1304790 2183140 ) ( 1312610 * )
+      NEW met2 ( 1312610 2183140 ) ( * 2193850 )
+      NEW li1 ( 1312610 2193850 ) ( * 2195535 )
+      NEW li1 ( 1311460 2195535 0 ) ( 1312610 * )
+      NEW met1 ( 1302950 2182630 ) ( 1304790 * )
       NEW met1 ( 608350 3498430 ) M1M2_PR
       NEW met1 ( 613870 3498430 ) M1M2_PR
-      NEW met1 ( 613870 2183650 ) M1M2_PR
-      NEW li1 ( 1309390 2193850 ) L1M1_PR_MR
-      NEW met1 ( 1309390 2193850 ) M1M2_PR
-      NEW met2 ( 1309390 2183140 ) M2M3_PR_M
-      NEW met2 ( 1307410 2183140 ) M2M3_PR_M
-      NEW met1 ( 1307410 2182970 ) M1M2_PR
-      NEW met1 ( 1309390 2193850 ) RECT ( 0 -70 355 70 )  ;
+      NEW met1 ( 613870 2183310 ) M1M2_PR
+      NEW met1 ( 1304790 2182630 ) M1M2_PR
+      NEW met2 ( 1304790 2183140 ) M2M3_PR_M
+      NEW met2 ( 1312610 2183140 ) M2M3_PR_M
+      NEW li1 ( 1312610 2193850 ) L1M1_PR_MR
+      NEW met1 ( 1312610 2193850 ) M1M2_PR
+      NEW met1 ( 1312610 2193850 ) RECT ( -355 -70 0 70 )  ;
     - analog_io[16] ( PIN analog_io[16] ) ( u_flash_array_8x8 WL1[0] ) + USE SIGNAL
       + ROUTED met1 ( 284050 3500810 ) ( 289570 * )
       NEW met2 ( 289570 2179230 ) ( * 3500810 )
       NEW met2 ( 284050 3500810 ) ( * 3517980 0 )
-      NEW li1 ( 1300650 2192205 ) ( 1301930 * 0 )
-      NEW met1 ( 289570 2179230 ) ( 1300650 * )
-      NEW li1 ( 1300650 2179230 ) ( * 2192205 )
+      NEW li1 ( 1304790 2179230 ) ( * 2189090 )
+      NEW met1 ( 1304790 2189090 ) ( 1305250 * )
+      NEW met2 ( 1305250 2189090 ) ( * 2192490 )
+      NEW met1 ( 1305250 2192490 ) ( * 2192635 )
+      NEW met1 ( 1305110 2192635 ) ( 1305250 * )
+      NEW li1 ( 1305110 2192205 0 ) ( * 2192635 )
+      NEW met1 ( 289570 2179230 ) ( 1304790 * )
       NEW met1 ( 284050 3500810 ) M1M2_PR
       NEW met1 ( 289570 3500810 ) M1M2_PR
       NEW met1 ( 289570 2179230 ) M1M2_PR
-      NEW li1 ( 1300650 2179230 ) L1M1_PR_MR ;
+      NEW li1 ( 1304790 2179230 ) L1M1_PR_MR
+      NEW li1 ( 1304790 2189090 ) L1M1_PR_MR
+      NEW met1 ( 1305250 2189090 ) M1M2_PR
+      NEW met1 ( 1305250 2192490 ) M1M2_PR
+      NEW li1 ( 1305110 2192635 ) L1M1_PR_MR ;
     - analog_io[17] ( PIN analog_io[17] ) ( u_flash_array_8x8 WL1[1] ) + USE SIGNAL
       + ROUTED met3 ( 2300 3486700 0 ) ( 17250 * )
       NEW met2 ( 17250 2175150 ) ( * 3486700 )
-      NEW li1 ( 1309390 2192660 ) ( 1309850 * )
-      NEW li1 ( 1309390 2192635 ) ( * 2192660 )
-      NEW li1 ( 1308240 2192635 0 ) ( 1309390 * )
-      NEW met1 ( 1304330 2174130 ) ( * 2175150 )
-      NEW met1 ( 1304330 2175150 ) ( 1309850 * )
-      NEW li1 ( 1309850 2175150 ) ( * 2192660 )
-      NEW met1 ( 17250 2175150 ) ( 1255800 * )
-      NEW met1 ( 1255800 2174470 ) ( * 2175150 )
-      NEW met1 ( 1255800 2174470 ) ( 1302030 * )
-      NEW met1 ( 1302030 2174130 ) ( * 2174470 )
-      NEW met1 ( 1302030 2174130 ) ( 1302730 * )
-      NEW met1 ( 1302730 2174130 ) ( * 2174470 )
-      NEW met1 ( 1302730 2174470 ) ( 1303410 * )
-      NEW met1 ( 1303410 2174130 ) ( * 2174470 )
-      NEW met1 ( 1303410 2174130 ) ( 1304330 * )
+      NEW li1 ( 1311460 2192635 0 ) ( 1312610 * )
+      NEW met1 ( 17250 2175150 ) ( 1312610 * )
+      NEW li1 ( 1312610 2175150 ) ( * 2192635 )
       NEW met2 ( 17250 3486700 ) M2M3_PR_M
       NEW met1 ( 17250 2175150 ) M1M2_PR
-      NEW li1 ( 1309850 2175150 ) L1M1_PR_MR ;
+      NEW li1 ( 1312610 2175150 ) L1M1_PR_MR ;
     - analog_io[18] ( PIN analog_io[18] ) ( u_flash_array_8x8 WL1[2] ) + USE SIGNAL
       + ROUTED met3 ( 2300 3225580 0 ) ( 17710 * )
       NEW met2 ( 17710 2179570 ) ( * 3225580 )
-      NEW li1 ( 1299730 2193065 ) ( 1301930 * 0 )
-      NEW met1 ( 17710 2179570 ) ( 1299730 * )
-      NEW li1 ( 1299730 2179570 ) ( * 2193065 )
+      NEW li1 ( 1302950 2193000 ) ( 1304330 * )
+      NEW li1 ( 1304330 2193000 ) ( * 2193065 )
+      NEW li1 ( 1304330 2193065 ) ( 1304950 * 0 )
+      NEW met1 ( 17710 2179570 ) ( 1302950 * )
+      NEW li1 ( 1302950 2179570 ) ( * 2193000 )
       NEW met1 ( 17710 2179570 ) M1M2_PR
       NEW met2 ( 17710 3225580 ) M2M3_PR_M
-      NEW li1 ( 1299730 2179570 ) L1M1_PR_MR ;
+      NEW li1 ( 1302950 2179570 ) L1M1_PR_MR ;
     - analog_io[19] ( PIN analog_io[19] ) ( u_flash_array_8x8 WL1[3] ) + USE SIGNAL
       + ROUTED met3 ( 2300 2965140 0 ) ( 18170 * )
       NEW met2 ( 18170 2174130 ) ( * 2965140 )
-      NEW li1 ( 1308930 2193510 ) ( 1310310 * )
-      NEW li1 ( 1308930 2193495 ) ( * 2193510 )
-      NEW li1 ( 1308240 2193495 0 ) ( 1308930 * )
-      NEW met1 ( 18170 2174130 ) ( 1269600 * )
-      NEW met1 ( 1269600 2173790 ) ( * 2174130 )
-      NEW met1 ( 1269600 2173790 ) ( 1298810 * )
-      NEW met1 ( 1298810 2173450 ) ( * 2173790 )
-      NEW met1 ( 1298810 2173450 ) ( 1308930 * )
-      NEW met1 ( 1308930 2173450 ) ( * 2173790 )
-      NEW met1 ( 1308930 2173790 ) ( 1310310 * )
-      NEW li1 ( 1310310 2173790 ) ( * 2193510 )
+      NEW li1 ( 1311390 2193510 0 ) ( * 2193850 )
+      NEW li1 ( 1311390 2193850 ) ( 1312150 * )
+      NEW li1 ( 1312150 2193510 ) ( * 2193850 )
+      NEW li1 ( 1312150 2193510 ) ( 1313070 * )
+      NEW met1 ( 18170 2174130 ) ( 1313070 * )
+      NEW li1 ( 1313070 2174130 ) ( * 2193510 )
       NEW met1 ( 18170 2174130 ) M1M2_PR
       NEW met2 ( 18170 2965140 ) M2M3_PR_M
-      NEW li1 ( 1310310 2173790 ) L1M1_PR_MR ;
+      NEW li1 ( 1313070 2174130 ) L1M1_PR_MR ;
     - analog_io[1] ( PIN analog_io[1] ) ( u_flash_array_8x8 BL[1] ) + USE SIGNAL
       + ROUTED met2 ( 2900070 1692860 ) ( * 1697110 )
       NEW met3 ( 2900070 1692860 ) ( 2917780 * 0 )
-      NEW met1 ( 1302030 1697110 ) ( 2900070 * )
-      NEW met2 ( 1302030 2189940 ) ( 1303090 * )
-      NEW met2 ( 1303090 2188580 0 ) ( * 2189940 )
-      NEW met2 ( 1302030 1697110 ) ( * 2189940 )
+      NEW met1 ( 1306630 1697110 ) ( 2900070 * )
+      NEW met2 ( 1306170 2162740 ) ( 1306630 * )
+      NEW met2 ( 1306170 2162740 ) ( * 2176340 )
+      NEW met2 ( 1306170 2176340 ) ( 1306190 * )
+      NEW met2 ( 1306190 2176340 ) ( * 2177700 )
+      NEW met2 ( 1306170 2177700 ) ( 1306190 * )
+      NEW met2 ( 1306170 2177700 ) ( * 2185180 )
+      NEW met2 ( 1306170 2185180 ) ( 1306190 * )
+      NEW met2 ( 1306190 2185180 ) ( * 2186540 0 )
+      NEW met2 ( 1306630 1697110 ) ( * 2162740 )
       NEW met1 ( 2900070 1697110 ) M1M2_PR
       NEW met2 ( 2900070 1692860 ) M2M3_PR_M
-      NEW met1 ( 1302030 1697110 ) M1M2_PR ;
+      NEW met1 ( 1306630 1697110 ) M1M2_PR ;
     - analog_io[20] ( PIN analog_io[20] ) ( u_flash_array_8x8 SL ) + USE SIGNAL
       + ROUTED met3 ( 2300 2704020 0 ) ( 18630 * )
-      NEW met2 ( 18630 2184330 ) ( * 2704020 )
-      NEW li1 ( 1304330 2191570 ) ( * 2194530 )
-      NEW li1 ( 1304330 2194530 ) ( 1304790 * 0 )
-      NEW met2 ( 1301570 2184330 ) ( * 2190620 )
-      NEW met2 ( 1301570 2190620 ) ( 1302030 * )
-      NEW met2 ( 1302030 2190620 ) ( * 2191130 )
-      NEW li1 ( 1302030 2191130 ) ( * 2191570 )
-      NEW met1 ( 18630 2184330 ) ( 1301570 * )
-      NEW li1 ( 1302030 2191570 ) ( 1304330 * )
+      NEW met2 ( 18630 2183990 ) ( * 2704020 )
+      NEW li1 ( 1303870 2190110 ) ( * 2191130 )
+      NEW li1 ( 1304330 2191130 ) ( * 2191640 )
+      NEW li1 ( 1304330 2191640 ) ( 1305710 * )
+      NEW li1 ( 1305710 2191640 ) ( * 2194530 0 )
+      NEW li1 ( 1304330 2183990 ) ( * 2190110 )
+      NEW met1 ( 18630 2183990 ) ( 1304330 * )
+      NEW li1 ( 1303870 2190110 ) ( 1304330 * )
+      NEW li1 ( 1303870 2191130 ) ( 1304330 * )
       NEW met2 ( 18630 2704020 ) M2M3_PR_M
-      NEW met1 ( 18630 2184330 ) M1M2_PR
-      NEW met1 ( 1301570 2184330 ) M1M2_PR
-      NEW li1 ( 1302030 2191130 ) L1M1_PR_MR
-      NEW met1 ( 1302030 2191130 ) M1M2_PR
-      NEW met1 ( 1302030 2191130 ) RECT ( -355 -70 0 70 )  ;
+      NEW met1 ( 18630 2183990 ) M1M2_PR
+      NEW li1 ( 1304330 2183990 ) L1M1_PR_MR ;
     - analog_io[21] ( PIN analog_io[21] ) ( u_flash_array_8x8 VBPW ) + USE SIGNAL
       + ROUTED met3 ( 2300 2443580 0 ) ( 19090 * )
-      NEW met2 ( 19090 2194530 ) ( * 2443580 )
-      NEW met1 ( 19090 2194530 ) ( 1193700 * )
-      NEW met1 ( 1193700 2194530 ) ( * 2195890 )
-      NEW met1 ( 1301570 2195890 ) ( * 2198355 0 )
-      NEW met1 ( 1193700 2195890 ) ( 1301570 * )
+      NEW met2 ( 19090 2198610 ) ( * 2443580 )
+      NEW met1 ( 19090 2198610 ) ( 1269600 * )
+      NEW met1 ( 1269600 2198610 ) ( * 2198950 )
+      NEW li1 ( 1304790 2198270 0 ) ( * 2198950 )
+      NEW met1 ( 1269600 2198950 ) ( 1304790 * )
       NEW met2 ( 19090 2443580 ) M2M3_PR_M
-      NEW met1 ( 19090 2194530 ) M1M2_PR ;
+      NEW met1 ( 19090 2198610 ) M1M2_PR
+      NEW li1 ( 1304790 2198950 ) L1M1_PR_MR ;
     - analog_io[22] ( PIN analog_io[22] ) + USE SIGNAL ;
     - analog_io[23] ( PIN analog_io[23] ) + USE SIGNAL ;
     - analog_io[24] ( PIN analog_io[24] ) + USE SIGNAL ;
@@ -7882,108 +7891,111 @@
     - analog_io[2] ( PIN analog_io[2] ) ( u_flash_array_8x8 BL[2] ) + USE SIGNAL
       + ROUTED met2 ( 2900990 1958740 ) ( * 1959590 )
       NEW met3 ( 2900990 1958740 ) ( 2917780 * 0 )
-      NEW met1 ( 1304330 1959590 ) ( 2900990 * )
-      NEW met2 ( 1304170 2176340 ) ( 1304330 * )
-      NEW met2 ( 1304170 2176340 ) ( * 2177700 0 )
-      NEW met2 ( 1304330 1959590 ) ( * 2176340 )
+      NEW met1 ( 1307090 1959590 ) ( 2900990 * )
+      NEW met2 ( 1307090 2158660 ) ( 1307550 * )
+      NEW met2 ( 1307550 2158660 ) ( * 2176340 )
+      NEW met2 ( 1307270 2176340 ) ( 1307550 * )
+      NEW met2 ( 1307270 2176340 ) ( * 2177700 0 )
+      NEW met2 ( 1307090 1959590 ) ( * 2158660 )
       NEW met1 ( 2900990 1959590 ) M1M2_PR
       NEW met2 ( 2900990 1958740 ) M2M3_PR_M
-      NEW met1 ( 1304330 1959590 ) M1M2_PR ;
+      NEW met1 ( 1307090 1959590 ) M1M2_PR ;
     - analog_io[3] ( PIN analog_io[3] ) ( u_flash_array_8x8 BL[3] ) + USE SIGNAL
       + ROUTED met2 ( 2900990 2222070 ) ( * 2223940 )
       NEW met3 ( 2900990 2223940 ) ( 2917780 * 0 )
-      NEW met1 ( 1304790 2197930 0 ) ( * 2199290 )
-      NEW met1 ( 1304790 2199290 ) ( 1306630 * )
-      NEW met2 ( 1306630 2199290 ) ( * 2222070 )
-      NEW met1 ( 1306630 2222070 ) ( 2900990 * )
+      NEW met2 ( 1307630 2188580 0 ) ( * 2189940 )
+      NEW met2 ( 1307630 2189940 ) ( 1309850 * )
+      NEW met2 ( 1309850 2189940 ) ( * 2222070 )
+      NEW met1 ( 1309850 2222070 ) ( 2900990 * )
       NEW met1 ( 2900990 2222070 ) M1M2_PR
       NEW met2 ( 2900990 2223940 ) M2M3_PR_M
-      NEW met1 ( 1306630 2199290 ) M1M2_PR
-      NEW met1 ( 1306630 2222070 ) M1M2_PR ;
+      NEW met1 ( 1309850 2222070 ) M1M2_PR ;
     - analog_io[4] ( PIN analog_io[4] ) ( u_flash_array_8x8 BL[4] ) + USE SIGNAL
       + ROUTED met2 ( 2900990 2484210 ) ( * 2489820 )
       NEW met3 ( 2900990 2489820 ) ( 2917780 * 0 )
+      NEW met2 ( 1305710 2304600 ) ( 1307090 * )
+      NEW met2 ( 1305710 2304600 ) ( * 2484210 )
       NEW met1 ( 1305710 2484210 ) ( 2900990 * )
-      NEW met2 ( 1305610 2189940 ) ( 1305710 * )
-      NEW met2 ( 1305610 2188580 0 ) ( * 2189940 )
-      NEW met2 ( 1305710 2189940 ) ( * 2484210 )
+      NEW met1 ( 1307090 2198610 ) ( 1308470 * )
+      NEW met1 ( 1308470 2197930 0 ) ( * 2198610 )
+      NEW met2 ( 1307090 2198610 ) ( * 2304600 )
       NEW met1 ( 2900990 2484210 ) M1M2_PR
       NEW met2 ( 2900990 2489820 ) M2M3_PR_M
-      NEW met1 ( 1305710 2484210 ) M1M2_PR ;
+      NEW met1 ( 1305710 2484210 ) M1M2_PR
+      NEW met1 ( 1307090 2198610 ) M1M2_PR ;
     - analog_io[5] ( PIN analog_io[5] ) ( u_flash_array_8x8 BL[5] ) + USE SIGNAL
       + ROUTED met2 ( 2900990 2753150 ) ( * 2755700 )
       NEW met3 ( 2900990 2755700 ) ( 2917780 * 0 )
       NEW met1 ( 1305250 2753150 ) ( 2900990 * )
-      NEW met1 ( 1305250 2198610 ) ( 1306170 * )
-      NEW met1 ( 1306170 2197930 0 ) ( * 2198610 )
-      NEW met2 ( 1305250 2198610 ) ( * 2753150 )
+      NEW met1 ( 1305250 2198950 ) ( 1309390 * )
+      NEW met1 ( 1309390 2197845 0 ) ( * 2198950 )
+      NEW met2 ( 1305250 2198950 ) ( * 2753150 )
       NEW met1 ( 2900990 2753150 ) M1M2_PR
       NEW met2 ( 2900990 2755700 ) M2M3_PR_M
       NEW met1 ( 1305250 2753150 ) M1M2_PR
-      NEW met1 ( 1305250 2198610 ) M1M2_PR ;
+      NEW met1 ( 1305250 2198950 ) M1M2_PR ;
     - analog_io[6] ( PIN analog_io[6] ) ( u_flash_array_8x8 BL[6] ) + USE SIGNAL
       + ROUTED met2 ( 2900990 3015630 ) ( * 3020900 )
       NEW met3 ( 2900990 3020900 ) ( 2917780 * 0 )
       NEW met1 ( 1304790 3015630 ) ( 2900990 * )
-      NEW met1 ( 1304790 2211870 ) ( 1307090 * )
-      NEW met2 ( 1307090 2189940 ) ( * 2211870 )
-      NEW met2 ( 1307050 2189940 ) ( 1307090 * )
-      NEW met2 ( 1307050 2188580 0 ) ( * 2189940 )
-      NEW met2 ( 1304790 2211870 ) ( * 3015630 )
+      NEW met1 ( 1309850 2197845 0 ) ( * 2199630 )
+      NEW met1 ( 1304790 2199630 ) ( 1309850 * )
+      NEW met2 ( 1304790 2199630 ) ( * 3015630 )
       NEW met1 ( 2900990 3015630 ) M1M2_PR
       NEW met2 ( 2900990 3020900 ) M2M3_PR_M
       NEW met1 ( 1304790 3015630 ) M1M2_PR
-      NEW met1 ( 1304790 2211870 ) M1M2_PR
-      NEW met1 ( 1307090 2211870 ) M1M2_PR ;
+      NEW met1 ( 1304790 2199630 ) M1M2_PR ;
     - analog_io[7] ( PIN analog_io[7] ) ( u_flash_array_8x8 BL[7] ) + USE SIGNAL
       + ROUTED met2 ( 2900990 3284570 ) ( * 3286780 )
       NEW met3 ( 2900990 3286780 ) ( 2917780 * 0 )
       NEW met1 ( 1304330 3284570 ) ( 2900990 * )
-      NEW met1 ( 1304330 2199630 ) ( 1307550 * )
-      NEW met1 ( 1307550 2197930 0 ) ( * 2199630 )
-      NEW met2 ( 1304330 2199630 ) ( * 3284570 )
+      NEW met1 ( 1304330 2199970 ) ( 1310770 * )
+      NEW met1 ( 1310770 2197930 0 ) ( * 2199970 )
+      NEW met2 ( 1304330 2199970 ) ( * 3284570 )
       NEW met1 ( 2900990 3284570 ) M1M2_PR
       NEW met2 ( 2900990 3286780 ) M2M3_PR_M
       NEW met1 ( 1304330 3284570 ) M1M2_PR
-      NEW met1 ( 1304330 2199630 ) M1M2_PR ;
+      NEW met1 ( 1304330 2199970 ) M1M2_PR ;
     - analog_io[8] ( PIN analog_io[8] ) ( u_flash_array_8x8 SSL[0] ) + USE SIGNAL
-      + ROUTED met1 ( 1310310 3501490 ) ( 2879370 * )
+      + ROUTED met1 ( 1317210 3501490 ) ( 2879370 * )
       NEW met2 ( 2879370 3501490 ) ( * 3517980 0 )
-      NEW met1 ( 1309850 2200990 ) ( 1310310 * )
-      NEW li1 ( 1309850 2197460 ) ( * 2200990 )
-      NEW li1 ( 1308240 2197460 0 ) ( 1309850 * )
-      NEW met2 ( 1310310 2200990 ) ( * 3501490 )
-      NEW met1 ( 1310310 3501490 ) M1M2_PR
+      NEW li1 ( 1311390 2197460 0 ) ( * 2197845 )
+      NEW li1 ( 1311390 2197845 ) ( 1312150 * )
+      NEW li1 ( 1312150 2197460 ) ( * 2197845 )
+      NEW li1 ( 1312150 2197460 ) ( 1313530 * )
+      NEW li1 ( 1313530 2197460 ) ( * 2200990 )
+      NEW met1 ( 1313530 2200990 ) ( 1317210 * )
+      NEW met2 ( 1317210 2200990 ) ( * 3501490 )
+      NEW met1 ( 1317210 3501490 ) M1M2_PR
       NEW met1 ( 2879370 3501490 ) M1M2_PR
-      NEW met1 ( 1310310 2200990 ) M1M2_PR
-      NEW li1 ( 1309850 2200990 ) L1M1_PR_MR ;
+      NEW li1 ( 1313530 2200990 ) L1M1_PR_MR
+      NEW met1 ( 1317210 2200990 ) M1M2_PR ;
     - analog_io[9] ( PIN analog_io[9] ) ( u_flash_array_8x8 SSL[1] ) + USE SIGNAL
-      + ROUTED met1 ( 1310770 3501830 ) ( 2555070 * )
+      + ROUTED met1 ( 1317670 3501830 ) ( 2555070 * )
       NEW met2 ( 2555070 3501830 ) ( * 3517980 0 )
-      NEW met2 ( 1308010 2200140 ) ( 1310770 * )
-      NEW met2 ( 1308010 2192150 ) ( * 2200140 )
-      NEW met1 ( 1308010 2192150 ) ( 1308210 * )
-      NEW li1 ( 1308210 2191640 0 ) ( * 2192150 )
-      NEW met2 ( 1310770 2200140 ) ( * 3501830 )
-      NEW met1 ( 1310770 3501830 ) M1M2_PR
+      NEW met1 ( 1311230 2190110 ) ( 1317670 * )
+      NEW met1 ( 1311230 2190110 ) ( * 2191130 )
+      NEW li1 ( 1311230 2191130 ) ( * 2191570 0 )
+      NEW met2 ( 1317670 2190110 ) ( * 3501830 )
+      NEW met1 ( 1317670 3501830 ) M1M2_PR
       NEW met1 ( 2555070 3501830 ) M1M2_PR
-      NEW met1 ( 1308010 2192150 ) M1M2_PR
-      NEW li1 ( 1308210 2192150 ) L1M1_PR_MR ;
+      NEW met1 ( 1317670 2190110 ) M1M2_PR
+      NEW li1 ( 1311230 2191130 ) L1M1_PR_MR ;
     - gnd + USE SIGNAL ;
     - io_in[0] ( PIN io_in[0] ) ( u_flash_array_8x8 sen1 ) + USE SIGNAL
       + ROUTED met2 ( 2900990 32980 ) ( * 34170 )
       NEW met3 ( 2900990 32980 ) ( 2917780 * 0 )
       NEW met1 ( 1321350 34170 ) ( 2900990 * )
-      NEW met1 ( 1305710 2174130 ) ( 1321350 * )
-      NEW met2 ( 1305710 2174130 ) ( * 2176510 )
-      NEW met1 ( 1305710 2175995 0 ) ( * 2176510 )
-      NEW met2 ( 1321350 34170 ) ( * 2174130 )
+      NEW met1 ( 1310310 2173790 ) ( 1321350 * )
+      NEW met2 ( 1310310 2173790 ) ( * 2176510 )
+      NEW met1 ( 1310310 2175995 0 ) ( * 2176510 )
+      NEW met2 ( 1321350 34170 ) ( * 2173790 )
       NEW met1 ( 2900990 34170 ) M1M2_PR
       NEW met2 ( 2900990 32980 ) M2M3_PR_M
       NEW met1 ( 1321350 34170 ) M1M2_PR
-      NEW met1 ( 1321350 2174130 ) M1M2_PR
-      NEW met1 ( 1305710 2174130 ) M1M2_PR
-      NEW met1 ( 1305710 2176510 ) M1M2_PR ;
+      NEW met1 ( 1321350 2173790 ) M1M2_PR
+      NEW met1 ( 1310310 2173790 ) M1M2_PR
+      NEW met1 ( 1310310 2176510 ) M1M2_PR ;
     - io_in[10] ( PIN io_in[10] ) + USE SIGNAL ;
     - io_in[11] ( PIN io_in[11] ) + USE SIGNAL ;
     - io_in[12] ( PIN io_in[12] ) + USE SIGNAL ;
@@ -7998,8 +8010,8 @@
       + ROUTED met2 ( 2900990 231540 ) ( * 234430 )
       NEW met3 ( 2900990 231540 ) ( 2917780 * 0 )
       NEW met1 ( 1321810 234430 ) ( 2900990 * )
-      NEW met1 ( 1308470 2175490 ) ( 1321810 * )
-      NEW met1 ( 1308470 2175490 ) ( * 2175625 0 )
+      NEW met1 ( 1311690 2175490 ) ( 1321810 * )
+      NEW met1 ( 1311690 2175490 ) ( * 2175625 0 )
       NEW met2 ( 1321810 234430 ) ( * 2175490 )
       NEW met1 ( 2900990 234430 ) M1M2_PR
       NEW met2 ( 2900990 231540 ) M2M3_PR_M
@@ -8018,13 +8030,12 @@
     - io_in[2] ( PIN io_in[2] ) ( u_flash_array_8x8 out_en[0] ) + USE SIGNAL
       + ROUTED met2 ( 2900990 430780 ) ( * 434690 )
       NEW met3 ( 2900990 430780 ) ( 2917780 * 0 )
-      NEW met1 ( 1297890 434690 ) ( 2900990 * )
-      NEW met1 ( 1297890 2174810 ) ( 1302490 * 0 )
-      NEW met2 ( 1297890 434690 ) ( * 2174810 )
+      NEW met1 ( 1304790 434690 ) ( 2900990 * )
+      NEW met2 ( 1304790 2174980 ) ( 1305430 * 0 )
+      NEW met2 ( 1304790 434690 ) ( * 2174980 )
       NEW met1 ( 2900990 434690 ) M1M2_PR
       NEW met2 ( 2900990 430780 ) M2M3_PR_M
-      NEW met1 ( 1297890 434690 ) M1M2_PR
-      NEW met1 ( 1297890 2174810 ) M1M2_PR ;
+      NEW met1 ( 1304790 434690 ) M1M2_PR ;
     - io_in[30] ( PIN io_in[30] ) + USE SIGNAL ;
     - io_in[31] ( PIN io_in[31] ) + USE SIGNAL ;
     - io_in[32] ( PIN io_in[32] ) + USE SIGNAL ;
@@ -8036,34 +8047,44 @@
     - io_in[3] ( PIN io_in[3] ) ( u_flash_array_8x8 out_en[1] ) + USE SIGNAL
       + ROUTED met2 ( 2900990 630020 ) ( * 634610 )
       NEW met3 ( 2900990 630020 ) ( 2917780 * 0 )
-      NEW met1 ( 1298350 634610 ) ( 2900990 * )
-      NEW met1 ( 1298350 2175150 ) ( 1303870 * )
-      NEW met1 ( 1303870 2174810 0 ) ( * 2175150 )
-      NEW met2 ( 1298350 634610 ) ( * 2175150 )
+      NEW met1 ( 1305710 634610 ) ( 2900990 * )
+      NEW met1 ( 1305710 2173790 ) ( 1307090 * )
+      NEW met2 ( 1307090 2173790 ) ( * 2174300 )
+      NEW met2 ( 1306870 2174300 ) ( 1307090 * )
+      NEW met2 ( 1306870 2174300 ) ( * 2174980 0 )
+      NEW met2 ( 1305710 634610 ) ( * 2173790 )
       NEW met1 ( 2900990 634610 ) M1M2_PR
       NEW met2 ( 2900990 630020 ) M2M3_PR_M
-      NEW met1 ( 1298350 634610 ) M1M2_PR
-      NEW met1 ( 1298350 2175150 ) M1M2_PR ;
+      NEW met1 ( 1305710 634610 ) M1M2_PR
+      NEW met1 ( 1305710 2173790 ) M1M2_PR
+      NEW met1 ( 1307090 2173790 ) M1M2_PR ;
     - io_in[4] ( PIN io_in[4] ) ( u_flash_array_8x8 out_en[2] ) + USE SIGNAL
       + ROUTED met2 ( 2900990 829260 ) ( * 834870 )
       NEW met3 ( 2900990 829260 ) ( 2917780 * 0 )
-      NEW met1 ( 1308470 834870 ) ( 2900990 * )
-      NEW met1 ( 1305250 2173790 ) ( 1308470 * )
-      NEW met1 ( 1305250 2173790 ) ( * 2174810 0 )
-      NEW met2 ( 1308470 834870 ) ( * 2173790 )
+      NEW met1 ( 1306170 834870 ) ( 2900990 * )
+      NEW met1 ( 1306170 2160870 ) ( 1308470 * )
+      NEW met2 ( 1308470 2160870 ) ( * 2173620 )
+      NEW met2 ( 1308310 2173620 ) ( 1308470 * )
+      NEW met2 ( 1308310 2173620 ) ( * 2174980 0 )
+      NEW met2 ( 1306170 834870 ) ( * 2160870 )
       NEW met1 ( 2900990 834870 ) M1M2_PR
       NEW met2 ( 2900990 829260 ) M2M3_PR_M
-      NEW met1 ( 1308470 834870 ) M1M2_PR
-      NEW met1 ( 1308470 2173790 ) M1M2_PR ;
+      NEW met1 ( 1306170 834870 ) M1M2_PR
+      NEW met1 ( 1306170 2160870 ) M1M2_PR
+      NEW met1 ( 1308470 2160870 ) M1M2_PR ;
     - io_in[5] ( PIN io_in[5] ) ( u_flash_array_8x8 out_en[3] ) + USE SIGNAL
       + ROUTED met2 ( 2899150 1028500 ) ( * 1034790 )
       NEW met3 ( 2899150 1028500 ) ( 2917780 * 0 )
-      NEW met1 ( 1308930 1034790 ) ( 2899150 * )
-      NEW met1 ( 1306630 2174810 0 ) ( 1308930 * )
-      NEW met2 ( 1308930 1034790 ) ( * 2174810 )
+      NEW met1 ( 1307550 1034790 ) ( 2899150 * )
+      NEW met1 ( 1307550 2157130 ) ( 1308930 * )
+      NEW met2 ( 1308930 2157130 ) ( * 2174810 )
+      NEW met1 ( 1308930 2174810 ) ( 1309850 * 0 )
+      NEW met2 ( 1307550 1034790 ) ( * 2157130 )
       NEW met1 ( 2899150 1034790 ) M1M2_PR
       NEW met2 ( 2899150 1028500 ) M2M3_PR_M
-      NEW met1 ( 1308930 1034790 ) M1M2_PR
+      NEW met1 ( 1307550 1034790 ) M1M2_PR
+      NEW met1 ( 1307550 2157130 ) M1M2_PR
+      NEW met1 ( 1308930 2157130 ) M1M2_PR
       NEW met1 ( 1308930 2174810 ) M1M2_PR ;
     - io_in[6] ( PIN io_in[6] ) + USE SIGNAL ;
     - io_in[7] ( PIN io_in[7] ) + USE SIGNAL ;
@@ -8110,16 +8131,16 @@
     - io_out[0] ( PIN io_out[0] ) ( u_flash_array_8x8 out[0] ) + USE SIGNAL
       + ROUTED met2 ( 2900070 98940 ) ( * 103190 )
       NEW met3 ( 2900070 98940 ) ( 2917780 * 0 )
-      NEW met1 ( 1303870 103190 ) ( 2900070 * )
-      NEW met1 ( 1303410 2159850 ) ( 1303870 * )
-      NEW li1 ( 1303410 2159850 ) ( * 2175030 )
-      NEW li1 ( 1302790 2175030 0 ) ( 1303410 * )
-      NEW met2 ( 1303870 103190 ) ( * 2159850 )
+      NEW met1 ( 1310770 103190 ) ( 2900070 * )
+      NEW met1 ( 1306170 2161550 ) ( 1310770 * )
+      NEW li1 ( 1306170 2161550 ) ( * 2175030 )
+      NEW li1 ( 1305890 2175030 0 ) ( 1306170 * )
+      NEW met2 ( 1310770 103190 ) ( * 2161550 )
       NEW met1 ( 2900070 103190 ) M1M2_PR
       NEW met2 ( 2900070 98940 ) M2M3_PR_M
-      NEW met1 ( 1303870 103190 ) M1M2_PR
-      NEW met1 ( 1303870 2159850 ) M1M2_PR
-      NEW li1 ( 1303410 2159850 ) L1M1_PR_MR ;
+      NEW met1 ( 1310770 103190 ) M1M2_PR
+      NEW met1 ( 1310770 2161550 ) M1M2_PR
+      NEW li1 ( 1306170 2161550 ) L1M1_PR_MR ;
     - io_out[10] ( PIN io_out[10] ) + USE SIGNAL ;
     - io_out[11] ( PIN io_out[11] ) + USE SIGNAL ;
     - io_out[12] ( PIN io_out[12] ) + USE SIGNAL ;
@@ -8133,15 +8154,17 @@
     - io_out[1] ( PIN io_out[1] ) ( u_flash_array_8x8 out[1] ) + USE SIGNAL
       + ROUTED met2 ( 2900990 298180 ) ( * 303450 )
       NEW met3 ( 2900990 298180 ) ( 2917780 * 0 )
-      NEW met1 ( 1299270 303450 ) ( 2900990 * )
-      NEW met1 ( 1299270 2173790 ) ( 1303490 * )
-      NEW met2 ( 1303490 2173790 ) ( * 2174980 0 )
-      NEW met2 ( 1299270 303450 ) ( * 2173790 )
+      NEW met1 ( 1304330 303450 ) ( 2900990 * )
+      NEW met1 ( 1304330 2163250 ) ( 1306630 * )
+      NEW met2 ( 1306630 2163250 ) ( * 2173620 )
+      NEW met2 ( 1306590 2173620 ) ( 1306630 * )
+      NEW met2 ( 1306590 2173620 ) ( * 2174980 0 )
+      NEW met2 ( 1304330 303450 ) ( * 2163250 )
       NEW met1 ( 2900990 303450 ) M1M2_PR
       NEW met2 ( 2900990 298180 ) M2M3_PR_M
-      NEW met1 ( 1299270 303450 ) M1M2_PR
-      NEW met1 ( 1299270 2173790 ) M1M2_PR
-      NEW met1 ( 1303490 2173790 ) M1M2_PR ;
+      NEW met1 ( 1304330 303450 ) M1M2_PR
+      NEW met1 ( 1304330 2163250 ) M1M2_PR
+      NEW met1 ( 1306630 2163250 ) M1M2_PR ;
     - io_out[20] ( PIN io_out[20] ) + USE SIGNAL ;
     - io_out[21] ( PIN io_out[21] ) + USE SIGNAL ;
     - io_out[22] ( PIN io_out[22] ) + USE SIGNAL ;
@@ -8155,16 +8178,16 @@
     - io_out[2] ( PIN io_out[2] ) ( u_flash_array_8x8 out[2] ) + USE SIGNAL
       + ROUTED met2 ( 2900990 497420 ) ( * 503370 )
       NEW met3 ( 2900990 497420 ) ( 2917780 * 0 )
-      NEW met1 ( 1310770 503370 ) ( 2900990 * )
-      NEW met1 ( 1304790 2160530 ) ( 1310770 * )
-      NEW li1 ( 1304790 2160530 ) ( * 2175030 )
-      NEW li1 ( 1304230 2175030 0 ) ( 1304790 * )
-      NEW met2 ( 1310770 503370 ) ( * 2160530 )
+      NEW met1 ( 1310310 503370 ) ( 2900990 * )
+      NEW met1 ( 1307550 2160530 ) ( 1310310 * )
+      NEW li1 ( 1307550 2160530 ) ( * 2175030 )
+      NEW li1 ( 1307330 2175030 0 ) ( 1307550 * )
+      NEW met2 ( 1310310 503370 ) ( * 2160530 )
       NEW met1 ( 2900990 503370 ) M1M2_PR
       NEW met2 ( 2900990 497420 ) M2M3_PR_M
-      NEW met1 ( 1310770 503370 ) M1M2_PR
-      NEW met1 ( 1310770 2160530 ) M1M2_PR
-      NEW li1 ( 1304790 2160530 ) L1M1_PR_MR ;
+      NEW met1 ( 1310310 503370 ) M1M2_PR
+      NEW met1 ( 1310310 2160530 ) M1M2_PR
+      NEW li1 ( 1307550 2160530 ) L1M1_PR_MR ;
     - io_out[30] ( PIN io_out[30] ) + USE SIGNAL ;
     - io_out[31] ( PIN io_out[31] ) + USE SIGNAL ;
     - io_out[32] ( PIN io_out[32] ) + USE SIGNAL ;
@@ -8176,58 +8199,62 @@
     - io_out[3] ( PIN io_out[3] ) ( u_flash_array_8x8 out[3] ) + USE SIGNAL
       + ROUTED met2 ( 2900990 696660 ) ( * 696830 )
       NEW met3 ( 2900990 696660 ) ( 2917780 * 0 )
-      NEW met1 ( 1304790 696830 ) ( 2900990 * )
-      NEW met2 ( 1304790 2174980 ) ( 1304930 * 0 )
-      NEW met2 ( 1304790 696830 ) ( * 2174980 )
+      NEW met1 ( 1308010 696830 ) ( 2900990 * )
+      NEW met2 ( 1308010 2174980 ) ( 1308030 * 0 )
+      NEW met2 ( 1308010 696830 ) ( * 2174980 )
       NEW met1 ( 2900990 696830 ) M1M2_PR
       NEW met2 ( 2900990 696660 ) M2M3_PR_M
-      NEW met1 ( 1304790 696830 ) M1M2_PR ;
+      NEW met1 ( 1308010 696830 ) M1M2_PR ;
     - io_out[4] ( PIN io_out[4] ) ( u_flash_array_8x8 out[4] ) + USE SIGNAL
       + ROUTED met2 ( 2900990 895900 ) ( * 896750 )
       NEW met3 ( 2900990 895900 ) ( 2917780 * 0 )
-      NEW met1 ( 1310310 896750 ) ( 2900990 * )
-      NEW met1 ( 1306170 2160190 ) ( 1310310 * )
-      NEW li1 ( 1306170 2160190 ) ( * 2175030 )
-      NEW li1 ( 1305670 2175030 0 ) ( 1306170 * )
-      NEW met2 ( 1310310 896750 ) ( * 2160190 )
+      NEW met1 ( 1309850 896750 ) ( 2900990 * )
+      NEW met1 ( 1309390 2159850 ) ( 1309850 * )
+      NEW li1 ( 1309390 2159850 ) ( * 2175030 )
+      NEW li1 ( 1308770 2175030 0 ) ( 1309390 * )
+      NEW met2 ( 1309850 896750 ) ( * 2159850 )
       NEW met1 ( 2900990 896750 ) M1M2_PR
       NEW met2 ( 2900990 895900 ) M2M3_PR_M
-      NEW met1 ( 1310310 896750 ) M1M2_PR
-      NEW met1 ( 1310310 2160190 ) M1M2_PR
-      NEW li1 ( 1306170 2160190 ) L1M1_PR_MR ;
+      NEW met1 ( 1309850 896750 ) M1M2_PR
+      NEW met1 ( 1309850 2159850 ) M1M2_PR
+      NEW li1 ( 1309390 2159850 ) L1M1_PR_MR ;
     - io_out[5] ( PIN io_out[5] ) ( u_flash_array_8x8 out[5] ) + USE SIGNAL
       + ROUTED met2 ( 2900990 1095140 ) ( * 1097010 )
       NEW met3 ( 2900990 1095140 ) ( 2917780 * 0 )
-      NEW met1 ( 1306170 1097010 ) ( 2900990 * )
-      NEW met2 ( 1306170 2173620 ) ( 1306370 * )
-      NEW met2 ( 1306370 2173620 ) ( * 2174980 0 )
-      NEW met2 ( 1306170 1097010 ) ( * 2173620 )
+      NEW met1 ( 1309390 1097010 ) ( 2900990 * )
+      NEW met2 ( 1309390 2174980 ) ( 1309470 * 0 )
+      NEW met2 ( 1309390 1097010 ) ( * 2174980 )
       NEW met1 ( 2900990 1097010 ) M1M2_PR
       NEW met2 ( 2900990 1095140 ) M2M3_PR_M
-      NEW met1 ( 1306170 1097010 ) M1M2_PR ;
+      NEW met1 ( 1309390 1097010 ) M1M2_PR ;
     - io_out[6] ( PIN io_out[6] ) ( u_flash_array_8x8 out[6] ) + USE SIGNAL
       + ROUTED met2 ( 2900990 1294380 ) ( * 1296930 )
       NEW met3 ( 2900990 1294380 ) ( 2917780 * 0 )
-      NEW met1 ( 1309850 1296930 ) ( 2900990 * )
-      NEW met1 ( 1308930 2159850 ) ( 1309850 * )
-      NEW li1 ( 1308930 2159850 ) ( * 2175030 )
-      NEW li1 ( 1307110 2175030 0 ) ( 1308930 * )
-      NEW met2 ( 1309850 1296930 ) ( * 2159850 )
+      NEW met1 ( 1308470 1296930 ) ( 2900990 * )
+      NEW met1 ( 1308470 2160190 ) ( 1310770 * )
+      NEW li1 ( 1310770 2160190 ) ( * 2175030 )
+      NEW li1 ( 1310210 2175030 0 ) ( 1310770 * )
+      NEW met2 ( 1308470 1296930 ) ( * 2160190 )
       NEW met1 ( 2900990 1296930 ) M1M2_PR
       NEW met2 ( 2900990 1294380 ) M2M3_PR_M
-      NEW met1 ( 1309850 1296930 ) M1M2_PR
-      NEW met1 ( 1309850 2159850 ) M1M2_PR
-      NEW li1 ( 1308930 2159850 ) L1M1_PR_MR ;
+      NEW met1 ( 1308470 1296930 ) M1M2_PR
+      NEW met1 ( 1308470 2160190 ) M1M2_PR
+      NEW li1 ( 1310770 2160190 ) L1M1_PR_MR ;
     - io_out[7] ( PIN io_out[7] ) ( u_flash_array_8x8 out[7] ) + USE SIGNAL
       + ROUTED met2 ( 2900990 1560260 ) ( * 1566210 )
       NEW met3 ( 2900990 1560260 ) ( 2917780 * 0 )
-      NEW met1 ( 1307550 1566210 ) ( 2900990 * )
-      NEW met2 ( 1307550 2173620 ) ( 1307810 * )
-      NEW met2 ( 1307810 2173620 ) ( * 2174980 0 )
-      NEW met2 ( 1307550 1566210 ) ( * 2173620 )
+      NEW met1 ( 1305250 1566210 ) ( 2900990 * )
+      NEW met1 ( 1305250 2155770 ) ( 1311230 * )
+      NEW met2 ( 1311230 2155770 ) ( * 2162060 )
+      NEW met2 ( 1310770 2162060 ) ( 1311230 * )
+      NEW met2 ( 1310770 2162060 ) ( * 2174980 )
+      NEW met2 ( 1310770 2174980 ) ( 1310910 * 0 )
+      NEW met2 ( 1305250 1566210 ) ( * 2155770 )
       NEW met1 ( 2900990 1566210 ) M1M2_PR
       NEW met2 ( 2900990 1560260 ) M2M3_PR_M
-      NEW met1 ( 1307550 1566210 ) M1M2_PR ;
+      NEW met1 ( 1305250 1566210 ) M1M2_PR
+      NEW met1 ( 1305250 2155770 ) M1M2_PR
+      NEW met1 ( 1311230 2155770 ) M1M2_PR ;
     - io_out[8] ( PIN io_out[8] ) + USE SIGNAL ;
     - io_out[9] ( PIN io_out[9] ) + USE SIGNAL ;
     - la_data_in[0] ( PIN la_data_in[0] ) + USE SIGNAL ;
diff --git a/gds/flash_array_8x8.gds b/gds/flash_array_8x8.gds
index 60bd751..2c9af1a 100644
--- a/gds/flash_array_8x8.gds
+++ b/gds/flash_array_8x8.gds
Binary files differ
diff --git a/gds/user_project_wrapper.gds b/gds/user_project_wrapper.gds
index 961e80b..4a8aeda 100644
--- a/gds/user_project_wrapper.gds
+++ b/gds/user_project_wrapper.gds
Binary files differ
diff --git a/lef/flash_array_8x8.lef b/lef/flash_array_8x8.lef
index b1eac10..180eada 100644
--- a/lef/flash_array_8x8.lef
+++ b/lef/flash_array_8x8.lef
@@ -69,130 +69,17 @@
         RECT 75.005 -24.120 81.325 -23.890 ;
     END
   END sen1
-  PIN VDD
-    ANTENNADIFFAREA 2.860800 ;
-    PORT
-      LAYER nwell ;
-        RECT 74.825 -19.565 80.945 -16.815 ;
-      LAYER li1 ;
-        RECT 75.640 -18.105 75.810 -17.010 ;
-        RECT 77.080 -18.105 77.250 -17.010 ;
-        RECT 78.520 -18.105 78.690 -17.010 ;
-        RECT 79.960 -18.105 80.130 -17.010 ;
-        RECT 75.005 -18.275 80.765 -18.105 ;
-        RECT 75.640 -19.370 75.810 -18.275 ;
-        RECT 77.080 -19.370 77.250 -18.275 ;
-        RECT 78.520 -19.370 78.690 -18.275 ;
-        RECT 79.960 -19.370 80.130 -18.275 ;
-      LAYER mcon ;
-        RECT 75.640 -18.275 75.810 -18.105 ;
-        RECT 77.080 -18.275 77.250 -18.105 ;
-        RECT 78.520 -18.275 78.690 -18.105 ;
-        RECT 79.960 -18.275 80.130 -18.105 ;
-      LAYER met1 ;
-        RECT 75.005 -18.305 80.765 -18.075 ;
-    END
-  END VDD
-  PIN GND
-    ANTENNADIFFAREA 4.680000 ;
-    PORT
-      LAYER li1 ;
-        RECT 75.855 -13.965 76.025 -13.905 ;
-        RECT 77.295 -13.965 77.465 -13.905 ;
-        RECT 78.735 -13.965 78.905 -13.905 ;
-        RECT 80.175 -13.965 80.345 -13.905 ;
-        RECT 75.835 -14.135 76.025 -13.965 ;
-        RECT 77.275 -14.135 77.465 -13.965 ;
-        RECT 78.715 -14.135 78.905 -13.965 ;
-        RECT 80.155 -14.135 80.345 -13.965 ;
-        RECT 75.855 -14.655 76.025 -14.135 ;
-        RECT 77.295 -14.655 77.465 -14.135 ;
-        RECT 78.735 -14.655 78.905 -14.135 ;
-        RECT 80.175 -14.655 80.345 -14.135 ;
-        RECT 75.560 -15.275 75.890 -15.105 ;
-        RECT 77.000 -15.275 77.330 -15.105 ;
-        RECT 78.440 -15.275 78.770 -15.105 ;
-        RECT 79.880 -15.275 80.210 -15.105 ;
-        RECT 75.640 -15.920 75.810 -15.275 ;
-        RECT 77.080 -15.920 77.250 -15.275 ;
-        RECT 78.520 -15.920 78.690 -15.275 ;
-        RECT 79.960 -15.920 80.130 -15.275 ;
-        RECT 75.640 -21.090 75.810 -20.460 ;
-        RECT 77.080 -21.090 77.250 -20.460 ;
-        RECT 78.520 -21.090 78.690 -20.460 ;
-        RECT 79.960 -21.090 80.130 -20.460 ;
-        RECT 75.560 -21.260 75.890 -21.090 ;
-        RECT 77.000 -21.260 77.330 -21.090 ;
-        RECT 78.440 -21.260 78.770 -21.090 ;
-        RECT 79.880 -21.260 80.210 -21.090 ;
-        RECT 75.425 -22.230 75.595 -21.710 ;
-        RECT 76.865 -22.230 77.035 -21.710 ;
-        RECT 78.305 -22.230 78.475 -21.710 ;
-        RECT 79.745 -22.230 79.915 -21.710 ;
-        RECT 75.425 -22.400 75.615 -22.230 ;
-        RECT 76.865 -22.400 77.055 -22.230 ;
-        RECT 78.305 -22.400 78.495 -22.230 ;
-        RECT 79.745 -22.400 79.935 -22.230 ;
-        RECT 75.425 -22.460 75.595 -22.400 ;
-        RECT 76.865 -22.460 77.035 -22.400 ;
-        RECT 78.305 -22.460 78.475 -22.400 ;
-        RECT 79.745 -22.460 79.915 -22.400 ;
-      LAYER mcon ;
-        RECT 75.835 -14.135 76.005 -13.965 ;
-        RECT 77.275 -14.135 77.445 -13.965 ;
-        RECT 78.715 -14.135 78.885 -13.965 ;
-        RECT 80.155 -14.135 80.325 -13.965 ;
-        RECT 75.640 -15.275 75.810 -15.105 ;
-        RECT 77.080 -15.275 77.250 -15.105 ;
-        RECT 78.520 -15.275 78.690 -15.105 ;
-        RECT 79.960 -15.275 80.130 -15.105 ;
-        RECT 75.640 -21.260 75.810 -21.090 ;
-        RECT 77.080 -21.260 77.250 -21.090 ;
-        RECT 78.520 -21.260 78.690 -21.090 ;
-        RECT 79.960 -21.260 80.130 -21.090 ;
-        RECT 75.445 -22.400 75.615 -22.230 ;
-        RECT 76.885 -22.400 77.055 -22.230 ;
-        RECT 78.325 -22.400 78.495 -22.230 ;
-        RECT 79.765 -22.400 79.935 -22.230 ;
-      LAYER met1 ;
-        RECT 75.805 -14.195 76.035 -13.905 ;
-        RECT 77.245 -14.195 77.475 -13.905 ;
-        RECT 78.685 -14.195 78.915 -13.905 ;
-        RECT 80.125 -14.195 80.355 -13.905 ;
-        RECT 75.805 -15.075 75.945 -14.195 ;
-        RECT 77.245 -15.075 77.385 -14.195 ;
-        RECT 78.685 -15.075 78.825 -14.195 ;
-        RECT 80.125 -15.075 80.265 -14.195 ;
-        RECT 75.580 -15.120 75.945 -15.075 ;
-        RECT 77.020 -15.120 77.385 -15.075 ;
-        RECT 78.460 -15.120 78.825 -15.075 ;
-        RECT 79.900 -15.120 80.265 -15.075 ;
-        RECT 75.005 -15.305 81.045 -15.120 ;
-        RECT 80.905 -21.060 81.045 -15.305 ;
-        RECT 75.005 -21.245 81.045 -21.060 ;
-        RECT 75.505 -21.290 75.870 -21.245 ;
-        RECT 76.945 -21.290 77.310 -21.245 ;
-        RECT 78.385 -21.290 78.750 -21.245 ;
-        RECT 79.825 -21.290 80.190 -21.245 ;
-        RECT 75.505 -22.170 75.645 -21.290 ;
-        RECT 76.945 -22.170 77.085 -21.290 ;
-        RECT 78.385 -22.170 78.525 -21.290 ;
-        RECT 79.825 -22.170 79.965 -21.290 ;
-        RECT 75.415 -22.460 75.645 -22.170 ;
-        RECT 76.855 -22.460 77.085 -22.170 ;
-        RECT 78.295 -22.460 78.525 -22.170 ;
-        RECT 79.735 -22.460 79.965 -22.170 ;
-    END
-  END GND
   PIN BL[7]
     ANTENNAGATEAREA 0.126000 ;
     ANTENNADIFFAREA 0.273000 ;
     PORT
       LAYER li1 ;
-        RECT 80.320 -2.240 80.490 -2.070 ;
-        RECT 80.320 -8.900 80.490 -8.730 ;
+        RECT 80.240 -2.240 80.570 -2.070 ;
+        RECT 80.240 -8.900 80.570 -8.730 ;
         RECT 80.085 -13.735 80.415 -13.565 ;
       LAYER mcon ;
+        RECT 80.320 -2.240 80.490 -2.070 ;
+        RECT 80.320 -8.900 80.490 -8.730 ;
         RECT 80.165 -13.735 80.335 -13.565 ;
       LAYER met1 ;
         RECT 80.320 -2.040 80.490 -1.905 ;
@@ -218,10 +105,12 @@
     ANTENNADIFFAREA 0.273000 ;
     PORT
       LAYER li1 ;
-        RECT 79.600 -2.240 79.770 -2.070 ;
-        RECT 79.600 -8.900 79.770 -8.730 ;
+        RECT 79.520 -2.240 79.850 -2.070 ;
+        RECT 79.520 -8.900 79.850 -8.730 ;
         RECT 79.675 -22.800 80.005 -22.630 ;
       LAYER mcon ;
+        RECT 79.600 -2.240 79.770 -2.070 ;
+        RECT 79.600 -8.900 79.770 -8.730 ;
         RECT 79.755 -22.800 79.925 -22.630 ;
       LAYER met1 ;
         RECT 79.600 -2.040 79.770 -1.905 ;
@@ -247,10 +136,12 @@
     ANTENNADIFFAREA 0.273000 ;
     PORT
       LAYER li1 ;
-        RECT 78.880 -2.240 79.050 -2.070 ;
-        RECT 78.880 -8.900 79.050 -8.730 ;
+        RECT 78.800 -2.240 79.130 -2.070 ;
+        RECT 78.800 -8.900 79.130 -8.730 ;
         RECT 78.645 -13.735 78.975 -13.565 ;
       LAYER mcon ;
+        RECT 78.880 -2.240 79.050 -2.070 ;
+        RECT 78.880 -8.900 79.050 -8.730 ;
         RECT 78.725 -13.735 78.895 -13.565 ;
       LAYER met1 ;
         RECT 78.880 -2.040 79.050 -1.905 ;
@@ -276,10 +167,12 @@
     ANTENNADIFFAREA 0.273000 ;
     PORT
       LAYER li1 ;
-        RECT 78.160 -2.240 78.330 -2.070 ;
-        RECT 78.160 -8.900 78.330 -8.730 ;
+        RECT 78.080 -2.240 78.410 -2.070 ;
+        RECT 78.080 -8.900 78.410 -8.730 ;
         RECT 78.235 -22.800 78.565 -22.630 ;
       LAYER mcon ;
+        RECT 78.160 -2.240 78.330 -2.070 ;
+        RECT 78.160 -8.900 78.330 -8.730 ;
         RECT 78.315 -22.800 78.485 -22.630 ;
       LAYER met1 ;
         RECT 78.160 -2.040 78.330 -1.905 ;
@@ -305,10 +198,12 @@
     ANTENNADIFFAREA 0.273000 ;
     PORT
       LAYER li1 ;
-        RECT 77.440 -2.240 77.610 -2.070 ;
-        RECT 77.440 -8.900 77.610 -8.730 ;
+        RECT 77.360 -2.240 77.690 -2.070 ;
+        RECT 77.360 -8.900 77.690 -8.730 ;
         RECT 77.205 -13.735 77.535 -13.565 ;
       LAYER mcon ;
+        RECT 77.440 -2.240 77.610 -2.070 ;
+        RECT 77.440 -8.900 77.610 -8.730 ;
         RECT 77.285 -13.735 77.455 -13.565 ;
       LAYER met1 ;
         RECT 77.440 -2.040 77.610 -1.905 ;
@@ -334,10 +229,12 @@
     ANTENNADIFFAREA 0.273000 ;
     PORT
       LAYER li1 ;
-        RECT 76.720 -2.240 76.890 -2.070 ;
-        RECT 76.720 -8.900 76.890 -8.730 ;
+        RECT 76.640 -2.240 76.970 -2.070 ;
+        RECT 76.640 -8.900 76.970 -8.730 ;
         RECT 76.795 -22.800 77.125 -22.630 ;
       LAYER mcon ;
+        RECT 76.720 -2.240 76.890 -2.070 ;
+        RECT 76.720 -8.900 76.890 -8.730 ;
         RECT 76.875 -22.800 77.045 -22.630 ;
       LAYER met1 ;
         RECT 76.720 -2.040 76.890 -1.905 ;
@@ -363,10 +260,12 @@
     ANTENNADIFFAREA 0.273000 ;
     PORT
       LAYER li1 ;
-        RECT 76.000 -2.240 76.170 -2.070 ;
-        RECT 76.000 -8.900 76.170 -8.730 ;
+        RECT 75.920 -2.240 76.250 -2.070 ;
+        RECT 75.920 -8.900 76.250 -8.730 ;
         RECT 75.765 -13.735 76.095 -13.565 ;
       LAYER mcon ;
+        RECT 76.000 -2.240 76.170 -2.070 ;
+        RECT 76.000 -8.900 76.170 -8.730 ;
         RECT 75.845 -13.735 76.015 -13.565 ;
       LAYER met1 ;
         RECT 76.000 -2.040 76.170 -1.905 ;
@@ -392,10 +291,12 @@
     ANTENNADIFFAREA 0.273000 ;
     PORT
       LAYER li1 ;
-        RECT 75.280 -2.240 75.450 -2.070 ;
-        RECT 75.280 -8.900 75.450 -8.730 ;
+        RECT 75.200 -2.240 75.530 -2.070 ;
+        RECT 75.200 -8.900 75.530 -8.730 ;
         RECT 75.355 -22.800 75.685 -22.630 ;
       LAYER mcon ;
+        RECT 75.280 -2.240 75.450 -2.070 ;
+        RECT 75.280 -8.900 75.450 -8.730 ;
         RECT 75.435 -22.800 75.605 -22.630 ;
       LAYER met1 ;
         RECT 75.280 -2.040 75.450 -1.905 ;
@@ -417,24 +318,21 @@
     END
   END BL[0]
   PIN VBPW
-    ANTENNADIFFAREA 8.916200 ;
+    ANTENNADIFFAREA 8.913650 ;
     PORT
       LAYER li1 ;
+        RECT 74.090 -1.730 81.670 -1.560 ;
+        RECT 81.340 -3.260 81.670 -3.090 ;
+        RECT 74.090 -3.690 74.420 -3.520 ;
+        RECT 81.340 -4.120 81.670 -3.950 ;
+        RECT 74.090 -4.550 74.420 -4.380 ;
+        RECT 74.090 -6.590 74.420 -6.420 ;
+        RECT 81.340 -7.020 81.670 -6.850 ;
+        RECT 74.090 -7.450 74.420 -7.280 ;
+        RECT 81.340 -7.880 81.670 -7.710 ;
+        RECT 74.105 -9.410 81.670 -9.240 ;
+      LAYER mcon ;
         RECT 74.170 -1.730 74.340 -1.560 ;
-        RECT 74.565 -1.730 74.735 -1.560 ;
-        RECT 75.280 -1.730 75.450 -1.560 ;
-        RECT 75.640 -1.730 75.810 -1.560 ;
-        RECT 76.000 -1.730 76.170 -1.560 ;
-        RECT 76.720 -1.730 76.890 -1.560 ;
-        RECT 77.080 -1.730 77.250 -1.560 ;
-        RECT 77.440 -1.730 77.610 -1.560 ;
-        RECT 78.160 -1.730 78.330 -1.560 ;
-        RECT 78.520 -1.730 78.690 -1.560 ;
-        RECT 78.880 -1.730 79.050 -1.560 ;
-        RECT 79.600 -1.730 79.770 -1.560 ;
-        RECT 79.960 -1.730 80.130 -1.560 ;
-        RECT 80.320 -1.730 80.490 -1.560 ;
-        RECT 81.040 -1.730 81.210 -1.560 ;
         RECT 81.420 -1.730 81.590 -1.560 ;
         RECT 81.420 -3.260 81.590 -3.090 ;
         RECT 74.170 -3.690 74.340 -3.520 ;
@@ -444,21 +342,7 @@
         RECT 81.420 -7.020 81.590 -6.850 ;
         RECT 74.170 -7.450 74.340 -7.280 ;
         RECT 81.420 -7.880 81.590 -7.710 ;
-        RECT 74.170 -9.410 74.340 -9.240 ;
-        RECT 74.565 -9.410 74.735 -9.240 ;
-        RECT 75.280 -9.410 75.450 -9.240 ;
-        RECT 75.640 -9.410 75.810 -9.240 ;
-        RECT 76.000 -9.410 76.170 -9.240 ;
-        RECT 76.720 -9.410 76.890 -9.240 ;
-        RECT 77.080 -9.410 77.250 -9.240 ;
-        RECT 77.440 -9.410 77.610 -9.240 ;
-        RECT 78.160 -9.410 78.330 -9.240 ;
-        RECT 78.520 -9.410 78.690 -9.240 ;
-        RECT 78.880 -9.410 79.050 -9.240 ;
-        RECT 79.600 -9.410 79.770 -9.240 ;
-        RECT 79.960 -9.410 80.130 -9.240 ;
-        RECT 80.320 -9.410 80.490 -9.240 ;
-        RECT 81.040 -9.410 81.210 -9.240 ;
+        RECT 74.185 -9.410 74.355 -9.240 ;
         RECT 81.420 -9.410 81.590 -9.240 ;
       LAYER met1 ;
         RECT 74.110 -1.760 74.400 -1.530 ;
@@ -477,11 +361,12 @@
         RECT 81.420 -6.820 81.590 -4.150 ;
         RECT 81.390 -7.050 81.620 -6.820 ;
         RECT 74.140 -7.480 74.370 -7.250 ;
-        RECT 74.170 -9.210 74.340 -7.480 ;
+        RECT 74.170 -8.010 74.340 -7.480 ;
         RECT 81.420 -7.680 81.590 -7.050 ;
         RECT 81.390 -7.910 81.620 -7.680 ;
+        RECT 74.185 -9.210 74.355 -8.010 ;
         RECT 81.420 -9.210 81.590 -7.910 ;
-        RECT 74.110 -9.440 74.400 -9.210 ;
+        RECT 74.125 -9.440 74.415 -9.210 ;
         RECT 81.360 -9.440 81.650 -9.210 ;
     END
   END VBPW
@@ -489,7 +374,7 @@
     ANTENNAGATEAREA 1.680000 ;
     PORT
       LAYER li1 ;
-        RECT 80.940 -8.515 81.110 -8.345 ;
+        RECT 80.860 -8.515 81.190 -8.345 ;
     END
   END SSL[1]
   PIN out[6]
@@ -524,91 +409,84 @@
     ANTENNAGATEAREA 0.792000 ;
     PORT
       LAYER li1 ;
-        RECT 80.940 -7.450 81.110 -7.280 ;
+        RECT 80.860 -7.450 81.190 -7.280 ;
     END
   END WL1[1]
   PIN WL1[0]
     ANTENNAGATEAREA 0.792000 ;
     PORT
       LAYER li1 ;
-        RECT 74.660 -7.880 74.830 -7.710 ;
+        RECT 74.580 -7.880 74.910 -7.710 ;
     END
   END WL1[0]
   PIN WL1[3]
     ANTENNAGATEAREA 0.792000 ;
     PORT
       LAYER li1 ;
-        RECT 80.940 -6.590 81.110 -6.420 ;
+        RECT 80.860 -6.590 81.190 -6.420 ;
     END
   END WL1[3]
   PIN WL1[2]
     ANTENNAGATEAREA 0.792000 ;
     PORT
       LAYER li1 ;
-        RECT 74.660 -7.020 74.830 -6.850 ;
+        RECT 74.580 -7.020 74.910 -6.850 ;
     END
   END WL1[2]
   PIN SL
     ANTENNADIFFAREA 1.344000 ;
     PORT
       LAYER li1 ;
-        RECT 75.280 -5.570 75.450 -5.400 ;
-        RECT 76.000 -5.570 76.170 -5.400 ;
-        RECT 76.720 -5.570 76.890 -5.400 ;
-        RECT 77.440 -5.570 77.610 -5.400 ;
-        RECT 78.160 -5.570 78.330 -5.400 ;
-        RECT 78.880 -5.570 79.050 -5.400 ;
-        RECT 79.600 -5.570 79.770 -5.400 ;
-        RECT 80.320 -5.570 80.490 -5.400 ;
+        RECT 75.005 -5.570 80.765 -5.400 ;
     END
   END SL
   PIN GSL[1]
     ANTENNAGATEAREA 1.680000 ;
     PORT
       LAYER li1 ;
-        RECT 74.615 -5.905 74.785 -5.735 ;
+        RECT 74.535 -5.905 74.865 -5.735 ;
     END
   END GSL[1]
   PIN GSL[0]
     ANTENNAGATEAREA 1.680000 ;
     PORT
       LAYER li1 ;
-        RECT 74.615 -5.235 74.785 -5.065 ;
+        RECT 74.535 -5.235 74.865 -5.065 ;
     END
   END GSL[0]
   PIN WL0[3]
     ANTENNAGATEAREA 0.792000 ;
     PORT
       LAYER li1 ;
-        RECT 80.940 -4.550 81.110 -4.380 ;
+        RECT 80.860 -4.550 81.190 -4.380 ;
     END
   END WL0[3]
   PIN WL0[2]
     ANTENNAGATEAREA 0.792000 ;
     PORT
       LAYER li1 ;
-        RECT 74.660 -4.120 74.830 -3.950 ;
+        RECT 74.580 -4.120 74.910 -3.950 ;
     END
   END WL0[2]
   PIN WL0[1]
     ANTENNAGATEAREA 0.792000 ;
     PORT
       LAYER li1 ;
-        RECT 80.940 -3.690 81.110 -3.520 ;
+        RECT 80.860 -3.690 81.190 -3.520 ;
     END
   END WL0[1]
   PIN WL0[0]
     ANTENNAGATEAREA 0.792000 ;
     PORT
       LAYER li1 ;
-        RECT 74.660 -3.260 74.830 -3.090 ;
+        RECT 74.580 -3.260 74.910 -3.090 ;
     END
   END WL0[0]
   PIN SSL[0]
     ANTENNAGATEAREA 1.680000 ;
     PORT
       LAYER li1 ;
-        RECT 80.940 -2.625 81.110 -2.455 ;
+        RECT 80.860 -2.625 81.190 -2.455 ;
     END
   END SSL[0]
   PIN out[7]
@@ -763,6 +641,53 @@
     DIRECTION INOUT ;
     USE POWER ;
     PORT
+      LAYER nwell ;
+        RECT 74.840 -19.565 80.945 -16.815 ;
+      LAYER li1 ;
+        RECT 75.640 -18.105 75.810 -17.010 ;
+        RECT 77.080 -18.105 77.250 -17.010 ;
+        RECT 78.520 -18.105 78.690 -17.010 ;
+        RECT 79.960 -18.105 80.130 -17.010 ;
+        RECT 75.005 -18.275 80.765 -18.105 ;
+        RECT 75.640 -19.370 75.810 -18.275 ;
+        RECT 77.080 -19.370 77.250 -18.275 ;
+        RECT 78.520 -19.370 78.690 -18.275 ;
+        RECT 79.960 -19.370 80.130 -18.275 ;
+      LAYER mcon ;
+        RECT 75.640 -18.275 75.810 -18.105 ;
+        RECT 77.080 -18.275 77.250 -18.105 ;
+        RECT 78.520 -18.275 78.690 -18.105 ;
+        RECT 79.960 -18.275 80.130 -18.105 ;
+      LAYER met1 ;
+        RECT 74.615 -18.075 74.935 -18.060 ;
+        RECT 74.615 -18.305 80.765 -18.075 ;
+        RECT 74.615 -18.320 74.935 -18.305 ;
+      LAYER via ;
+        RECT 74.645 -18.320 74.905 -18.060 ;
+      LAYER met2 ;
+        RECT 38.685 -18.120 41.785 -18.050 ;
+        RECT 74.615 -18.120 74.935 -18.060 ;
+        RECT 38.685 -18.260 74.935 -18.120 ;
+        RECT 38.685 -18.330 41.785 -18.260 ;
+        RECT 74.615 -18.320 74.935 -18.260 ;
+      LAYER via2 ;
+        RECT 39.060 -18.330 39.340 -18.050 ;
+        RECT 39.460 -18.330 39.740 -18.050 ;
+        RECT 39.860 -18.330 40.140 -18.050 ;
+        RECT 40.260 -18.330 40.540 -18.050 ;
+        RECT 40.660 -18.330 40.940 -18.050 ;
+        RECT 41.060 -18.330 41.340 -18.050 ;
+        RECT 41.460 -18.330 41.740 -18.050 ;
+      LAYER met3 ;
+        RECT 38.685 -18.390 41.785 -17.990 ;
+      LAYER via3 ;
+        RECT 39.040 -18.350 39.360 -18.030 ;
+        RECT 39.440 -18.350 39.760 -18.030 ;
+        RECT 39.840 -18.350 40.160 -18.030 ;
+        RECT 40.240 -18.350 40.560 -18.030 ;
+        RECT 40.640 -18.350 40.960 -18.030 ;
+        RECT 41.040 -18.350 41.360 -18.030 ;
+        RECT 41.440 -18.350 41.760 -18.030 ;
       LAYER met4 ;
         RECT 38.685 -100.000 41.785 400.000 ;
     END
@@ -771,6 +696,112 @@
     DIRECTION INOUT ;
     USE GROUND ;
     PORT
+      LAYER li1 ;
+        RECT 75.855 -13.965 76.025 -13.905 ;
+        RECT 77.295 -13.965 77.465 -13.905 ;
+        RECT 78.735 -13.965 78.905 -13.905 ;
+        RECT 80.175 -13.965 80.345 -13.905 ;
+        RECT 75.835 -14.135 76.025 -13.965 ;
+        RECT 77.275 -14.135 77.465 -13.965 ;
+        RECT 78.715 -14.135 78.905 -13.965 ;
+        RECT 80.155 -14.135 80.345 -13.965 ;
+        RECT 75.855 -14.655 76.025 -14.135 ;
+        RECT 77.295 -14.655 77.465 -14.135 ;
+        RECT 78.735 -14.655 78.905 -14.135 ;
+        RECT 80.175 -14.655 80.345 -14.135 ;
+        RECT 75.560 -15.275 75.890 -15.105 ;
+        RECT 77.000 -15.275 77.330 -15.105 ;
+        RECT 78.440 -15.275 78.770 -15.105 ;
+        RECT 79.880 -15.275 80.210 -15.105 ;
+        RECT 75.640 -15.920 75.810 -15.275 ;
+        RECT 77.080 -15.920 77.250 -15.275 ;
+        RECT 78.520 -15.920 78.690 -15.275 ;
+        RECT 79.960 -15.920 80.130 -15.275 ;
+        RECT 75.640 -21.090 75.810 -20.460 ;
+        RECT 77.080 -21.090 77.250 -20.460 ;
+        RECT 78.520 -21.090 78.690 -20.460 ;
+        RECT 79.960 -21.090 80.130 -20.460 ;
+        RECT 75.560 -21.260 75.890 -21.090 ;
+        RECT 77.000 -21.260 77.330 -21.090 ;
+        RECT 78.440 -21.260 78.770 -21.090 ;
+        RECT 79.880 -21.260 80.210 -21.090 ;
+        RECT 75.425 -22.230 75.595 -21.710 ;
+        RECT 76.865 -22.230 77.035 -21.710 ;
+        RECT 78.305 -22.230 78.475 -21.710 ;
+        RECT 79.745 -22.230 79.915 -21.710 ;
+        RECT 75.425 -22.400 75.615 -22.230 ;
+        RECT 76.865 -22.400 77.055 -22.230 ;
+        RECT 78.305 -22.400 78.495 -22.230 ;
+        RECT 79.745 -22.400 79.935 -22.230 ;
+        RECT 75.425 -22.460 75.595 -22.400 ;
+        RECT 76.865 -22.460 77.035 -22.400 ;
+        RECT 78.305 -22.460 78.475 -22.400 ;
+        RECT 79.745 -22.460 79.915 -22.400 ;
+      LAYER mcon ;
+        RECT 75.835 -14.135 76.005 -13.965 ;
+        RECT 77.275 -14.135 77.445 -13.965 ;
+        RECT 78.715 -14.135 78.885 -13.965 ;
+        RECT 80.155 -14.135 80.325 -13.965 ;
+        RECT 75.640 -15.275 75.810 -15.105 ;
+        RECT 77.080 -15.275 77.250 -15.105 ;
+        RECT 78.520 -15.275 78.690 -15.105 ;
+        RECT 79.960 -15.275 80.130 -15.105 ;
+        RECT 75.640 -21.260 75.810 -21.090 ;
+        RECT 77.080 -21.260 77.250 -21.090 ;
+        RECT 78.520 -21.260 78.690 -21.090 ;
+        RECT 79.960 -21.260 80.130 -21.090 ;
+        RECT 75.445 -22.400 75.615 -22.230 ;
+        RECT 76.885 -22.400 77.055 -22.230 ;
+        RECT 78.325 -22.400 78.495 -22.230 ;
+        RECT 79.765 -22.400 79.935 -22.230 ;
+      LAYER met1 ;
+        RECT 75.805 -14.195 76.035 -13.905 ;
+        RECT 77.245 -14.195 77.475 -13.905 ;
+        RECT 78.685 -14.195 78.915 -13.905 ;
+        RECT 80.125 -14.195 80.355 -13.905 ;
+        RECT 75.805 -15.075 75.945 -14.195 ;
+        RECT 77.245 -15.075 77.385 -14.195 ;
+        RECT 78.685 -15.075 78.825 -14.195 ;
+        RECT 80.125 -15.075 80.265 -14.195 ;
+        RECT 74.615 -15.120 74.935 -15.085 ;
+        RECT 75.580 -15.120 75.945 -15.075 ;
+        RECT 77.020 -15.120 77.385 -15.075 ;
+        RECT 78.460 -15.120 78.825 -15.075 ;
+        RECT 79.900 -15.120 80.265 -15.075 ;
+        RECT 74.615 -15.305 81.045 -15.120 ;
+        RECT 74.615 -15.345 74.935 -15.305 ;
+        RECT 80.905 -21.060 81.045 -15.305 ;
+        RECT 75.005 -21.245 81.045 -21.060 ;
+        RECT 75.505 -21.290 75.870 -21.245 ;
+        RECT 76.945 -21.290 77.310 -21.245 ;
+        RECT 78.385 -21.290 78.750 -21.245 ;
+        RECT 79.825 -21.290 80.190 -21.245 ;
+        RECT 75.505 -22.170 75.645 -21.290 ;
+        RECT 76.945 -22.170 77.085 -21.290 ;
+        RECT 78.385 -22.170 78.525 -21.290 ;
+        RECT 79.825 -22.170 79.965 -21.290 ;
+        RECT 75.415 -22.460 75.645 -22.170 ;
+        RECT 76.855 -22.460 77.085 -22.170 ;
+        RECT 78.295 -22.460 78.525 -22.170 ;
+        RECT 79.735 -22.460 79.965 -22.170 ;
+      LAYER via ;
+        RECT 74.645 -15.345 74.905 -15.085 ;
+      LAYER met2 ;
+        RECT 74.565 -15.355 74.935 -15.075 ;
+      LAYER via2 ;
+        RECT 74.610 -15.355 74.890 -15.075 ;
+      LAYER met3 ;
+        RECT 128.685 -15.050 131.785 -15.015 ;
+        RECT 74.585 -15.380 131.785 -15.050 ;
+        RECT 128.685 -15.415 131.785 -15.380 ;
+      LAYER via3 ;
+        RECT 128.690 -15.375 129.010 -15.055 ;
+        RECT 129.090 -15.375 129.410 -15.055 ;
+        RECT 129.490 -15.375 129.810 -15.055 ;
+        RECT 129.890 -15.375 130.210 -15.055 ;
+        RECT 130.290 -15.375 130.610 -15.055 ;
+        RECT 130.690 -15.375 131.010 -15.055 ;
+        RECT 131.090 -15.375 131.410 -15.055 ;
       LAYER met4 ;
         RECT 128.685 -100.000 131.785 400.000 ;
     END
@@ -778,18 +809,20 @@
   OBS
       LAYER nwell ;
         RECT 72.490 -1.430 83.280 0.000 ;
-        RECT 72.490 -9.540 73.920 -1.430 ;
+        RECT 72.490 -8.010 73.920 -1.430 ;
+        RECT 72.505 -8.140 73.920 -8.010 ;
+        RECT 72.505 -9.540 73.935 -8.140 ;
         RECT 81.850 -9.540 83.280 -1.430 ;
-        RECT 72.490 -10.970 83.280 -9.540 ;
+        RECT 72.505 -10.970 83.280 -9.540 ;
       LAYER li1 ;
-        RECT 75.650 -0.350 75.820 -0.180 ;
-        RECT 77.090 -0.350 77.260 -0.180 ;
-        RECT 78.530 -0.350 78.700 -0.180 ;
-        RECT 79.970 -0.350 80.140 -0.180 ;
-        RECT 75.650 -10.790 75.820 -10.620 ;
-        RECT 77.090 -10.790 77.260 -10.620 ;
-        RECT 78.530 -10.790 78.700 -10.620 ;
-        RECT 79.970 -10.790 80.140 -10.620 ;
+        RECT 75.570 -0.350 75.900 -0.180 ;
+        RECT 77.010 -0.350 77.340 -0.180 ;
+        RECT 78.450 -0.350 78.780 -0.180 ;
+        RECT 79.890 -0.350 80.220 -0.180 ;
+        RECT 75.570 -10.790 75.900 -10.620 ;
+        RECT 77.010 -10.790 77.340 -10.620 ;
+        RECT 78.450 -10.790 78.780 -10.620 ;
+        RECT 79.890 -10.790 80.220 -10.620 ;
         RECT 76.190 -11.455 76.360 -11.330 ;
         RECT 77.630 -11.455 77.800 -11.330 ;
         RECT 79.070 -11.455 79.240 -11.330 ;
diff --git a/lef/user_project_wrapper.lef b/lef/user_project_wrapper.lef
index d06b18a..0614f32 100644
--- a/lef/user_project_wrapper.lef
+++ b/lef/user_project_wrapper.lef
@@ -6409,7 +6409,7 @@
   END wbs_we_i
   OBS
       LAYER li1 ;
-        RECT 1299.185 2159.765 1310.395 2201.075 ;
+        RECT 1302.405 2159.765 1313.615 2201.075 ;
       LAYER met1 ;
         RECT 17.090 34.040 2901.150 3502.980 ;
       LAYER met2 ;
@@ -6663,12 +6663,6 @@
         RECT 2.400 33.980 2917.600 95.900 ;
         RECT 2.400 33.300 2917.200 33.980 ;
         RECT 2.800 32.815 2917.200 33.300 ;
-      LAYER met4 ;
-        RECT 1265.870 2100.000 1268.570 2600.000 ;
-        RECT 1272.470 2100.000 1287.170 2600.000 ;
-        RECT 1291.070 2100.000 1305.770 2600.000 ;
-        RECT 1309.670 2100.000 1324.370 2600.000 ;
-        RECT 1328.270 2100.000 1358.570 2600.000 ;
   END
 END user_project_wrapper
 END LIBRARY
diff --git a/mag/user_project_wrapper.mag b/mag/user_project_wrapper.mag
index 3db76b1..b81c9e6 100644
--- a/mag/user_project_wrapper.mag
+++ b/mag/user_project_wrapper.mag
@@ -1,97 +1,112 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1644356930
+timestamp 1644953978
 << locali >>
-rect 260113 439382 260147 440181
-rect 261953 439509 261987 440181
-rect 261648 439475 261987 439509
-rect 260113 439348 260386 439382
-rect 261648 439262 261987 439296
-rect 259837 439176 260386 439210
-rect 259837 437291 259871 439176
-rect 260360 438974 260394 439093
-rect 261648 439090 261895 439124
-rect 260849 438889 260958 438923
-rect 260360 438719 260394 438836
-rect 259929 438596 260386 438630
-rect 259929 435931 259963 438596
-rect 260113 438424 260386 438458
-rect 260113 435863 260147 438424
-rect 260849 438331 260883 438889
-rect 261861 438787 261895 439090
-rect 261953 438855 261987 439262
-rect 261769 438716 262079 438719
-rect 261648 438685 262079 438716
-rect 261648 438682 261803 438685
-rect 261861 438544 261987 438549
-rect 261648 438515 261987 438544
-rect 261648 438510 261895 438515
-rect 260389 438297 260883 438331
-rect 261625 438328 261659 438413
-rect 260389 438243 260423 438297
-rect 261953 435047 261987 438515
-rect 260558 434989 260699 435023
-rect 260846 434989 260975 435023
-rect 261134 434989 261251 435023
-rect 261422 434989 261803 435023
-rect 260665 431987 260699 434989
-rect 260941 432123 260975 434989
-rect 261217 432055 261251 434989
-rect 261769 431987 261803 434989
-rect 262045 434775 262079 438685
+rect 260849 439875 260883 440113
+rect 260757 439841 260883 439875
+rect 260757 439603 260791 439841
+rect 260941 439654 260975 439773
+rect 260757 439569 261007 439603
+rect 260973 439382 261007 439569
+rect 262261 439552 262447 439586
+rect 262261 439492 262295 439552
+rect 262413 439509 262447 439552
+rect 262689 439509 262723 440181
+rect 262413 439475 262723 439509
+rect 262229 439280 262263 439331
+rect 260849 439195 260990 439210
+rect 260481 439176 260990 439195
+rect 260481 439161 260883 439176
+rect 260481 436611 260515 439161
+rect 260996 438974 261030 439093
+rect 262292 439090 262539 439124
+rect 260996 438719 261030 438836
+rect 260849 438617 260990 438630
+rect 260573 438596 260990 438617
+rect 260573 438583 260883 438596
+rect 260573 435931 260607 438583
+rect 261005 438441 261039 438510
+rect 261125 438345 261159 438906
+rect 262505 438787 262539 439090
+rect 262261 438753 262447 438787
+rect 262261 438702 262295 438753
+rect 262413 438719 262447 438753
+rect 262413 438685 262631 438719
+rect 262292 438510 262539 438544
+rect 260849 438311 261159 438345
+rect 260849 438243 260883 438311
+rect 260757 438209 260883 438243
+rect 262229 438243 262263 438314
+rect 260757 438039 260791 438209
+rect 260757 438005 260883 438039
+rect 260849 436815 260883 438005
+rect 260941 435863 260975 437801
+rect 262505 435047 262539 438510
+rect 261178 434989 261251 435023
+rect 261466 434989 261527 435023
+rect 261754 434989 261895 435023
+rect 262042 434989 262171 435023
+rect 261217 432327 261251 434989
+rect 261493 432123 261527 434989
+rect 261861 431987 261895 434989
+rect 262137 432055 262171 434989
+rect 262597 434843 262631 438685
 << viali >>
-rect 260113 440181 260147 440215
-rect 261953 440181 261987 440215
-rect 260360 439093 260394 439127
-rect 260360 438685 260394 438719
-rect 259837 437257 259871 437291
-rect 259929 435897 259963 435931
-rect 261953 438821 261987 438855
-rect 261861 438753 261895 438787
-rect 261625 438413 261659 438447
-rect 260389 438209 260423 438243
-rect 260113 435829 260147 435863
-rect 261953 435013 261987 435047
-rect 260941 432089 260975 432123
-rect 261217 432021 261251 432055
-rect 260665 431953 260699 431987
-rect 262045 434741 262079 434775
-rect 261769 431953 261803 431987
+rect 262689 440181 262723 440215
+rect 260849 440113 260883 440147
+rect 260941 439773 260975 439807
+rect 262229 439331 262263 439365
+rect 260996 439093 261030 439127
+rect 260996 438685 261030 438719
+rect 260481 436577 260515 436611
+rect 261005 438510 261039 438544
+rect 262505 438753 262539 438787
+rect 262229 438209 262263 438243
+rect 260849 436781 260883 436815
+rect 260941 437801 260975 437835
+rect 260573 435897 260607 435931
+rect 260941 435829 260975 435863
+rect 262505 435013 262539 435047
+rect 261217 432293 261251 432327
+rect 261493 432089 261527 432123
+rect 262597 434809 262631 434843
+rect 262137 432021 262171 432055
+rect 261861 431953 261895 431987
 << metal1 >>
-rect 260190 700544 260196 700596
-rect 260248 700584 260254 700596
+rect 266998 700544 267004 700596
+rect 267056 700584 267062 700596
 rect 316310 700584 316316 700596
-rect 260248 700556 316316 700584
-rect 260248 700544 260254 700556
+rect 267056 700556 316316 700584
+rect 267056 700544 267062 700556
 rect 316310 700544 316316 700556
 rect 316368 700544 316374 700596
-rect 260374 700476 260380 700528
-rect 260432 700516 260438 700528
+rect 261478 700476 261484 700528
+rect 261536 700516 261542 700528
 rect 381170 700516 381176 700528
-rect 260432 700488 381176 700516
-rect 260432 700476 260438 700488
+rect 261536 700488 381176 700516
+rect 261536 700476 261542 700488
 rect 381170 700476 381176 700488
 rect 381228 700476 381234 700528
-rect 260282 700408 260288 700460
-rect 260340 700448 260346 700460
+rect 261846 700408 261852 700460
+rect 261904 700448 261910 700460
 rect 446122 700448 446128 700460
-rect 260340 700420 446128 700448
-rect 260340 700408 260346 700420
+rect 261904 700420 446128 700448
+rect 261904 700408 261910 700420
 rect 446122 700408 446128 700420
 rect 446180 700408 446186 700460
-rect 262122 700340 262128 700392
-rect 262180 700380 262186 700392
+rect 263502 700340 263508 700392
+rect 263560 700380 263566 700392
 rect 510982 700380 510988 700392
-rect 262180 700352 510988 700380
-rect 262180 700340 262186 700352
+rect 263560 700352 510988 700380
+rect 263560 700340 263566 700352
 rect 510982 700340 510988 700352
 rect 511040 700340 511046 700392
-rect 262030 700272 262036 700324
-rect 262088 700312 262094 700324
+rect 263410 700272 263416 700324
+rect 263468 700312 263474 700324
 rect 575842 700312 575848 700324
-rect 262088 700284 575848 700312
-rect 262088 700272 262094 700284
+rect 263468 700284 575848 700312
+rect 263468 700272 263474 700284
 rect 575842 700272 575848 700284
 rect 575900 700272 575906 700324
 rect 56778 700136 56784 700188
@@ -150,348 +165,379 @@
 rect 261168 496816 261174 496828
 rect 580166 496816 580172 496828
 rect 580224 496816 580230 496868
-rect 261294 444388 261300 444440
-rect 261352 444428 261358 444440
+rect 261110 445204 261116 445256
+rect 261168 445244 261174 445256
+rect 261846 445244 261852 445256
+rect 261168 445216 261852 445244
+rect 261168 445204 261174 445216
+rect 261846 445204 261852 445216
+rect 261904 445204 261910 445256
+rect 261938 444388 261944 444440
+rect 261996 444428 262002 444440
 rect 580166 444428 580172 444440
-rect 261352 444400 580172 444428
-rect 261352 444388 261358 444400
+rect 261996 444400 580172 444428
+rect 261996 444388 262002 444400
 rect 580166 444388 580172 444400
 rect 580224 444388 580230 444440
-rect 260926 442348 260932 442400
-rect 260984 442388 260990 442400
-rect 261386 442388 261392 442400
-rect 260984 442360 261392 442388
-rect 260984 442348 260990 442360
-rect 261386 442348 261392 442360
-rect 261444 442348 261450 442400
-rect 260101 440215 260159 440221
-rect 260101 440181 260113 440215
-rect 260147 440212 260159 440215
-rect 260190 440212 260196 440224
-rect 260147 440184 260196 440212
-rect 260147 440181 260159 440184
-rect 260101 440175 260159 440181
-rect 260190 440172 260196 440184
-rect 260248 440172 260254 440224
-rect 261941 440215 261999 440221
-rect 261941 440181 261953 440215
-rect 261987 440212 261999 440215
-rect 262030 440212 262036 440224
-rect 261987 440184 262036 440212
-rect 261987 440181 261999 440184
-rect 261941 440175 261999 440181
-rect 262030 440172 262036 440184
-rect 262088 440172 262094 440224
-rect 260834 439900 260840 439952
-rect 260892 439940 260898 439952
-rect 260892 439912 261524 439940
-rect 260892 439900 260898 439912
-rect 261294 439872 261300 439884
-rect 260944 439844 261300 439872
-rect 260300 439192 260328 439671
-rect 260944 439586 260972 439844
-rect 261294 439832 261300 439844
-rect 261352 439832 261358 439884
-rect 261018 439696 261024 439748
-rect 261076 439736 261082 439748
-rect 261076 439708 261248 439736
-rect 261076 439696 261082 439708
-rect 261220 439586 261248 439708
-rect 261496 439586 261524 439912
-rect 238726 439164 260328 439192
-rect 3786 438880 3792 438932
-rect 3844 438920 3850 438932
-rect 238726 438920 238754 439164
-rect 260374 439133 260380 439136
-rect 260348 439127 260380 439133
-rect 260348 439093 260360 439127
-rect 260348 439087 260380 439093
-rect 260374 439084 260380 439087
-rect 260432 439084 260438 439136
-rect 3844 438892 238754 438920
-rect 3844 438880 3850 438892
-rect 261938 438852 261944 438864
-rect 261899 438824 261944 438852
-rect 261938 438812 261944 438824
-rect 261996 438812 262002 438864
-rect 261846 438744 261852 438796
-rect 261904 438784 261910 438796
-rect 261904 438756 261949 438784
-rect 261904 438744 261910 438756
-rect 260374 438725 260380 438728
-rect 260348 438719 260380 438725
-rect 260348 438685 260360 438719
-rect 260348 438679 260380 438685
-rect 260374 438676 260380 438679
-rect 260432 438676 260438 438728
-rect 261570 438404 261576 438456
-rect 261628 438453 261634 438456
-rect 261628 438447 261671 438453
-rect 261659 438413 261671 438447
-rect 261628 438407 261671 438413
-rect 261628 438404 261634 438407
-rect 260374 438240 260380 438252
-rect 260335 438212 260380 438240
-rect 260374 438200 260380 438212
-rect 260432 438200 260438 438252
-rect 187602 437248 187608 437300
-rect 187660 437288 187666 437300
-rect 259825 437291 259883 437297
-rect 259825 437288 259837 437291
-rect 187660 437260 259837 437288
-rect 187660 437248 187666 437260
-rect 259825 437257 259837 437260
-rect 259871 437257 259883 437291
-rect 259825 437251 259883 437257
-rect 3694 436840 3700 436892
-rect 3752 436880 3758 436892
-rect 260282 436880 260288 436892
-rect 3752 436852 260288 436880
-rect 3752 436840 3758 436852
-rect 260282 436840 260288 436852
-rect 260340 436840 260346 436892
-rect 252462 436772 252468 436824
-rect 252520 436812 252526 436824
-rect 252520 436784 258028 436812
-rect 252520 436772 252526 436784
-rect 122742 436704 122748 436756
-rect 122800 436744 122806 436756
-rect 258000 436744 258028 436784
-rect 261450 436744 261456 436756
-rect 122800 436716 253934 436744
-rect 258000 436716 261456 436744
-rect 122800 436704 122806 436716
-rect 253906 436608 253934 436716
-rect 261450 436704 261456 436716
-rect 261508 436704 261514 436756
-rect 261450 436608 261456 436620
-rect 253906 436580 261456 436608
-rect 261450 436568 261456 436580
-rect 261508 436568 261514 436620
+rect 262677 440215 262735 440221
+rect 262677 440181 262689 440215
+rect 262723 440212 262735 440215
+rect 263410 440212 263416 440224
+rect 262723 440184 263416 440212
+rect 262723 440181 262735 440184
+rect 262677 440175 262735 440181
+rect 263410 440172 263416 440184
+rect 263468 440172 263474 440224
+rect 260837 440147 260895 440153
+rect 260837 440113 260849 440147
+rect 260883 440144 260895 440147
+rect 266998 440144 267004 440156
+rect 260883 440116 267004 440144
+rect 260883 440113 260895 440116
+rect 260837 440107 260895 440113
+rect 266998 440104 267004 440116
+rect 267056 440104 267062 440156
+rect 260834 439968 260840 440020
+rect 260892 440008 260898 440020
+rect 260892 439980 262168 440008
+rect 260892 439968 260898 439980
+rect 260926 439900 260932 439952
+rect 260984 439940 260990 439952
+rect 260984 439912 261984 439940
+rect 260984 439900 260990 439912
+rect 260929 439807 260987 439813
+rect 260929 439804 260941 439807
+rect 253906 439776 260941 439804
+rect 3786 439696 3792 439748
+rect 3844 439736 3850 439748
+rect 253906 439736 253934 439776
+rect 260929 439773 260941 439776
+rect 260975 439773 260987 439807
+rect 260929 439767 260987 439773
+rect 261018 439764 261024 439816
+rect 261076 439804 261082 439816
+rect 261076 439776 261892 439804
+rect 261076 439764 261082 439776
+rect 3844 439708 253934 439736
+rect 3844 439696 3850 439708
+rect 261386 439696 261392 439748
+rect 261444 439736 261450 439748
+rect 261444 439708 261708 439736
+rect 261444 439696 261450 439708
+rect 261680 439586 261708 439708
+rect 261864 439569 261892 439776
+rect 261956 439569 261984 439912
+rect 262140 439586 262168 439980
+rect 262217 439365 262275 439371
+rect 262217 439340 262229 439365
+rect 262263 439340 262275 439365
+rect 262214 439288 262220 439340
+rect 262272 439288 262278 439340
+rect 261018 439133 261024 439136
+rect 260984 439127 261024 439133
+rect 260984 439093 260996 439127
+rect 260984 439087 261024 439093
+rect 261018 439084 261024 439087
+rect 261076 439084 261082 439136
+rect 262490 438784 262496 438796
+rect 262451 438756 262496 438784
+rect 262490 438744 262496 438756
+rect 262548 438744 262554 438796
+rect 261018 438725 261024 438728
+rect 260984 438719 261024 438725
+rect 260984 438685 260996 438719
+rect 260984 438679 261024 438685
+rect 261018 438676 261024 438679
+rect 261076 438676 261082 438728
+rect 260993 438544 261051 438550
+rect 260993 438510 261005 438544
+rect 261039 438541 261051 438544
+rect 261039 438524 261064 438541
+rect 260993 438504 261024 438510
+rect 261018 438472 261024 438504
+rect 261076 438472 261082 438524
+rect 262217 438243 262275 438249
+rect 262217 438209 262229 438243
+rect 262263 438209 262275 438243
+rect 262217 438203 262275 438209
+rect 262232 438036 262260 438203
+rect 263502 438036 263508 438048
+rect 262232 438008 263508 438036
+rect 263502 437996 263508 438008
+rect 263560 437996 263566 438048
+rect 260929 437835 260987 437841
+rect 260929 437801 260941 437835
+rect 260975 437832 260987 437835
+rect 261018 437832 261024 437844
+rect 260975 437804 261024 437832
+rect 260975 437801 260987 437804
+rect 260929 437795 260987 437801
+rect 261018 437792 261024 437804
+rect 261076 437792 261082 437844
+rect 3694 436772 3700 436824
+rect 3752 436812 3758 436824
+rect 260837 436815 260895 436821
+rect 260837 436812 260849 436815
+rect 3752 436784 260849 436812
+rect 3752 436772 3758 436784
+rect 260837 436781 260849 436784
+rect 260883 436781 260895 436815
+rect 260837 436775 260895 436781
+rect 252462 436704 252468 436756
+rect 252520 436744 252526 436756
+rect 252520 436716 260834 436744
+rect 252520 436704 252526 436716
+rect 260806 436688 260834 436716
+rect 122742 436636 122748 436688
+rect 122800 436676 122806 436688
+rect 122800 436648 260604 436676
+rect 260806 436648 260840 436688
+rect 122800 436636 122806 436648
+rect 187602 436568 187608 436620
+rect 187660 436608 187666 436620
+rect 260469 436611 260527 436617
+rect 260469 436608 260481 436611
+rect 187660 436580 260481 436608
+rect 187660 436568 187666 436580
+rect 260469 436577 260481 436580
+rect 260515 436577 260527 436611
+rect 260469 436571 260527 436577
+rect 260576 436540 260604 436648
+rect 260834 436636 260840 436648
+rect 260892 436636 260898 436688
+rect 260926 436540 260932 436552
+rect 260576 436512 260932 436540
+rect 260926 436500 260932 436512
+rect 260984 436500 260990 436552
 rect 3510 435888 3516 435940
 rect 3568 435928 3574 435940
-rect 259917 435931 259975 435937
-rect 259917 435928 259929 435931
-rect 3568 435900 259929 435928
+rect 260561 435931 260619 435937
+rect 260561 435928 260573 435931
+rect 3568 435900 260573 435928
 rect 3568 435888 3574 435900
-rect 259917 435897 259929 435900
-rect 259963 435897 259975 435931
-rect 259917 435891 259975 435897
+rect 260561 435897 260573 435900
+rect 260607 435897 260619 435931
+rect 260561 435891 260619 435897
 rect 57882 435820 57888 435872
 rect 57940 435860 57946 435872
-rect 260101 435863 260159 435869
-rect 260101 435860 260113 435863
-rect 57940 435832 260113 435860
+rect 260929 435863 260987 435869
+rect 260929 435860 260941 435863
+rect 57940 435832 260941 435860
 rect 57940 435820 57946 435832
-rect 260101 435829 260113 435832
-rect 260147 435829 260159 435863
-rect 260101 435823 260159 435829
-rect 261110 435276 261116 435328
-rect 261168 435276 261174 435328
-rect 261128 435199 261156 435276
-rect 261680 435112 261708 435125
+rect 260929 435829 260941 435832
+rect 260975 435829 260987 435863
+rect 260929 435823 260987 435829
+rect 262030 435276 262036 435328
+rect 262088 435276 262094 435328
+rect 262048 435199 262076 435276
+rect 262324 435112 262352 435125
 rect 264330 435112 264336 435124
-rect 261680 435084 264336 435112
+rect 262324 435084 264336 435112
 rect 264330 435072 264336 435084
 rect 264388 435072 264394 435124
 rect 3418 435004 3424 435056
 rect 3476 435044 3482 435056
-rect 3476 435016 251174 435044
+rect 262493 435047 262551 435053
+rect 262493 435044 262505 435047
+rect 3476 435016 262505 435044
 rect 3476 435004 3482 435016
-rect 251146 434908 251174 435016
-rect 259638 435004 259644 435056
-rect 259696 435044 259702 435056
-rect 261941 435047 261999 435053
-rect 261941 435044 261953 435047
-rect 259696 435016 260788 435044
-rect 259696 435004 259702 435016
-rect 259546 434936 259552 434988
-rect 259604 434976 259610 434988
-rect 259604 434948 260498 434976
-rect 260760 434962 260788 435016
-rect 260852 435016 261953 435044
-rect 259604 434936 259610 434948
-rect 251146 434880 260420 434908
+rect 262493 435013 262505 435016
+rect 262539 435013 262551 435047
+rect 262493 435007 262551 435013
+rect 261754 434936 261760 434988
+rect 261812 434976 261818 434988
+rect 261812 434948 261970 434976
+rect 261812 434936 261818 434948
 rect 3602 434800 3608 434852
 rect 3660 434840 3666 434852
-rect 260392 434840 260420 434880
-rect 260532 434880 260696 434908
-rect 260532 434840 260560 434880
-rect 3660 434812 253934 434840
-rect 260392 434812 260560 434840
-rect 260668 434840 260696 434880
-rect 260852 434840 260880 435016
-rect 261941 435013 261953 435016
-rect 261987 435013 261999 435047
-rect 261941 435007 261999 435013
-rect 261754 434976 261760 434988
-rect 260668 434812 260880 434840
+rect 262585 434843 262643 434849
+rect 262585 434840 262597 434843
+rect 3660 434812 262597 434840
 rect 3660 434800 3666 434812
-rect 253906 434772 253934 434812
-rect 253906 434744 259776 434772
-rect 259748 434704 259776 434744
-rect 259822 434732 259828 434784
-rect 259880 434772 259886 434784
-rect 260666 434772 260672 434784
-rect 259880 434744 260672 434772
-rect 259880 434732 259886 434744
-rect 260666 434732 260672 434744
-rect 260724 434732 260730 434784
-rect 261036 434772 261064 434962
-rect 261326 434948 261760 434976
-rect 261754 434936 261760 434948
-rect 261812 434936 261818 434988
-rect 261110 434800 261116 434852
-rect 261168 434840 261174 434852
-rect 264238 434840 264244 434852
-rect 261168 434812 264244 434840
-rect 261168 434800 261174 434812
-rect 264238 434800 264244 434812
-rect 264296 434800 264302 434852
-rect 261662 434772 261668 434784
-rect 261036 434744 261668 434772
-rect 261662 434732 261668 434744
-rect 261720 434732 261726 434784
-rect 262033 434775 262091 434781
-rect 262033 434772 262045 434775
-rect 261772 434744 262045 434772
-rect 261772 434704 261800 434744
-rect 262033 434741 262045 434744
-rect 262079 434741 262091 434775
-rect 262033 434735 262091 434741
-rect 259748 434676 261800 434704
-rect 260929 432123 260987 432129
-rect 260929 432089 260941 432123
-rect 260975 432120 260987 432123
-rect 262122 432120 262128 432132
-rect 260975 432092 262128 432120
-rect 260975 432089 260987 432092
-rect 260929 432083 260987 432089
-rect 262122 432080 262128 432092
-rect 262180 432080 262186 432132
-rect 261205 432055 261263 432061
-rect 261205 432021 261217 432055
-rect 261251 432052 261263 432055
-rect 262030 432052 262036 432064
-rect 261251 432024 262036 432052
-rect 261251 432021 261263 432024
-rect 261205 432015 261263 432021
-rect 262030 432012 262036 432024
-rect 262088 432012 262094 432064
-rect 260653 431987 260711 431993
-rect 260653 431953 260665 431987
-rect 260699 431984 260711 431987
-rect 260742 431984 260748 431996
-rect 260699 431956 260748 431984
-rect 260699 431953 260711 431956
-rect 260653 431947 260711 431953
-rect 260742 431944 260748 431956
-rect 260800 431944 260806 431996
-rect 261757 431987 261815 431993
-rect 261757 431953 261769 431987
-rect 261803 431984 261815 431987
+rect 262585 434809 262597 434812
+rect 262631 434809 262643 434843
+rect 262585 434803 262643 434809
+rect 261110 434732 261116 434784
+rect 261168 434772 261174 434784
+rect 261386 434772 261392 434784
+rect 261168 434744 261392 434772
+rect 261168 434732 261174 434744
+rect 261386 434732 261392 434744
+rect 261444 434732 261450 434784
+rect 262030 434732 262036 434784
+rect 262088 434772 262094 434784
+rect 264238 434772 264244 434784
+rect 262088 434744 264244 434772
+rect 262088 434732 262094 434744
+rect 264238 434732 264244 434744
+rect 264296 434732 264302 434784
+rect 260834 432624 260840 432676
+rect 260892 432664 260898 432676
+rect 261294 432664 261300 432676
+rect 260892 432636 261300 432664
+rect 260892 432624 260898 432636
+rect 261294 432624 261300 432636
+rect 261352 432624 261358 432676
+rect 261205 432327 261263 432333
+rect 261205 432293 261217 432327
+rect 261251 432324 261263 432327
+rect 262122 432324 262128 432336
+rect 261251 432296 262128 432324
+rect 261251 432293 261263 432296
+rect 261205 432287 261263 432293
+rect 262122 432284 262128 432296
+rect 262180 432284 262186 432336
+rect 261202 432148 261208 432200
+rect 261260 432188 261266 432200
+rect 261662 432188 261668 432200
+rect 261260 432160 261668 432188
+rect 261260 432148 261266 432160
+rect 261662 432148 261668 432160
+rect 261720 432148 261726 432200
+rect 261481 432123 261539 432129
+rect 261481 432089 261493 432123
+rect 261527 432120 261539 432123
+rect 262030 432120 262036 432132
+rect 261527 432092 262036 432120
+rect 261527 432089 261539 432092
+rect 261481 432083 261539 432089
+rect 262030 432080 262036 432092
+rect 262088 432080 262094 432132
+rect 261662 432012 261668 432064
+rect 261720 432052 261726 432064
+rect 262125 432055 262183 432061
+rect 262125 432052 262137 432055
+rect 261720 432024 262137 432052
+rect 261720 432012 261726 432024
+rect 262125 432021 262137 432024
+rect 262171 432021 262183 432055
+rect 262125 432015 262183 432021
+rect 261849 431987 261907 431993
+rect 261849 431953 261861 431987
+rect 261895 431984 261907 431987
 rect 261938 431984 261944 431996
-rect 261803 431956 261944 431984
-rect 261803 431953 261815 431956
-rect 261757 431947 261815 431953
+rect 261895 431956 261944 431984
+rect 261895 431953 261907 431956
+rect 261849 431947 261907 431953
 rect 261938 431944 261944 431956
 rect 261996 431944 262002 431996
-rect 260834 391892 260840 391944
-rect 260892 391932 260898 391944
+rect 261478 431400 261484 431452
+rect 261536 431440 261542 431452
+rect 261754 431440 261760 431452
+rect 261536 431412 261760 431440
+rect 261536 431400 261542 431412
+rect 261754 431400 261760 431412
+rect 261812 431400 261818 431452
+rect 261018 431264 261024 431316
+rect 261076 431304 261082 431316
+rect 261754 431304 261760 431316
+rect 261076 431276 261760 431304
+rect 261076 431264 261082 431276
+rect 261754 431264 261760 431276
+rect 261812 431264 261818 431316
+rect 261018 431128 261024 431180
+rect 261076 431168 261082 431180
+rect 262214 431168 262220 431180
+rect 261076 431140 262220 431168
+rect 261076 431128 261082 431140
+rect 262214 431128 262220 431140
+rect 262272 431128 262278 431180
+rect 261386 391892 261392 391944
+rect 261444 391932 261450 391944
 rect 580166 391932 580172 391944
-rect 260892 391904 580172 391932
-rect 260892 391892 260898 391904
+rect 261444 391904 580172 391932
+rect 261444 391892 261450 391904
 rect 580166 391892 580172 391904
 rect 580224 391892 580230 391944
-rect 260374 339396 260380 339448
-rect 260432 339436 260438 339448
+rect 261294 339396 261300 339448
+rect 261352 339436 261358 339448
 rect 579982 339436 579988 339448
-rect 260432 339408 579988 339436
-rect 260432 339396 260438 339408
+rect 261352 339408 579988 339436
+rect 261352 339396 261358 339408
 rect 579982 339396 579988 339408
 rect 580040 339396 580046 339448
-rect 261478 313216 261484 313268
-rect 261536 313256 261542 313268
+rect 261018 313216 261024 313268
+rect 261076 313256 261082 313268
 rect 580166 313256 580172 313268
-rect 261536 313228 580172 313256
-rect 261536 313216 261542 313228
+rect 261076 313228 580172 313256
+rect 261076 313216 261082 313228
 rect 580166 313216 580172 313228
 rect 580224 313216 580230 313268
-rect 259730 285608 259736 285660
-rect 259788 285648 259794 285660
+rect 261754 285608 261760 285660
+rect 261812 285648 261818 285660
 rect 580166 285648 580172 285660
-rect 259788 285620 580172 285648
-rect 259788 285608 259794 285620
+rect 261812 285620 580172 285648
+rect 261812 285608 261818 285620
 rect 580166 285608 580172 285620
 rect 580224 285608 580230 285660
-rect 261938 259360 261944 259412
-rect 261996 259400 262002 259412
+rect 261662 259360 261668 259412
+rect 261720 259400 261726 259412
 rect 580166 259400 580172 259412
-rect 261996 259372 580172 259400
-rect 261996 259360 262002 259372
+rect 261720 259372 580172 259400
+rect 261720 259360 261726 259372
 rect 580166 259360 580172 259372
 rect 580224 259360 580230 259412
-rect 261202 219376 261208 219428
-rect 261260 219416 261266 219428
+rect 261846 219376 261852 219428
+rect 261904 219416 261910 219428
 rect 580166 219416 580172 219428
-rect 261260 219388 580172 219416
-rect 261260 219376 261266 219388
+rect 261904 219388 580172 219416
+rect 261904 219376 261910 219388
 rect 580166 219376 580172 219388
 rect 580224 219376 580230 219428
-rect 261754 206932 261760 206984
-rect 261812 206972 261818 206984
+rect 261478 206932 261484 206984
+rect 261536 206972 261542 206984
 rect 579798 206972 579804 206984
-rect 261812 206944 579804 206972
-rect 261812 206932 261818 206944
+rect 261536 206944 579804 206972
+rect 261536 206932 261542 206944
 rect 579798 206932 579804 206944
 rect 579856 206932 579862 206984
-rect 262030 179324 262036 179376
-rect 262088 179364 262094 179376
+rect 261938 179324 261944 179376
+rect 261996 179364 262002 179376
 rect 580166 179364 580172 179376
-rect 262088 179336 580172 179364
-rect 262088 179324 262094 179336
+rect 261996 179336 580172 179364
+rect 261996 179324 262002 179336
 rect 580166 179324 580172 179336
 rect 580224 179324 580230 179376
-rect 261662 166948 261668 167000
-rect 261720 166988 261726 167000
+rect 261202 166948 261208 167000
+rect 261260 166988 261266 167000
 rect 580166 166988 580172 167000
-rect 261720 166960 580172 166988
-rect 261720 166948 261726 166960
+rect 261260 166960 580172 166988
+rect 261260 166948 261266 166960
 rect 580166 166948 580172 166960
 rect 580224 166948 580230 167000
-rect 260926 139340 260932 139392
-rect 260984 139380 260990 139392
+rect 261570 139340 261576 139392
+rect 261628 139380 261634 139392
 rect 580166 139380 580172 139392
-rect 260984 139352 580172 139380
-rect 260984 139340 260990 139352
+rect 261628 139352 580172 139380
+rect 261628 139340 261634 139352
 rect 580166 139340 580172 139352
 rect 580224 139340 580230 139392
-rect 259638 126896 259644 126948
-rect 259696 126936 259702 126948
+rect 261110 126896 261116 126948
+rect 261168 126936 261174 126948
 rect 580166 126936 580172 126948
-rect 259696 126908 580172 126936
-rect 259696 126896 259702 126908
+rect 261168 126908 580172 126936
+rect 261168 126896 261174 126908
 rect 580166 126896 580172 126908
 rect 580224 126896 580230 126948
-rect 262122 100648 262128 100700
-rect 262180 100688 262186 100700
+rect 262030 100648 262036 100700
+rect 262088 100688 262094 100700
 rect 580166 100688 580172 100700
-rect 262180 100660 580172 100688
-rect 262180 100648 262186 100660
+rect 262088 100660 580172 100688
+rect 262088 100648 262094 100660
 rect 580166 100648 580172 100660
 rect 580224 100648 580230 100700
-rect 259546 86912 259552 86964
-rect 259604 86952 259610 86964
+rect 260926 86912 260932 86964
+rect 260984 86952 260990 86964
 rect 580166 86952 580172 86964
-rect 259604 86924 580172 86952
-rect 259604 86912 259610 86924
+rect 260984 86924 580172 86952
+rect 260984 86912 260990 86924
 rect 580166 86912 580172 86924
 rect 580224 86912 580230 86964
-rect 259822 60664 259828 60716
-rect 259880 60704 259886 60716
+rect 260834 60664 260840 60716
+rect 260892 60704 260898 60716
 rect 580166 60704 580172 60716
-rect 259880 60676 580172 60704
-rect 259880 60664 259886 60676
+rect 260892 60676 580172 60704
+rect 260892 60664 260898 60676
 rect 580166 60664 580172 60676
 rect 580224 60664 580230 60716
 rect 264330 46860 264336 46912
@@ -501,11 +547,11 @@
 rect 264388 46860 264394 46872
 rect 580166 46860 580172 46872
 rect 580224 46860 580230 46912
-rect 260742 20612 260748 20664
-rect 260800 20652 260806 20664
+rect 262122 20612 262128 20664
+rect 262180 20652 262186 20664
 rect 579982 20652 579988 20664
-rect 260800 20624 579988 20652
-rect 260800 20612 260806 20624
+rect 262180 20624 579988 20652
+rect 262180 20612 262186 20624
 rect 579982 20612 579988 20624
 rect 580040 20612 580046 20664
 rect 264238 6808 264244 6860
@@ -516,15 +562,15 @@
 rect 580166 6808 580172 6820
 rect 580224 6808 580230 6860
 << via1 >>
-rect 260196 700544 260248 700596
+rect 267004 700544 267056 700596
 rect 316316 700544 316368 700596
-rect 260380 700476 260432 700528
+rect 261484 700476 261536 700528
 rect 381176 700476 381228 700528
-rect 260288 700408 260340 700460
+rect 261852 700408 261904 700460
 rect 446128 700408 446180 700460
-rect 262128 700340 262180 700392
+rect 263508 700340 263560 700392
 rect 510988 700340 511040 700392
-rect 262036 700272 262088 700324
+rect 263416 700272 263468 700324
 rect 575848 700272 575900 700324
 rect 56784 700136 56836 700188
 rect 57888 700136 57940 700188
@@ -542,99 +588,101 @@
 rect 580172 550604 580224 550656
 rect 261116 496816 261168 496868
 rect 580172 496816 580224 496868
-rect 261300 444388 261352 444440
+rect 261116 445204 261168 445256
+rect 261852 445204 261904 445256
+rect 261944 444388 261996 444440
 rect 580172 444388 580224 444440
-rect 260932 442348 260984 442400
-rect 261392 442348 261444 442400
-rect 260196 440172 260248 440224
-rect 262036 440172 262088 440224
-rect 260840 439900 260892 439952
-rect 261300 439832 261352 439884
-rect 261024 439696 261076 439748
-rect 3792 438880 3844 438932
-rect 260380 439127 260432 439136
-rect 260380 439093 260394 439127
-rect 260394 439093 260432 439127
-rect 260380 439084 260432 439093
-rect 261944 438855 261996 438864
-rect 261944 438821 261953 438855
-rect 261953 438821 261987 438855
-rect 261987 438821 261996 438855
-rect 261944 438812 261996 438821
-rect 261852 438787 261904 438796
-rect 261852 438753 261861 438787
-rect 261861 438753 261895 438787
-rect 261895 438753 261904 438787
-rect 261852 438744 261904 438753
-rect 260380 438719 260432 438728
-rect 260380 438685 260394 438719
-rect 260394 438685 260432 438719
-rect 260380 438676 260432 438685
-rect 261576 438447 261628 438456
-rect 261576 438413 261625 438447
-rect 261625 438413 261628 438447
-rect 261576 438404 261628 438413
-rect 260380 438243 260432 438252
-rect 260380 438209 260389 438243
-rect 260389 438209 260423 438243
-rect 260423 438209 260432 438243
-rect 260380 438200 260432 438209
-rect 187608 437248 187660 437300
-rect 3700 436840 3752 436892
-rect 260288 436840 260340 436892
-rect 252468 436772 252520 436824
-rect 122748 436704 122800 436756
-rect 261456 436704 261508 436756
-rect 261456 436568 261508 436620
+rect 263416 440172 263468 440224
+rect 267004 440104 267056 440156
+rect 260840 439968 260892 440020
+rect 260932 439900 260984 439952
+rect 3792 439696 3844 439748
+rect 261024 439764 261076 439816
+rect 261392 439696 261444 439748
+rect 262220 439331 262229 439340
+rect 262229 439331 262263 439340
+rect 262263 439331 262272 439340
+rect 262220 439288 262272 439331
+rect 261024 439127 261076 439136
+rect 261024 439093 261030 439127
+rect 261030 439093 261076 439127
+rect 261024 439084 261076 439093
+rect 262496 438787 262548 438796
+rect 262496 438753 262505 438787
+rect 262505 438753 262539 438787
+rect 262539 438753 262548 438787
+rect 262496 438744 262548 438753
+rect 261024 438719 261076 438728
+rect 261024 438685 261030 438719
+rect 261030 438685 261076 438719
+rect 261024 438676 261076 438685
+rect 261024 438510 261039 438524
+rect 261039 438510 261076 438524
+rect 261024 438472 261076 438510
+rect 263508 437996 263560 438048
+rect 261024 437792 261076 437844
+rect 3700 436772 3752 436824
+rect 252468 436704 252520 436756
+rect 122748 436636 122800 436688
+rect 187608 436568 187660 436620
+rect 260840 436636 260892 436688
+rect 260932 436500 260984 436552
 rect 3516 435888 3568 435940
 rect 57888 435820 57940 435872
-rect 261116 435276 261168 435328
+rect 262036 435276 262088 435328
 rect 264336 435072 264388 435124
 rect 3424 435004 3476 435056
-rect 259644 435004 259696 435056
-rect 259552 434936 259604 434988
-rect 3608 434800 3660 434852
-rect 259828 434732 259880 434784
-rect 260672 434732 260724 434784
 rect 261760 434936 261812 434988
-rect 261116 434800 261168 434852
-rect 264244 434800 264296 434852
-rect 261668 434732 261720 434784
-rect 262128 432080 262180 432132
-rect 262036 432012 262088 432064
-rect 260748 431944 260800 431996
+rect 3608 434800 3660 434852
+rect 261116 434732 261168 434784
+rect 261392 434732 261444 434784
+rect 262036 434732 262088 434784
+rect 264244 434732 264296 434784
+rect 260840 432624 260892 432676
+rect 261300 432624 261352 432676
+rect 262128 432284 262180 432336
+rect 261208 432148 261260 432200
+rect 261668 432148 261720 432200
+rect 262036 432080 262088 432132
+rect 261668 432012 261720 432064
 rect 261944 431944 261996 431996
-rect 260840 391892 260892 391944
+rect 261484 431400 261536 431452
+rect 261760 431400 261812 431452
+rect 261024 431264 261076 431316
+rect 261760 431264 261812 431316
+rect 261024 431128 261076 431180
+rect 262220 431128 262272 431180
+rect 261392 391892 261444 391944
 rect 580172 391892 580224 391944
-rect 260380 339396 260432 339448
+rect 261300 339396 261352 339448
 rect 579988 339396 580040 339448
-rect 261484 313216 261536 313268
+rect 261024 313216 261076 313268
 rect 580172 313216 580224 313268
-rect 259736 285608 259788 285660
+rect 261760 285608 261812 285660
 rect 580172 285608 580224 285660
-rect 261944 259360 261996 259412
+rect 261668 259360 261720 259412
 rect 580172 259360 580224 259412
-rect 261208 219376 261260 219428
+rect 261852 219376 261904 219428
 rect 580172 219376 580224 219428
-rect 261760 206932 261812 206984
+rect 261484 206932 261536 206984
 rect 579804 206932 579856 206984
-rect 262036 179324 262088 179376
+rect 261944 179324 261996 179376
 rect 580172 179324 580224 179376
-rect 261668 166948 261720 167000
+rect 261208 166948 261260 167000
 rect 580172 166948 580224 167000
-rect 260932 139340 260984 139392
+rect 261576 139340 261628 139392
 rect 580172 139340 580224 139392
-rect 259644 126896 259696 126948
+rect 261116 126896 261168 126948
 rect 580172 126896 580224 126948
-rect 262128 100648 262180 100700
+rect 262036 100648 262088 100700
 rect 580172 100648 580224 100700
-rect 259552 86912 259604 86964
+rect 260932 86912 260984 86964
 rect 580172 86912 580224 86964
-rect 259828 60664 259880 60716
+rect 260840 60664 260892 60716
 rect 580172 60664 580224 60716
 rect 264336 46860 264388 46912
 rect 580172 46860 580224 46912
-rect 260748 20612 260800 20664
+rect 262128 20612 262180 20664
 rect 579988 20612 580040 20664
 rect 264244 6808 264296 6860
 rect 580172 6808 580224 6860
@@ -695,14 +743,14 @@
 rect 3620 434858 3648 592991
 rect 3698 540832 3754 540841
 rect 3698 540767 3754 540776
-rect 3712 436898 3740 540767
+rect 3712 436830 3740 540767
 rect 3790 488744 3846 488753
 rect 3790 488679 3846 488688
-rect 3804 438938 3832 488679
-rect 3792 438932 3844 438938
-rect 3792 438874 3844 438880
-rect 3700 436892 3752 436898
-rect 3700 436834 3752 436840
+rect 3804 439754 3832 488679
+rect 3792 439748 3844 439754
+rect 3792 439690 3844 439696
+rect 3700 436824 3752 436830
+rect 3700 436766 3752 436772
 rect 57900 435878 57928 700130
 rect 121656 699718 121684 703520
 rect 186516 700194 186544 703520
@@ -714,88 +762,117 @@
 rect 121644 699654 121696 699660
 rect 122748 699712 122800 699718
 rect 122748 699654 122800 699660
-rect 122760 436762 122788 699654
-rect 187620 437306 187648 700130
+rect 122760 436694 122788 699654
+rect 122748 436688 122800 436694
+rect 122748 436630 122800 436636
+rect 187620 436626 187648 700130
 rect 251468 700126 251496 703520
 rect 316328 700602 316356 703520
-rect 260196 700596 260248 700602
-rect 260196 700538 260248 700544
+rect 267004 700596 267056 700602
+rect 267004 700538 267056 700544
 rect 316316 700596 316368 700602
 rect 316316 700538 316368 700544
+rect 261484 700528 261536 700534
+rect 261484 700470 261536 700476
 rect 251456 700120 251508 700126
 rect 251456 700062 251508 700068
 rect 252468 700120 252520 700126
 rect 252468 700062 252520 700068
-rect 187608 437300 187660 437306
-rect 187608 437242 187660 437248
-rect 252480 436830 252508 700062
-rect 260208 440230 260236 700538
+rect 252480 436762 252508 700062
+rect 260840 656940 260892 656946
+rect 260840 656882 260892 656888
+rect 260852 440026 260880 656882
+rect 260932 603152 260984 603158
+rect 260932 603094 260984 603100
+rect 260840 440020 260892 440026
+rect 260840 439962 260892 439968
+rect 260944 439958 260972 603094
+rect 261024 550656 261076 550662
+rect 261024 550598 261076 550604
+rect 260932 439952 260984 439958
+rect 260932 439894 260984 439900
+rect 261036 439822 261064 550598
+rect 261116 496868 261168 496874
+rect 261116 496810 261168 496816
+rect 261128 460934 261156 496810
+rect 261128 460906 261432 460934
+rect 261116 445256 261168 445262
+rect 261116 445198 261168 445204
+rect 261024 439816 261076 439822
+rect 261024 439758 261076 439764
+rect 261128 439634 261156 445198
+rect 261404 439754 261432 460906
+rect 261392 439748 261444 439754
+rect 261392 439690 261444 439696
+rect 261036 439606 261156 439634
+rect 261036 439142 261064 439606
+rect 261024 439136 261076 439142
+rect 261024 439078 261076 439084
+rect 261496 438954 261524 700470
+rect 261852 700460 261904 700466
+rect 261852 700402 261904 700408
+rect 261864 445262 261892 700402
+rect 263508 700392 263560 700398
+rect 263508 700334 263560 700340
+rect 263416 700324 263468 700330
+rect 263416 700266 263468 700272
+rect 261852 445256 261904 445262
+rect 261852 445198 261904 445204
+rect 261944 444440 261996 444446
+rect 261944 444382 261996 444388
+rect 261036 438926 261524 438954
+rect 261036 438734 261064 438926
+rect 261024 438728 261076 438734
+rect 261024 438670 261076 438676
+rect 261024 438524 261076 438530
+rect 261024 438466 261076 438472
+rect 260838 438016 260894 438025
+rect 260838 437951 260894 437960
+rect 252468 436756 252520 436762
+rect 252468 436698 252520 436704
+rect 260852 436694 260880 437951
+rect 261036 437850 261064 438466
+rect 261956 438002 261984 444382
+rect 263428 440230 263456 700266
+rect 263416 440224 263468 440230
+rect 263416 440166 263468 440172
+rect 262220 439340 262272 439346
+rect 262220 439282 262272 439288
+rect 262232 438025 262260 439282
+rect 262496 438796 262548 438802
+rect 262496 438738 262548 438744
+rect 261512 437974 261984 438002
+rect 262218 438016 262274 438025
+rect 261024 437844 261076 437850
+rect 261024 437786 261076 437792
+rect 261512 437716 261540 437974
+rect 262218 437951 262274 437960
+rect 261224 437050 261252 437308
+rect 261220 437022 261252 437050
+rect 260840 436688 260892 436694
+rect 260840 436630 260892 436636
+rect 260930 436656 260986 436665
+rect 187608 436620 187660 436626
+rect 260930 436591 260986 436600
+rect 187608 436562 187660 436568
+rect 260944 436558 260972 436591
+rect 260932 436552 260984 436558
+rect 260932 436494 260984 436500
+rect 57888 435872 57940 435878
+rect 57888 435814 57940 435820
+rect 261220 435554 261248 437022
+rect 262508 436665 262536 438738
+rect 263520 438054 263548 700334
+rect 267016 440162 267044 700538
 rect 381188 700534 381216 703520
-rect 260380 700528 260432 700534
-rect 260380 700470 260432 700476
 rect 381176 700528 381228 700534
 rect 381176 700470 381228 700476
-rect 260288 700460 260340 700466
-rect 260288 700402 260340 700408
-rect 260300 447134 260328 700402
-rect 260392 460934 260420 700470
 rect 446140 700466 446168 703520
 rect 446128 700460 446180 700466
 rect 446128 700402 446180 700408
 rect 511000 700398 511028 703520
-rect 262128 700392 262180 700398
-rect 262128 700334 262180 700340
 rect 510988 700392 511040 700398
 rect 510988 700334 511040 700340
-rect 262036 700324 262088 700330
-rect 262036 700266 262088 700272
-rect 260840 656940 260892 656946
-rect 260840 656882 260892 656888
-rect 260392 460906 260512 460934
-rect 260300 447106 260420 447134
-rect 260196 440224 260248 440230
-rect 260196 440166 260248 440172
-rect 260392 439142 260420 447106
-rect 260380 439136 260432 439142
-rect 260380 439078 260432 439084
-rect 260484 438954 260512 460906
-rect 260852 439958 260880 656882
-rect 260932 603152 260984 603158
-rect 260932 603094 260984 603100
-rect 260944 442406 260972 603094
-rect 261024 550656 261076 550662
-rect 261024 550598 261076 550604
-rect 260932 442400 260984 442406
-rect 260932 442342 260984 442348
-rect 260840 439952 260892 439958
-rect 260840 439894 260892 439900
-rect 261036 439754 261064 550598
-rect 261116 496868 261168 496874
-rect 261116 496810 261168 496816
-rect 261024 439748 261076 439754
-rect 261024 439690 261076 439696
-rect 260392 438926 260512 438954
-rect 260392 438734 260420 438926
-rect 260380 438728 260432 438734
-rect 260380 438670 260432 438676
-rect 260380 438252 260432 438258
-rect 260380 438194 260432 438200
-rect 260392 438138 260420 438194
-rect 260300 438110 260420 438138
-rect 260300 436898 260328 438110
-rect 261128 438002 261156 496810
-rect 261300 444440 261352 444446
-rect 261300 444382 261352 444388
-rect 261312 439890 261340 444382
-rect 261392 442400 261444 442406
-rect 261392 442342 261444 442348
-rect 261300 439884 261352 439890
-rect 261300 439826 261352 439832
-rect 261404 438002 261432 442342
-rect 262048 440230 262076 700266
-rect 262036 440224 262088 440230
-rect 262036 440166 262088 440172
-rect 262140 440042 262168 700334
 rect 575860 700330 575888 703520
 rect 575848 700324 575900 700330
 rect 575848 700266 575900 700272
@@ -824,132 +901,135 @@
 rect 580184 444446 580212 444751
 rect 580172 444440 580224 444446
 rect 580172 444382 580224 444388
-rect 261588 440014 262168 440042
-rect 261588 438462 261616 440014
-rect 261944 438864 261996 438870
-rect 261944 438806 261996 438812
-rect 261852 438796 261904 438802
-rect 261852 438738 261904 438744
-rect 261576 438456 261628 438462
-rect 261576 438398 261628 438404
-rect 260392 437974 260632 438002
-rect 260288 436892 260340 436898
-rect 260288 436834 260340 436840
-rect 252468 436824 252520 436830
-rect 252468 436766 252520 436772
-rect 122748 436756 122800 436762
-rect 122748 436698 122800 436704
-rect 57888 435872 57940 435878
-rect 57888 435814 57940 435820
-rect 259734 435296 259790 435305
-rect 259734 435231 259790 435240
-rect 259644 435056 259696 435062
-rect 259644 434998 259696 435004
-rect 259552 434988 259604 434994
-rect 259552 434930 259604 434936
+rect 267004 440156 267056 440162
+rect 267004 440098 267056 440104
+rect 263508 438048 263560 438054
+rect 263508 437990 263560 437996
+rect 262494 436656 262550 436665
+rect 262494 436591 262550 436600
+rect 261152 435248 261180 435540
+rect 261220 435526 261252 435554
+rect 261224 435282 261252 435526
+rect 261128 435220 261180 435248
+rect 261220 435254 261252 435282
+rect 261440 435282 261468 435540
+rect 262036 435328 262088 435334
+rect 261440 435254 261524 435282
+rect 262036 435270 262088 435276
+rect 261128 435112 261156 435220
+rect 261128 435084 261180 435112
+rect 260944 434982 261086 435010
 rect 3608 434852 3660 434858
 rect 3608 434794 3660 434800
-rect 259564 86970 259592 434930
-rect 259656 126954 259684 434998
-rect 259748 285666 259776 435231
-rect 259828 434784 259880 434790
-rect 259828 434726 259880 434732
-rect 259736 285660 259788 285666
-rect 259736 285602 259788 285608
-rect 259644 126948 259696 126954
-rect 259644 126890 259696 126896
-rect 259552 86964 259604 86970
-rect 259552 86906 259604 86912
-rect 259840 60722 259868 434726
-rect 260392 339454 260420 437974
-rect 260604 437716 260632 437974
-rect 261108 437974 261156 438002
-rect 261396 437974 261432 438002
-rect 261108 437716 261136 437974
-rect 261396 437716 261424 437974
-rect 261454 436792 261510 436801
-rect 261454 436727 261456 436736
-rect 261508 436727 261510 436736
-rect 261456 436698 261508 436704
-rect 261864 436665 261892 438738
-rect 261956 436801 261984 438806
-rect 261942 436792 261998 436801
-rect 261942 436727 261998 436736
-rect 261454 436656 261510 436665
-rect 261454 436591 261456 436600
-rect 261508 436591 261510 436600
-rect 261850 436656 261906 436665
-rect 261850 436591 261906 436600
-rect 261456 436562 261508 436568
-rect 260532 435305 260560 435540
-rect 260518 435296 260574 435305
-rect 260820 435282 260848 435540
-rect 261116 435328 261168 435334
-rect 260820 435254 260880 435282
-rect 261116 435270 261168 435276
-rect 260518 435231 260574 435240
-rect 260684 434790 260712 434996
-rect 260672 434784 260724 434790
-rect 260672 434726 260724 434732
-rect 260748 431996 260800 432002
-rect 260748 431938 260800 431944
-rect 260380 339448 260432 339454
-rect 260380 339390 260432 339396
-rect 259828 60716 259880 60722
-rect 259828 60658 259880 60664
-rect 260760 20670 260788 431938
-rect 260852 391950 260880 435254
-rect 260944 434982 260986 435010
-rect 260840 391944 260892 391950
-rect 260840 391886 260892 391892
-rect 260944 139398 260972 434982
-rect 261128 434858 261156 435270
-rect 264336 435124 264388 435130
-rect 264336 435066 264388 435072
-rect 261116 434852 261168 434858
-rect 261116 434794 261168 434800
-rect 261260 434738 261288 434996
-rect 261548 434738 261576 434996
+rect 260840 432676 260892 432682
+rect 260840 432618 260892 432624
+rect 260852 60722 260880 432618
+rect 260944 86970 260972 434982
+rect 261152 434874 261180 435084
+rect 261036 434846 261180 434874
+rect 261036 431322 261064 434846
+rect 261116 434784 261168 434790
+rect 261116 434726 261168 434732
+rect 261024 431316 261076 431322
+rect 261024 431258 261076 431264
+rect 261024 431180 261076 431186
+rect 261024 431122 261076 431128
+rect 261036 313274 261064 431122
+rect 261024 313268 261076 313274
+rect 261024 313210 261076 313216
+rect 261128 126954 261156 434726
+rect 261220 432562 261248 435254
+rect 261304 434738 261332 434996
+rect 261360 434874 261388 434996
+rect 261360 434846 261432 434874
+rect 261404 434790 261432 434846
+rect 261392 434784 261444 434790
+rect 261304 434710 261340 434738
+rect 261392 434726 261444 434732
+rect 261312 432682 261340 434710
+rect 261300 432676 261352 432682
+rect 261300 432618 261352 432624
+rect 261220 432534 261340 432562
+rect 261208 432200 261260 432206
+rect 261208 432142 261260 432148
+rect 261220 167006 261248 432142
+rect 261312 339454 261340 432534
+rect 261496 431746 261524 435254
+rect 261404 431718 261524 431746
+rect 261404 391950 261432 431718
+rect 261484 431452 261536 431458
+rect 261484 431394 261536 431400
+rect 261392 391944 261444 391950
+rect 261392 391886 261444 391892
+rect 261300 339448 261352 339454
+rect 261300 339390 261352 339396
+rect 261496 206990 261524 431394
+rect 261484 206984 261536 206990
+rect 261484 206926 261536 206932
+rect 261208 167000 261260 167006
+rect 261208 166942 261260 166948
+rect 261588 139398 261616 435010
+rect 261648 434738 261676 434996
 rect 261760 434988 261812 434994
 rect 261760 434930 261812 434936
-rect 261220 434710 261288 434738
-rect 261496 434710 261576 434738
-rect 261668 434784 261720 434790
-rect 261668 434726 261720 434732
-rect 261220 219434 261248 434710
-rect 261496 313274 261524 434710
-rect 261484 313268 261536 313274
-rect 261484 313210 261536 313216
-rect 261208 219428 261260 219434
-rect 261208 219370 261260 219376
-rect 261680 167006 261708 434726
-rect 261772 206990 261800 434930
-rect 264244 434852 264296 434858
-rect 264244 434794 264296 434800
-rect 262128 432132 262180 432138
-rect 262128 432074 262180 432080
-rect 262036 432064 262088 432070
-rect 262036 432006 262088 432012
+rect 261864 434982 261894 435010
+rect 261648 434710 261708 434738
+rect 261680 432206 261708 434710
+rect 261668 432200 261720 432206
+rect 261668 432142 261720 432148
+rect 261668 432064 261720 432070
+rect 261668 432006 261720 432012
+rect 261680 259418 261708 432006
+rect 261772 431458 261800 434930
+rect 261760 431452 261812 431458
+rect 261760 431394 261812 431400
+rect 261760 431316 261812 431322
+rect 261760 431258 261812 431264
+rect 261772 285666 261800 431258
+rect 261760 285660 261812 285666
+rect 261760 285602 261812 285608
+rect 261668 259412 261720 259418
+rect 261668 259354 261720 259360
+rect 261864 219434 261892 434982
+rect 262048 434790 262076 435270
+rect 264336 435124 264388 435130
+rect 264336 435066 264388 435072
+rect 262140 434982 262182 435010
+rect 262036 434784 262088 434790
+rect 262036 434726 262088 434732
+rect 262140 432426 262168 434982
+rect 264244 434784 264296 434790
+rect 264244 434726 264296 434732
+rect 262140 432398 262260 432426
+rect 262128 432336 262180 432342
+rect 262128 432278 262180 432284
+rect 262036 432132 262088 432138
+rect 262036 432074 262088 432080
 rect 261944 431996 261996 432002
 rect 261944 431938 261996 431944
-rect 261956 259418 261984 431938
-rect 261944 259412 261996 259418
-rect 261944 259354 261996 259360
-rect 261760 206984 261812 206990
-rect 261760 206926 261812 206932
-rect 262048 179382 262076 432006
-rect 262036 179376 262088 179382
-rect 262036 179318 262088 179324
-rect 261668 167000 261720 167006
-rect 261668 166942 261720 166948
-rect 260932 139392 260984 139398
-rect 260932 139334 260984 139340
-rect 262140 100706 262168 432074
-rect 262128 100700 262180 100706
-rect 262128 100642 262180 100648
-rect 260748 20664 260800 20670
-rect 260748 20606 260800 20612
-rect 264256 6866 264284 434794
+rect 261852 219428 261904 219434
+rect 261852 219370 261904 219376
+rect 261956 179382 261984 431938
+rect 261944 179376 261996 179382
+rect 261944 179318 261996 179324
+rect 261576 139392 261628 139398
+rect 261576 139334 261628 139340
+rect 261116 126948 261168 126954
+rect 261116 126890 261168 126896
+rect 262048 100706 262076 432074
+rect 262036 100700 262088 100706
+rect 262036 100642 262088 100648
+rect 260932 86964 260984 86970
+rect 260932 86906 260984 86912
+rect 260840 60716 260892 60722
+rect 260840 60658 260892 60664
+rect 262140 20670 262168 432278
+rect 262232 431186 262260 432398
+rect 262220 431180 262272 431186
+rect 262220 431122 262272 431128
+rect 262128 20664 262180 20670
+rect 262128 20606 262180 20612
+rect 264256 6866 264284 434726
 rect 264348 46918 264376 435066
 rect 580172 391944 580224 391950
 rect 580172 391886 580224 391892
@@ -1539,23 +1619,15 @@
 rect 3606 593000 3662 593056
 rect 3698 540776 3754 540832
 rect 3790 488688 3846 488744
+rect 260838 437960 260894 438016
+rect 262218 437960 262274 438016
+rect 260930 436600 260986 436656
 rect 580170 657328 580226 657384
 rect 580170 604152 580226 604208
 rect 580170 551112 580226 551168
 rect 580170 497936 580226 497992
 rect 580170 444760 580226 444816
-rect 259734 435240 259790 435296
-rect 261454 436756 261510 436792
-rect 261454 436736 261456 436756
-rect 261456 436736 261508 436756
-rect 261508 436736 261510 436756
-rect 261942 436736 261998 436792
-rect 261454 436620 261510 436656
-rect 261454 436600 261456 436620
-rect 261456 436600 261508 436620
-rect 261508 436600 261510 436620
-rect 261850 436600 261906 436656
-rect 260518 435240 260574 435296
+rect 262494 436600 262550 436656
 rect 580170 391720 580226 391776
 rect 579986 338544 580042 338600
 rect 580170 312024 580226 312080
@@ -1687,34 +1759,25 @@
 rect 580165 444758 584960 444760
 rect 580165 444755 580231 444758
 rect 583520 444668 584960 444758
-rect 261449 436794 261515 436797
-rect 261937 436794 262003 436797
-rect 261449 436792 262003 436794
+rect 260833 438018 260899 438021
+rect 262213 438018 262279 438021
+rect 260833 438016 262279 438018
+rect 260833 437960 260838 438016
+rect 260894 437960 262218 438016
+rect 262274 437960 262279 438016
+rect 260833 437958 262279 437960
+rect 260833 437955 260899 437958
+rect 262213 437955 262279 437958
 rect -960 436508 480 436748
-rect 261449 436736 261454 436792
-rect 261510 436736 261942 436792
-rect 261998 436736 262003 436792
-rect 261449 436734 262003 436736
-rect 261449 436731 261515 436734
-rect 261937 436731 262003 436734
-rect 261449 436658 261515 436661
-rect 261845 436658 261911 436661
-rect 261449 436656 261911 436658
-rect 261449 436600 261454 436656
-rect 261510 436600 261850 436656
-rect 261906 436600 261911 436656
-rect 261449 436598 261911 436600
-rect 261449 436595 261515 436598
-rect 261845 436595 261911 436598
-rect 259729 435298 259795 435301
-rect 260513 435298 260579 435301
-rect 259729 435296 260579 435298
-rect 259729 435240 259734 435296
-rect 259790 435240 260518 435296
-rect 260574 435240 260579 435296
-rect 259729 435238 260579 435240
-rect 259729 435235 259795 435238
-rect 260513 435235 260579 435238
+rect 260925 436658 260991 436661
+rect 262489 436658 262555 436661
+rect 260925 436656 262555 436658
+rect 260925 436600 260930 436656
+rect 260986 436600 262494 436656
+rect 262550 436600 262555 436656
+rect 260925 436598 262555 436600
+rect 260925 436595 260991 436598
+rect 262489 436595 262555 436598
 rect 583520 431476 584960 431716
 rect -960 423452 480 423692
 rect 583520 418148 584960 418388
@@ -12869,68 +12932,6 @@
 rect 247222 536058 247306 536294
 rect 247542 536058 247574 536294
 rect 246954 500614 247574 536058
-rect 253794 704838 254414 705830
-rect 253794 704602 253826 704838
-rect 254062 704602 254146 704838
-rect 254382 704602 254414 704838
-rect 253794 704518 254414 704602
-rect 253794 704282 253826 704518
-rect 254062 704282 254146 704518
-rect 254382 704282 254414 704518
-rect 253794 687454 254414 704282
-rect 253794 687218 253826 687454
-rect 254062 687218 254146 687454
-rect 254382 687218 254414 687454
-rect 253794 687134 254414 687218
-rect 253794 686898 253826 687134
-rect 254062 686898 254146 687134
-rect 254382 686898 254414 687134
-rect 253794 651454 254414 686898
-rect 253794 651218 253826 651454
-rect 254062 651218 254146 651454
-rect 254382 651218 254414 651454
-rect 253794 651134 254414 651218
-rect 253794 650898 253826 651134
-rect 254062 650898 254146 651134
-rect 254382 650898 254414 651134
-rect 253794 615454 254414 650898
-rect 253794 615218 253826 615454
-rect 254062 615218 254146 615454
-rect 254382 615218 254414 615454
-rect 253794 615134 254414 615218
-rect 253794 614898 253826 615134
-rect 254062 614898 254146 615134
-rect 254382 614898 254414 615134
-rect 253794 579454 254414 614898
-rect 253794 579218 253826 579454
-rect 254062 579218 254146 579454
-rect 254382 579218 254414 579454
-rect 253794 579134 254414 579218
-rect 253794 578898 253826 579134
-rect 254062 578898 254146 579134
-rect 254382 578898 254414 579134
-rect 253794 543454 254414 578898
-rect 253794 543218 253826 543454
-rect 254062 543218 254146 543454
-rect 254382 543218 254414 543454
-rect 253794 543134 254414 543218
-rect 253794 542898 253826 543134
-rect 254062 542898 254146 543134
-rect 254382 542898 254414 543134
-rect 253794 507486 254414 542898
-rect 253174 507454 254414 507486
-rect 253174 507218 253206 507454
-rect 253442 507218 253526 507454
-rect 253762 507218 253826 507454
-rect 254062 507218 254146 507454
-rect 254382 507218 254414 507454
-rect 253174 507134 254414 507218
-rect 253174 506898 253206 507134
-rect 253442 506898 253526 507134
-rect 253762 506898 253826 507134
-rect 254062 506898 254146 507134
-rect 254382 506898 254414 507134
-rect 253174 506866 254414 506898
 rect 246954 500378 246986 500614
 rect 247222 500378 247306 500614
 rect 247542 500378 247574 500614
@@ -12939,20 +12940,6 @@
 rect 247222 500058 247306 500294
 rect 247542 500058 247574 500294
 rect 246954 464614 247574 500058
-rect 253794 471486 254414 506866
-rect 253174 471454 254414 471486
-rect 253174 471218 253206 471454
-rect 253442 471218 253526 471454
-rect 253762 471218 253826 471454
-rect 254062 471218 254146 471454
-rect 254382 471218 254414 471454
-rect 253174 471134 254414 471218
-rect 253174 470898 253206 471134
-rect 253442 470898 253526 471134
-rect 253762 470898 253826 471134
-rect 254062 470898 254146 471134
-rect 254382 470898 254414 471134
-rect 253174 470866 254414 470898
 rect 246954 464378 246986 464614
 rect 247222 464378 247306 464614
 rect 247542 464378 247574 464614
@@ -13065,7 +13052,71 @@
 rect 229542 -6662 229574 -6426
 rect 228954 -7654 229574 -6662
 rect 246954 -7066 247574 32058
-rect 253794 435454 254414 470866
+rect 253794 704838 254414 705830
+rect 253794 704602 253826 704838
+rect 254062 704602 254146 704838
+rect 254382 704602 254414 704838
+rect 253794 704518 254414 704602
+rect 253794 704282 253826 704518
+rect 254062 704282 254146 704518
+rect 254382 704282 254414 704518
+rect 253794 687454 254414 704282
+rect 253794 687218 253826 687454
+rect 254062 687218 254146 687454
+rect 254382 687218 254414 687454
+rect 253794 687134 254414 687218
+rect 253794 686898 253826 687134
+rect 254062 686898 254146 687134
+rect 254382 686898 254414 687134
+rect 253794 651454 254414 686898
+rect 253794 651218 253826 651454
+rect 254062 651218 254146 651454
+rect 254382 651218 254414 651454
+rect 253794 651134 254414 651218
+rect 253794 650898 253826 651134
+rect 254062 650898 254146 651134
+rect 254382 650898 254414 651134
+rect 253794 615454 254414 650898
+rect 253794 615218 253826 615454
+rect 254062 615218 254146 615454
+rect 254382 615218 254414 615454
+rect 253794 615134 254414 615218
+rect 253794 614898 253826 615134
+rect 254062 614898 254146 615134
+rect 254382 614898 254414 615134
+rect 253794 579454 254414 614898
+rect 253794 579218 253826 579454
+rect 254062 579218 254146 579454
+rect 254382 579218 254414 579454
+rect 253794 579134 254414 579218
+rect 253794 578898 253826 579134
+rect 254062 578898 254146 579134
+rect 254382 578898 254414 579134
+rect 253794 543454 254414 578898
+rect 253794 543218 253826 543454
+rect 254062 543218 254146 543454
+rect 254382 543218 254414 543454
+rect 253794 543134 254414 543218
+rect 253794 542898 253826 543134
+rect 254062 542898 254146 543134
+rect 254382 542898 254414 543134
+rect 253794 507454 254414 542898
+rect 253794 507218 253826 507454
+rect 254062 507218 254146 507454
+rect 254382 507218 254414 507454
+rect 253794 507134 254414 507218
+rect 253794 506898 253826 507134
+rect 254062 506898 254146 507134
+rect 254382 506898 254414 507134
+rect 253794 471454 254414 506898
+rect 253794 471218 253826 471454
+rect 254062 471218 254146 471454
+rect 254382 471218 254414 471454
+rect 253794 471134 254414 471218
+rect 253794 470898 253826 471134
+rect 254062 470898 254146 471134
+rect 254382 470898 254414 471134
+rect 253794 435454 254414 470898
 rect 253794 435218 253826 435454
 rect 254062 435218 254146 435454
 rect 254382 435218 254414 435454
@@ -32632,50 +32683,10 @@
 rect 247306 536378 247542 536614
 rect 246986 536058 247222 536294
 rect 247306 536058 247542 536294
-rect 253826 704602 254062 704838
-rect 254146 704602 254382 704838
-rect 253826 704282 254062 704518
-rect 254146 704282 254382 704518
-rect 253826 687218 254062 687454
-rect 254146 687218 254382 687454
-rect 253826 686898 254062 687134
-rect 254146 686898 254382 687134
-rect 253826 651218 254062 651454
-rect 254146 651218 254382 651454
-rect 253826 650898 254062 651134
-rect 254146 650898 254382 651134
-rect 253826 615218 254062 615454
-rect 254146 615218 254382 615454
-rect 253826 614898 254062 615134
-rect 254146 614898 254382 615134
-rect 253826 579218 254062 579454
-rect 254146 579218 254382 579454
-rect 253826 578898 254062 579134
-rect 254146 578898 254382 579134
-rect 253826 543218 254062 543454
-rect 254146 543218 254382 543454
-rect 253826 542898 254062 543134
-rect 254146 542898 254382 543134
-rect 253206 507218 253442 507454
-rect 253526 507218 253762 507454
-rect 253826 507218 254062 507454
-rect 254146 507218 254382 507454
-rect 253206 506898 253442 507134
-rect 253526 506898 253762 507134
-rect 253826 506898 254062 507134
-rect 254146 506898 254382 507134
 rect 246986 500378 247222 500614
 rect 247306 500378 247542 500614
 rect 246986 500058 247222 500294
 rect 247306 500058 247542 500294
-rect 253206 471218 253442 471454
-rect 253526 471218 253762 471454
-rect 253826 471218 254062 471454
-rect 254146 471218 254382 471454
-rect 253206 470898 253442 471134
-rect 253526 470898 253762 471134
-rect 253826 470898 254062 471134
-rect 254146 470898 254382 471134
 rect 246986 464378 247222 464614
 rect 247306 464378 247542 464614
 rect 246986 464058 247222 464294
@@ -32732,6 +32743,38 @@
 rect 229306 -6342 229542 -6106
 rect 228986 -6662 229222 -6426
 rect 229306 -6662 229542 -6426
+rect 253826 704602 254062 704838
+rect 254146 704602 254382 704838
+rect 253826 704282 254062 704518
+rect 254146 704282 254382 704518
+rect 253826 687218 254062 687454
+rect 254146 687218 254382 687454
+rect 253826 686898 254062 687134
+rect 254146 686898 254382 687134
+rect 253826 651218 254062 651454
+rect 254146 651218 254382 651454
+rect 253826 650898 254062 651134
+rect 254146 650898 254382 651134
+rect 253826 615218 254062 615454
+rect 254146 615218 254382 615454
+rect 253826 614898 254062 615134
+rect 254146 614898 254382 615134
+rect 253826 579218 254062 579454
+rect 254146 579218 254382 579454
+rect 253826 578898 254062 579134
+rect 254146 578898 254382 579134
+rect 253826 543218 254062 543454
+rect 254146 543218 254382 543454
+rect 253826 542898 254062 543134
+rect 254146 542898 254382 543134
+rect 253826 507218 254062 507454
+rect 254146 507218 254382 507454
+rect 253826 506898 254062 507134
+rect 254146 506898 254382 507134
+rect 253826 471218 254062 471454
+rect 254146 471218 254382 471454
+rect 253826 470898 254062 471134
+rect 254146 470898 254382 471134
 rect 253826 435218 254062 435454
 rect 254146 435218 254382 435454
 rect 253826 434898 254062 435134
@@ -43745,9 +43788,7 @@
 rect 182062 507218 182146 507454
 rect 182382 507218 217826 507454
 rect 218062 507218 218146 507454
-rect 218382 507218 253206 507454
-rect 253442 507218 253526 507454
-rect 253762 507218 253826 507454
+rect 218382 507218 253826 507454
 rect 254062 507218 254146 507454
 rect 254382 507218 289826 507454
 rect 290062 507218 290146 507454
@@ -43787,9 +43828,7 @@
 rect 182062 506898 182146 507134
 rect 182382 506898 217826 507134
 rect 218062 506898 218146 507134
-rect 218382 506898 253206 507134
-rect 253442 506898 253526 507134
-rect 253762 506898 253826 507134
+rect 218382 506898 253826 507134
 rect 254062 506898 254146 507134
 rect 254382 506898 289826 507134
 rect 290062 506898 290146 507134
@@ -44373,9 +44412,7 @@
 rect 182062 471218 182146 471454
 rect 182382 471218 217826 471454
 rect 218062 471218 218146 471454
-rect 218382 471218 253206 471454
-rect 253442 471218 253526 471454
-rect 253762 471218 253826 471454
+rect 218382 471218 253826 471454
 rect 254062 471218 254146 471454
 rect 254382 471218 289826 471454
 rect 290062 471218 290146 471454
@@ -44415,9 +44452,7 @@
 rect 182062 470898 182146 471134
 rect 182382 470898 217826 471134
 rect 218062 470898 218146 471134
-rect 218382 470898 253206 471134
-rect 253442 470898 253526 471134
-rect 253762 470898 253826 471134
+rect 218382 470898 253826 471134
 rect 254062 470898 254146 471134
 rect 254382 470898 289826 471134
 rect 290062 470898 290146 471134
@@ -53178,8 +53213,8 @@
 rect 592618 -7622 592650 -7386
 rect -8726 -7654 592650 -7622
 use flash_array_8x8  u_flash_array_8x8
-timestamp 1644356930
-transform 1 0 245437 0 1 440000
+timestamp 1644953978
+transform 1 0 246057 0 1 440000
 box 7737 -20000 26357 80000
 << labels >>
 rlabel metal3 s 583520 285276 584960 285516 6 analog_io[0]
diff --git a/maglef/user_project_wrapper.mag b/maglef/user_project_wrapper.mag
index 2dd8c06..44f47c0 100644
--- a/maglef/user_project_wrapper.mag
+++ b/maglef/user_project_wrapper.mag
@@ -1,9 +1,9 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1644356931
+timestamp 1644953979
 << obsli1 >>
-rect 259837 431953 262079 440215
+rect 260481 431953 262723 440215
 << obsm1 >>
 rect 3418 6808 580230 700596
 << metal2 >>
@@ -1043,12 +1043,6 @@
 rect 590110 -5734 590730 709670
 rect 591070 -6694 591690 710630
 rect 592030 -7654 592650 711590
-<< obsm4 >>
-rect 253174 420000 253714 520000
-rect 254494 420000 257434 520000
-rect 258214 420000 261154 520000
-rect 261934 420000 264874 520000
-rect 265654 420000 271714 520000
 << metal5 >>
 rect -8726 710970 592650 711590
 rect -7766 710010 591690 710630
@@ -3138,7 +3132,7 @@
 string FIXED_BBOX 0 0 584000 704000
 string LEFview TRUE
 string GDS_FILE /project/openlane/user_project_wrapper/runs/user_project_wrapper/results/magic/user_project_wrapper.gds
-string GDS_END 1066992
-string GDS_START 40470
+string GDS_END 1070908
+string GDS_START 41890
 << end >>
 
diff --git a/openlane/user_project_wrapper/macro.cfg b/openlane/user_project_wrapper/macro.cfg
index d547dd4..df552a2 100644
--- a/openlane/user_project_wrapper/macro.cfg
+++ b/openlane/user_project_wrapper/macro.cfg
@@ -1 +1 @@
-u_flash_array_8x8 1227.185 2200 N
+u_flash_array_8x8 1230.285 2200 N
diff --git a/signoff/user_project_wrapper/final_summary_report.csv b/signoff/user_project_wrapper/final_summary_report.csv
index 722a40c..0669233 100644
--- a/signoff/user_project_wrapper/final_summary_report.csv
+++ b/signoff/user_project_wrapper/final_summary_report.csv
@@ -1,2 +1,2 @@
 ,design,design_name,config,flow_status,total_runtime,routed_runtime,(Cell/mm^2)/Core_Util,DIEAREA_mm^2,CellPer_mm^2,OpenDP_Util,Peak_Memory_Usage_MB,cell_count,tritonRoute_violations,Short_violations,MetSpc_violations,OffGrid_violations,MinHole_violations,Other_violations,Magic_violations,antenna_violations,lvs_total_errors,cvc_total_errors,klayout_violations,wire_length,vias,wns,pl_wns,optimized_wns,fastroute_wns,spef_wns,tns,pl_tns,optimized_tns,fastroute_tns,spef_tns,HPWL,routing_layer1_pct,routing_layer2_pct,routing_layer3_pct,routing_layer4_pct,routing_layer5_pct,routing_layer6_pct,wires_count,wire_bits,public_wires_count,public_wire_bits,memories_count,memory_bits,processes_count,cells_pre_abc,AND,DFF,NAND,NOR,OR,XOR,XNOR,MUX,inputs,outputs,level,EndCaps,TapCells,Diodes,Total_Physical_Cells,suggested_clock_frequency,suggested_clock_period,CLOCK_PERIOD,SYNTH_STRATEGY,SYNTH_MAX_FANOUT,FP_CORE_UTIL,FP_ASPECT_RATIO,FP_PDN_VPITCH,FP_PDN_HPITCH,PL_TARGET_DENSITY,GLB_RT_ADJUSTMENT,STD_CELL_LIBRARY,CELL_PAD,DIODE_INSERTION_STRATEGY
-0,/project/openlane/user_project_wrapper,user_project_wrapper,user_project_wrapper,flow_completed,0h1m47s,-1,0.19458281444582815,10.2784,0.09729140722291407,-1,451.29,1,0,0,0,0,0,0,39,20,0,-1,-1,89924,151,0.0,-1,-1,0.0,-1,0.0,-1,-1,0.0,-1,-1,40141.04,0.16,0.18,0.0,0.0,-1,29,647,29,647,0,0,0,1,0,0,0,0,0,0,0,0,-1,-1,-1,0,0,0,0,90.9090909090909,11.0,10.0,AREA 0,5,50,1,180,180,0.55,0.0,sky130_fd_sc_hd,4,0
+0,/project/openlane/user_project_wrapper,user_project_wrapper,user_project_wrapper,flow_completed,0h1m47s,-1,0.19458281444582815,10.2784,0.09729140722291407,-1,452.49,1,0,0,0,0,0,0,2,21,0,-1,-1,89870,160,0.0,-1,-1,0.0,-1,0.0,-1,-1,0.0,-1,-1,40141.04,0.16,0.18,0.0,0.0,-1,29,647,29,647,0,0,0,1,0,0,0,0,0,0,0,0,-1,-1,-1,0,0,0,0,90.9090909090909,11.0,10.0,AREA 0,5,50,1,180,180,0.55,0.0,sky130_fd_sc_hd,4,0
diff --git a/spi/lvs/user_project_wrapper.spice b/spi/lvs/user_project_wrapper.spice
index 27b346d..6012d45 100644
--- a/spi/lvs/user_project_wrapper.spice
+++ b/spi/lvs/user_project_wrapper.spice
@@ -1,10 +1,10 @@
 * NGSPICE file created from user_project_wrapper.ext - technology: sky130A
 
 * Black-box entry subcircuit for flash_array_8x8 abstract view
-.subckt flash_array_8x8 sen2 sen1 VDD GND BL[7] BL[6] BL[5] BL[4] BL[3] BL[2] BL[1]
-+ BL[0] VBPW SSL[1] out[6] out[4] out[2] out[0] WL1[1] WL1[0] WL1[3] WL1[2] SL GSL[1]
-+ GSL[0] WL0[3] WL0[2] WL0[1] WL0[0] SSL[0] out[7] out_en[3] out[5] out_en[2] out[3]
-+ out_en[1] out[1] out_en[0] vccd1 vssd1
+.subckt flash_array_8x8 sen2 sen1 BL[7] BL[6] BL[5] BL[4] BL[3] BL[2] BL[1] BL[0]
++ VBPW SSL[1] out[6] out[4] out[2] out[0] WL1[1] WL1[0] WL1[3] WL1[2] SL GSL[1] GSL[0]
++ WL0[3] WL0[2] WL0[1] WL0[0] SSL[0] out[7] out_en[3] out[5] out_en[2] out[3] out_en[1]
++ out[1] out_en[0] vccd1 vssd1
 .ends
 
 .subckt user_project_wrapper analog_io[0] analog_io[10] analog_io[11] analog_io[12]
@@ -108,11 +108,11 @@
 + wbs_dat_o[28] wbs_dat_o[29] wbs_dat_o[2] wbs_dat_o[30] wbs_dat_o[31] wbs_dat_o[3]
 + wbs_dat_o[4] wbs_dat_o[5] wbs_dat_o[6] wbs_dat_o[7] wbs_dat_o[8] wbs_dat_o[9] wbs_sel_i[0]
 + wbs_sel_i[1] wbs_sel_i[2] wbs_sel_i[3] wbs_stb_i wbs_we_i
-Xu_flash_array_8x8 io_in[1] io_in[0] u_flash_array_8x8/VDD u_flash_array_8x8/GND analog_io[7]
-+ analog_io[6] analog_io[5] analog_io[4] analog_io[3] analog_io[2] analog_io[1] analog_io[0]
-+ analog_io[21] analog_io[9] io_out[6] io_out[4] io_out[2] io_out[0] analog_io[17]
-+ analog_io[16] analog_io[19] analog_io[18] analog_io[20] analog_io[11] analog_io[10]
-+ analog_io[15] analog_io[14] analog_io[13] analog_io[12] analog_io[8] io_out[7] io_in[5]
-+ io_out[5] io_in[4] io_out[3] io_in[3] io_out[1] io_in[2] vccd1 vssd1 flash_array_8x8
+Xu_flash_array_8x8 io_in[1] io_in[0] analog_io[7] analog_io[6] analog_io[5] analog_io[4]
++ analog_io[3] analog_io[2] analog_io[1] analog_io[0] analog_io[21] analog_io[9] io_out[6]
++ io_out[4] io_out[2] io_out[0] analog_io[17] analog_io[16] analog_io[19] analog_io[18]
++ analog_io[20] analog_io[11] analog_io[10] analog_io[15] analog_io[14] analog_io[13]
++ analog_io[12] analog_io[8] io_out[7] io_in[5] io_out[5] io_in[4] io_out[3] io_in[3]
++ io_out[1] io_in[2] vccd1 vssd1 flash_array_8x8
 .ends