blob: 693cbe5c80494e6f2342e6d8be66aee69f0b83d5 [file] [log] [blame]
VERSION 5.8 ;
DIVIDERCHAR "/" ;
BUSBITCHARS "[]" ;
DESIGN user_project_wrapper ;
UNITS DISTANCE MICRONS 1000 ;
DIEAREA ( 0 0 ) ( 2920000 3520000 ) ;
ROW ROW_0 unithd 5520 10880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1 unithd 5520 13600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_2 unithd 5520 16320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_3 unithd 5520 19040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_4 unithd 5520 21760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_5 unithd 5520 24480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_6 unithd 5520 27200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_7 unithd 5520 29920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_8 unithd 5520 32640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_9 unithd 5520 35360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_10 unithd 5520 38080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_11 unithd 5520 40800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_12 unithd 5520 43520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_13 unithd 5520 46240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_14 unithd 5520 48960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_15 unithd 5520 51680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_16 unithd 5520 54400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_17 unithd 5520 57120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_18 unithd 5520 59840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_19 unithd 5520 62560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_20 unithd 5520 65280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_21 unithd 5520 68000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_22 unithd 5520 70720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_23 unithd 5520 73440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_24 unithd 5520 76160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_25 unithd 5520 78880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_26 unithd 5520 81600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_27 unithd 5520 84320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_28 unithd 5520 87040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_29 unithd 5520 89760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_30 unithd 5520 92480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_31 unithd 5520 95200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_32 unithd 5520 97920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_33 unithd 5520 100640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_34 unithd 5520 103360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_35 unithd 5520 106080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_36 unithd 5520 108800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_37 unithd 5520 111520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_38 unithd 5520 114240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_39 unithd 5520 116960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_40 unithd 5520 119680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_41 unithd 5520 122400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_42 unithd 5520 125120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_43 unithd 5520 127840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_44 unithd 5520 130560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_45 unithd 5520 133280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_46 unithd 5520 136000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_47 unithd 5520 138720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_48 unithd 5520 141440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_49 unithd 5520 144160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_50 unithd 5520 146880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_51 unithd 5520 149600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_52 unithd 5520 152320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_53 unithd 5520 155040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_54 unithd 5520 157760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_55 unithd 5520 160480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_56 unithd 5520 163200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_57 unithd 5520 165920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_58 unithd 5520 168640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_59 unithd 5520 171360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_60 unithd 5520 174080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_61 unithd 5520 176800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_62 unithd 5520 179520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_63 unithd 5520 182240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_64 unithd 5520 184960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_65 unithd 5520 187680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_66 unithd 5520 190400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_67 unithd 5520 193120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_68 unithd 5520 195840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_69 unithd 5520 198560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_70 unithd 5520 201280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_71 unithd 5520 204000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_72 unithd 5520 206720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_73 unithd 5520 209440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_74 unithd 5520 212160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_75 unithd 5520 214880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_76 unithd 5520 217600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_77 unithd 5520 220320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_78 unithd 5520 223040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_79 unithd 5520 225760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_80 unithd 5520 228480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_81 unithd 5520 231200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_82 unithd 5520 233920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_83 unithd 5520 236640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_84 unithd 5520 239360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_85 unithd 5520 242080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_86 unithd 5520 244800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_87 unithd 5520 247520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_88 unithd 5520 250240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_89 unithd 5520 252960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_90 unithd 5520 255680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_91 unithd 5520 258400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_92 unithd 5520 261120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_93 unithd 5520 263840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_94 unithd 5520 266560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_95 unithd 5520 269280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_96 unithd 5520 272000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_97 unithd 5520 274720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_98 unithd 5520 277440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_99 unithd 5520 280160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_100 unithd 5520 282880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_101 unithd 5520 285600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_102 unithd 5520 288320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_103 unithd 5520 291040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_104 unithd 5520 293760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_105 unithd 5520 296480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_106 unithd 5520 299200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_107 unithd 5520 301920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_108 unithd 5520 304640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_109 unithd 5520 307360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_110 unithd 5520 310080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_111 unithd 5520 312800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_112 unithd 5520 315520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_113 unithd 5520 318240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_114 unithd 5520 320960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_115 unithd 5520 323680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_116 unithd 5520 326400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_117 unithd 5520 329120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_118 unithd 5520 331840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_119 unithd 5520 334560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_120 unithd 5520 337280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_121 unithd 5520 340000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_122 unithd 5520 342720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_123 unithd 5520 345440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_124 unithd 5520 348160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_125 unithd 5520 350880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_126 unithd 5520 353600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_127 unithd 5520 356320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_128 unithd 5520 359040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_129 unithd 5520 361760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_130 unithd 5520 364480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_131 unithd 5520 367200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_132 unithd 5520 369920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_133 unithd 5520 372640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_134 unithd 5520 375360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_135 unithd 5520 378080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_136 unithd 5520 380800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_137 unithd 5520 383520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_138 unithd 5520 386240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_139 unithd 5520 388960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_140 unithd 5520 391680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_141 unithd 5520 394400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_142 unithd 5520 397120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_143 unithd 5520 399840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_144 unithd 5520 402560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_145 unithd 5520 405280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_146 unithd 5520 408000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_147 unithd 5520 410720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_148 unithd 5520 413440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_149 unithd 5520 416160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_150 unithd 5520 418880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_151 unithd 5520 421600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_152 unithd 5520 424320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_153 unithd 5520 427040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_154 unithd 5520 429760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_155 unithd 5520 432480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_156 unithd 5520 435200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_157 unithd 5520 437920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_158 unithd 5520 440640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_159 unithd 5520 443360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_160 unithd 5520 446080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_161 unithd 5520 448800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_162 unithd 5520 451520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_163 unithd 5520 454240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_164 unithd 5520 456960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_165 unithd 5520 459680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_166 unithd 5520 462400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_167 unithd 5520 465120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_168 unithd 5520 467840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_169 unithd 5520 470560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_170 unithd 5520 473280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_171 unithd 5520 476000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_172 unithd 5520 478720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_173 unithd 5520 481440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_174 unithd 5520 484160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_175 unithd 5520 486880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_176 unithd 5520 489600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_177 unithd 5520 492320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_178 unithd 5520 495040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_179 unithd 5520 497760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_180 unithd 5520 500480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_181 unithd 5520 503200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_182 unithd 5520 505920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_183 unithd 5520 508640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_184 unithd 5520 511360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_185 unithd 5520 514080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_186 unithd 5520 516800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_187 unithd 5520 519520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_188 unithd 5520 522240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_189 unithd 5520 524960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_190 unithd 5520 527680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_191 unithd 5520 530400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_192 unithd 5520 533120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_193 unithd 5520 535840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_194 unithd 5520 538560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_195 unithd 5520 541280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_196 unithd 5520 544000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_197 unithd 5520 546720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_198 unithd 5520 549440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_199 unithd 5520 552160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_200 unithd 5520 554880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_201 unithd 5520 557600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_202 unithd 5520 560320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_203 unithd 5520 563040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_204 unithd 5520 565760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_205 unithd 5520 568480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_206 unithd 5520 571200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_207 unithd 5520 573920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_208 unithd 5520 576640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_209 unithd 5520 579360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_210 unithd 5520 582080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_211 unithd 5520 584800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_212 unithd 5520 587520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_213 unithd 5520 590240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_214 unithd 5520 592960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_215 unithd 5520 595680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_216 unithd 5520 598400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_217 unithd 5520 601120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_218 unithd 5520 603840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_219 unithd 5520 606560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_220 unithd 5520 609280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_221 unithd 5520 612000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_222 unithd 5520 614720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_223 unithd 5520 617440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_224 unithd 5520 620160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_225 unithd 5520 622880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_226 unithd 5520 625600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_227 unithd 5520 628320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_228 unithd 5520 631040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_229 unithd 5520 633760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_230 unithd 5520 636480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_231 unithd 5520 639200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_232 unithd 5520 641920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_233 unithd 5520 644640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_234 unithd 5520 647360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_235 unithd 5520 650080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_236 unithd 5520 652800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_237 unithd 5520 655520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_238 unithd 5520 658240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_239 unithd 5520 660960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_240 unithd 5520 663680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_241 unithd 5520 666400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_242 unithd 5520 669120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_243 unithd 5520 671840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_244 unithd 5520 674560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_245 unithd 5520 677280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_246 unithd 5520 680000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_247 unithd 5520 682720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_248 unithd 5520 685440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_249 unithd 5520 688160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_250 unithd 5520 690880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_251 unithd 5520 693600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_252 unithd 5520 696320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_253 unithd 5520 699040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_254 unithd 5520 701760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_255 unithd 5520 704480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_256 unithd 5520 707200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_257 unithd 5520 709920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_258 unithd 5520 712640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_259 unithd 5520 715360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_260 unithd 5520 718080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_261 unithd 5520 720800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_262 unithd 5520 723520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_263 unithd 5520 726240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_264 unithd 5520 728960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_265 unithd 5520 731680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_266 unithd 5520 734400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_267 unithd 5520 737120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_268 unithd 5520 739840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_269 unithd 5520 742560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_270 unithd 5520 745280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_271 unithd 5520 748000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_272 unithd 5520 750720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_273 unithd 5520 753440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_274 unithd 5520 756160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_275 unithd 5520 758880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_276 unithd 5520 761600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_277 unithd 5520 764320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_278 unithd 5520 767040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_279 unithd 5520 769760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_280 unithd 5520 772480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_281 unithd 5520 775200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_282 unithd 5520 777920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_283 unithd 5520 780640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_284 unithd 5520 783360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_285 unithd 5520 786080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_286 unithd 5520 788800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_287 unithd 5520 791520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_288 unithd 5520 794240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_289 unithd 5520 796960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_290 unithd 5520 799680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_291 unithd 5520 802400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_292 unithd 5520 805120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_293 unithd 5520 807840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_294 unithd 5520 810560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_295 unithd 5520 813280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_296 unithd 5520 816000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_297 unithd 5520 818720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_298 unithd 5520 821440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_299 unithd 5520 824160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_300 unithd 5520 826880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_301 unithd 5520 829600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_302 unithd 5520 832320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_303 unithd 5520 835040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_304 unithd 5520 837760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_305 unithd 5520 840480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_306 unithd 5520 843200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_307 unithd 5520 845920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_308 unithd 5520 848640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_309 unithd 5520 851360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_310 unithd 5520 854080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_311 unithd 5520 856800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_312 unithd 5520 859520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_313 unithd 5520 862240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_314 unithd 5520 864960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_315 unithd 5520 867680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_316 unithd 5520 870400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_317 unithd 5520 873120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_318 unithd 5520 875840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_319 unithd 5520 878560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_320 unithd 5520 881280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_321 unithd 5520 884000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_322 unithd 5520 886720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_323 unithd 5520 889440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_324 unithd 5520 892160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_325 unithd 5520 894880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_326 unithd 5520 897600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_327 unithd 5520 900320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_328 unithd 5520 903040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_329 unithd 5520 905760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_330 unithd 5520 908480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_331 unithd 5520 911200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_332 unithd 5520 913920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_333 unithd 5520 916640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_334 unithd 5520 919360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_335 unithd 5520 922080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_336 unithd 5520 924800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_337 unithd 5520 927520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_338 unithd 5520 930240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_339 unithd 5520 932960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_340 unithd 5520 935680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_341 unithd 5520 938400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_342 unithd 5520 941120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_343 unithd 5520 943840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_344 unithd 5520 946560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_345 unithd 5520 949280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_346 unithd 5520 952000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_347 unithd 5520 954720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_348 unithd 5520 957440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_349 unithd 5520 960160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_350 unithd 5520 962880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_351 unithd 5520 965600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_352 unithd 5520 968320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_353 unithd 5520 971040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_354 unithd 5520 973760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_355 unithd 5520 976480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_356 unithd 5520 979200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_357 unithd 5520 981920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_358 unithd 5520 984640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_359 unithd 5520 987360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_360 unithd 5520 990080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_361 unithd 5520 992800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_362 unithd 5520 995520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_363 unithd 5520 998240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_364 unithd 5520 1000960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_365 unithd 5520 1003680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_366 unithd 5520 1006400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_367 unithd 5520 1009120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_368 unithd 5520 1011840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_369 unithd 5520 1014560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_370 unithd 5520 1017280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_371 unithd 5520 1020000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_372 unithd 5520 1022720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_373 unithd 5520 1025440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_374 unithd 5520 1028160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_375 unithd 5520 1030880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_376 unithd 5520 1033600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_377 unithd 5520 1036320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_378 unithd 5520 1039040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_379 unithd 5520 1041760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_380 unithd 5520 1044480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_381 unithd 5520 1047200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_382 unithd 5520 1049920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_383 unithd 5520 1052640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_384 unithd 5520 1055360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_385 unithd 5520 1058080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_386 unithd 5520 1060800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_387 unithd 5520 1063520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_388 unithd 5520 1066240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_389 unithd 5520 1068960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_390 unithd 5520 1071680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_391 unithd 5520 1074400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_392 unithd 5520 1077120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_393 unithd 5520 1079840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_394 unithd 5520 1082560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_395 unithd 5520 1085280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_396 unithd 5520 1088000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_397 unithd 5520 1090720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_398 unithd 5520 1093440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_399 unithd 5520 1096160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_400 unithd 5520 1098880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_401 unithd 5520 1101600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_402 unithd 5520 1104320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_403 unithd 5520 1107040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_404 unithd 5520 1109760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_405 unithd 5520 1112480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_406 unithd 5520 1115200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_407 unithd 5520 1117920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_408 unithd 5520 1120640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_409 unithd 5520 1123360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_410 unithd 5520 1126080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_411 unithd 5520 1128800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_412 unithd 5520 1131520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_413 unithd 5520 1134240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_414 unithd 5520 1136960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_415 unithd 5520 1139680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_416 unithd 5520 1142400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_417 unithd 5520 1145120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_418 unithd 5520 1147840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_419 unithd 5520 1150560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_420 unithd 5520 1153280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_421 unithd 5520 1156000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_422 unithd 5520 1158720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_423 unithd 5520 1161440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_424 unithd 5520 1164160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_425 unithd 5520 1166880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_426 unithd 5520 1169600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_427 unithd 5520 1172320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_428 unithd 5520 1175040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_429 unithd 5520 1177760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_430 unithd 5520 1180480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_431 unithd 5520 1183200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_432 unithd 5520 1185920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_433 unithd 5520 1188640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_434 unithd 5520 1191360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_435 unithd 5520 1194080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_436 unithd 5520 1196800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_437 unithd 5520 1199520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_438 unithd 5520 1202240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_439 unithd 5520 1204960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_440 unithd 5520 1207680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_441 unithd 5520 1210400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_442 unithd 5520 1213120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_443 unithd 5520 1215840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_444 unithd 5520 1218560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_445 unithd 5520 1221280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_446 unithd 5520 1224000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_447 unithd 5520 1226720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_448 unithd 5520 1229440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_449 unithd 5520 1232160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_450 unithd 5520 1234880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_451 unithd 5520 1237600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_452 unithd 5520 1240320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_453 unithd 5520 1243040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_454 unithd 5520 1245760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_455 unithd 5520 1248480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_456 unithd 5520 1251200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_457 unithd 5520 1253920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_458 unithd 5520 1256640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_459 unithd 5520 1259360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_460 unithd 5520 1262080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_461 unithd 5520 1264800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_462 unithd 5520 1267520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_463 unithd 5520 1270240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_464 unithd 5520 1272960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_465 unithd 5520 1275680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_466 unithd 5520 1278400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_467 unithd 5520 1281120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_468 unithd 5520 1283840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_469 unithd 5520 1286560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_470 unithd 5520 1289280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_471 unithd 5520 1292000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_472 unithd 5520 1294720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_473 unithd 5520 1297440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_474 unithd 5520 1300160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_475 unithd 5520 1302880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_476 unithd 5520 1305600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_477 unithd 5520 1308320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_478 unithd 5520 1311040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_479 unithd 5520 1313760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_480 unithd 5520 1316480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_481 unithd 5520 1319200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_482 unithd 5520 1321920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_483 unithd 5520 1324640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_484 unithd 5520 1327360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_485 unithd 5520 1330080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_486 unithd 5520 1332800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_487 unithd 5520 1335520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_488 unithd 5520 1338240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_489 unithd 5520 1340960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_490 unithd 5520 1343680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_491 unithd 5520 1346400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_492 unithd 5520 1349120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_493 unithd 5520 1351840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_494 unithd 5520 1354560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_495 unithd 5520 1357280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_496 unithd 5520 1360000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_497 unithd 5520 1362720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_498 unithd 5520 1365440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_499 unithd 5520 1368160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_500 unithd 5520 1370880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_501 unithd 5520 1373600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_502 unithd 5520 1376320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_503 unithd 5520 1379040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_504 unithd 5520 1381760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_505 unithd 5520 1384480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_506 unithd 5520 1387200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_507 unithd 5520 1389920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_508 unithd 5520 1392640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_509 unithd 5520 1395360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_510 unithd 5520 1398080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_511 unithd 5520 1400800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_512 unithd 5520 1403520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_513 unithd 5520 1406240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_514 unithd 5520 1408960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_515 unithd 5520 1411680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_516 unithd 5520 1414400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_517 unithd 5520 1417120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_518 unithd 5520 1419840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_519 unithd 5520 1422560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_520 unithd 5520 1425280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_521 unithd 5520 1428000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_522 unithd 5520 1430720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_523 unithd 5520 1433440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_524 unithd 5520 1436160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_525 unithd 5520 1438880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_526 unithd 5520 1441600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_527 unithd 5520 1444320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_528 unithd 5520 1447040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_529 unithd 5520 1449760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_530 unithd 5520 1452480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_531 unithd 5520 1455200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_532 unithd 5520 1457920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_533 unithd 5520 1460640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_534 unithd 5520 1463360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_535 unithd 5520 1466080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_536 unithd 5520 1468800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_537 unithd 5520 1471520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_538 unithd 5520 1474240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_539 unithd 5520 1476960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_540 unithd 5520 1479680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_541 unithd 5520 1482400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_542 unithd 5520 1485120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_543 unithd 5520 1487840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_544 unithd 5520 1490560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_545 unithd 5520 1493280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_546 unithd 5520 1496000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_547 unithd 5520 1498720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_548 unithd 5520 1501440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_549 unithd 5520 1504160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_550 unithd 5520 1506880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_551 unithd 5520 1509600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_552 unithd 5520 1512320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_553 unithd 5520 1515040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_554 unithd 5520 1517760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_555 unithd 5520 1520480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_556 unithd 5520 1523200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_557 unithd 5520 1525920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_558 unithd 5520 1528640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_559 unithd 5520 1531360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_560 unithd 5520 1534080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_561 unithd 5520 1536800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_562 unithd 5520 1539520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_563 unithd 5520 1542240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_564 unithd 5520 1544960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_565 unithd 5520 1547680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_566 unithd 5520 1550400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_567 unithd 5520 1553120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_568 unithd 5520 1555840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_569 unithd 5520 1558560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_570 unithd 5520 1561280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_571 unithd 5520 1564000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_572 unithd 5520 1566720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_573 unithd 5520 1569440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_574 unithd 5520 1572160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_575 unithd 5520 1574880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_576 unithd 5520 1577600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_577 unithd 5520 1580320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_578 unithd 5520 1583040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_579 unithd 5520 1585760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_580 unithd 5520 1588480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_581 unithd 5520 1591200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_582 unithd 5520 1593920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_583 unithd 5520 1596640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_584 unithd 5520 1599360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_585 unithd 5520 1602080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_586 unithd 5520 1604800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_587 unithd 5520 1607520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_588 unithd 5520 1610240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_589 unithd 5520 1612960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_590 unithd 5520 1615680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_591 unithd 5520 1618400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_592 unithd 5520 1621120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_593 unithd 5520 1623840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_594 unithd 5520 1626560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_595 unithd 5520 1629280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_596 unithd 5520 1632000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_597 unithd 5520 1634720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_598 unithd 5520 1637440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_599 unithd 5520 1640160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_600 unithd 5520 1642880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_601 unithd 5520 1645600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_602 unithd 5520 1648320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_603 unithd 5520 1651040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_604 unithd 5520 1653760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_605 unithd 5520 1656480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_606 unithd 5520 1659200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_607 unithd 5520 1661920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_608 unithd 5520 1664640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_609 unithd 5520 1667360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_610 unithd 5520 1670080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_611 unithd 5520 1672800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_612 unithd 5520 1675520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_613 unithd 5520 1678240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_614 unithd 5520 1680960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_615 unithd 5520 1683680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_616 unithd 5520 1686400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_617 unithd 5520 1689120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_618 unithd 5520 1691840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_619 unithd 5520 1694560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_620 unithd 5520 1697280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_621 unithd 5520 1700000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_622 unithd 5520 1702720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_623 unithd 5520 1705440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_624 unithd 5520 1708160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_625 unithd 5520 1710880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_626 unithd 5520 1713600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_627 unithd 5520 1716320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_628 unithd 5520 1719040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_629 unithd 5520 1721760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_630 unithd 5520 1724480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_631 unithd 5520 1727200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_632 unithd 5520 1729920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_633 unithd 5520 1732640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_634 unithd 5520 1735360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_635 unithd 5520 1738080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_636 unithd 5520 1740800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_637 unithd 5520 1743520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_638 unithd 5520 1746240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_639 unithd 5520 1748960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_640 unithd 5520 1751680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_641 unithd 5520 1754400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_642 unithd 5520 1757120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_643 unithd 5520 1759840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_644 unithd 5520 1762560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_645 unithd 5520 1765280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_646 unithd 5520 1768000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_647 unithd 5520 1770720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_648 unithd 5520 1773440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_649 unithd 5520 1776160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_650 unithd 5520 1778880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_651 unithd 5520 1781600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_652 unithd 5520 1784320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_653 unithd 5520 1787040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_654 unithd 5520 1789760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_655 unithd 5520 1792480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_656 unithd 5520 1795200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_657 unithd 5520 1797920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_658 unithd 5520 1800640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_659 unithd 5520 1803360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_660 unithd 5520 1806080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_661 unithd 5520 1808800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_662 unithd 5520 1811520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_663 unithd 5520 1814240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_664 unithd 5520 1816960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_665 unithd 5520 1819680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_666 unithd 5520 1822400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_667 unithd 5520 1825120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_668 unithd 5520 1827840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_669 unithd 5520 1830560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_670 unithd 5520 1833280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_671 unithd 5520 1836000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_672 unithd 5520 1838720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_673 unithd 5520 1841440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_674 unithd 5520 1844160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_675 unithd 5520 1846880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_676 unithd 5520 1849600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_677 unithd 5520 1852320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_678 unithd 5520 1855040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_679 unithd 5520 1857760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_680 unithd 5520 1860480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_681 unithd 5520 1863200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_682 unithd 5520 1865920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_683 unithd 5520 1868640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_684 unithd 5520 1871360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_685 unithd 5520 1874080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_686 unithd 5520 1876800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_687 unithd 5520 1879520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_688 unithd 5520 1882240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_689 unithd 5520 1884960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_690 unithd 5520 1887680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_691 unithd 5520 1890400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_692 unithd 5520 1893120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_693 unithd 5520 1895840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_694 unithd 5520 1898560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_695 unithd 5520 1901280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_696 unithd 5520 1904000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_697 unithd 5520 1906720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_698 unithd 5520 1909440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_699 unithd 5520 1912160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_700 unithd 5520 1914880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_701 unithd 5520 1917600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_702 unithd 5520 1920320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_703 unithd 5520 1923040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_704 unithd 5520 1925760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_705 unithd 5520 1928480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_706 unithd 5520 1931200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_707 unithd 5520 1933920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_708 unithd 5520 1936640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_709 unithd 5520 1939360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_710 unithd 5520 1942080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_711 unithd 5520 1944800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_712 unithd 5520 1947520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_713 unithd 5520 1950240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_714 unithd 5520 1952960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_715 unithd 5520 1955680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_716 unithd 5520 1958400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_717 unithd 5520 1961120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_718 unithd 5520 1963840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_719 unithd 5520 1966560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_720 unithd 5520 1969280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_721 unithd 5520 1972000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_722 unithd 5520 1974720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_723 unithd 5520 1977440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_724 unithd 5520 1980160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_725 unithd 5520 1982880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_726 unithd 5520 1985600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_727 unithd 5520 1988320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_728 unithd 5520 1991040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_729 unithd 5520 1993760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_730 unithd 5520 1996480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_731 unithd 5520 1999200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_732 unithd 5520 2001920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_733 unithd 5520 2004640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_734 unithd 5520 2007360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_735 unithd 5520 2010080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_736 unithd 5520 2012800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_737 unithd 5520 2015520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_738 unithd 5520 2018240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_739 unithd 5520 2020960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_740 unithd 5520 2023680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_741 unithd 5520 2026400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_742 unithd 5520 2029120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_743 unithd 5520 2031840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_744 unithd 5520 2034560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_745 unithd 5520 2037280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_746 unithd 5520 2040000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_747 unithd 5520 2042720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_748 unithd 5520 2045440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_749 unithd 5520 2048160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_750 unithd 5520 2050880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_751 unithd 5520 2053600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_752 unithd 5520 2056320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_753 unithd 5520 2059040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_754 unithd 5520 2061760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_755 unithd 5520 2064480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_756 unithd 5520 2067200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_757 unithd 5520 2069920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_758 unithd 5520 2072640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_759 unithd 5520 2075360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_760 unithd 5520 2078080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_761 unithd 5520 2080800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_762 unithd 5520 2083520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_763 unithd 5520 2086240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_764 unithd 5520 2088960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_765 unithd 5520 2091680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_766 unithd 5520 2094400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_767 unithd 5520 2097120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_768 unithd 5520 2099840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_769 unithd 5520 2102560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_770 unithd 5520 2105280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_771 unithd 5520 2108000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_772 unithd 5520 2110720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_773 unithd 5520 2113440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_774 unithd 5520 2116160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_775 unithd 5520 2118880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_776 unithd 5520 2121600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_777 unithd 5520 2124320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_778 unithd 5520 2127040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_779 unithd 5520 2129760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_780 unithd 5520 2132480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_781 unithd 5520 2135200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_782 unithd 5520 2137920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_783 unithd 5520 2140640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_784 unithd 5520 2143360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_785 unithd 5520 2146080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_786 unithd 5520 2148800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_787 unithd 5520 2151520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_788 unithd 5520 2154240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_789 unithd 5520 2156960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_790 unithd 5520 2159680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_791 unithd 5520 2162400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_792 unithd 5520 2165120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_793 unithd 5520 2167840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_794 unithd 5520 2170560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_795 unithd 5520 2173280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_796 unithd 5520 2176000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_797 unithd 5520 2178720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_798 unithd 5520 2181440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_799 unithd 5520 2184160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_800 unithd 5520 2186880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_801 unithd 5520 2189600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_802 unithd 5520 2192320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_803 unithd 5520 2195040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_804 unithd 5520 2197760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_805 unithd 5520 2200480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_806 unithd 5520 2203200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_807 unithd 5520 2205920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_808 unithd 5520 2208640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_809 unithd 5520 2211360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_810 unithd 5520 2214080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_811 unithd 5520 2216800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_812 unithd 5520 2219520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_813 unithd 5520 2222240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_814 unithd 5520 2224960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_815 unithd 5520 2227680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_816 unithd 5520 2230400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_817 unithd 5520 2233120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_818 unithd 5520 2235840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_819 unithd 5520 2238560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_820 unithd 5520 2241280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_821 unithd 5520 2244000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_822 unithd 5520 2246720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_823 unithd 5520 2249440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_824 unithd 5520 2252160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_825 unithd 5520 2254880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_826 unithd 5520 2257600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_827 unithd 5520 2260320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_828 unithd 5520 2263040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_829 unithd 5520 2265760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_830 unithd 5520 2268480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_831 unithd 5520 2271200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_832 unithd 5520 2273920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_833 unithd 5520 2276640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_834 unithd 5520 2279360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_835 unithd 5520 2282080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_836 unithd 5520 2284800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_837 unithd 5520 2287520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_838 unithd 5520 2290240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_839 unithd 5520 2292960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_840 unithd 5520 2295680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_841 unithd 5520 2298400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_842 unithd 5520 2301120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_843 unithd 5520 2303840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_844 unithd 5520 2306560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_845 unithd 5520 2309280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_846 unithd 5520 2312000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_847 unithd 5520 2314720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_848 unithd 5520 2317440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_849 unithd 5520 2320160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_850 unithd 5520 2322880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_851 unithd 5520 2325600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_852 unithd 5520 2328320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_853 unithd 5520 2331040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_854 unithd 5520 2333760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_855 unithd 5520 2336480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_856 unithd 5520 2339200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_857 unithd 5520 2341920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_858 unithd 5520 2344640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_859 unithd 5520 2347360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_860 unithd 5520 2350080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_861 unithd 5520 2352800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_862 unithd 5520 2355520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_863 unithd 5520 2358240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_864 unithd 5520 2360960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_865 unithd 5520 2363680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_866 unithd 5520 2366400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_867 unithd 5520 2369120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_868 unithd 5520 2371840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_869 unithd 5520 2374560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_870 unithd 5520 2377280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_871 unithd 5520 2380000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_872 unithd 5520 2382720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_873 unithd 5520 2385440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_874 unithd 5520 2388160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_875 unithd 5520 2390880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_876 unithd 5520 2393600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_877 unithd 5520 2396320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_878 unithd 5520 2399040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_879 unithd 5520 2401760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_880 unithd 5520 2404480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_881 unithd 5520 2407200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_882 unithd 5520 2409920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_883 unithd 5520 2412640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_884 unithd 5520 2415360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_885 unithd 5520 2418080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_886 unithd 5520 2420800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_887 unithd 5520 2423520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_888 unithd 5520 2426240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_889 unithd 5520 2428960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_890 unithd 5520 2431680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_891 unithd 5520 2434400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_892 unithd 5520 2437120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_893 unithd 5520 2439840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_894 unithd 5520 2442560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_895 unithd 5520 2445280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_896 unithd 5520 2448000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_897 unithd 5520 2450720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_898 unithd 5520 2453440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_899 unithd 5520 2456160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_900 unithd 5520 2458880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_901 unithd 5520 2461600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_902 unithd 5520 2464320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_903 unithd 5520 2467040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_904 unithd 5520 2469760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_905 unithd 5520 2472480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_906 unithd 5520 2475200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_907 unithd 5520 2477920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_908 unithd 5520 2480640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_909 unithd 5520 2483360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_910 unithd 5520 2486080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_911 unithd 5520 2488800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_912 unithd 5520 2491520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_913 unithd 5520 2494240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_914 unithd 5520 2496960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_915 unithd 5520 2499680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_916 unithd 5520 2502400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_917 unithd 5520 2505120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_918 unithd 5520 2507840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_919 unithd 5520 2510560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_920 unithd 5520 2513280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_921 unithd 5520 2516000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_922 unithd 5520 2518720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_923 unithd 5520 2521440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_924 unithd 5520 2524160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_925 unithd 5520 2526880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_926 unithd 5520 2529600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_927 unithd 5520 2532320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_928 unithd 5520 2535040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_929 unithd 5520 2537760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_930 unithd 5520 2540480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_931 unithd 5520 2543200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_932 unithd 5520 2545920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_933 unithd 5520 2548640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_934 unithd 5520 2551360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_935 unithd 5520 2554080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_936 unithd 5520 2556800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_937 unithd 5520 2559520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_938 unithd 5520 2562240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_939 unithd 5520 2564960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_940 unithd 5520 2567680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_941 unithd 5520 2570400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_942 unithd 5520 2573120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_943 unithd 5520 2575840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_944 unithd 5520 2578560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_945 unithd 5520 2581280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_946 unithd 5520 2584000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_947 unithd 5520 2586720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_948 unithd 5520 2589440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_949 unithd 5520 2592160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_950 unithd 5520 2594880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_951 unithd 5520 2597600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_952 unithd 5520 2600320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_953 unithd 5520 2603040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_954 unithd 5520 2605760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_955 unithd 5520 2608480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_956 unithd 5520 2611200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_957 unithd 5520 2613920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_958 unithd 5520 2616640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_959 unithd 5520 2619360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_960 unithd 5520 2622080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_961 unithd 5520 2624800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_962 unithd 5520 2627520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_963 unithd 5520 2630240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_964 unithd 5520 2632960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_965 unithd 5520 2635680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_966 unithd 5520 2638400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_967 unithd 5520 2641120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_968 unithd 5520 2643840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_969 unithd 5520 2646560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_970 unithd 5520 2649280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_971 unithd 5520 2652000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_972 unithd 5520 2654720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_973 unithd 5520 2657440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_974 unithd 5520 2660160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_975 unithd 5520 2662880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_976 unithd 5520 2665600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_977 unithd 5520 2668320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_978 unithd 5520 2671040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_979 unithd 5520 2673760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_980 unithd 5520 2676480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_981 unithd 5520 2679200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_982 unithd 5520 2681920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_983 unithd 5520 2684640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_984 unithd 5520 2687360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_985 unithd 5520 2690080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_986 unithd 5520 2692800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_987 unithd 5520 2695520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_988 unithd 5520 2698240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_989 unithd 5520 2700960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_990 unithd 5520 2703680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_991 unithd 5520 2706400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_992 unithd 5520 2709120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_993 unithd 5520 2711840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_994 unithd 5520 2714560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_995 unithd 5520 2717280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_996 unithd 5520 2720000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_997 unithd 5520 2722720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_998 unithd 5520 2725440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_999 unithd 5520 2728160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1000 unithd 5520 2730880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1001 unithd 5520 2733600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1002 unithd 5520 2736320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1003 unithd 5520 2739040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1004 unithd 5520 2741760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1005 unithd 5520 2744480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1006 unithd 5520 2747200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1007 unithd 5520 2749920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1008 unithd 5520 2752640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1009 unithd 5520 2755360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1010 unithd 5520 2758080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1011 unithd 5520 2760800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1012 unithd 5520 2763520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1013 unithd 5520 2766240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1014 unithd 5520 2768960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1015 unithd 5520 2771680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1016 unithd 5520 2774400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1017 unithd 5520 2777120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1018 unithd 5520 2779840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1019 unithd 5520 2782560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1020 unithd 5520 2785280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1021 unithd 5520 2788000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1022 unithd 5520 2790720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1023 unithd 5520 2793440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1024 unithd 5520 2796160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1025 unithd 5520 2798880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1026 unithd 5520 2801600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1027 unithd 5520 2804320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1028 unithd 5520 2807040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1029 unithd 5520 2809760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1030 unithd 5520 2812480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1031 unithd 5520 2815200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1032 unithd 5520 2817920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1033 unithd 5520 2820640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1034 unithd 5520 2823360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1035 unithd 5520 2826080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1036 unithd 5520 2828800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1037 unithd 5520 2831520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1038 unithd 5520 2834240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1039 unithd 5520 2836960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1040 unithd 5520 2839680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1041 unithd 5520 2842400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1042 unithd 5520 2845120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1043 unithd 5520 2847840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1044 unithd 5520 2850560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1045 unithd 5520 2853280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1046 unithd 5520 2856000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1047 unithd 5520 2858720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1048 unithd 5520 2861440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1049 unithd 5520 2864160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1050 unithd 5520 2866880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1051 unithd 5520 2869600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1052 unithd 5520 2872320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1053 unithd 5520 2875040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1054 unithd 5520 2877760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1055 unithd 5520 2880480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1056 unithd 5520 2883200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1057 unithd 5520 2885920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1058 unithd 5520 2888640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1059 unithd 5520 2891360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1060 unithd 5520 2894080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1061 unithd 5520 2896800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1062 unithd 5520 2899520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1063 unithd 5520 2902240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1064 unithd 5520 2904960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1065 unithd 5520 2907680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1066 unithd 5520 2910400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1067 unithd 5520 2913120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1068 unithd 5520 2915840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1069 unithd 5520 2918560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1070 unithd 5520 2921280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1071 unithd 5520 2924000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1072 unithd 5520 2926720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1073 unithd 5520 2929440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1074 unithd 5520 2932160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1075 unithd 5520 2934880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1076 unithd 5520 2937600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1077 unithd 5520 2940320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1078 unithd 5520 2943040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1079 unithd 5520 2945760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1080 unithd 5520 2948480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1081 unithd 5520 2951200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1082 unithd 5520 2953920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1083 unithd 5520 2956640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1084 unithd 5520 2959360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1085 unithd 5520 2962080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1086 unithd 5520 2964800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1087 unithd 5520 2967520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1088 unithd 5520 2970240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1089 unithd 5520 2972960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1090 unithd 5520 2975680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1091 unithd 5520 2978400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1092 unithd 5520 2981120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1093 unithd 5520 2983840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1094 unithd 5520 2986560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1095 unithd 5520 2989280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1096 unithd 5520 2992000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1097 unithd 5520 2994720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1098 unithd 5520 2997440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1099 unithd 5520 3000160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1100 unithd 5520 3002880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1101 unithd 5520 3005600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1102 unithd 5520 3008320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1103 unithd 5520 3011040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1104 unithd 5520 3013760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1105 unithd 5520 3016480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1106 unithd 5520 3019200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1107 unithd 5520 3021920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1108 unithd 5520 3024640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1109 unithd 5520 3027360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1110 unithd 5520 3030080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1111 unithd 5520 3032800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1112 unithd 5520 3035520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1113 unithd 5520 3038240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1114 unithd 5520 3040960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1115 unithd 5520 3043680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1116 unithd 5520 3046400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1117 unithd 5520 3049120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1118 unithd 5520 3051840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1119 unithd 5520 3054560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1120 unithd 5520 3057280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1121 unithd 5520 3060000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1122 unithd 5520 3062720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1123 unithd 5520 3065440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1124 unithd 5520 3068160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1125 unithd 5520 3070880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1126 unithd 5520 3073600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1127 unithd 5520 3076320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1128 unithd 5520 3079040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1129 unithd 5520 3081760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1130 unithd 5520 3084480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1131 unithd 5520 3087200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1132 unithd 5520 3089920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1133 unithd 5520 3092640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1134 unithd 5520 3095360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1135 unithd 5520 3098080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1136 unithd 5520 3100800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1137 unithd 5520 3103520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1138 unithd 5520 3106240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1139 unithd 5520 3108960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1140 unithd 5520 3111680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1141 unithd 5520 3114400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1142 unithd 5520 3117120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1143 unithd 5520 3119840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1144 unithd 5520 3122560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1145 unithd 5520 3125280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1146 unithd 5520 3128000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1147 unithd 5520 3130720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1148 unithd 5520 3133440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1149 unithd 5520 3136160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1150 unithd 5520 3138880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1151 unithd 5520 3141600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1152 unithd 5520 3144320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1153 unithd 5520 3147040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1154 unithd 5520 3149760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1155 unithd 5520 3152480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1156 unithd 5520 3155200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1157 unithd 5520 3157920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1158 unithd 5520 3160640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1159 unithd 5520 3163360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1160 unithd 5520 3166080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1161 unithd 5520 3168800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1162 unithd 5520 3171520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1163 unithd 5520 3174240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1164 unithd 5520 3176960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1165 unithd 5520 3179680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1166 unithd 5520 3182400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1167 unithd 5520 3185120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1168 unithd 5520 3187840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1169 unithd 5520 3190560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1170 unithd 5520 3193280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1171 unithd 5520 3196000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1172 unithd 5520 3198720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1173 unithd 5520 3201440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1174 unithd 5520 3204160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1175 unithd 5520 3206880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1176 unithd 5520 3209600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1177 unithd 5520 3212320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1178 unithd 5520 3215040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1179 unithd 5520 3217760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1180 unithd 5520 3220480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1181 unithd 5520 3223200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1182 unithd 5520 3225920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1183 unithd 5520 3228640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1184 unithd 5520 3231360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1185 unithd 5520 3234080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1186 unithd 5520 3236800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1187 unithd 5520 3239520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1188 unithd 5520 3242240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1189 unithd 5520 3244960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1190 unithd 5520 3247680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1191 unithd 5520 3250400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1192 unithd 5520 3253120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1193 unithd 5520 3255840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1194 unithd 5520 3258560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1195 unithd 5520 3261280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1196 unithd 5520 3264000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1197 unithd 5520 3266720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1198 unithd 5520 3269440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1199 unithd 5520 3272160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1200 unithd 5520 3274880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1201 unithd 5520 3277600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1202 unithd 5520 3280320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1203 unithd 5520 3283040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1204 unithd 5520 3285760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1205 unithd 5520 3288480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1206 unithd 5520 3291200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1207 unithd 5520 3293920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1208 unithd 5520 3296640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1209 unithd 5520 3299360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1210 unithd 5520 3302080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1211 unithd 5520 3304800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1212 unithd 5520 3307520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1213 unithd 5520 3310240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1214 unithd 5520 3312960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1215 unithd 5520 3315680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1216 unithd 5520 3318400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1217 unithd 5520 3321120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1218 unithd 5520 3323840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1219 unithd 5520 3326560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1220 unithd 5520 3329280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1221 unithd 5520 3332000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1222 unithd 5520 3334720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1223 unithd 5520 3337440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1224 unithd 5520 3340160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1225 unithd 5520 3342880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1226 unithd 5520 3345600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1227 unithd 5520 3348320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1228 unithd 5520 3351040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1229 unithd 5520 3353760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1230 unithd 5520 3356480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1231 unithd 5520 3359200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1232 unithd 5520 3361920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1233 unithd 5520 3364640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1234 unithd 5520 3367360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1235 unithd 5520 3370080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1236 unithd 5520 3372800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1237 unithd 5520 3375520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1238 unithd 5520 3378240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1239 unithd 5520 3380960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1240 unithd 5520 3383680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1241 unithd 5520 3386400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1242 unithd 5520 3389120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1243 unithd 5520 3391840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1244 unithd 5520 3394560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1245 unithd 5520 3397280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1246 unithd 5520 3400000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1247 unithd 5520 3402720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1248 unithd 5520 3405440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1249 unithd 5520 3408160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1250 unithd 5520 3410880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1251 unithd 5520 3413600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1252 unithd 5520 3416320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1253 unithd 5520 3419040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1254 unithd 5520 3421760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1255 unithd 5520 3424480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1256 unithd 5520 3427200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1257 unithd 5520 3429920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1258 unithd 5520 3432640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1259 unithd 5520 3435360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1260 unithd 5520 3438080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1261 unithd 5520 3440800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1262 unithd 5520 3443520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1263 unithd 5520 3446240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1264 unithd 5520 3448960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1265 unithd 5520 3451680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1266 unithd 5520 3454400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1267 unithd 5520 3457120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1268 unithd 5520 3459840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1269 unithd 5520 3462560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1270 unithd 5520 3465280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1271 unithd 5520 3468000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1272 unithd 5520 3470720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1273 unithd 5520 3473440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1274 unithd 5520 3476160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1275 unithd 5520 3478880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1276 unithd 5520 3481600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1277 unithd 5520 3484320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1278 unithd 5520 3487040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1279 unithd 5520 3489760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1280 unithd 5520 3492480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1281 unithd 5520 3495200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1282 unithd 5520 3497920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1283 unithd 5520 3500640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1284 unithd 5520 3503360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1285 unithd 5520 3506080 FS DO 6323 BY 1 STEP 460 0 ;
TRACKS X 230 DO 6348 STEP 460 LAYER li1 ;
TRACKS Y 170 DO 10353 STEP 340 LAYER li1 ;
TRACKS X 170 DO 8588 STEP 340 LAYER met1 ;
TRACKS Y 170 DO 10353 STEP 340 LAYER met1 ;
TRACKS X 230 DO 6348 STEP 460 LAYER met2 ;
TRACKS Y 230 DO 7652 STEP 460 LAYER met2 ;
TRACKS X 340 DO 4294 STEP 680 LAYER met3 ;
TRACKS Y 340 DO 5176 STEP 680 LAYER met3 ;
TRACKS X 460 DO 3174 STEP 920 LAYER met4 ;
TRACKS Y 460 DO 3826 STEP 920 LAYER met4 ;
TRACKS X 1700 DO 859 STEP 3400 LAYER met5 ;
TRACKS Y 1700 DO 1035 STEP 3400 LAYER met5 ;
GCELLGRID X 0 DO 423 STEP 6900 ;
GCELLGRID Y 0 DO 511 STEP 6900 ;
VIAS 6 ;
- via4_3100x3100 + VIARULE M4M5_PR + CUTSIZE 800 800 + LAYERS met4 via4 met5 + CUTSPACING 800 800 + ENCLOSURE 350 350 350 350 + ROWCOL 2 2 ;
- via4_3000x3100 + VIARULE M4M5_PR + CUTSIZE 800 800 + LAYERS met4 via4 met5 + CUTSPACING 800 800 + ENCLOSURE 1100 350 1100 350 + ROWCOL 2 1 ;
- via4_3100x3000 + VIARULE M4M5_PR + CUTSIZE 800 800 + LAYERS met4 via4 met5 + CUTSPACING 800 800 + ENCLOSURE 350 1100 350 1100 + ROWCOL 1 2 ;
- via4_3000x3000 + VIARULE M4M5_PR + CUTSIZE 800 800 + LAYERS met4 via4 met5 + CUTSPACING 800 800 + ENCLOSURE 1100 1100 1100 1100 ;
- via4_1600x3000 + VIARULE M4M5_PR + CUTSIZE 800 800 + LAYERS met4 via4 met5 + CUTSPACING 800 800 + ENCLOSURE 400 1100 400 1100 ;
- via4_1740x3000 + VIARULE M4M5_PR + CUTSIZE 800 800 + LAYERS met4 via4 met5 + CUTSPACING 800 800 + ENCLOSURE 470 1100 470 1100 ;
END VIAS
COMPONENTS 3 ;
- mprj user_proj + FIXED ( 200000 200000 ) N ;
- sram sky130_sram_2kbyte_1rw1r_32x512_8 + FIXED ( 200000 1700000 ) N ;
- sram1 sky130_sram_2kbyte_1rw1r_32x512_8 + FIXED ( 1700000 1700000 ) N ;
END COMPONENTS
PINS 645 ;
- analog_io[0] + NET analog_io[0] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1426980 ) N ;
- analog_io[10] + NET analog_io[10] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2230770 3521200 ) N ;
- analog_io[11] + NET analog_io[11] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1906010 3521200 ) N ;
- analog_io[12] + NET analog_io[12] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1581710 3521200 ) N ;
- analog_io[13] + NET analog_io[13] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1257410 3521200 ) N ;
- analog_io[14] + NET analog_io[14] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 932650 3521200 ) N ;
- analog_io[15] + NET analog_io[15] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 608350 3521200 ) N ;
- analog_io[16] + NET analog_io[16] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 284050 3521200 ) N ;
- analog_io[17] + NET analog_io[17] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3486700 ) N ;
- analog_io[18] + NET analog_io[18] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3225580 ) N ;
- analog_io[19] + NET analog_io[19] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2965140 ) N ;
- analog_io[1] + NET analog_io[1] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1692860 ) N ;
- analog_io[20] + NET analog_io[20] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2704020 ) N ;
- analog_io[21] + NET analog_io[21] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2443580 ) N ;
- analog_io[22] + NET analog_io[22] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2183140 ) N ;
- analog_io[23] + NET analog_io[23] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1922020 ) N ;
- analog_io[24] + NET analog_io[24] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1661580 ) N ;
- analog_io[25] + NET analog_io[25] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1400460 ) N ;
- analog_io[26] + NET analog_io[26] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1140020 ) N ;
- analog_io[27] + NET analog_io[27] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 879580 ) N ;
- analog_io[28] + NET analog_io[28] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 618460 ) N ;
- analog_io[2] + NET analog_io[2] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1958740 ) N ;
- analog_io[3] + NET analog_io[3] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2223940 ) N ;
- analog_io[4] + NET analog_io[4] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2489820 ) N ;
- analog_io[5] + NET analog_io[5] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2755700 ) N ;
- analog_io[6] + NET analog_io[6] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3020900 ) N ;
- analog_io[7] + NET analog_io[7] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3286780 ) N ;
- analog_io[8] + NET analog_io[8] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2879370 3521200 ) N ;
- analog_io[9] + NET analog_io[9] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2555070 3521200 ) N ;
- io_in[0] + NET io_in[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 32980 ) N ;
- io_in[10] + NET io_in[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2290580 ) N ;
- io_in[11] + NET io_in[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2556460 ) N ;
- io_in[12] + NET io_in[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2821660 ) N ;
- io_in[13] + NET io_in[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3087540 ) N ;
- io_in[14] + NET io_in[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3353420 ) N ;
- io_in[15] + NET io_in[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2798410 3521200 ) N ;
- io_in[16] + NET io_in[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2474110 3521200 ) N ;
- io_in[17] + NET io_in[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2149350 3521200 ) N ;
- io_in[18] + NET io_in[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1825050 3521200 ) N ;
- io_in[19] + NET io_in[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1500750 3521200 ) N ;
- io_in[1] + NET io_in[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 231540 ) N ;
- io_in[20] + NET io_in[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1175990 3521200 ) N ;
- io_in[21] + NET io_in[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 851690 3521200 ) N ;
- io_in[22] + NET io_in[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 527390 3521200 ) N ;
- io_in[23] + NET io_in[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 202630 3521200 ) N ;
- io_in[24] + NET io_in[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3421420 ) N ;
- io_in[25] + NET io_in[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3160300 ) N ;
- io_in[26] + NET io_in[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2899860 ) N ;
- io_in[27] + NET io_in[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2639420 ) N ;
- io_in[28] + NET io_in[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2378300 ) N ;
- io_in[29] + NET io_in[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2117860 ) N ;
- io_in[2] + NET io_in[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 430780 ) N ;
- io_in[30] + NET io_in[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1856740 ) N ;
- io_in[31] + NET io_in[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1596300 ) N ;
- io_in[32] + NET io_in[32] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1335860 ) N ;
- io_in[33] + NET io_in[33] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1074740 ) N ;
- io_in[34] + NET io_in[34] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 814300 ) N ;
- io_in[35] + NET io_in[35] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 553180 ) N ;
- io_in[36] + NET io_in[36] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 358020 ) N ;
- io_in[37] + NET io_in[37] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 162180 ) N ;
- io_in[3] + NET io_in[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 630020 ) N ;
- io_in[4] + NET io_in[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 829260 ) N ;
- io_in[5] + NET io_in[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1028500 ) N ;
- io_in[6] + NET io_in[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1227740 ) N ;
- io_in[7] + NET io_in[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1493620 ) N ;
- io_in[8] + NET io_in[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1759500 ) N ;
- io_in[9] + NET io_in[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2024700 ) N ;
- io_oeb[0] + NET io_oeb[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 165580 ) N ;
- io_oeb[10] + NET io_oeb[10] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2423180 ) N ;
- io_oeb[11] + NET io_oeb[11] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2689060 ) N ;
- io_oeb[12] + NET io_oeb[12] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2954940 ) N ;
- io_oeb[13] + NET io_oeb[13] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3220140 ) N ;
- io_oeb[14] + NET io_oeb[14] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3486020 ) N ;
- io_oeb[15] + NET io_oeb[15] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2636030 3521200 ) N ;
- io_oeb[16] + NET io_oeb[16] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2311730 3521200 ) N ;
- io_oeb[17] + NET io_oeb[17] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1987430 3521200 ) N ;
- io_oeb[18] + NET io_oeb[18] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1662670 3521200 ) N ;
- io_oeb[19] + NET io_oeb[19] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1338370 3521200 ) N ;
- io_oeb[1] + NET io_oeb[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 364820 ) N ;
- io_oeb[20] + NET io_oeb[20] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1014070 3521200 ) N ;
- io_oeb[21] + NET io_oeb[21] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 689310 3521200 ) N ;
- io_oeb[22] + NET io_oeb[22] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 365010 3521200 ) N ;
- io_oeb[23] + NET io_oeb[23] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 40710 3521200 ) N ;
- io_oeb[24] + NET io_oeb[24] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3290860 ) N ;
- io_oeb[25] + NET io_oeb[25] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3030420 ) N ;
- io_oeb[26] + NET io_oeb[26] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2769300 ) N ;
- io_oeb[27] + NET io_oeb[27] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2508860 ) N ;
- io_oeb[28] + NET io_oeb[28] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2247740 ) N ;
- io_oeb[29] + NET io_oeb[29] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1987300 ) N ;
- io_oeb[2] + NET io_oeb[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 564060 ) N ;
- io_oeb[30] + NET io_oeb[30] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1726860 ) N ;
- io_oeb[31] + NET io_oeb[31] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1465740 ) N ;
- io_oeb[32] + NET io_oeb[32] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1205300 ) N ;
- io_oeb[33] + NET io_oeb[33] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 944180 ) N ;
- io_oeb[34] + NET io_oeb[34] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 683740 ) N ;
- io_oeb[35] + NET io_oeb[35] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 423300 ) N ;
- io_oeb[36] + NET io_oeb[36] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 227460 ) N ;
- io_oeb[37] + NET io_oeb[37] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 32300 ) N ;
- io_oeb[3] + NET io_oeb[3] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 763300 ) N ;
- io_oeb[4] + NET io_oeb[4] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 962540 ) N ;
- io_oeb[5] + NET io_oeb[5] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1161780 ) N ;
- io_oeb[6] + NET io_oeb[6] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1361020 ) N ;
- io_oeb[7] + NET io_oeb[7] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1626220 ) N ;
- io_oeb[8] + NET io_oeb[8] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1892100 ) N ;
- io_oeb[9] + NET io_oeb[9] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2157980 ) N ;
- io_out[0] + NET io_out[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 98940 ) N ;
- io_out[10] + NET io_out[10] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2357220 ) N ;
- io_out[11] + NET io_out[11] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2622420 ) N ;
- io_out[12] + NET io_out[12] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2888300 ) N ;
- io_out[13] + NET io_out[13] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3154180 ) N ;
- io_out[14] + NET io_out[14] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3419380 ) N ;
- io_out[15] + NET io_out[15] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2717450 3521200 ) N ;
- io_out[16] + NET io_out[16] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2392690 3521200 ) N ;
- io_out[17] + NET io_out[17] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2068390 3521200 ) N ;
- io_out[18] + NET io_out[18] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1744090 3521200 ) N ;
- io_out[19] + NET io_out[19] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1419330 3521200 ) N ;
- io_out[1] + NET io_out[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 298180 ) N ;
- io_out[20] + NET io_out[20] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1095030 3521200 ) N ;
- io_out[21] + NET io_out[21] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 770730 3521200 ) N ;
- io_out[22] + NET io_out[22] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 445970 3521200 ) N ;
- io_out[23] + NET io_out[23] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 121670 3521200 ) N ;
- io_out[24] + NET io_out[24] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3356140 ) N ;
- io_out[25] + NET io_out[25] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3095700 ) N ;
- io_out[26] + NET io_out[26] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2834580 ) N ;
- io_out[27] + NET io_out[27] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2574140 ) N ;
- io_out[28] + NET io_out[28] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2313020 ) N ;
- io_out[29] + NET io_out[29] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2052580 ) N ;
- io_out[2] + NET io_out[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 497420 ) N ;
- io_out[30] + NET io_out[30] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1792140 ) N ;
- io_out[31] + NET io_out[31] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1531020 ) N ;
- io_out[32] + NET io_out[32] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1270580 ) N ;
- io_out[33] + NET io_out[33] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1009460 ) N ;
- io_out[34] + NET io_out[34] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 749020 ) N ;
- io_out[35] + NET io_out[35] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 487900 ) N ;
- io_out[36] + NET io_out[36] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 292740 ) N ;
- io_out[37] + NET io_out[37] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 96900 ) N ;
- io_out[3] + NET io_out[3] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 696660 ) N ;
- io_out[4] + NET io_out[4] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 895900 ) N ;
- io_out[5] + NET io_out[5] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1095140 ) N ;
- io_out[6] + NET io_out[6] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1294380 ) N ;
- io_out[7] + NET io_out[7] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1560260 ) N ;
- io_out[8] + NET io_out[8] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1825460 ) N ;
- io_out[9] + NET io_out[9] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2091340 ) N ;
- la_data_in[0] + NET la_data_in[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 629510 -1200 ) N ;
- la_data_in[100] + NET la_data_in[100] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2402810 -1200 ) N ;
- la_data_in[101] + NET la_data_in[101] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2420290 -1200 ) N ;
- la_data_in[102] + NET la_data_in[102] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2438230 -1200 ) N ;
- la_data_in[103] + NET la_data_in[103] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2455710 -1200 ) N ;
- la_data_in[104] + NET la_data_in[104] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2473650 -1200 ) N ;
- la_data_in[105] + NET la_data_in[105] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2491130 -1200 ) N ;
- la_data_in[106] + NET la_data_in[106] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2509070 -1200 ) N ;
- la_data_in[107] + NET la_data_in[107] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2527010 -1200 ) N ;
- la_data_in[108] + NET la_data_in[108] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2544490 -1200 ) N ;
- la_data_in[109] + NET la_data_in[109] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2562430 -1200 ) N ;
- la_data_in[10] + NET la_data_in[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 806610 -1200 ) N ;
- la_data_in[110] + NET la_data_in[110] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2579910 -1200 ) N ;
- la_data_in[111] + NET la_data_in[111] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2597850 -1200 ) N ;
- la_data_in[112] + NET la_data_in[112] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2615330 -1200 ) N ;
- la_data_in[113] + NET la_data_in[113] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2633270 -1200 ) N ;
- la_data_in[114] + NET la_data_in[114] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2650750 -1200 ) N ;
- la_data_in[115] + NET la_data_in[115] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2668690 -1200 ) N ;
- la_data_in[116] + NET la_data_in[116] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2686170 -1200 ) N ;
- la_data_in[117] + NET la_data_in[117] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2704110 -1200 ) N ;
- la_data_in[118] + NET la_data_in[118] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2722050 -1200 ) N ;
- la_data_in[119] + NET la_data_in[119] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2739530 -1200 ) N ;
- la_data_in[11] + NET la_data_in[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 824550 -1200 ) N ;
- la_data_in[120] + NET la_data_in[120] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2757470 -1200 ) N ;
- la_data_in[121] + NET la_data_in[121] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2774950 -1200 ) N ;
- la_data_in[122] + NET la_data_in[122] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2792890 -1200 ) N ;
- la_data_in[123] + NET la_data_in[123] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2810370 -1200 ) N ;
- la_data_in[124] + NET la_data_in[124] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2828310 -1200 ) N ;
- la_data_in[125] + NET la_data_in[125] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2845790 -1200 ) N ;
- la_data_in[126] + NET la_data_in[126] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2863730 -1200 ) N ;
- la_data_in[127] + NET la_data_in[127] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2881670 -1200 ) N ;
- la_data_in[12] + NET la_data_in[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 842030 -1200 ) N ;
- la_data_in[13] + NET la_data_in[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 859970 -1200 ) N ;
- la_data_in[14] + NET la_data_in[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 877450 -1200 ) N ;
- la_data_in[15] + NET la_data_in[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 895390 -1200 ) N ;
- la_data_in[16] + NET la_data_in[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 912870 -1200 ) N ;
- la_data_in[17] + NET la_data_in[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 930810 -1200 ) N ;
- la_data_in[18] + NET la_data_in[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 948750 -1200 ) N ;
- la_data_in[19] + NET la_data_in[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 966230 -1200 ) N ;
- la_data_in[1] + NET la_data_in[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 646990 -1200 ) N ;
- la_data_in[20] + NET la_data_in[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 984170 -1200 ) N ;
- la_data_in[21] + NET la_data_in[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1001650 -1200 ) N ;
- la_data_in[22] + NET la_data_in[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1019590 -1200 ) N ;
- la_data_in[23] + NET la_data_in[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1037070 -1200 ) N ;
- la_data_in[24] + NET la_data_in[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1055010 -1200 ) N ;
- la_data_in[25] + NET la_data_in[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1072490 -1200 ) N ;
- la_data_in[26] + NET la_data_in[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1090430 -1200 ) N ;
- la_data_in[27] + NET la_data_in[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1107910 -1200 ) N ;
- la_data_in[28] + NET la_data_in[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1125850 -1200 ) N ;
- la_data_in[29] + NET la_data_in[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1143790 -1200 ) N ;
- la_data_in[2] + NET la_data_in[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 664930 -1200 ) N ;
- la_data_in[30] + NET la_data_in[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1161270 -1200 ) N ;
- la_data_in[31] + NET la_data_in[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1179210 -1200 ) N ;
- la_data_in[32] + NET la_data_in[32] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1196690 -1200 ) N ;
- la_data_in[33] + NET la_data_in[33] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1214630 -1200 ) N ;
- la_data_in[34] + NET la_data_in[34] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1232110 -1200 ) N ;
- la_data_in[35] + NET la_data_in[35] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1250050 -1200 ) N ;
- la_data_in[36] + NET la_data_in[36] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1267530 -1200 ) N ;
- la_data_in[37] + NET la_data_in[37] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1285470 -1200 ) N ;
- la_data_in[38] + NET la_data_in[38] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1303410 -1200 ) N ;
- la_data_in[39] + NET la_data_in[39] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1320890 -1200 ) N ;
- la_data_in[3] + NET la_data_in[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 682410 -1200 ) N ;
- la_data_in[40] + NET la_data_in[40] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1338830 -1200 ) N ;
- la_data_in[41] + NET la_data_in[41] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1356310 -1200 ) N ;
- la_data_in[42] + NET la_data_in[42] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1374250 -1200 ) N ;
- la_data_in[43] + NET la_data_in[43] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1391730 -1200 ) N ;
- la_data_in[44] + NET la_data_in[44] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1409670 -1200 ) N ;
- la_data_in[45] + NET la_data_in[45] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1427150 -1200 ) N ;
- la_data_in[46] + NET la_data_in[46] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1445090 -1200 ) N ;
- la_data_in[47] + NET la_data_in[47] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1463030 -1200 ) N ;
- la_data_in[48] + NET la_data_in[48] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1480510 -1200 ) N ;
- la_data_in[49] + NET la_data_in[49] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1498450 -1200 ) N ;
- la_data_in[4] + NET la_data_in[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 700350 -1200 ) N ;
- la_data_in[50] + NET la_data_in[50] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1515930 -1200 ) N ;
- la_data_in[51] + NET la_data_in[51] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1533870 -1200 ) N ;
- la_data_in[52] + NET la_data_in[52] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1551350 -1200 ) N ;
- la_data_in[53] + NET la_data_in[53] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1569290 -1200 ) N ;
- la_data_in[54] + NET la_data_in[54] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1586770 -1200 ) N ;
- la_data_in[55] + NET la_data_in[55] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1604710 -1200 ) N ;
- la_data_in[56] + NET la_data_in[56] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1622190 -1200 ) N ;
- la_data_in[57] + NET la_data_in[57] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1640130 -1200 ) N ;
- la_data_in[58] + NET la_data_in[58] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1658070 -1200 ) N ;
- la_data_in[59] + NET la_data_in[59] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1675550 -1200 ) N ;
- la_data_in[5] + NET la_data_in[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 717830 -1200 ) N ;
- la_data_in[60] + NET la_data_in[60] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1693490 -1200 ) N ;
- la_data_in[61] + NET la_data_in[61] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1710970 -1200 ) N ;
- la_data_in[62] + NET la_data_in[62] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1728910 -1200 ) N ;
- la_data_in[63] + NET la_data_in[63] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1746390 -1200 ) N ;
- la_data_in[64] + NET la_data_in[64] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1764330 -1200 ) N ;
- la_data_in[65] + NET la_data_in[65] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1781810 -1200 ) N ;
- la_data_in[66] + NET la_data_in[66] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1799750 -1200 ) N ;
- la_data_in[67] + NET la_data_in[67] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1817690 -1200 ) N ;
- la_data_in[68] + NET la_data_in[68] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1835170 -1200 ) N ;
- la_data_in[69] + NET la_data_in[69] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1853110 -1200 ) N ;
- la_data_in[6] + NET la_data_in[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 735770 -1200 ) N ;
- la_data_in[70] + NET la_data_in[70] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1870590 -1200 ) N ;
- la_data_in[71] + NET la_data_in[71] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1888530 -1200 ) N ;
- la_data_in[72] + NET la_data_in[72] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1906010 -1200 ) N ;
- la_data_in[73] + NET la_data_in[73] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1923950 -1200 ) N ;
- la_data_in[74] + NET la_data_in[74] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1941430 -1200 ) N ;
- la_data_in[75] + NET la_data_in[75] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1959370 -1200 ) N ;
- la_data_in[76] + NET la_data_in[76] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1976850 -1200 ) N ;
- la_data_in[77] + NET la_data_in[77] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1994790 -1200 ) N ;
- la_data_in[78] + NET la_data_in[78] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2012730 -1200 ) N ;
- la_data_in[79] + NET la_data_in[79] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2030210 -1200 ) N ;
- la_data_in[7] + NET la_data_in[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 753250 -1200 ) N ;
- la_data_in[80] + NET la_data_in[80] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2048150 -1200 ) N ;
- la_data_in[81] + NET la_data_in[81] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2065630 -1200 ) N ;
- la_data_in[82] + NET la_data_in[82] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2083570 -1200 ) N ;
- la_data_in[83] + NET la_data_in[83] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2101050 -1200 ) N ;
- la_data_in[84] + NET la_data_in[84] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2118990 -1200 ) N ;
- la_data_in[85] + NET la_data_in[85] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2136470 -1200 ) N ;
- la_data_in[86] + NET la_data_in[86] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2154410 -1200 ) N ;
- la_data_in[87] + NET la_data_in[87] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2172350 -1200 ) N ;
- la_data_in[88] + NET la_data_in[88] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2189830 -1200 ) N ;
- la_data_in[89] + NET la_data_in[89] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2207770 -1200 ) N ;
- la_data_in[8] + NET la_data_in[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 771190 -1200 ) N ;
- la_data_in[90] + NET la_data_in[90] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2225250 -1200 ) N ;
- la_data_in[91] + NET la_data_in[91] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2243190 -1200 ) N ;
- la_data_in[92] + NET la_data_in[92] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2260670 -1200 ) N ;
- la_data_in[93] + NET la_data_in[93] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2278610 -1200 ) N ;
- la_data_in[94] + NET la_data_in[94] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2296090 -1200 ) N ;
- la_data_in[95] + NET la_data_in[95] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2314030 -1200 ) N ;
- la_data_in[96] + NET la_data_in[96] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2331510 -1200 ) N ;
- la_data_in[97] + NET la_data_in[97] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2349450 -1200 ) N ;
- la_data_in[98] + NET la_data_in[98] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2367390 -1200 ) N ;
- la_data_in[99] + NET la_data_in[99] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2384870 -1200 ) N ;
- la_data_in[9] + NET la_data_in[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 789130 -1200 ) N ;
- la_data_out[0] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 635030 -1200 ) N ;
- la_data_out[100] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2408790 -1200 ) N ;
- la_data_out[101] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2426270 -1200 ) N ;
- la_data_out[102] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2444210 -1200 ) N ;
- la_data_out[103] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2461690 -1200 ) N ;
- la_data_out[104] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2479630 -1200 ) N ;
- la_data_out[105] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2497110 -1200 ) N ;
- la_data_out[106] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2515050 -1200 ) N ;
- la_data_out[107] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2532530 -1200 ) N ;
- la_data_out[108] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2550470 -1200 ) N ;
- la_data_out[109] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2567950 -1200 ) N ;
- la_data_out[10] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 812590 -1200 ) N ;
- la_data_out[110] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2585890 -1200 ) N ;
- la_data_out[111] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2603830 -1200 ) N ;
- la_data_out[112] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2621310 -1200 ) N ;
- la_data_out[113] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2639250 -1200 ) N ;
- la_data_out[114] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2656730 -1200 ) N ;
- la_data_out[115] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2674670 -1200 ) N ;
- la_data_out[116] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2692150 -1200 ) N ;
- la_data_out[117] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2710090 -1200 ) N ;
- la_data_out[118] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2727570 -1200 ) N ;
- la_data_out[119] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2745510 -1200 ) N ;
- la_data_out[11] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 830530 -1200 ) N ;
- la_data_out[120] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2763450 -1200 ) N ;
- la_data_out[121] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2780930 -1200 ) N ;
- la_data_out[122] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2798870 -1200 ) N ;
- la_data_out[123] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2816350 -1200 ) N ;
- la_data_out[124] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2834290 -1200 ) N ;
- la_data_out[125] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2851770 -1200 ) N ;
- la_data_out[126] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2869710 -1200 ) N ;
- la_data_out[127] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2887190 -1200 ) N ;
- la_data_out[12] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 848010 -1200 ) N ;
- la_data_out[13] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 865950 -1200 ) N ;
- la_data_out[14] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 883430 -1200 ) N ;
- la_data_out[15] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 901370 -1200 ) N ;
- la_data_out[16] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 918850 -1200 ) N ;
- la_data_out[17] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 936790 -1200 ) N ;
- la_data_out[18] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 954270 -1200 ) N ;
- la_data_out[19] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 972210 -1200 ) N ;
- la_data_out[1] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 652970 -1200 ) N ;
- la_data_out[20] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 989690 -1200 ) N ;
- la_data_out[21] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1007630 -1200 ) N ;
- la_data_out[22] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1025570 -1200 ) N ;
- la_data_out[23] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1043050 -1200 ) N ;
- la_data_out[24] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1060990 -1200 ) N ;
- la_data_out[25] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1078470 -1200 ) N ;
- la_data_out[26] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1096410 -1200 ) N ;
- la_data_out[27] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1113890 -1200 ) N ;
- la_data_out[28] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1131830 -1200 ) N ;
- la_data_out[29] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1149310 -1200 ) N ;
- la_data_out[2] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 670910 -1200 ) N ;
- la_data_out[30] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1167250 -1200 ) N ;
- la_data_out[31] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1185190 -1200 ) N ;
- la_data_out[32] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1202670 -1200 ) N ;
- la_data_out[33] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1220610 -1200 ) N ;
- la_data_out[34] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1238090 -1200 ) N ;
- la_data_out[35] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1256030 -1200 ) N ;
- la_data_out[36] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1273510 -1200 ) N ;
- la_data_out[37] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1291450 -1200 ) N ;
- la_data_out[38] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1308930 -1200 ) N ;
- la_data_out[39] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1326870 -1200 ) N ;
- la_data_out[3] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 688390 -1200 ) N ;
- la_data_out[40] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1344350 -1200 ) N ;
- la_data_out[41] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1362290 -1200 ) N ;
- la_data_out[42] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1380230 -1200 ) N ;
- la_data_out[43] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1397710 -1200 ) N ;
- la_data_out[44] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1415650 -1200 ) N ;
- la_data_out[45] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1433130 -1200 ) N ;
- la_data_out[46] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1451070 -1200 ) N ;
- la_data_out[47] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1468550 -1200 ) N ;
- la_data_out[48] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1486490 -1200 ) N ;
- la_data_out[49] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1503970 -1200 ) N ;
- la_data_out[4] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 706330 -1200 ) N ;
- la_data_out[50] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1521910 -1200 ) N ;
- la_data_out[51] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1539850 -1200 ) N ;
- la_data_out[52] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1557330 -1200 ) N ;
- la_data_out[53] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1575270 -1200 ) N ;
- la_data_out[54] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1592750 -1200 ) N ;
- la_data_out[55] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1610690 -1200 ) N ;
- la_data_out[56] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1628170 -1200 ) N ;
- la_data_out[57] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1646110 -1200 ) N ;
- la_data_out[58] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1663590 -1200 ) N ;
- la_data_out[59] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1681530 -1200 ) N ;
- la_data_out[5] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 723810 -1200 ) N ;
- la_data_out[60] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1699470 -1200 ) N ;
- la_data_out[61] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1716950 -1200 ) N ;
- la_data_out[62] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1734890 -1200 ) N ;
- la_data_out[63] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1752370 -1200 ) N ;
- la_data_out[64] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1770310 -1200 ) N ;
- la_data_out[65] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1787790 -1200 ) N ;
- la_data_out[66] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1805730 -1200 ) N ;
- la_data_out[67] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1823210 -1200 ) N ;
- la_data_out[68] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1841150 -1200 ) N ;
- la_data_out[69] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1858630 -1200 ) N ;
- la_data_out[6] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 741750 -1200 ) N ;
- la_data_out[70] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1876570 -1200 ) N ;
- la_data_out[71] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1894510 -1200 ) N ;
- la_data_out[72] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1911990 -1200 ) N ;
- la_data_out[73] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1929930 -1200 ) N ;
- la_data_out[74] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1947410 -1200 ) N ;
- la_data_out[75] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1965350 -1200 ) N ;
- la_data_out[76] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1982830 -1200 ) N ;
- la_data_out[77] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2000770 -1200 ) N ;
- la_data_out[78] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2018250 -1200 ) N ;
- la_data_out[79] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2036190 -1200 ) N ;
- la_data_out[7] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 759230 -1200 ) N ;
- la_data_out[80] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2054130 -1200 ) N ;
- la_data_out[81] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2071610 -1200 ) N ;
- la_data_out[82] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2089550 -1200 ) N ;
- la_data_out[83] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2107030 -1200 ) N ;
- la_data_out[84] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2124970 -1200 ) N ;
- la_data_out[85] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2142450 -1200 ) N ;
- la_data_out[86] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2160390 -1200 ) N ;
- la_data_out[87] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2177870 -1200 ) N ;
- la_data_out[88] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2195810 -1200 ) N ;
- la_data_out[89] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2213290 -1200 ) N ;
- la_data_out[8] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 777170 -1200 ) N ;
- la_data_out[90] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2231230 -1200 ) N ;
- la_data_out[91] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2249170 -1200 ) N ;
- la_data_out[92] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2266650 -1200 ) N ;
- la_data_out[93] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2284590 -1200 ) N ;
- la_data_out[94] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2302070 -1200 ) N ;
- la_data_out[95] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2320010 -1200 ) N ;
- la_data_out[96] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2337490 -1200 ) N ;
- la_data_out[97] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2355430 -1200 ) N ;
- la_data_out[98] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2372910 -1200 ) N ;
- la_data_out[99] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2390850 -1200 ) N ;
- la_data_out[9] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 794650 -1200 ) N ;
- la_oenb[0] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 641010 -1200 ) N ;
- la_oenb[100] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2414310 -1200 ) N ;
- la_oenb[101] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2432250 -1200 ) N ;
- la_oenb[102] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2449730 -1200 ) N ;
- la_oenb[103] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2467670 -1200 ) N ;
- la_oenb[104] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2485610 -1200 ) N ;
- la_oenb[105] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2503090 -1200 ) N ;
- la_oenb[106] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2521030 -1200 ) N ;
- la_oenb[107] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2538510 -1200 ) N ;
- la_oenb[108] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2556450 -1200 ) N ;
- la_oenb[109] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2573930 -1200 ) N ;
- la_oenb[10] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 818570 -1200 ) N ;
- la_oenb[110] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2591870 -1200 ) N ;
- la_oenb[111] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2609350 -1200 ) N ;
- la_oenb[112] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2627290 -1200 ) N ;
- la_oenb[113] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2645230 -1200 ) N ;
- la_oenb[114] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2662710 -1200 ) N ;
- la_oenb[115] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2680650 -1200 ) N ;
- la_oenb[116] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2698130 -1200 ) N ;
- la_oenb[117] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2716070 -1200 ) N ;
- la_oenb[118] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2733550 -1200 ) N ;
- la_oenb[119] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2751490 -1200 ) N ;
- la_oenb[11] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 836050 -1200 ) N ;
- la_oenb[120] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2768970 -1200 ) N ;
- la_oenb[121] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2786910 -1200 ) N ;
- la_oenb[122] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2804390 -1200 ) N ;
- la_oenb[123] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2822330 -1200 ) N ;
- la_oenb[124] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2840270 -1200 ) N ;
- la_oenb[125] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2857750 -1200 ) N ;
- la_oenb[126] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2875690 -1200 ) N ;
- la_oenb[127] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2893170 -1200 ) N ;
- la_oenb[12] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 853990 -1200 ) N ;
- la_oenb[13] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 871470 -1200 ) N ;
- la_oenb[14] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 889410 -1200 ) N ;
- la_oenb[15] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 907350 -1200 ) N ;
- la_oenb[16] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 924830 -1200 ) N ;
- la_oenb[17] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 942770 -1200 ) N ;
- la_oenb[18] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 960250 -1200 ) N ;
- la_oenb[19] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 978190 -1200 ) N ;
- la_oenb[1] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 658950 -1200 ) N ;
- la_oenb[20] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 995670 -1200 ) N ;
- la_oenb[21] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1013610 -1200 ) N ;
- la_oenb[22] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1031090 -1200 ) N ;
- la_oenb[23] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1049030 -1200 ) N ;
- la_oenb[24] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1066970 -1200 ) N ;
- la_oenb[25] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1084450 -1200 ) N ;
- la_oenb[26] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1102390 -1200 ) N ;
- la_oenb[27] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1119870 -1200 ) N ;
- la_oenb[28] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1137810 -1200 ) N ;
- la_oenb[29] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1155290 -1200 ) N ;
- la_oenb[2] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 676430 -1200 ) N ;
- la_oenb[30] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1173230 -1200 ) N ;
- la_oenb[31] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1190710 -1200 ) N ;
- la_oenb[32] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1208650 -1200 ) N ;
- la_oenb[33] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1226130 -1200 ) N ;
- la_oenb[34] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1244070 -1200 ) N ;
- la_oenb[35] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1262010 -1200 ) N ;
- la_oenb[36] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1279490 -1200 ) N ;
- la_oenb[37] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1297430 -1200 ) N ;
- la_oenb[38] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1314910 -1200 ) N ;
- la_oenb[39] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1332850 -1200 ) N ;
- la_oenb[3] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 694370 -1200 ) N ;
- la_oenb[40] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1350330 -1200 ) N ;
- la_oenb[41] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1368270 -1200 ) N ;
- la_oenb[42] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1385750 -1200 ) N ;
- la_oenb[43] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1403690 -1200 ) N ;
- la_oenb[44] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1421630 -1200 ) N ;
- la_oenb[45] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1439110 -1200 ) N ;
- la_oenb[46] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1457050 -1200 ) N ;
- la_oenb[47] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1474530 -1200 ) N ;
- la_oenb[48] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1492470 -1200 ) N ;
- la_oenb[49] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1509950 -1200 ) N ;
- la_oenb[4] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 712310 -1200 ) N ;
- la_oenb[50] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1527890 -1200 ) N ;
- la_oenb[51] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1545370 -1200 ) N ;
- la_oenb[52] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1563310 -1200 ) N ;
- la_oenb[53] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1581250 -1200 ) N ;
- la_oenb[54] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1598730 -1200 ) N ;
- la_oenb[55] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1616670 -1200 ) N ;
- la_oenb[56] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1634150 -1200 ) N ;
- la_oenb[57] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1652090 -1200 ) N ;
- la_oenb[58] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1669570 -1200 ) N ;
- la_oenb[59] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1687510 -1200 ) N ;
- la_oenb[5] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 729790 -1200 ) N ;
- la_oenb[60] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1704990 -1200 ) N ;
- la_oenb[61] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1722930 -1200 ) N ;
- la_oenb[62] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1740410 -1200 ) N ;
- la_oenb[63] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1758350 -1200 ) N ;
- la_oenb[64] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1776290 -1200 ) N ;
- la_oenb[65] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1793770 -1200 ) N ;
- la_oenb[66] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1811710 -1200 ) N ;
- la_oenb[67] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1829190 -1200 ) N ;
- la_oenb[68] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1847130 -1200 ) N ;
- la_oenb[69] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1864610 -1200 ) N ;
- la_oenb[6] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 747730 -1200 ) N ;
- la_oenb[70] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1882550 -1200 ) N ;
- la_oenb[71] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1900030 -1200 ) N ;
- la_oenb[72] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1917970 -1200 ) N ;
- la_oenb[73] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1935910 -1200 ) N ;
- la_oenb[74] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1953390 -1200 ) N ;
- la_oenb[75] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1971330 -1200 ) N ;
- la_oenb[76] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1988810 -1200 ) N ;
- la_oenb[77] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2006750 -1200 ) N ;
- la_oenb[78] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2024230 -1200 ) N ;
- la_oenb[79] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2042170 -1200 ) N ;
- la_oenb[7] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 765210 -1200 ) N ;
- la_oenb[80] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2059650 -1200 ) N ;
- la_oenb[81] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2077590 -1200 ) N ;
- la_oenb[82] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2095070 -1200 ) N ;
- la_oenb[83] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2113010 -1200 ) N ;
- la_oenb[84] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2130950 -1200 ) N ;
- la_oenb[85] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2148430 -1200 ) N ;
- la_oenb[86] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2166370 -1200 ) N ;
- la_oenb[87] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2183850 -1200 ) N ;
- la_oenb[88] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2201790 -1200 ) N ;
- la_oenb[89] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2219270 -1200 ) N ;
- la_oenb[8] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 783150 -1200 ) N ;
- la_oenb[90] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2237210 -1200 ) N ;
- la_oenb[91] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2254690 -1200 ) N ;
- la_oenb[92] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2272630 -1200 ) N ;
- la_oenb[93] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2290570 -1200 ) N ;
- la_oenb[94] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2308050 -1200 ) N ;
- la_oenb[95] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2325990 -1200 ) N ;
- la_oenb[96] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2343470 -1200 ) N ;
- la_oenb[97] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2361410 -1200 ) N ;
- la_oenb[98] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2378890 -1200 ) N ;
- la_oenb[99] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2396830 -1200 ) N ;
- la_oenb[9] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 800630 -1200 ) N ;
- user_clock2 + NET user_clock2 + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2899150 -1200 ) N ;
- user_irq[0] + NET user_irq[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2905130 -1200 ) N ;
- user_irq[1] + NET user_irq[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2911110 -1200 ) N ;
- user_irq[2] + NET user_irq[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2917090 -1200 ) N ;
- vccd1 + NET vccd1 + SPECIAL + DIRECTION INPUT + USE POWER
+ PORT
+ LAYER met4 ( -1500 -1769310 ) ( 1500 1769310 )
+ LAYER met4 ( -181500 -1769310 ) ( -178500 1769310 )
+ LAYER met4 ( -361500 -1769310 ) ( -358500 1769310 )
+ LAYER met4 ( -541500 366700 ) ( -538500 1769310 )
+ LAYER met4 ( -721500 366700 ) ( -718500 1769310 )
+ LAYER met4 ( -901500 366700 ) ( -898500 1769310 )
+ LAYER met4 ( -1081500 366700 ) ( -1078500 1769310 )
+ LAYER met4 ( -1261500 -1769310 ) ( -1258500 1769310 )
+ LAYER met4 ( -1441500 -1769310 ) ( -1438500 1769310 )
+ LAYER met4 ( -1621500 -1769310 ) ( -1618500 1769310 )
+ LAYER met4 ( -1801500 -542000 ) ( -1798500 1769310 )
+ LAYER met4 ( -1981500 -542000 ) ( -1978500 1769310 )
+ LAYER met4 ( -2161500 366700 ) ( -2158500 1769310 )
+ LAYER met4 ( -2341500 366700 ) ( -2338500 1769310 )
+ LAYER met4 ( -2521500 366700 ) ( -2518500 1769310 )
+ LAYER met4 ( -2701500 -1769310 ) ( -2698500 1769310 )
+ LAYER met4 ( -2881500 -1769310 ) ( -2878500 1769310 )
+ LAYER met4 ( 41030 -1764510 ) ( 44130 1764510 )
+ LAYER met4 ( -2895550 -1764510 ) ( -2892450 1764510 )
+ LAYER met4 ( -541500 -1769310 ) ( -538500 -69840 )
+ LAYER met4 ( -721500 -1769310 ) ( -718500 -69840 )
+ LAYER met4 ( -901500 -1769310 ) ( -898500 -69840 )
+ LAYER met4 ( -1081500 -1769310 ) ( -1078500 -69840 )
+ LAYER met4 ( -2161500 -542000 ) ( -2158500 -69840 )
+ LAYER met4 ( -2341500 -542000 ) ( -2338500 -69840 )
+ LAYER met4 ( -2521500 -542000 ) ( -2518500 -69840 )
+ LAYER met4 ( -1801500 -1769310 ) ( -1798500 -1569840 )
+ LAYER met4 ( -1981500 -1769310 ) ( -1978500 -1569840 )
+ LAYER met4 ( -2161500 -1769310 ) ( -2158500 -1569840 )
+ LAYER met4 ( -2341500 -1769310 ) ( -2338500 -1569840 )
+ LAYER met4 ( -2521500 -1769310 ) ( -2518500 -1569840 )
+ LAYER met5 ( -2895550 1761410 ) ( 44130 1764510 )
+ LAYER met5 ( -2900350 1669540 ) ( 48930 1672540 )
+ LAYER met5 ( -2900350 1489540 ) ( 48930 1492540 )
+ LAYER met5 ( -2900350 1309540 ) ( 48930 1312540 )
+ LAYER met5 ( -2900350 1129540 ) ( 48930 1132540 )
+ LAYER met5 ( -2900350 949540 ) ( 48930 952540 )
+ LAYER met5 ( -2900350 769540 ) ( 48930 772540 )
+ LAYER met5 ( -2900350 589540 ) ( 48930 592540 )
+ LAYER met5 ( -2900350 409540 ) ( 48930 412540 )
+ LAYER met5 ( -2900350 229540 ) ( 48930 232540 )
+ LAYER met5 ( -2900350 49540 ) ( 48930 52540 )
+ LAYER met5 ( -2900350 -130460 ) ( 48930 -127460 )
+ LAYER met5 ( -2900350 -310460 ) ( 48930 -307460 )
+ LAYER met5 ( -2900350 -490460 ) ( 48930 -487460 )
+ LAYER met5 ( -2900350 -670460 ) ( 48930 -667460 )
+ LAYER met5 ( -2900350 -850460 ) ( 48930 -847460 )
+ LAYER met5 ( -2900350 -1030460 ) ( 48930 -1027460 )
+ LAYER met5 ( -2900350 -1210460 ) ( 48930 -1207460 )
+ LAYER met5 ( -2900350 -1390460 ) ( 48930 -1387460 )
+ LAYER met5 ( -2900350 -1570460 ) ( 48930 -1567460 )
+ LAYER met5 ( -2900350 -1750460 ) ( 48930 -1747460 )
+ LAYER met5 ( -2895550 -1764510 ) ( 44130 -1761410 )
+ FIXED ( 2885520 1759840 ) N ;
- vccd2 + NET vccd2 + SPECIAL + DIRECTION INPUT + USE POWER
+ PORT
+ LAYER met4 ( -1500 -1778910 ) ( 1500 1778910 )
+ LAYER met4 ( -181500 -1778910 ) ( -178500 1778910 )
+ LAYER met4 ( -361500 -1778910 ) ( -358500 1778910 )
+ LAYER met4 ( -541500 366700 ) ( -538500 1778910 )
+ LAYER met4 ( -721500 366700 ) ( -718500 1778910 )
+ LAYER met4 ( -901500 366700 ) ( -898500 1778910 )
+ LAYER met4 ( -1081500 366700 ) ( -1078500 1778910 )
+ LAYER met4 ( -1261500 -1778910 ) ( -1258500 1778910 )
+ LAYER met4 ( -1441500 -1778910 ) ( -1438500 1778910 )
+ LAYER met4 ( -1621500 -1778910 ) ( -1618500 1778910 )
+ LAYER met4 ( -1801500 -542000 ) ( -1798500 1778910 )
+ LAYER met4 ( -1981500 -542000 ) ( -1978500 1778910 )
+ LAYER met4 ( -2161500 366700 ) ( -2158500 1778910 )
+ LAYER met4 ( -2341500 366700 ) ( -2338500 1778910 )
+ LAYER met4 ( -2521500 366700 ) ( -2518500 1778910 )
+ LAYER met4 ( -2701500 366700 ) ( -2698500 1778910 )
+ LAYER met4 ( -2881500 -1778910 ) ( -2878500 1778910 )
+ LAYER met4 ( 32130 -1774110 ) ( 35230 1774110 )
+ LAYER met4 ( -2923650 -1774110 ) ( -2920550 1774110 )
+ LAYER met4 ( -541500 -1778910 ) ( -538500 -69840 )
+ LAYER met4 ( -721500 -1778910 ) ( -718500 -69840 )
+ LAYER met4 ( -901500 -1778910 ) ( -898500 -69840 )
+ LAYER met4 ( -1081500 -1778910 ) ( -1078500 -69840 )
+ LAYER met4 ( -2161500 -542000 ) ( -2158500 -69840 )
+ LAYER met4 ( -2341500 -542000 ) ( -2338500 -69840 )
+ LAYER met4 ( -2521500 -542000 ) ( -2518500 -69840 )
+ LAYER met4 ( -2701500 -542000 ) ( -2698500 -69840 )
+ LAYER met4 ( -1801500 -1778910 ) ( -1798500 -1569840 )
+ LAYER met4 ( -1981500 -1778910 ) ( -1978500 -1569840 )
+ LAYER met4 ( -2161500 -1778910 ) ( -2158500 -1569840 )
+ LAYER met4 ( -2341500 -1778910 ) ( -2338500 -1569840 )
+ LAYER met4 ( -2521500 -1778910 ) ( -2518500 -1569840 )
+ LAYER met4 ( -2701500 -1778910 ) ( -2698500 -1569840 )
+ LAYER met5 ( -2923650 1771010 ) ( 35230 1774110 )
+ LAYER met5 ( -2928450 1688040 ) ( 40030 1691040 )
+ LAYER met5 ( -2928450 1508040 ) ( 40030 1511040 )
+ LAYER met5 ( -2928450 1328040 ) ( 40030 1331040 )
+ LAYER met5 ( -2928450 1148040 ) ( 40030 1151040 )
+ LAYER met5 ( -2928450 968040 ) ( 40030 971040 )
+ LAYER met5 ( -2928450 788040 ) ( 40030 791040 )
+ LAYER met5 ( -2928450 608040 ) ( 40030 611040 )
+ LAYER met5 ( -2928450 428040 ) ( 40030 431040 )
+ LAYER met5 ( -2928450 248040 ) ( 40030 251040 )
+ LAYER met5 ( -2928450 68040 ) ( 40030 71040 )
+ LAYER met5 ( -2928450 -111960 ) ( 40030 -108960 )
+ LAYER met5 ( -2928450 -291960 ) ( 40030 -288960 )
+ LAYER met5 ( -2928450 -471960 ) ( 40030 -468960 )
+ LAYER met5 ( -2928450 -651960 ) ( 40030 -648960 )
+ LAYER met5 ( -2928450 -831960 ) ( 40030 -828960 )
+ LAYER met5 ( -2928450 -1011960 ) ( 40030 -1008960 )
+ LAYER met5 ( -2928450 -1191960 ) ( 40030 -1188960 )
+ LAYER met5 ( -2928450 -1371960 ) ( 40030 -1368960 )
+ LAYER met5 ( -2928450 -1551960 ) ( 40030 -1548960 )
+ LAYER met5 ( -2928450 -1731960 ) ( 40030 -1728960 )
+ LAYER met5 ( -2923650 -1774110 ) ( 35230 -1771010 )
+ FIXED ( 2904020 1759840 ) N ;
- vdda1 + NET vdda1 + SPECIAL + DIRECTION INPUT + USE POWER
+ PORT
+ LAYER met4 ( -1500 -1788510 ) ( 1500 1788510 )
+ LAYER met4 ( -181500 -1788510 ) ( -178500 1788510 )
+ LAYER met4 ( -361500 366700 ) ( -358500 1788510 )
+ LAYER met4 ( -541500 366700 ) ( -538500 1788510 )
+ LAYER met4 ( -721500 366700 ) ( -718500 1788510 )
+ LAYER met4 ( -901500 366700 ) ( -898500 1788510 )
+ LAYER met4 ( -1081500 -1788510 ) ( -1078500 1788510 )
+ LAYER met4 ( -1261500 -1788510 ) ( -1258500 1788510 )
+ LAYER met4 ( -1441500 -1788510 ) ( -1438500 1788510 )
+ LAYER met4 ( -1621500 -542000 ) ( -1618500 1788510 )
+ LAYER met4 ( -1801500 -542000 ) ( -1798500 1788510 )
+ LAYER met4 ( -1981500 366700 ) ( -1978500 1788510 )
+ LAYER met4 ( -2161500 366700 ) ( -2158500 1788510 )
+ LAYER met4 ( -2341500 366700 ) ( -2338500 1788510 )
+ LAYER met4 ( -2521500 366700 ) ( -2518500 1788510 )
+ LAYER met4 ( -2701500 -1788510 ) ( -2698500 1788510 )
+ LAYER met4 ( 203230 -1783710 ) ( 206330 1783710 )
+ LAYER met4 ( -2771750 -1783710 ) ( -2768650 1783710 )
+ LAYER met4 ( -361500 -1788510 ) ( -358500 -69840 )
+ LAYER met4 ( -541500 -1788510 ) ( -538500 -69840 )
+ LAYER met4 ( -721500 -1788510 ) ( -718500 -69840 )
+ LAYER met4 ( -901500 -1788510 ) ( -898500 -69840 )
+ LAYER met4 ( -1981500 -542000 ) ( -1978500 -69840 )
+ LAYER met4 ( -2161500 -542000 ) ( -2158500 -69840 )
+ LAYER met4 ( -2341500 -542000 ) ( -2338500 -69840 )
+ LAYER met4 ( -2521500 -542000 ) ( -2518500 -69840 )
+ LAYER met4 ( -1621500 -1788510 ) ( -1618500 -1569840 )
+ LAYER met4 ( -1801500 -1788510 ) ( -1798500 -1569840 )
+ LAYER met4 ( -1981500 -1788510 ) ( -1978500 -1569840 )
+ LAYER met4 ( -2161500 -1788510 ) ( -2158500 -1569840 )
+ LAYER met4 ( -2341500 -1788510 ) ( -2338500 -1569840 )
+ LAYER met4 ( -2521500 -1788510 ) ( -2518500 -1569840 )
+ LAYER met5 ( -2771750 1780610 ) ( 206330 1783710 )
+ LAYER met5 ( -2776550 1706540 ) ( 211130 1709540 )
+ LAYER met5 ( -2776550 1526540 ) ( 211130 1529540 )
+ LAYER met5 ( -2776550 1346540 ) ( 211130 1349540 )
+ LAYER met5 ( -2776550 1166540 ) ( 211130 1169540 )
+ LAYER met5 ( -2776550 986540 ) ( 211130 989540 )
+ LAYER met5 ( -2776550 806540 ) ( 211130 809540 )
+ LAYER met5 ( -2776550 626540 ) ( 211130 629540 )
+ LAYER met5 ( -2776550 446540 ) ( 211130 449540 )
+ LAYER met5 ( -2776550 266540 ) ( 211130 269540 )
+ LAYER met5 ( -2776550 86540 ) ( 211130 89540 )
+ LAYER met5 ( -2776550 -93460 ) ( 211130 -90460 )
+ LAYER met5 ( -2776550 -273460 ) ( 211130 -270460 )
+ LAYER met5 ( -2776550 -453460 ) ( 211130 -450460 )
+ LAYER met5 ( -2776550 -633460 ) ( 211130 -630460 )
+ LAYER met5 ( -2776550 -813460 ) ( 211130 -810460 )
+ LAYER met5 ( -2776550 -993460 ) ( 211130 -990460 )
+ LAYER met5 ( -2776550 -1173460 ) ( 211130 -1170460 )
+ LAYER met5 ( -2776550 -1353460 ) ( 211130 -1350460 )
+ LAYER met5 ( -2776550 -1533460 ) ( 211130 -1530460 )
+ LAYER met5 ( -2776550 -1713460 ) ( 211130 -1710460 )
+ LAYER met5 ( -2771750 -1783710 ) ( 206330 -1780610 )
+ FIXED ( 2742520 1759840 ) N ;
- vdda2 + NET vdda2 + SPECIAL + DIRECTION INPUT + USE POWER
+ PORT
+ LAYER met4 ( -1500 -1798110 ) ( 1500 1798110 )
+ LAYER met4 ( -181500 -1798110 ) ( -178500 1798110 )
+ LAYER met4 ( -361500 -1798110 ) ( -358500 1798110 )
+ LAYER met4 ( -541500 366700 ) ( -538500 1798110 )
+ LAYER met4 ( -721500 366700 ) ( -718500 1798110 )
+ LAYER met4 ( -901500 366700 ) ( -898500 1798110 )
+ LAYER met4 ( -1081500 -1798110 ) ( -1078500 1798110 )
+ LAYER met4 ( -1261500 -1798110 ) ( -1258500 1798110 )
+ LAYER met4 ( -1441500 -1798110 ) ( -1438500 1798110 )
+ LAYER met4 ( -1621500 -542000 ) ( -1618500 1798110 )
+ LAYER met4 ( -1801500 -542000 ) ( -1798500 1798110 )
+ LAYER met4 ( -1981500 366700 ) ( -1978500 1798110 )
+ LAYER met4 ( -2161500 366700 ) ( -2158500 1798110 )
+ LAYER met4 ( -2341500 366700 ) ( -2338500 1798110 )
+ LAYER met4 ( -2521500 366700 ) ( -2518500 1798110 )
+ LAYER met4 ( -2701500 -1798110 ) ( -2698500 1798110 )
+ LAYER met4 ( 194330 -1793310 ) ( 197430 1793310 )
+ LAYER met4 ( -2799850 -1793310 ) ( -2796750 1793310 )
+ LAYER met4 ( -541500 -1798110 ) ( -538500 -69840 )
+ LAYER met4 ( -721500 -1798110 ) ( -718500 -69840 )
+ LAYER met4 ( -901500 -1798110 ) ( -898500 -69840 )
+ LAYER met4 ( -1981500 -542000 ) ( -1978500 -69840 )
+ LAYER met4 ( -2161500 -542000 ) ( -2158500 -69840 )
+ LAYER met4 ( -2341500 -542000 ) ( -2338500 -69840 )
+ LAYER met4 ( -2521500 -542000 ) ( -2518500 -69840 )
+ LAYER met4 ( -1621500 -1798110 ) ( -1618500 -1569840 )
+ LAYER met4 ( -1801500 -1798110 ) ( -1798500 -1569840 )
+ LAYER met4 ( -1981500 -1798110 ) ( -1978500 -1569840 )
+ LAYER met4 ( -2161500 -1798110 ) ( -2158500 -1569840 )
+ LAYER met4 ( -2341500 -1798110 ) ( -2338500 -1569840 )
+ LAYER met4 ( -2521500 -1798110 ) ( -2518500 -1569840 )
+ LAYER met5 ( -2799850 1790210 ) ( 197430 1793310 )
+ LAYER met5 ( -2804650 1725040 ) ( 202230 1728040 )
+ LAYER met5 ( -2804650 1545040 ) ( 202230 1548040 )
+ LAYER met5 ( -2804650 1365040 ) ( 202230 1368040 )
+ LAYER met5 ( -2804650 1185040 ) ( 202230 1188040 )
+ LAYER met5 ( -2804650 1005040 ) ( 202230 1008040 )
+ LAYER met5 ( -2804650 825040 ) ( 202230 828040 )
+ LAYER met5 ( -2804650 645040 ) ( 202230 648040 )
+ LAYER met5 ( -2804650 465040 ) ( 202230 468040 )
+ LAYER met5 ( -2804650 285040 ) ( 202230 288040 )
+ LAYER met5 ( -2804650 105040 ) ( 202230 108040 )
+ LAYER met5 ( -2804650 -74960 ) ( 202230 -71960 )
+ LAYER met5 ( -2804650 -254960 ) ( 202230 -251960 )
+ LAYER met5 ( -2804650 -434960 ) ( 202230 -431960 )
+ LAYER met5 ( -2804650 -614960 ) ( 202230 -611960 )
+ LAYER met5 ( -2804650 -794960 ) ( 202230 -791960 )
+ LAYER met5 ( -2804650 -974960 ) ( 202230 -971960 )
+ LAYER met5 ( -2804650 -1154960 ) ( 202230 -1151960 )
+ LAYER met5 ( -2804650 -1334960 ) ( 202230 -1331960 )
+ LAYER met5 ( -2804650 -1514960 ) ( 202230 -1511960 )
+ LAYER met5 ( -2804650 -1694960 ) ( 202230 -1691960 )
+ LAYER met5 ( -2799850 -1793310 ) ( 197430 -1790210 )
+ FIXED ( 2761020 1759840 ) N ;
- vssa1 + NET vssa1 + SPECIAL + DIRECTION INPUT + USE GROUND
+ PORT
+ LAYER met4 ( -1550 -1788510 ) ( 1550 1788510 )
+ LAYER met4 ( -121080 -1788510 ) ( -118080 1788510 )
+ LAYER met4 ( -301080 -1788510 ) ( -298080 1788510 )
+ LAYER met4 ( -481080 -1788510 ) ( -478080 1788510 )
+ LAYER met4 ( -661080 366700 ) ( -658080 1788510 )
+ LAYER met4 ( -841080 366700 ) ( -838080 1788510 )
+ LAYER met4 ( -1021080 366700 ) ( -1018080 1788510 )
+ LAYER met4 ( -1201080 366700 ) ( -1198080 1788510 )
+ LAYER met4 ( -1381080 -1788510 ) ( -1378080 1788510 )
+ LAYER met4 ( -1561080 -1788510 ) ( -1558080 1788510 )
+ LAYER met4 ( -1741080 -1788510 ) ( -1738080 1788510 )
+ LAYER met4 ( -1921080 -542000 ) ( -1918080 1788510 )
+ LAYER met4 ( -2101080 366700 ) ( -2098080 1788510 )
+ LAYER met4 ( -2281080 366700 ) ( -2278080 1788510 )
+ LAYER met4 ( -2461080 366700 ) ( -2458080 1788510 )
+ LAYER met4 ( -2641080 366700 ) ( -2638080 1788510 )
+ LAYER met4 ( -2821080 -1788510 ) ( -2818080 1788510 )
+ LAYER met4 ( -2986130 -1788510 ) ( -2983030 1788510 )
+ LAYER met4 ( -661080 -1788510 ) ( -658080 -69840 )
+ LAYER met4 ( -841080 -1788510 ) ( -838080 -69840 )
+ LAYER met4 ( -1021080 -1788510 ) ( -1018080 -69840 )
+ LAYER met4 ( -1201080 -1788510 ) ( -1198080 -69840 )
+ LAYER met4 ( -2101080 -542000 ) ( -2098080 -69840 )
+ LAYER met4 ( -2281080 -542000 ) ( -2278080 -69840 )
+ LAYER met4 ( -2461080 -542000 ) ( -2458080 -69840 )
+ LAYER met4 ( -2641080 -542000 ) ( -2638080 -69840 )
+ LAYER met4 ( -1921080 -1788510 ) ( -1918080 -1569840 )
+ LAYER met4 ( -2101080 -1788510 ) ( -2098080 -1569840 )
+ LAYER met4 ( -2281080 -1788510 ) ( -2278080 -1569840 )
+ LAYER met4 ( -2461080 -1788510 ) ( -2458080 -1569840 )
+ LAYER met4 ( -2641080 -1788510 ) ( -2638080 -1569840 )
+ LAYER met5 ( -2986130 1785410 ) ( 1550 1788510 )
+ LAYER met5 ( -2986130 1616540 ) ( 1550 1619540 )
+ LAYER met5 ( -2986130 1436540 ) ( 1550 1439540 )
+ LAYER met5 ( -2986130 1256540 ) ( 1550 1259540 )
+ LAYER met5 ( -2986130 1076540 ) ( 1550 1079540 )
+ LAYER met5 ( -2986130 896540 ) ( 1550 899540 )
+ LAYER met5 ( -2986130 716540 ) ( 1550 719540 )
+ LAYER met5 ( -2986130 536540 ) ( 1550 539540 )
+ LAYER met5 ( -2986130 356540 ) ( 1550 359540 )
+ LAYER met5 ( -2986130 176540 ) ( 1550 179540 )
+ LAYER met5 ( -2986130 -3460 ) ( 1550 -460 )
+ LAYER met5 ( -2986130 -183460 ) ( 1550 -180460 )
+ LAYER met5 ( -2986130 -363460 ) ( 1550 -360460 )
+ LAYER met5 ( -2986130 -543460 ) ( 1550 -540460 )
+ LAYER met5 ( -2986130 -723460 ) ( 1550 -720460 )
+ LAYER met5 ( -2986130 -903460 ) ( 1550 -900460 )
+ LAYER met5 ( -2986130 -1083460 ) ( 1550 -1080460 )
+ LAYER met5 ( -2986130 -1263460 ) ( 1550 -1260460 )
+ LAYER met5 ( -2986130 -1443460 ) ( 1550 -1440460 )
+ LAYER met5 ( -2986130 -1623460 ) ( 1550 -1620460 )
+ LAYER met5 ( -2986130 -1788510 ) ( 1550 -1785410 )
+ FIXED ( 2952100 1759840 ) N ;
- vssa2 + NET vssa2 + SPECIAL + DIRECTION INPUT + USE GROUND
+ PORT
+ LAYER met4 ( -1550 -1798110 ) ( 1550 1798110 )
+ LAYER met4 ( -112180 -1798110 ) ( -109180 1798110 )
+ LAYER met4 ( -292180 -1798110 ) ( -289180 1798110 )
+ LAYER met4 ( -472180 -1798110 ) ( -469180 1798110 )
+ LAYER met4 ( -652180 366700 ) ( -649180 1798110 )
+ LAYER met4 ( -832180 366700 ) ( -829180 1798110 )
+ LAYER met4 ( -1012180 366700 ) ( -1009180 1798110 )
+ LAYER met4 ( -1192180 366700 ) ( -1189180 1798110 )
+ LAYER met4 ( -1372180 -1798110 ) ( -1369180 1798110 )
+ LAYER met4 ( -1552180 -1798110 ) ( -1549180 1798110 )
+ LAYER met4 ( -1732180 -1798110 ) ( -1729180 1798110 )
+ LAYER met4 ( -1912180 -542000 ) ( -1909180 1798110 )
+ LAYER met4 ( -2092180 366700 ) ( -2089180 1798110 )
+ LAYER met4 ( -2272180 366700 ) ( -2269180 1798110 )
+ LAYER met4 ( -2452180 366700 ) ( -2449180 1798110 )
+ LAYER met4 ( -2632180 366700 ) ( -2629180 1798110 )
+ LAYER met4 ( -2812180 -1798110 ) ( -2809180 1798110 )
+ LAYER met4 ( -3005330 -1798110 ) ( -3002230 1798110 )
+ LAYER met4 ( -652180 -1798110 ) ( -649180 -69840 )
+ LAYER met4 ( -832180 -1798110 ) ( -829180 -69840 )
+ LAYER met4 ( -1012180 -1798110 ) ( -1009180 -69840 )
+ LAYER met4 ( -1192180 -1798110 ) ( -1189180 -69840 )
+ LAYER met4 ( -2092180 -542000 ) ( -2089180 -69840 )
+ LAYER met4 ( -2272180 -542000 ) ( -2269180 -69840 )
+ LAYER met4 ( -2452180 -542000 ) ( -2449180 -69840 )
+ LAYER met4 ( -2632180 -542000 ) ( -2629180 -69840 )
+ LAYER met4 ( -1912180 -1798110 ) ( -1909180 -1569840 )
+ LAYER met4 ( -2092180 -1798110 ) ( -2089180 -1569840 )
+ LAYER met4 ( -2272180 -1798110 ) ( -2269180 -1569840 )
+ LAYER met4 ( -2452180 -1798110 ) ( -2449180 -1569840 )
+ LAYER met4 ( -2632180 -1798110 ) ( -2629180 -1569840 )
+ LAYER met5 ( -3005330 1795010 ) ( 1550 1798110 )
+ LAYER met5 ( -3005330 1635040 ) ( 1550 1638040 )
+ LAYER met5 ( -3005330 1455040 ) ( 1550 1458040 )
+ LAYER met5 ( -3005330 1275040 ) ( 1550 1278040 )
+ LAYER met5 ( -3005330 1095040 ) ( 1550 1098040 )
+ LAYER met5 ( -3005330 915040 ) ( 1550 918040 )
+ LAYER met5 ( -3005330 735040 ) ( 1550 738040 )
+ LAYER met5 ( -3005330 555040 ) ( 1550 558040 )
+ LAYER met5 ( -3005330 375040 ) ( 1550 378040 )
+ LAYER met5 ( -3005330 195040 ) ( 1550 198040 )
+ LAYER met5 ( -3005330 15040 ) ( 1550 18040 )
+ LAYER met5 ( -3005330 -164960 ) ( 1550 -161960 )
+ LAYER met5 ( -3005330 -344960 ) ( 1550 -341960 )
+ LAYER met5 ( -3005330 -524960 ) ( 1550 -521960 )
+ LAYER met5 ( -3005330 -704960 ) ( 1550 -701960 )
+ LAYER met5 ( -3005330 -884960 ) ( 1550 -881960 )
+ LAYER met5 ( -3005330 -1064960 ) ( 1550 -1061960 )
+ LAYER met5 ( -3005330 -1244960 ) ( 1550 -1241960 )
+ LAYER met5 ( -3005330 -1424960 ) ( 1550 -1421960 )
+ LAYER met5 ( -3005330 -1604960 ) ( 1550 -1601960 )
+ LAYER met5 ( -3005330 -1798110 ) ( 1550 -1795010 )
+ FIXED ( 2961700 1759840 ) N ;
- vssd1 + NET vssd1 + SPECIAL + DIRECTION INPUT + USE GROUND
+ PORT
+ LAYER met4 ( -1550 -1769310 ) ( 1550 1769310 )
+ LAYER met4 ( -138880 -1769310 ) ( -135880 1769310 )
+ LAYER met4 ( -318880 -1769310 ) ( -315880 1769310 )
+ LAYER met4 ( -498880 -1769310 ) ( -495880 1769310 )
+ LAYER met4 ( -678880 366700 ) ( -675880 1769310 )
+ LAYER met4 ( -858880 366700 ) ( -855880 1769310 )
+ LAYER met4 ( -1038880 366700 ) ( -1035880 1769310 )
+ LAYER met4 ( -1218880 366700 ) ( -1215880 1769310 )
+ LAYER met4 ( -1398880 -1769310 ) ( -1395880 1769310 )
+ LAYER met4 ( -1578880 -1769310 ) ( -1575880 1769310 )
+ LAYER met4 ( -1758880 -542000 ) ( -1755880 1769310 )
+ LAYER met4 ( -1938880 -542000 ) ( -1935880 1769310 )
+ LAYER met4 ( -2118880 366700 ) ( -2115880 1769310 )
+ LAYER met4 ( -2298880 366700 ) ( -2295880 1769310 )
+ LAYER met4 ( -2478880 366700 ) ( -2475880 1769310 )
+ LAYER met4 ( -2658880 366700 ) ( -2655880 1769310 )
+ LAYER met4 ( -2838880 -1769310 ) ( -2835880 1769310 )
+ LAYER met4 ( -2947730 -1769310 ) ( -2944630 1769310 )
+ LAYER met4 ( -678880 -1769310 ) ( -675880 -69840 )
+ LAYER met4 ( -858880 -1769310 ) ( -855880 -69840 )
+ LAYER met4 ( -1038880 -1769310 ) ( -1035880 -69840 )
+ LAYER met4 ( -1218880 -1769310 ) ( -1215880 -69840 )
+ LAYER met4 ( -2118880 -542000 ) ( -2115880 -69840 )
+ LAYER met4 ( -2298880 -542000 ) ( -2295880 -69840 )
+ LAYER met4 ( -2478880 -542000 ) ( -2475880 -69840 )
+ LAYER met4 ( -2658880 -542000 ) ( -2655880 -69840 )
+ LAYER met4 ( -1758880 -1769310 ) ( -1755880 -1569840 )
+ LAYER met4 ( -1938880 -1769310 ) ( -1935880 -1569840 )
+ LAYER met4 ( -2118880 -1769310 ) ( -2115880 -1569840 )
+ LAYER met4 ( -2298880 -1769310 ) ( -2295880 -1569840 )
+ LAYER met4 ( -2478880 -1769310 ) ( -2475880 -1569840 )
+ LAYER met4 ( -2658880 -1769310 ) ( -2655880 -1569840 )
+ LAYER met5 ( -2947730 1766210 ) ( 1550 1769310 )
+ LAYER met5 ( -2947730 1579540 ) ( 1550 1582540 )
+ LAYER met5 ( -2947730 1399540 ) ( 1550 1402540 )
+ LAYER met5 ( -2947730 1219540 ) ( 1550 1222540 )
+ LAYER met5 ( -2947730 1039540 ) ( 1550 1042540 )
+ LAYER met5 ( -2947730 859540 ) ( 1550 862540 )
+ LAYER met5 ( -2947730 679540 ) ( 1550 682540 )
+ LAYER met5 ( -2947730 499540 ) ( 1550 502540 )
+ LAYER met5 ( -2947730 319540 ) ( 1550 322540 )
+ LAYER met5 ( -2947730 139540 ) ( 1550 142540 )
+ LAYER met5 ( -2947730 -40460 ) ( 1550 -37460 )
+ LAYER met5 ( -2947730 -220460 ) ( 1550 -217460 )
+ LAYER met5 ( -2947730 -400460 ) ( 1550 -397460 )
+ LAYER met5 ( -2947730 -580460 ) ( 1550 -577460 )
+ LAYER met5 ( -2947730 -760460 ) ( 1550 -757460 )
+ LAYER met5 ( -2947730 -940460 ) ( 1550 -937460 )
+ LAYER met5 ( -2947730 -1120460 ) ( 1550 -1117460 )
+ LAYER met5 ( -2947730 -1300460 ) ( 1550 -1297460 )
+ LAYER met5 ( -2947730 -1480460 ) ( 1550 -1477460 )
+ LAYER met5 ( -2947730 -1660460 ) ( 1550 -1657460 )
+ LAYER met5 ( -2947730 -1769310 ) ( 1550 -1766210 )
+ FIXED ( 2932900 1759840 ) N ;
- vssd2 + NET vssd2 + SPECIAL + DIRECTION INPUT + USE GROUND
+ PORT
+ LAYER met4 ( -1550 -1778910 ) ( 1550 1778910 )
+ LAYER met4 ( -129980 -1778910 ) ( -126980 1778910 )
+ LAYER met4 ( -309980 -1778910 ) ( -306980 1778910 )
+ LAYER met4 ( -489980 -1778910 ) ( -486980 1778910 )
+ LAYER met4 ( -669980 366700 ) ( -666980 1778910 )
+ LAYER met4 ( -849980 366700 ) ( -846980 1778910 )
+ LAYER met4 ( -1029980 366700 ) ( -1026980 1778910 )
+ LAYER met4 ( -1209980 366700 ) ( -1206980 1778910 )
+ LAYER met4 ( -1389980 -1778910 ) ( -1386980 1778910 )
+ LAYER met4 ( -1569980 -1778910 ) ( -1566980 1778910 )
+ LAYER met4 ( -1749980 -542000 ) ( -1746980 1778910 )
+ LAYER met4 ( -1929980 -542000 ) ( -1926980 1778910 )
+ LAYER met4 ( -2109980 366700 ) ( -2106980 1778910 )
+ LAYER met4 ( -2289980 366700 ) ( -2286980 1778910 )
+ LAYER met4 ( -2469980 366700 ) ( -2466980 1778910 )
+ LAYER met4 ( -2649980 366700 ) ( -2646980 1778910 )
+ LAYER met4 ( -2829980 -1778910 ) ( -2826980 1778910 )
+ LAYER met4 ( -2966930 -1778910 ) ( -2963830 1778910 )
+ LAYER met4 ( -669980 -1778910 ) ( -666980 -69840 )
+ LAYER met4 ( -849980 -1778910 ) ( -846980 -69840 )
+ LAYER met4 ( -1029980 -1778910 ) ( -1026980 -69840 )
+ LAYER met4 ( -1209980 -1778910 ) ( -1206980 -69840 )
+ LAYER met4 ( -2109980 -542000 ) ( -2106980 -69840 )
+ LAYER met4 ( -2289980 -542000 ) ( -2286980 -69840 )
+ LAYER met4 ( -2469980 -542000 ) ( -2466980 -69840 )
+ LAYER met4 ( -2649980 -542000 ) ( -2646980 -69840 )
+ LAYER met4 ( -1749980 -1778910 ) ( -1746980 -1569840 )
+ LAYER met4 ( -1929980 -1778910 ) ( -1926980 -1569840 )
+ LAYER met4 ( -2109980 -1778910 ) ( -2106980 -1569840 )
+ LAYER met4 ( -2289980 -1778910 ) ( -2286980 -1569840 )
+ LAYER met4 ( -2469980 -1778910 ) ( -2466980 -1569840 )
+ LAYER met4 ( -2649980 -1778910 ) ( -2646980 -1569840 )
+ LAYER met5 ( -2966930 1775810 ) ( 1550 1778910 )
+ LAYER met5 ( -2966930 1598040 ) ( 1550 1601040 )
+ LAYER met5 ( -2966930 1418040 ) ( 1550 1421040 )
+ LAYER met5 ( -2966930 1238040 ) ( 1550 1241040 )
+ LAYER met5 ( -2966930 1058040 ) ( 1550 1061040 )
+ LAYER met5 ( -2966930 878040 ) ( 1550 881040 )
+ LAYER met5 ( -2966930 698040 ) ( 1550 701040 )
+ LAYER met5 ( -2966930 518040 ) ( 1550 521040 )
+ LAYER met5 ( -2966930 338040 ) ( 1550 341040 )
+ LAYER met5 ( -2966930 158040 ) ( 1550 161040 )
+ LAYER met5 ( -2966930 -21960 ) ( 1550 -18960 )
+ LAYER met5 ( -2966930 -201960 ) ( 1550 -198960 )
+ LAYER met5 ( -2966930 -381960 ) ( 1550 -378960 )
+ LAYER met5 ( -2966930 -561960 ) ( 1550 -558960 )
+ LAYER met5 ( -2966930 -741960 ) ( 1550 -738960 )
+ LAYER met5 ( -2966930 -921960 ) ( 1550 -918960 )
+ LAYER met5 ( -2966930 -1101960 ) ( 1550 -1098960 )
+ LAYER met5 ( -2966930 -1281960 ) ( 1550 -1278960 )
+ LAYER met5 ( -2966930 -1461960 ) ( 1550 -1458960 )
+ LAYER met5 ( -2966930 -1641960 ) ( 1550 -1638960 )
+ LAYER met5 ( -2966930 -1778910 ) ( 1550 -1775810 )
+ FIXED ( 2942500 1759840 ) N ;
- wb_clk_i + NET wb_clk_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2990 -1200 ) N ;
- wb_rst_i + NET wb_rst_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 8510 -1200 ) N ;
- wbs_ack_o + NET wbs_ack_o + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 14490 -1200 ) N ;
- wbs_adr_i[0] + NET wbs_adr_i[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 38410 -1200 ) N ;
- wbs_adr_i[10] + NET wbs_adr_i[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 239430 -1200 ) N ;
- wbs_adr_i[11] + NET wbs_adr_i[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 256910 -1200 ) N ;
- wbs_adr_i[12] + NET wbs_adr_i[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 274850 -1200 ) N ;
- wbs_adr_i[13] + NET wbs_adr_i[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 292330 -1200 ) N ;
- wbs_adr_i[14] + NET wbs_adr_i[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 310270 -1200 ) N ;
- wbs_adr_i[15] + NET wbs_adr_i[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 327750 -1200 ) N ;
- wbs_adr_i[16] + NET wbs_adr_i[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 345690 -1200 ) N ;
- wbs_adr_i[17] + NET wbs_adr_i[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 363170 -1200 ) N ;
- wbs_adr_i[18] + NET wbs_adr_i[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 381110 -1200 ) N ;
- wbs_adr_i[19] + NET wbs_adr_i[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 398590 -1200 ) N ;
- wbs_adr_i[1] + NET wbs_adr_i[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 61870 -1200 ) N ;
- wbs_adr_i[20] + NET wbs_adr_i[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 416530 -1200 ) N ;
- wbs_adr_i[21] + NET wbs_adr_i[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 434470 -1200 ) N ;
- wbs_adr_i[22] + NET wbs_adr_i[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 451950 -1200 ) N ;
- wbs_adr_i[23] + NET wbs_adr_i[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 469890 -1200 ) N ;
- wbs_adr_i[24] + NET wbs_adr_i[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 487370 -1200 ) N ;
- wbs_adr_i[25] + NET wbs_adr_i[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 505310 -1200 ) N ;
- wbs_adr_i[26] + NET wbs_adr_i[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 522790 -1200 ) N ;
- wbs_adr_i[27] + NET wbs_adr_i[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 540730 -1200 ) N ;
- wbs_adr_i[28] + NET wbs_adr_i[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 558210 -1200 ) N ;
- wbs_adr_i[29] + NET wbs_adr_i[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 576150 -1200 ) N ;
- wbs_adr_i[2] + NET wbs_adr_i[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 85330 -1200 ) N ;
- wbs_adr_i[30] + NET wbs_adr_i[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 594090 -1200 ) N ;
- wbs_adr_i[31] + NET wbs_adr_i[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 611570 -1200 ) N ;
- wbs_adr_i[3] + NET wbs_adr_i[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 109250 -1200 ) N ;
- wbs_adr_i[4] + NET wbs_adr_i[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 132710 -1200 ) N ;
- wbs_adr_i[5] + NET wbs_adr_i[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 150650 -1200 ) N ;
- wbs_adr_i[6] + NET wbs_adr_i[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 168130 -1200 ) N ;
- wbs_adr_i[7] + NET wbs_adr_i[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 186070 -1200 ) N ;
- wbs_adr_i[8] + NET wbs_adr_i[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 203550 -1200 ) N ;
- wbs_adr_i[9] + NET wbs_adr_i[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 221490 -1200 ) N ;
- wbs_cyc_i + NET wbs_cyc_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 20470 -1200 ) N ;
- wbs_dat_i[0] + NET wbs_dat_i[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 43930 -1200 ) N ;
- wbs_dat_i[10] + NET wbs_dat_i[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 244950 -1200 ) N ;
- wbs_dat_i[11] + NET wbs_dat_i[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 262890 -1200 ) N ;
- wbs_dat_i[12] + NET wbs_dat_i[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 280370 -1200 ) N ;
- wbs_dat_i[13] + NET wbs_dat_i[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 298310 -1200 ) N ;
- wbs_dat_i[14] + NET wbs_dat_i[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 316250 -1200 ) N ;
- wbs_dat_i[15] + NET wbs_dat_i[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 333730 -1200 ) N ;
- wbs_dat_i[16] + NET wbs_dat_i[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 351670 -1200 ) N ;
- wbs_dat_i[17] + NET wbs_dat_i[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 369150 -1200 ) N ;
- wbs_dat_i[18] + NET wbs_dat_i[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 387090 -1200 ) N ;
- wbs_dat_i[19] + NET wbs_dat_i[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 404570 -1200 ) N ;
- wbs_dat_i[1] + NET wbs_dat_i[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 67850 -1200 ) N ;
- wbs_dat_i[20] + NET wbs_dat_i[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 422510 -1200 ) N ;
- wbs_dat_i[21] + NET wbs_dat_i[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 439990 -1200 ) N ;
- wbs_dat_i[22] + NET wbs_dat_i[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 457930 -1200 ) N ;
- wbs_dat_i[23] + NET wbs_dat_i[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 475870 -1200 ) N ;
- wbs_dat_i[24] + NET wbs_dat_i[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 493350 -1200 ) N ;
- wbs_dat_i[25] + NET wbs_dat_i[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 511290 -1200 ) N ;
- wbs_dat_i[26] + NET wbs_dat_i[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 528770 -1200 ) N ;
- wbs_dat_i[27] + NET wbs_dat_i[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 546710 -1200 ) N ;
- wbs_dat_i[28] + NET wbs_dat_i[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 564190 -1200 ) N ;
- wbs_dat_i[29] + NET wbs_dat_i[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 582130 -1200 ) N ;
- wbs_dat_i[2] + NET wbs_dat_i[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 91310 -1200 ) N ;
- wbs_dat_i[30] + NET wbs_dat_i[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 599610 -1200 ) N ;
- wbs_dat_i[31] + NET wbs_dat_i[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 617550 -1200 ) N ;
- wbs_dat_i[3] + NET wbs_dat_i[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 115230 -1200 ) N ;
- wbs_dat_i[4] + NET wbs_dat_i[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 138690 -1200 ) N ;
- wbs_dat_i[5] + NET wbs_dat_i[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 156630 -1200 ) N ;
- wbs_dat_i[6] + NET wbs_dat_i[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 174110 -1200 ) N ;
- wbs_dat_i[7] + NET wbs_dat_i[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 192050 -1200 ) N ;
- wbs_dat_i[8] + NET wbs_dat_i[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 209530 -1200 ) N ;
- wbs_dat_i[9] + NET wbs_dat_i[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 227470 -1200 ) N ;
- wbs_dat_o[0] + NET wbs_dat_o[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 49910 -1200 ) N ;
- wbs_dat_o[10] + NET wbs_dat_o[10] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 250930 -1200 ) N ;
- wbs_dat_o[11] + NET wbs_dat_o[11] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 268870 -1200 ) N ;
- wbs_dat_o[12] + NET wbs_dat_o[12] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 286350 -1200 ) N ;
- wbs_dat_o[13] + NET wbs_dat_o[13] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 304290 -1200 ) N ;
- wbs_dat_o[14] + NET wbs_dat_o[14] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 321770 -1200 ) N ;
- wbs_dat_o[15] + NET wbs_dat_o[15] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 339710 -1200 ) N ;
- wbs_dat_o[16] + NET wbs_dat_o[16] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 357650 -1200 ) N ;
- wbs_dat_o[17] + NET wbs_dat_o[17] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 375130 -1200 ) N ;
- wbs_dat_o[18] + NET wbs_dat_o[18] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 393070 -1200 ) N ;
- wbs_dat_o[19] + NET wbs_dat_o[19] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 410550 -1200 ) N ;
- wbs_dat_o[1] + NET wbs_dat_o[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 73830 -1200 ) N ;
- wbs_dat_o[20] + NET wbs_dat_o[20] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 428490 -1200 ) N ;
- wbs_dat_o[21] + NET wbs_dat_o[21] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 445970 -1200 ) N ;
- wbs_dat_o[22] + NET wbs_dat_o[22] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 463910 -1200 ) N ;
- wbs_dat_o[23] + NET wbs_dat_o[23] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 481390 -1200 ) N ;
- wbs_dat_o[24] + NET wbs_dat_o[24] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 499330 -1200 ) N ;
- wbs_dat_o[25] + NET wbs_dat_o[25] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 516810 -1200 ) N ;
- wbs_dat_o[26] + NET wbs_dat_o[26] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 534750 -1200 ) N ;
- wbs_dat_o[27] + NET wbs_dat_o[27] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 552690 -1200 ) N ;
- wbs_dat_o[28] + NET wbs_dat_o[28] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 570170 -1200 ) N ;
- wbs_dat_o[29] + NET wbs_dat_o[29] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 588110 -1200 ) N ;
- wbs_dat_o[2] + NET wbs_dat_o[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 97290 -1200 ) N ;
- wbs_dat_o[30] + NET wbs_dat_o[30] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 605590 -1200 ) N ;
- wbs_dat_o[31] + NET wbs_dat_o[31] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 623530 -1200 ) N ;
- wbs_dat_o[3] + NET wbs_dat_o[3] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 121210 -1200 ) N ;
- wbs_dat_o[4] + NET wbs_dat_o[4] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 144670 -1200 ) N ;
- wbs_dat_o[5] + NET wbs_dat_o[5] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 162150 -1200 ) N ;
- wbs_dat_o[6] + NET wbs_dat_o[6] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 180090 -1200 ) N ;
- wbs_dat_o[7] + NET wbs_dat_o[7] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 198030 -1200 ) N ;
- wbs_dat_o[8] + NET wbs_dat_o[8] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 215510 -1200 ) N ;
- wbs_dat_o[9] + NET wbs_dat_o[9] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 233450 -1200 ) N ;
- wbs_sel_i[0] + NET wbs_sel_i[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 55890 -1200 ) N ;
- wbs_sel_i[1] + NET wbs_sel_i[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 79810 -1200 ) N ;
- wbs_sel_i[2] + NET wbs_sel_i[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 103270 -1200 ) N ;
- wbs_sel_i[3] + NET wbs_sel_i[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 126730 -1200 ) N ;
- wbs_stb_i + NET wbs_stb_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 26450 -1200 ) N ;
- wbs_we_i + NET wbs_we_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 32430 -1200 ) N ;
END PINS
BLOCKAGES 9 ;
- LAYER met1 RECT ( 200000 1700000 ) ( 883100 2116540 ) ;
- LAYER met2 RECT ( 200000 1700000 ) ( 883100 2116540 ) ;
- LAYER met3 RECT ( 200000 1700000 ) ( 883100 2116540 ) ;
- LAYER li1 RECT ( 200000 1700000 ) ( 883100 2116540 ) ;
- LAYER met1 RECT ( 1700000 1700000 ) ( 2383100 2116540 ) ;
- LAYER met2 RECT ( 1700000 1700000 ) ( 2383100 2116540 ) ;
- LAYER met3 RECT ( 1700000 1700000 ) ( 2383100 2116540 ) ;
- LAYER li1 RECT ( 1700000 1700000 ) ( 2383100 2116540 ) ;
- LAYER met5 RECT ( 0 0 ) ( 2920000 3520000 ) ;
END BLOCKAGES
SPECIALNETS 8 ;
- vccd1 ( PIN vccd1 ) + USE POWER
+ ROUTED met4 0 + SHAPE STRIPE ( 2377470 1990880 ) via4_1740x3000
NEW met4 0 + SHAPE STRIPE ( 1705630 1990880 ) via4_1740x3000
NEW met4 0 + SHAPE STRIPE ( 2377470 1810880 ) via4_1740x3000
NEW met4 0 + SHAPE STRIPE ( 1705630 1810880 ) via4_1740x3000
NEW met4 0 + SHAPE STRIPE ( 877470 1990880 ) via4_1740x3000
NEW met4 0 + SHAPE STRIPE ( 205630 1990880 ) via4_1740x3000
NEW met4 0 + SHAPE STRIPE ( 877470 1810880 ) via4_1740x3000
NEW met4 0 + SHAPE STRIPE ( 205630 1810880 ) via4_1740x3000
NEW met4 0 + SHAPE STRIPE ( 1143440 1090880 ) via4_1600x3000
NEW met4 0 + SHAPE STRIPE ( 989840 1090880 ) via4_1600x3000
NEW met4 0 + SHAPE STRIPE ( 836240 1090880 ) via4_1600x3000
NEW met4 0 + SHAPE STRIPE ( 682640 1090880 ) via4_1600x3000
NEW met4 0 + SHAPE STRIPE ( 529040 1090880 ) via4_1600x3000
NEW met4 0 + SHAPE STRIPE ( 375440 1090880 ) via4_1600x3000
NEW met4 0 + SHAPE STRIPE ( 221840 1090880 ) via4_1600x3000
NEW met4 0 + SHAPE STRIPE ( 1143440 910880 ) via4_1600x3000
NEW met4 0 + SHAPE STRIPE ( 989840 910880 ) via4_1600x3000
NEW met4 0 + SHAPE STRIPE ( 836240 910880 ) via4_1600x3000
NEW met4 0 + SHAPE STRIPE ( 682640 910880 ) via4_1600x3000
NEW met4 0 + SHAPE STRIPE ( 529040 910880 ) via4_1600x3000
NEW met4 0 + SHAPE STRIPE ( 375440 910880 ) via4_1600x3000
NEW met4 0 + SHAPE STRIPE ( 221840 910880 ) via4_1600x3000
NEW met4 0 + SHAPE STRIPE ( 1143440 730880 ) via4_1600x3000
NEW met4 0 + SHAPE STRIPE ( 989840 730880 ) via4_1600x3000
NEW met4 0 + SHAPE STRIPE ( 836240 730880 ) via4_1600x3000
NEW met4 0 + SHAPE STRIPE ( 682640 730880 ) via4_1600x3000
NEW met4 0 + SHAPE STRIPE ( 529040 730880 ) via4_1600x3000
NEW met4 0 + SHAPE STRIPE ( 375440 730880 ) via4_1600x3000
NEW met4 0 + SHAPE STRIPE ( 221840 730880 ) via4_1600x3000
NEW met4 0 + SHAPE STRIPE ( 1143440 550880 ) via4_1600x3000
NEW met4 0 + SHAPE STRIPE ( 989840 550880 ) via4_1600x3000
NEW met4 0 + SHAPE STRIPE ( 836240 550880 ) via4_1600x3000
NEW met4 0 + SHAPE STRIPE ( 682640 550880 ) via4_1600x3000
NEW met4 0 + SHAPE STRIPE ( 529040 550880 ) via4_1600x3000
NEW met4 0 + SHAPE STRIPE ( 375440 550880 ) via4_1600x3000
NEW met4 0 + SHAPE STRIPE ( 221840 550880 ) via4_1600x3000
NEW met4 0 + SHAPE STRIPE ( 1143440 370880 ) via4_1600x3000
NEW met4 0 + SHAPE STRIPE ( 989840 370880 ) via4_1600x3000
NEW met4 0 + SHAPE STRIPE ( 836240 370880 ) via4_1600x3000
NEW met4 0 + SHAPE STRIPE ( 682640 370880 ) via4_1600x3000
NEW met4 0 + SHAPE STRIPE ( 529040 370880 ) via4_1600x3000
NEW met4 0 + SHAPE STRIPE ( 375440 370880 ) via4_1600x3000
NEW met4 0 + SHAPE STRIPE ( 221840 370880 ) via4_1600x3000
NEW met4 0 + SHAPE STRIPE ( 2928100 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2885520 3522800 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 2705520 3522800 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 2525520 3522800 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 2345520 3522800 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 2165520 3522800 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 1985520 3522800 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 1805520 3522800 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 1625520 3522800 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 1445520 3522800 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 1265520 3522800 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 1085520 3522800 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 905520 3522800 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 725520 3522800 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 545520 3522800 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 365520 3522800 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 185520 3522800 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 5520 3522800 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( -8480 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 3430880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2885520 3430880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2705520 3430880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2525520 3430880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2345520 3430880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2165520 3430880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1985520 3430880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1805520 3430880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1625520 3430880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1445520 3430880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1265520 3430880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1085520 3430880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 905520 3430880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 725520 3430880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 545520 3430880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 365520 3430880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 185520 3430880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 5520 3430880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -8480 3430880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2928100 3250880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2885520 3250880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2705520 3250880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2525520 3250880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2345520 3250880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2165520 3250880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1985520 3250880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1805520 3250880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1625520 3250880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1445520 3250880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1265520 3250880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1085520 3250880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 905520 3250880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 725520 3250880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 545520 3250880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 365520 3250880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 185520 3250880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 5520 3250880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -8480 3250880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2928100 3070880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2885520 3070880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2705520 3070880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2525520 3070880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2345520 3070880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2165520 3070880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1985520 3070880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1805520 3070880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1625520 3070880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1445520 3070880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1265520 3070880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1085520 3070880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 905520 3070880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 725520 3070880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 545520 3070880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 365520 3070880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 185520 3070880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 5520 3070880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -8480 3070880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2928100 2890880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2885520 2890880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2705520 2890880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2525520 2890880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2345520 2890880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2165520 2890880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1985520 2890880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1805520 2890880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1625520 2890880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1445520 2890880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1265520 2890880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1085520 2890880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 905520 2890880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 725520 2890880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 545520 2890880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 365520 2890880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 185520 2890880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 5520 2890880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -8480 2890880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2928100 2710880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2885520 2710880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2705520 2710880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2525520 2710880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2345520 2710880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2165520 2710880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1985520 2710880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1805520 2710880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1625520 2710880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1445520 2710880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1265520 2710880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1085520 2710880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 905520 2710880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 725520 2710880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 545520 2710880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 365520 2710880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 185520 2710880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 5520 2710880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -8480 2710880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2928100 2530880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2885520 2530880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2705520 2530880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2525520 2530880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2345520 2530880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2165520 2530880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1985520 2530880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1805520 2530880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1625520 2530880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1445520 2530880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1265520 2530880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1085520 2530880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 905520 2530880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 725520 2530880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 545520 2530880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 365520 2530880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 185520 2530880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 5520 2530880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -8480 2530880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2928100 2350880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2885520 2350880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2705520 2350880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2525520 2350880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2345520 2350880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2165520 2350880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1985520 2350880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1805520 2350880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1625520 2350880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1445520 2350880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1265520 2350880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1085520 2350880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 905520 2350880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 725520 2350880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 545520 2350880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 365520 2350880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 185520 2350880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 5520 2350880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -8480 2350880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2928100 2170880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2885520 2170880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2705520 2170880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2525520 2170880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2345520 2170880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2165520 2170880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1985520 2170880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1805520 2170880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1625520 2170880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1445520 2170880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1265520 2170880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1085520 2170880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 905520 2170880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 725520 2170880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 545520 2170880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 365520 2170880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 185520 2170880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 5520 2170880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -8480 2170880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2928100 1990880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2885520 1990880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2705520 1990880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2525520 1990880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1625520 1990880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1445520 1990880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1265520 1990880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1085520 1990880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 905520 1990880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 185520 1990880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 5520 1990880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -8480 1990880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2928100 1810880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2885520 1810880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2705520 1810880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2525520 1810880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1625520 1810880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1445520 1810880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1265520 1810880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1085520 1810880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 905520 1810880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 185520 1810880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 5520 1810880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -8480 1810880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2928100 1630880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2885520 1630880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2705520 1630880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2525520 1630880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2345520 1630880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2165520 1630880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1985520 1630880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1805520 1630880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1625520 1630880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1445520 1630880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1265520 1630880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1085520 1630880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 905520 1630880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 725520 1630880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 545520 1630880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 365520 1630880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 185520 1630880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 5520 1630880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -8480 1630880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2928100 1450880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2885520 1450880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2705520 1450880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2525520 1450880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2345520 1450880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2165520 1450880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1985520 1450880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1805520 1450880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1625520 1450880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1445520 1450880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1265520 1450880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1085520 1450880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 905520 1450880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 725520 1450880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 545520 1450880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 365520 1450880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 185520 1450880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 5520 1450880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -8480 1450880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2928100 1270880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2885520 1270880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2705520 1270880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2525520 1270880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2345520 1270880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2165520 1270880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1985520 1270880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1805520 1270880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1625520 1270880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1445520 1270880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1265520 1270880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1085520 1270880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 905520 1270880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 725520 1270880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 545520 1270880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 365520 1270880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 185520 1270880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 5520 1270880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -8480 1270880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2928100 1090880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2885520 1090880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2705520 1090880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2525520 1090880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2345520 1090880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2165520 1090880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1985520 1090880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1805520 1090880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1625520 1090880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1445520 1090880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1265520 1090880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 185520 1090880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 5520 1090880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -8480 1090880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2928100 910880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2885520 910880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2705520 910880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2525520 910880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2345520 910880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2165520 910880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1985520 910880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1805520 910880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1625520 910880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1445520 910880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1265520 910880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 185520 910880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 5520 910880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -8480 910880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2928100 730880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2885520 730880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2705520 730880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2525520 730880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2345520 730880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2165520 730880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1985520 730880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1805520 730880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1625520 730880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1445520 730880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1265520 730880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 185520 730880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 5520 730880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -8480 730880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2928100 550880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2885520 550880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2705520 550880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2525520 550880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2345520 550880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2165520 550880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1985520 550880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1805520 550880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1625520 550880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1445520 550880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1265520 550880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 185520 550880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 5520 550880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -8480 550880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2928100 370880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2885520 370880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2705520 370880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2525520 370880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2345520 370880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2165520 370880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1985520 370880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1805520 370880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1625520 370880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1445520 370880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1265520 370880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 185520 370880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 5520 370880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -8480 370880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2928100 190880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2885520 190880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2705520 190880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2525520 190880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2345520 190880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2165520 190880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1985520 190880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1805520 190880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1625520 190880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1445520 190880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1265520 190880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 185520 190880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 5520 190880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -8480 190880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2928100 10880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2885520 10880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2705520 10880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2525520 10880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2345520 10880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2165520 10880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1985520 10880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1805520 10880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1625520 10880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1445520 10880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1265520 10880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1085520 10880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 905520 10880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 725520 10880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 545520 10880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 365520 10880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 185520 10880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 5520 10880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -8480 10880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2928100 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2885520 -3120 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 2705520 -3120 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 2525520 -3120 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 2345520 -3120 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 2165520 -3120 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 1985520 -3120 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 1805520 -3120 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 1625520 -3120 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 1445520 -3120 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 1265520 -3120 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 1085520 -3120 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 905520 -3120 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 725520 -3120 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 545520 -3120 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 365520 -3120 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 185520 -3120 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 5520 -3120 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( -8480 -3120 ) via4_3100x3100
NEW met5 3100 + SHAPE STRIPE ( -10030 3522800 ) ( 2929650 3522800 )
NEW met5 3000 + SHAPE STRIPE ( -14830 3430880 ) ( 2934450 3430880 )
NEW met5 3000 + SHAPE STRIPE ( -14830 3250880 ) ( 2934450 3250880 )
NEW met5 3000 + SHAPE STRIPE ( -14830 3070880 ) ( 2934450 3070880 )
NEW met5 3000 + SHAPE STRIPE ( -14830 2890880 ) ( 2934450 2890880 )
NEW met5 3000 + SHAPE STRIPE ( -14830 2710880 ) ( 2934450 2710880 )
NEW met5 3000 + SHAPE STRIPE ( -14830 2530880 ) ( 2934450 2530880 )
NEW met5 3000 + SHAPE STRIPE ( -14830 2350880 ) ( 2934450 2350880 )
NEW met5 3000 + SHAPE STRIPE ( -14830 2170880 ) ( 2934450 2170880 )
NEW met5 3000 + SHAPE STRIPE ( -14830 1990880 ) ( 2934450 1990880 )
NEW met5 3000 + SHAPE STRIPE ( -14830 1810880 ) ( 2934450 1810880 )
NEW met5 3000 + SHAPE STRIPE ( -14830 1630880 ) ( 2934450 1630880 )
NEW met5 3000 + SHAPE STRIPE ( -14830 1450880 ) ( 2934450 1450880 )
NEW met5 3000 + SHAPE STRIPE ( -14830 1270880 ) ( 2934450 1270880 )
NEW met5 3000 + SHAPE STRIPE ( -14830 1090880 ) ( 2934450 1090880 )
NEW met5 3000 + SHAPE STRIPE ( -14830 910880 ) ( 2934450 910880 )
NEW met5 3000 + SHAPE STRIPE ( -14830 730880 ) ( 2934450 730880 )
NEW met5 3000 + SHAPE STRIPE ( -14830 550880 ) ( 2934450 550880 )
NEW met5 3000 + SHAPE STRIPE ( -14830 370880 ) ( 2934450 370880 )
NEW met5 3000 + SHAPE STRIPE ( -14830 190880 ) ( 2934450 190880 )
NEW met5 3000 + SHAPE STRIPE ( -14830 10880 ) ( 2934450 10880 )
NEW met5 3100 + SHAPE STRIPE ( -10030 -3120 ) ( 2929650 -3120 )
NEW met4 3000 + SHAPE STRIPE ( 2885520 -9470 ) ( 2885520 3529150 )
NEW met4 3000 + SHAPE STRIPE ( 2705520 -9470 ) ( 2705520 3529150 )
NEW met4 3000 + SHAPE STRIPE ( 2525520 -9470 ) ( 2525520 3529150 )
NEW met4 3000 + SHAPE STRIPE ( 2345520 2126540 ) ( 2345520 3529150 )
NEW met4 3000 + SHAPE STRIPE ( 2165520 2126540 ) ( 2165520 3529150 )
NEW met4 3000 + SHAPE STRIPE ( 1985520 2126540 ) ( 1985520 3529150 )
NEW met4 3000 + SHAPE STRIPE ( 1805520 2126540 ) ( 1805520 3529150 )
NEW met4 3000 + SHAPE STRIPE ( 1625520 -9470 ) ( 1625520 3529150 )
NEW met4 3000 + SHAPE STRIPE ( 1445520 -9470 ) ( 1445520 3529150 )
NEW met4 3000 + SHAPE STRIPE ( 1265520 -9470 ) ( 1265520 3529150 )
NEW met4 3000 + SHAPE STRIPE ( 1085520 1217840 ) ( 1085520 3529150 )
NEW met4 3000 + SHAPE STRIPE ( 905520 1217840 ) ( 905520 3529150 )
NEW met4 3000 + SHAPE STRIPE ( 725520 2126540 ) ( 725520 3529150 )
NEW met4 3000 + SHAPE STRIPE ( 545520 2126540 ) ( 545520 3529150 )
NEW met4 3000 + SHAPE STRIPE ( 365520 2126540 ) ( 365520 3529150 )
NEW met4 3000 + SHAPE STRIPE ( 185520 -9470 ) ( 185520 3529150 )
NEW met4 3000 + SHAPE STRIPE ( 5520 -9470 ) ( 5520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 2928100 -4670 ) ( 2928100 3524350 )
NEW met4 3100 + SHAPE STRIPE ( -8480 -4670 ) ( -8480 3524350 )
NEW met4 3000 + SHAPE STRIPE ( 2345520 -9470 ) ( 2345520 1690000 )
NEW met4 3000 + SHAPE STRIPE ( 2165520 -9470 ) ( 2165520 1690000 )
NEW met4 3000 + SHAPE STRIPE ( 1985520 -9470 ) ( 1985520 1690000 )
NEW met4 3000 + SHAPE STRIPE ( 1805520 -9470 ) ( 1805520 1690000 )
NEW met4 3000 + SHAPE STRIPE ( 725520 1217840 ) ( 725520 1690000 )
NEW met4 3000 + SHAPE STRIPE ( 545520 1217840 ) ( 545520 1690000 )
NEW met4 3000 + SHAPE STRIPE ( 365520 1217840 ) ( 365520 1690000 )
NEW met4 3000 + SHAPE STRIPE ( 1085520 -9470 ) ( 1085520 190000 )
NEW met4 3000 + SHAPE STRIPE ( 905520 -9470 ) ( 905520 190000 )
NEW met4 3000 + SHAPE STRIPE ( 725520 -9470 ) ( 725520 190000 )
NEW met4 3000 + SHAPE STRIPE ( 545520 -9470 ) ( 545520 190000 )
NEW met4 3000 + SHAPE STRIPE ( 365520 -9470 ) ( 365520 190000 ) ;
- vccd2 ( PIN vccd2 ) + USE POWER
+ ROUTED met4 0 + SHAPE STRIPE ( 2937700 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2904020 3532400 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 2724020 3532400 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 2544020 3532400 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 2364020 3532400 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 2184020 3532400 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 2004020 3532400 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 1824020 3532400 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 1644020 3532400 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 1464020 3532400 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 1284020 3532400 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 1104020 3532400 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 924020 3532400 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 744020 3532400 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 564020 3532400 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 384020 3532400 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 204020 3532400 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 24020 3532400 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( -18080 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 3449380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2904020 3449380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2724020 3449380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2544020 3449380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2364020 3449380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2184020 3449380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2004020 3449380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1824020 3449380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1644020 3449380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1464020 3449380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1284020 3449380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1104020 3449380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 924020 3449380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 744020 3449380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 564020 3449380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 384020 3449380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 204020 3449380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 24020 3449380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -18080 3449380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2937700 3269380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2904020 3269380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2724020 3269380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2544020 3269380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2364020 3269380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2184020 3269380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2004020 3269380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1824020 3269380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1644020 3269380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1464020 3269380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1284020 3269380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1104020 3269380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 924020 3269380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 744020 3269380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 564020 3269380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 384020 3269380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 204020 3269380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 24020 3269380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -18080 3269380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2937700 3089380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2904020 3089380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2724020 3089380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2544020 3089380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2364020 3089380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2184020 3089380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2004020 3089380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1824020 3089380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1644020 3089380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1464020 3089380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1284020 3089380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1104020 3089380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 924020 3089380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 744020 3089380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 564020 3089380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 384020 3089380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 204020 3089380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 24020 3089380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -18080 3089380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2937700 2909380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2904020 2909380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2724020 2909380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2544020 2909380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2364020 2909380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2184020 2909380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2004020 2909380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1824020 2909380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1644020 2909380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1464020 2909380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1284020 2909380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1104020 2909380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 924020 2909380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 744020 2909380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 564020 2909380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 384020 2909380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 204020 2909380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 24020 2909380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -18080 2909380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2937700 2729380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2904020 2729380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2724020 2729380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2544020 2729380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2364020 2729380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2184020 2729380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2004020 2729380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1824020 2729380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1644020 2729380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1464020 2729380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1284020 2729380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1104020 2729380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 924020 2729380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 744020 2729380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 564020 2729380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 384020 2729380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 204020 2729380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 24020 2729380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -18080 2729380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2937700 2549380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2904020 2549380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2724020 2549380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2544020 2549380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2364020 2549380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2184020 2549380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2004020 2549380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1824020 2549380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1644020 2549380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1464020 2549380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1284020 2549380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1104020 2549380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 924020 2549380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 744020 2549380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 564020 2549380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 384020 2549380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 204020 2549380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 24020 2549380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -18080 2549380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2937700 2369380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2904020 2369380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2724020 2369380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2544020 2369380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2364020 2369380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2184020 2369380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2004020 2369380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1824020 2369380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1644020 2369380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1464020 2369380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1284020 2369380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1104020 2369380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 924020 2369380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 744020 2369380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 564020 2369380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 384020 2369380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 204020 2369380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 24020 2369380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -18080 2369380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2937700 2189380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2904020 2189380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2724020 2189380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2544020 2189380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2364020 2189380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2184020 2189380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2004020 2189380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1824020 2189380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1644020 2189380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1464020 2189380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1284020 2189380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1104020 2189380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 924020 2189380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 744020 2189380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 564020 2189380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 384020 2189380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 204020 2189380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 24020 2189380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -18080 2189380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2937700 2009380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2904020 2009380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2724020 2009380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2544020 2009380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1644020 2009380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1464020 2009380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1284020 2009380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1104020 2009380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 924020 2009380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 24020 2009380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -18080 2009380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2937700 1829380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2904020 1829380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2724020 1829380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2544020 1829380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1644020 1829380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1464020 1829380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1284020 1829380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1104020 1829380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 924020 1829380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 24020 1829380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -18080 1829380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2937700 1649380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2904020 1649380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2724020 1649380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2544020 1649380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2364020 1649380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2184020 1649380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2004020 1649380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1824020 1649380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1644020 1649380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1464020 1649380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1284020 1649380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1104020 1649380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 924020 1649380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 744020 1649380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 564020 1649380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 384020 1649380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 204020 1649380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 24020 1649380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -18080 1649380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2937700 1469380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2904020 1469380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2724020 1469380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2544020 1469380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2364020 1469380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2184020 1469380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2004020 1469380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1824020 1469380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1644020 1469380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1464020 1469380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1284020 1469380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1104020 1469380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 924020 1469380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 744020 1469380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 564020 1469380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 384020 1469380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 204020 1469380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 24020 1469380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -18080 1469380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2937700 1289380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2904020 1289380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2724020 1289380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2544020 1289380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2364020 1289380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2184020 1289380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2004020 1289380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1824020 1289380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1644020 1289380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1464020 1289380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1284020 1289380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1104020 1289380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 924020 1289380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 744020 1289380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 564020 1289380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 384020 1289380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 204020 1289380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 24020 1289380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -18080 1289380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2937700 1109380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2904020 1109380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2724020 1109380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2544020 1109380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2364020 1109380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2184020 1109380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2004020 1109380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1824020 1109380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1644020 1109380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1464020 1109380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1284020 1109380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 24020 1109380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -18080 1109380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2937700 929380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2904020 929380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2724020 929380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2544020 929380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2364020 929380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2184020 929380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2004020 929380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1824020 929380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1644020 929380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1464020 929380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1284020 929380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 24020 929380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -18080 929380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2937700 749380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2904020 749380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2724020 749380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2544020 749380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2364020 749380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2184020 749380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2004020 749380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1824020 749380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1644020 749380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1464020 749380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1284020 749380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 24020 749380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -18080 749380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2937700 569380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2904020 569380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2724020 569380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2544020 569380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2364020 569380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2184020 569380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2004020 569380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1824020 569380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1644020 569380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1464020 569380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1284020 569380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 24020 569380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -18080 569380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2937700 389380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2904020 389380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2724020 389380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2544020 389380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2364020 389380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2184020 389380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2004020 389380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1824020 389380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1644020 389380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1464020 389380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1284020 389380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 24020 389380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -18080 389380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2937700 209380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2904020 209380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2724020 209380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2544020 209380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2364020 209380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2184020 209380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2004020 209380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1824020 209380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1644020 209380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1464020 209380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1284020 209380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 24020 209380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -18080 209380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2937700 29380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2904020 29380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2724020 29380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2544020 29380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2364020 29380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2184020 29380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2004020 29380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1824020 29380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1644020 29380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1464020 29380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1284020 29380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1104020 29380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 924020 29380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 744020 29380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 564020 29380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 384020 29380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 204020 29380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 24020 29380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -18080 29380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2937700 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2904020 -12720 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 2724020 -12720 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 2544020 -12720 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 2364020 -12720 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 2184020 -12720 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 2004020 -12720 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 1824020 -12720 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 1644020 -12720 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 1464020 -12720 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 1284020 -12720 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 1104020 -12720 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 924020 -12720 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 744020 -12720 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 564020 -12720 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 384020 -12720 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 204020 -12720 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 24020 -12720 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( -18080 -12720 ) via4_3100x3100
NEW met5 3100 + SHAPE STRIPE ( -19630 3532400 ) ( 2939250 3532400 )
NEW met5 3000 + SHAPE STRIPE ( -24430 3449380 ) ( 2944050 3449380 )
NEW met5 3000 + SHAPE STRIPE ( -24430 3269380 ) ( 2944050 3269380 )
NEW met5 3000 + SHAPE STRIPE ( -24430 3089380 ) ( 2944050 3089380 )
NEW met5 3000 + SHAPE STRIPE ( -24430 2909380 ) ( 2944050 2909380 )
NEW met5 3000 + SHAPE STRIPE ( -24430 2729380 ) ( 2944050 2729380 )
NEW met5 3000 + SHAPE STRIPE ( -24430 2549380 ) ( 2944050 2549380 )
NEW met5 3000 + SHAPE STRIPE ( -24430 2369380 ) ( 2944050 2369380 )
NEW met5 3000 + SHAPE STRIPE ( -24430 2189380 ) ( 2944050 2189380 )
NEW met5 3000 + SHAPE STRIPE ( -24430 2009380 ) ( 2944050 2009380 )
NEW met5 3000 + SHAPE STRIPE ( -24430 1829380 ) ( 2944050 1829380 )
NEW met5 3000 + SHAPE STRIPE ( -24430 1649380 ) ( 2944050 1649380 )
NEW met5 3000 + SHAPE STRIPE ( -24430 1469380 ) ( 2944050 1469380 )
NEW met5 3000 + SHAPE STRIPE ( -24430 1289380 ) ( 2944050 1289380 )
NEW met5 3000 + SHAPE STRIPE ( -24430 1109380 ) ( 2944050 1109380 )
NEW met5 3000 + SHAPE STRIPE ( -24430 929380 ) ( 2944050 929380 )
NEW met5 3000 + SHAPE STRIPE ( -24430 749380 ) ( 2944050 749380 )
NEW met5 3000 + SHAPE STRIPE ( -24430 569380 ) ( 2944050 569380 )
NEW met5 3000 + SHAPE STRIPE ( -24430 389380 ) ( 2944050 389380 )
NEW met5 3000 + SHAPE STRIPE ( -24430 209380 ) ( 2944050 209380 )
NEW met5 3000 + SHAPE STRIPE ( -24430 29380 ) ( 2944050 29380 )
NEW met5 3100 + SHAPE STRIPE ( -19630 -12720 ) ( 2939250 -12720 )
NEW met4 3000 + SHAPE STRIPE ( 2904020 -19070 ) ( 2904020 3538750 )
NEW met4 3000 + SHAPE STRIPE ( 2724020 -19070 ) ( 2724020 3538750 )
NEW met4 3000 + SHAPE STRIPE ( 2544020 -19070 ) ( 2544020 3538750 )
NEW met4 3000 + SHAPE STRIPE ( 2364020 2126540 ) ( 2364020 3538750 )
NEW met4 3000 + SHAPE STRIPE ( 2184020 2126540 ) ( 2184020 3538750 )
NEW met4 3000 + SHAPE STRIPE ( 2004020 2126540 ) ( 2004020 3538750 )
NEW met4 3000 + SHAPE STRIPE ( 1824020 2126540 ) ( 1824020 3538750 )
NEW met4 3000 + SHAPE STRIPE ( 1644020 -19070 ) ( 1644020 3538750 )
NEW met4 3000 + SHAPE STRIPE ( 1464020 -19070 ) ( 1464020 3538750 )
NEW met4 3000 + SHAPE STRIPE ( 1284020 -19070 ) ( 1284020 3538750 )
NEW met4 3000 + SHAPE STRIPE ( 1104020 1217840 ) ( 1104020 3538750 )
NEW met4 3000 + SHAPE STRIPE ( 924020 1217840 ) ( 924020 3538750 )
NEW met4 3000 + SHAPE STRIPE ( 744020 2126540 ) ( 744020 3538750 )
NEW met4 3000 + SHAPE STRIPE ( 564020 2126540 ) ( 564020 3538750 )
NEW met4 3000 + SHAPE STRIPE ( 384020 2126540 ) ( 384020 3538750 )
NEW met4 3000 + SHAPE STRIPE ( 204020 2126540 ) ( 204020 3538750 )
NEW met4 3000 + SHAPE STRIPE ( 24020 -19070 ) ( 24020 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2937700 -14270 ) ( 2937700 3533950 )
NEW met4 3100 + SHAPE STRIPE ( -18080 -14270 ) ( -18080 3533950 )
NEW met4 3000 + SHAPE STRIPE ( 2364020 -19070 ) ( 2364020 1690000 )
NEW met4 3000 + SHAPE STRIPE ( 2184020 -19070 ) ( 2184020 1690000 )
NEW met4 3000 + SHAPE STRIPE ( 2004020 -19070 ) ( 2004020 1690000 )
NEW met4 3000 + SHAPE STRIPE ( 1824020 -19070 ) ( 1824020 1690000 )
NEW met4 3000 + SHAPE STRIPE ( 744020 1217840 ) ( 744020 1690000 )
NEW met4 3000 + SHAPE STRIPE ( 564020 1217840 ) ( 564020 1690000 )
NEW met4 3000 + SHAPE STRIPE ( 384020 1217840 ) ( 384020 1690000 )
NEW met4 3000 + SHAPE STRIPE ( 204020 1217840 ) ( 204020 1690000 )
NEW met4 3000 + SHAPE STRIPE ( 1104020 -19070 ) ( 1104020 190000 )
NEW met4 3000 + SHAPE STRIPE ( 924020 -19070 ) ( 924020 190000 )
NEW met4 3000 + SHAPE STRIPE ( 744020 -19070 ) ( 744020 190000 )
NEW met4 3000 + SHAPE STRIPE ( 564020 -19070 ) ( 564020 190000 )
NEW met4 3000 + SHAPE STRIPE ( 384020 -19070 ) ( 384020 190000 )
NEW met4 3000 + SHAPE STRIPE ( 204020 -19070 ) ( 204020 190000 ) ;
- vdda1 ( PIN vdda1 ) + USE POWER
+ ROUTED met4 0 + SHAPE STRIPE ( 2947300 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2742520 3542000 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 2562520 3542000 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 2382520 3542000 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 2202520 3542000 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 2022520 3542000 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 1842520 3542000 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 1662520 3542000 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 1482520 3542000 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 1302520 3542000 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 1122520 3542000 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 942520 3542000 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 762520 3542000 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 582520 3542000 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 402520 3542000 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 222520 3542000 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 42520 3542000 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( -27680 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 3467880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2742520 3467880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2562520 3467880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2382520 3467880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2202520 3467880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2022520 3467880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1842520 3467880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1662520 3467880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1482520 3467880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1302520 3467880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1122520 3467880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 942520 3467880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 762520 3467880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 582520 3467880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 402520 3467880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 222520 3467880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 42520 3467880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -27680 3467880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2947300 3287880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2742520 3287880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2562520 3287880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2382520 3287880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2202520 3287880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2022520 3287880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1842520 3287880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1662520 3287880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1482520 3287880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1302520 3287880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1122520 3287880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 942520 3287880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 762520 3287880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 582520 3287880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 402520 3287880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 222520 3287880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 42520 3287880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -27680 3287880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2947300 3107880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2742520 3107880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2562520 3107880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2382520 3107880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2202520 3107880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2022520 3107880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1842520 3107880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1662520 3107880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1482520 3107880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1302520 3107880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1122520 3107880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 942520 3107880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 762520 3107880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 582520 3107880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 402520 3107880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 222520 3107880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 42520 3107880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -27680 3107880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2947300 2927880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2742520 2927880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2562520 2927880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2382520 2927880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2202520 2927880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2022520 2927880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1842520 2927880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1662520 2927880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1482520 2927880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1302520 2927880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1122520 2927880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 942520 2927880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 762520 2927880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 582520 2927880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 402520 2927880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 222520 2927880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 42520 2927880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -27680 2927880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2947300 2747880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2742520 2747880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2562520 2747880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2382520 2747880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2202520 2747880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2022520 2747880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1842520 2747880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1662520 2747880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1482520 2747880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1302520 2747880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1122520 2747880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 942520 2747880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 762520 2747880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 582520 2747880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 402520 2747880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 222520 2747880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 42520 2747880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -27680 2747880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2947300 2567880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2742520 2567880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2562520 2567880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2382520 2567880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2202520 2567880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2022520 2567880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1842520 2567880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1662520 2567880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1482520 2567880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1302520 2567880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1122520 2567880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 942520 2567880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 762520 2567880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 582520 2567880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 402520 2567880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 222520 2567880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 42520 2567880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -27680 2567880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2947300 2387880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2742520 2387880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2562520 2387880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2382520 2387880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2202520 2387880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2022520 2387880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1842520 2387880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1662520 2387880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1482520 2387880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1302520 2387880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1122520 2387880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 942520 2387880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 762520 2387880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 582520 2387880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 402520 2387880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 222520 2387880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 42520 2387880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -27680 2387880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2947300 2207880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2742520 2207880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2562520 2207880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2382520 2207880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2202520 2207880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2022520 2207880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1842520 2207880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1662520 2207880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1482520 2207880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1302520 2207880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1122520 2207880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 942520 2207880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 762520 2207880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 582520 2207880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 402520 2207880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 222520 2207880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 42520 2207880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -27680 2207880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2947300 2027880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2742520 2027880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2562520 2027880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1662520 2027880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1482520 2027880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1302520 2027880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1122520 2027880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 942520 2027880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 42520 2027880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -27680 2027880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2947300 1847880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2742520 1847880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2562520 1847880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1662520 1847880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1482520 1847880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1302520 1847880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1122520 1847880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 942520 1847880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 42520 1847880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -27680 1847880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2947300 1667880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2742520 1667880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2562520 1667880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2382520 1667880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2202520 1667880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2022520 1667880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1842520 1667880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1662520 1667880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1482520 1667880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1302520 1667880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1122520 1667880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 942520 1667880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 762520 1667880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 582520 1667880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 402520 1667880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 222520 1667880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 42520 1667880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -27680 1667880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2947300 1487880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2742520 1487880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2562520 1487880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2382520 1487880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2202520 1487880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2022520 1487880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1842520 1487880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1662520 1487880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1482520 1487880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1302520 1487880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1122520 1487880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 942520 1487880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 762520 1487880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 582520 1487880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 402520 1487880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 222520 1487880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 42520 1487880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -27680 1487880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2947300 1307880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2742520 1307880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2562520 1307880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2382520 1307880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2202520 1307880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2022520 1307880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1842520 1307880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1662520 1307880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1482520 1307880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1302520 1307880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1122520 1307880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 942520 1307880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 762520 1307880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 582520 1307880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 402520 1307880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 222520 1307880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 42520 1307880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -27680 1307880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2947300 1127880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2742520 1127880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2562520 1127880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2382520 1127880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2202520 1127880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2022520 1127880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1842520 1127880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1662520 1127880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1482520 1127880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1302520 1127880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 42520 1127880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -27680 1127880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2947300 947880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2742520 947880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2562520 947880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2382520 947880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2202520 947880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2022520 947880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1842520 947880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1662520 947880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1482520 947880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1302520 947880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 42520 947880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -27680 947880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2947300 767880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2742520 767880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2562520 767880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2382520 767880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2202520 767880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2022520 767880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1842520 767880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1662520 767880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1482520 767880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1302520 767880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 42520 767880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -27680 767880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2947300 587880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2742520 587880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2562520 587880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2382520 587880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2202520 587880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2022520 587880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1842520 587880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1662520 587880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1482520 587880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1302520 587880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 42520 587880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -27680 587880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2947300 407880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2742520 407880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2562520 407880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2382520 407880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2202520 407880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2022520 407880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1842520 407880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1662520 407880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1482520 407880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1302520 407880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 42520 407880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -27680 407880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2947300 227880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2742520 227880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2562520 227880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2382520 227880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2202520 227880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2022520 227880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1842520 227880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1662520 227880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1482520 227880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1302520 227880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 42520 227880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -27680 227880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2947300 47880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2742520 47880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2562520 47880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2382520 47880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2202520 47880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2022520 47880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1842520 47880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1662520 47880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1482520 47880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1302520 47880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1122520 47880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 942520 47880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 762520 47880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 582520 47880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 402520 47880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 222520 47880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 42520 47880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -27680 47880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2947300 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2742520 -22320 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 2562520 -22320 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 2382520 -22320 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 2202520 -22320 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 2022520 -22320 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 1842520 -22320 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 1662520 -22320 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 1482520 -22320 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 1302520 -22320 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 1122520 -22320 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 942520 -22320 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 762520 -22320 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 582520 -22320 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 402520 -22320 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 222520 -22320 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 42520 -22320 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( -27680 -22320 ) via4_3100x3100
NEW met5 3100 + SHAPE STRIPE ( -29230 3542000 ) ( 2948850 3542000 )
NEW met5 3000 + SHAPE STRIPE ( -34030 3467880 ) ( 2953650 3467880 )
NEW met5 3000 + SHAPE STRIPE ( -34030 3287880 ) ( 2953650 3287880 )
NEW met5 3000 + SHAPE STRIPE ( -34030 3107880 ) ( 2953650 3107880 )
NEW met5 3000 + SHAPE STRIPE ( -34030 2927880 ) ( 2953650 2927880 )
NEW met5 3000 + SHAPE STRIPE ( -34030 2747880 ) ( 2953650 2747880 )
NEW met5 3000 + SHAPE STRIPE ( -34030 2567880 ) ( 2953650 2567880 )
NEW met5 3000 + SHAPE STRIPE ( -34030 2387880 ) ( 2953650 2387880 )
NEW met5 3000 + SHAPE STRIPE ( -34030 2207880 ) ( 2953650 2207880 )
NEW met5 3000 + SHAPE STRIPE ( -34030 2027880 ) ( 2953650 2027880 )
NEW met5 3000 + SHAPE STRIPE ( -34030 1847880 ) ( 2953650 1847880 )
NEW met5 3000 + SHAPE STRIPE ( -34030 1667880 ) ( 2953650 1667880 )
NEW met5 3000 + SHAPE STRIPE ( -34030 1487880 ) ( 2953650 1487880 )
NEW met5 3000 + SHAPE STRIPE ( -34030 1307880 ) ( 2953650 1307880 )
NEW met5 3000 + SHAPE STRIPE ( -34030 1127880 ) ( 2953650 1127880 )
NEW met5 3000 + SHAPE STRIPE ( -34030 947880 ) ( 2953650 947880 )
NEW met5 3000 + SHAPE STRIPE ( -34030 767880 ) ( 2953650 767880 )
NEW met5 3000 + SHAPE STRIPE ( -34030 587880 ) ( 2953650 587880 )
NEW met5 3000 + SHAPE STRIPE ( -34030 407880 ) ( 2953650 407880 )
NEW met5 3000 + SHAPE STRIPE ( -34030 227880 ) ( 2953650 227880 )
NEW met5 3000 + SHAPE STRIPE ( -34030 47880 ) ( 2953650 47880 )
NEW met5 3100 + SHAPE STRIPE ( -29230 -22320 ) ( 2948850 -22320 )
NEW met4 3000 + SHAPE STRIPE ( 2742520 -28670 ) ( 2742520 3548350 )
NEW met4 3000 + SHAPE STRIPE ( 2562520 -28670 ) ( 2562520 3548350 )
NEW met4 3000 + SHAPE STRIPE ( 2382520 2126540 ) ( 2382520 3548350 )
NEW met4 3000 + SHAPE STRIPE ( 2202520 2126540 ) ( 2202520 3548350 )
NEW met4 3000 + SHAPE STRIPE ( 2022520 2126540 ) ( 2022520 3548350 )
NEW met4 3000 + SHAPE STRIPE ( 1842520 2126540 ) ( 1842520 3548350 )
NEW met4 3000 + SHAPE STRIPE ( 1662520 -28670 ) ( 1662520 3548350 )
NEW met4 3000 + SHAPE STRIPE ( 1482520 -28670 ) ( 1482520 3548350 )
NEW met4 3000 + SHAPE STRIPE ( 1302520 -28670 ) ( 1302520 3548350 )
NEW met4 3000 + SHAPE STRIPE ( 1122520 1217840 ) ( 1122520 3548350 )
NEW met4 3000 + SHAPE STRIPE ( 942520 1217840 ) ( 942520 3548350 )
NEW met4 3000 + SHAPE STRIPE ( 762520 2126540 ) ( 762520 3548350 )
NEW met4 3000 + SHAPE STRIPE ( 582520 2126540 ) ( 582520 3548350 )
NEW met4 3000 + SHAPE STRIPE ( 402520 2126540 ) ( 402520 3548350 )
NEW met4 3000 + SHAPE STRIPE ( 222520 2126540 ) ( 222520 3548350 )
NEW met4 3000 + SHAPE STRIPE ( 42520 -28670 ) ( 42520 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 2947300 -23870 ) ( 2947300 3543550 )
NEW met4 3100 + SHAPE STRIPE ( -27680 -23870 ) ( -27680 3543550 )
NEW met4 3000 + SHAPE STRIPE ( 2382520 -28670 ) ( 2382520 1690000 )
NEW met4 3000 + SHAPE STRIPE ( 2202520 -28670 ) ( 2202520 1690000 )
NEW met4 3000 + SHAPE STRIPE ( 2022520 -28670 ) ( 2022520 1690000 )
NEW met4 3000 + SHAPE STRIPE ( 1842520 -28670 ) ( 1842520 1690000 )
NEW met4 3000 + SHAPE STRIPE ( 762520 1217840 ) ( 762520 1690000 )
NEW met4 3000 + SHAPE STRIPE ( 582520 1217840 ) ( 582520 1690000 )
NEW met4 3000 + SHAPE STRIPE ( 402520 1217840 ) ( 402520 1690000 )
NEW met4 3000 + SHAPE STRIPE ( 222520 1217840 ) ( 222520 1690000 )
NEW met4 3000 + SHAPE STRIPE ( 1122520 -28670 ) ( 1122520 190000 )
NEW met4 3000 + SHAPE STRIPE ( 942520 -28670 ) ( 942520 190000 )
NEW met4 3000 + SHAPE STRIPE ( 762520 -28670 ) ( 762520 190000 )
NEW met4 3000 + SHAPE STRIPE ( 582520 -28670 ) ( 582520 190000 )
NEW met4 3000 + SHAPE STRIPE ( 402520 -28670 ) ( 402520 190000 )
NEW met4 3000 + SHAPE STRIPE ( 222520 -28670 ) ( 222520 190000 ) ;
- vdda2 ( PIN vdda2 ) + USE POWER
+ ROUTED met4 0 + SHAPE STRIPE ( 2956900 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2761020 3551600 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 2581020 3551600 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 2401020 3551600 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 2221020 3551600 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 2041020 3551600 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 1861020 3551600 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 1681020 3551600 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 1501020 3551600 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 1321020 3551600 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 1141020 3551600 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 961020 3551600 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 781020 3551600 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 601020 3551600 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 421020 3551600 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 241020 3551600 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 61020 3551600 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( -37280 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 3486380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2761020 3486380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2581020 3486380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2401020 3486380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2221020 3486380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2041020 3486380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1861020 3486380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1681020 3486380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1501020 3486380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1321020 3486380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1141020 3486380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 961020 3486380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 781020 3486380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 601020 3486380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 421020 3486380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 241020 3486380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 61020 3486380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -37280 3486380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2956900 3306380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2761020 3306380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2581020 3306380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2401020 3306380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2221020 3306380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2041020 3306380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1861020 3306380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1681020 3306380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1501020 3306380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1321020 3306380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1141020 3306380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 961020 3306380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 781020 3306380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 601020 3306380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 421020 3306380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 241020 3306380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 61020 3306380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -37280 3306380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2956900 3126380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2761020 3126380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2581020 3126380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2401020 3126380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2221020 3126380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2041020 3126380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1861020 3126380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1681020 3126380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1501020 3126380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1321020 3126380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1141020 3126380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 961020 3126380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 781020 3126380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 601020 3126380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 421020 3126380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 241020 3126380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 61020 3126380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -37280 3126380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2956900 2946380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2761020 2946380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2581020 2946380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2401020 2946380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2221020 2946380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2041020 2946380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1861020 2946380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1681020 2946380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1501020 2946380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1321020 2946380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1141020 2946380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 961020 2946380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 781020 2946380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 601020 2946380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 421020 2946380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 241020 2946380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 61020 2946380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -37280 2946380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2956900 2766380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2761020 2766380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2581020 2766380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2401020 2766380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2221020 2766380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2041020 2766380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1861020 2766380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1681020 2766380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1501020 2766380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1321020 2766380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1141020 2766380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 961020 2766380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 781020 2766380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 601020 2766380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 421020 2766380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 241020 2766380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 61020 2766380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -37280 2766380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2956900 2586380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2761020 2586380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2581020 2586380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2401020 2586380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2221020 2586380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2041020 2586380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1861020 2586380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1681020 2586380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1501020 2586380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1321020 2586380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1141020 2586380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 961020 2586380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 781020 2586380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 601020 2586380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 421020 2586380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 241020 2586380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 61020 2586380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -37280 2586380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2956900 2406380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2761020 2406380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2581020 2406380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2401020 2406380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2221020 2406380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2041020 2406380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1861020 2406380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1681020 2406380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1501020 2406380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1321020 2406380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1141020 2406380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 961020 2406380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 781020 2406380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 601020 2406380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 421020 2406380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 241020 2406380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 61020 2406380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -37280 2406380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2956900 2226380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2761020 2226380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2581020 2226380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2401020 2226380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2221020 2226380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2041020 2226380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1861020 2226380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1681020 2226380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1501020 2226380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1321020 2226380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1141020 2226380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 961020 2226380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 781020 2226380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 601020 2226380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 421020 2226380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 241020 2226380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 61020 2226380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -37280 2226380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2956900 2046380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2761020 2046380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2581020 2046380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2401020 2046380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1681020 2046380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1501020 2046380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1321020 2046380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1141020 2046380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 961020 2046380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 61020 2046380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -37280 2046380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2956900 1866380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2761020 1866380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2581020 1866380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2401020 1866380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1681020 1866380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1501020 1866380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1321020 1866380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1141020 1866380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 961020 1866380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 61020 1866380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -37280 1866380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2956900 1686380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2761020 1686380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2581020 1686380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2401020 1686380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2221020 1686380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2041020 1686380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1861020 1686380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1681020 1686380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1501020 1686380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1321020 1686380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1141020 1686380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 961020 1686380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 781020 1686380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 601020 1686380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 421020 1686380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 241020 1686380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 61020 1686380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -37280 1686380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2956900 1506380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2761020 1506380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2581020 1506380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2401020 1506380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2221020 1506380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2041020 1506380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1861020 1506380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1681020 1506380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1501020 1506380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1321020 1506380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1141020 1506380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 961020 1506380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 781020 1506380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 601020 1506380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 421020 1506380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 241020 1506380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 61020 1506380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -37280 1506380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2956900 1326380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2761020 1326380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2581020 1326380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2401020 1326380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2221020 1326380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2041020 1326380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1861020 1326380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1681020 1326380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1501020 1326380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1321020 1326380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1141020 1326380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 961020 1326380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 781020 1326380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 601020 1326380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 421020 1326380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 241020 1326380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 61020 1326380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -37280 1326380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2956900 1146380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2761020 1146380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2581020 1146380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2401020 1146380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2221020 1146380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2041020 1146380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1861020 1146380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1681020 1146380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1501020 1146380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1321020 1146380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 61020 1146380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -37280 1146380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2956900 966380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2761020 966380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2581020 966380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2401020 966380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2221020 966380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2041020 966380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1861020 966380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1681020 966380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1501020 966380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1321020 966380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 61020 966380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -37280 966380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2956900 786380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2761020 786380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2581020 786380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2401020 786380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2221020 786380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2041020 786380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1861020 786380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1681020 786380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1501020 786380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1321020 786380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 61020 786380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -37280 786380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2956900 606380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2761020 606380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2581020 606380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2401020 606380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2221020 606380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2041020 606380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1861020 606380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1681020 606380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1501020 606380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1321020 606380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 61020 606380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -37280 606380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2956900 426380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2761020 426380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2581020 426380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2401020 426380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2221020 426380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2041020 426380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1861020 426380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1681020 426380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1501020 426380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1321020 426380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 61020 426380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -37280 426380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2956900 246380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2761020 246380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2581020 246380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2401020 246380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2221020 246380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2041020 246380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1861020 246380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1681020 246380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1501020 246380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1321020 246380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 61020 246380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -37280 246380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2956900 66380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2761020 66380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2581020 66380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2401020 66380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2221020 66380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2041020 66380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1861020 66380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1681020 66380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1501020 66380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1321020 66380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1141020 66380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 961020 66380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 781020 66380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 601020 66380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 421020 66380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 241020 66380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 61020 66380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -37280 66380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2956900 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2761020 -31920 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 2581020 -31920 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 2401020 -31920 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 2221020 -31920 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 2041020 -31920 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 1861020 -31920 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 1681020 -31920 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 1501020 -31920 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 1321020 -31920 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 1141020 -31920 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 961020 -31920 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 781020 -31920 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 601020 -31920 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 421020 -31920 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 241020 -31920 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 61020 -31920 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( -37280 -31920 ) via4_3100x3100
NEW met5 3100 + SHAPE STRIPE ( -38830 3551600 ) ( 2958450 3551600 )
NEW met5 3000 + SHAPE STRIPE ( -43630 3486380 ) ( 2963250 3486380 )
NEW met5 3000 + SHAPE STRIPE ( -43630 3306380 ) ( 2963250 3306380 )
NEW met5 3000 + SHAPE STRIPE ( -43630 3126380 ) ( 2963250 3126380 )
NEW met5 3000 + SHAPE STRIPE ( -43630 2946380 ) ( 2963250 2946380 )
NEW met5 3000 + SHAPE STRIPE ( -43630 2766380 ) ( 2963250 2766380 )
NEW met5 3000 + SHAPE STRIPE ( -43630 2586380 ) ( 2963250 2586380 )
NEW met5 3000 + SHAPE STRIPE ( -43630 2406380 ) ( 2963250 2406380 )
NEW met5 3000 + SHAPE STRIPE ( -43630 2226380 ) ( 2963250 2226380 )
NEW met5 3000 + SHAPE STRIPE ( -43630 2046380 ) ( 2963250 2046380 )
NEW met5 3000 + SHAPE STRIPE ( -43630 1866380 ) ( 2963250 1866380 )
NEW met5 3000 + SHAPE STRIPE ( -43630 1686380 ) ( 2963250 1686380 )
NEW met5 3000 + SHAPE STRIPE ( -43630 1506380 ) ( 2963250 1506380 )
NEW met5 3000 + SHAPE STRIPE ( -43630 1326380 ) ( 2963250 1326380 )
NEW met5 3000 + SHAPE STRIPE ( -43630 1146380 ) ( 2963250 1146380 )
NEW met5 3000 + SHAPE STRIPE ( -43630 966380 ) ( 2963250 966380 )
NEW met5 3000 + SHAPE STRIPE ( -43630 786380 ) ( 2963250 786380 )
NEW met5 3000 + SHAPE STRIPE ( -43630 606380 ) ( 2963250 606380 )
NEW met5 3000 + SHAPE STRIPE ( -43630 426380 ) ( 2963250 426380 )
NEW met5 3000 + SHAPE STRIPE ( -43630 246380 ) ( 2963250 246380 )
NEW met5 3000 + SHAPE STRIPE ( -43630 66380 ) ( 2963250 66380 )
NEW met5 3100 + SHAPE STRIPE ( -38830 -31920 ) ( 2958450 -31920 )
NEW met4 3000 + SHAPE STRIPE ( 2761020 -38270 ) ( 2761020 3557950 )
NEW met4 3000 + SHAPE STRIPE ( 2581020 -38270 ) ( 2581020 3557950 )
NEW met4 3000 + SHAPE STRIPE ( 2401020 -38270 ) ( 2401020 3557950 )
NEW met4 3000 + SHAPE STRIPE ( 2221020 2126540 ) ( 2221020 3557950 )
NEW met4 3000 + SHAPE STRIPE ( 2041020 2126540 ) ( 2041020 3557950 )
NEW met4 3000 + SHAPE STRIPE ( 1861020 2126540 ) ( 1861020 3557950 )
NEW met4 3000 + SHAPE STRIPE ( 1681020 -38270 ) ( 1681020 3557950 )
NEW met4 3000 + SHAPE STRIPE ( 1501020 -38270 ) ( 1501020 3557950 )
NEW met4 3000 + SHAPE STRIPE ( 1321020 -38270 ) ( 1321020 3557950 )
NEW met4 3000 + SHAPE STRIPE ( 1141020 1217840 ) ( 1141020 3557950 )
NEW met4 3000 + SHAPE STRIPE ( 961020 1217840 ) ( 961020 3557950 )
NEW met4 3000 + SHAPE STRIPE ( 781020 2126540 ) ( 781020 3557950 )
NEW met4 3000 + SHAPE STRIPE ( 601020 2126540 ) ( 601020 3557950 )
NEW met4 3000 + SHAPE STRIPE ( 421020 2126540 ) ( 421020 3557950 )
NEW met4 3000 + SHAPE STRIPE ( 241020 2126540 ) ( 241020 3557950 )
NEW met4 3000 + SHAPE STRIPE ( 61020 -38270 ) ( 61020 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2956900 -33470 ) ( 2956900 3553150 )
NEW met4 3100 + SHAPE STRIPE ( -37280 -33470 ) ( -37280 3553150 )
NEW met4 3000 + SHAPE STRIPE ( 2221020 -38270 ) ( 2221020 1690000 )
NEW met4 3000 + SHAPE STRIPE ( 2041020 -38270 ) ( 2041020 1690000 )
NEW met4 3000 + SHAPE STRIPE ( 1861020 -38270 ) ( 1861020 1690000 )
NEW met4 3000 + SHAPE STRIPE ( 781020 1217840 ) ( 781020 1690000 )
NEW met4 3000 + SHAPE STRIPE ( 601020 1217840 ) ( 601020 1690000 )
NEW met4 3000 + SHAPE STRIPE ( 421020 1217840 ) ( 421020 1690000 )
NEW met4 3000 + SHAPE STRIPE ( 241020 1217840 ) ( 241020 1690000 )
NEW met4 3000 + SHAPE STRIPE ( 1141020 -38270 ) ( 1141020 190000 )
NEW met4 3000 + SHAPE STRIPE ( 961020 -38270 ) ( 961020 190000 )
NEW met4 3000 + SHAPE STRIPE ( 781020 -38270 ) ( 781020 190000 )
NEW met4 3000 + SHAPE STRIPE ( 601020 -38270 ) ( 601020 190000 )
NEW met4 3000 + SHAPE STRIPE ( 421020 -38270 ) ( 421020 190000 )
NEW met4 3000 + SHAPE STRIPE ( 241020 -38270 ) ( 241020 190000 ) ;
- vssa1 ( PIN vssa1 ) + USE GROUND
+ ROUTED met4 0 + SHAPE STRIPE ( 2952100 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2832520 3546800 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 2652520 3546800 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 2472520 3546800 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 2292520 3546800 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 2112520 3546800 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 1932520 3546800 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 1752520 3546800 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 1572520 3546800 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 1392520 3546800 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 1212520 3546800 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 1032520 3546800 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 852520 3546800 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 672520 3546800 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 492520 3546800 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 312520 3546800 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 132520 3546800 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( -32480 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 3377880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2832520 3377880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2652520 3377880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2472520 3377880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2292520 3377880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2112520 3377880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1932520 3377880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1752520 3377880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1572520 3377880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1392520 3377880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1212520 3377880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1032520 3377880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 852520 3377880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 672520 3377880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 492520 3377880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 312520 3377880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 132520 3377880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -32480 3377880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2952100 3197880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2832520 3197880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2652520 3197880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2472520 3197880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2292520 3197880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2112520 3197880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1932520 3197880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1752520 3197880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1572520 3197880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1392520 3197880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1212520 3197880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1032520 3197880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 852520 3197880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 672520 3197880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 492520 3197880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 312520 3197880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 132520 3197880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -32480 3197880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2952100 3017880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2832520 3017880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2652520 3017880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2472520 3017880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2292520 3017880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2112520 3017880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1932520 3017880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1752520 3017880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1572520 3017880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1392520 3017880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1212520 3017880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1032520 3017880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 852520 3017880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 672520 3017880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 492520 3017880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 312520 3017880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 132520 3017880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -32480 3017880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2952100 2837880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2832520 2837880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2652520 2837880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2472520 2837880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2292520 2837880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2112520 2837880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1932520 2837880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1752520 2837880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1572520 2837880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1392520 2837880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1212520 2837880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1032520 2837880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 852520 2837880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 672520 2837880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 492520 2837880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 312520 2837880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 132520 2837880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -32480 2837880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2952100 2657880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2832520 2657880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2652520 2657880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2472520 2657880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2292520 2657880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2112520 2657880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1932520 2657880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1752520 2657880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1572520 2657880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1392520 2657880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1212520 2657880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1032520 2657880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 852520 2657880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 672520 2657880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 492520 2657880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 312520 2657880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 132520 2657880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -32480 2657880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2952100 2477880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2832520 2477880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2652520 2477880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2472520 2477880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2292520 2477880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2112520 2477880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1932520 2477880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1752520 2477880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1572520 2477880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1392520 2477880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1212520 2477880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1032520 2477880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 852520 2477880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 672520 2477880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 492520 2477880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 312520 2477880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 132520 2477880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -32480 2477880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2952100 2297880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2832520 2297880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2652520 2297880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2472520 2297880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2292520 2297880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2112520 2297880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1932520 2297880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1752520 2297880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1572520 2297880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1392520 2297880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1212520 2297880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1032520 2297880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 852520 2297880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 672520 2297880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 492520 2297880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 312520 2297880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 132520 2297880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -32480 2297880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2952100 2117880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2832520 2117880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2652520 2117880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2472520 2117880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1572520 2117880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1392520 2117880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1212520 2117880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1032520 2117880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 132520 2117880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -32480 2117880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2952100 1937880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2832520 1937880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2652520 1937880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2472520 1937880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1572520 1937880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1392520 1937880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1212520 1937880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1032520 1937880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 132520 1937880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -32480 1937880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2952100 1757880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2832520 1757880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2652520 1757880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2472520 1757880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1572520 1757880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1392520 1757880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1212520 1757880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1032520 1757880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 132520 1757880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -32480 1757880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2952100 1577880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2832520 1577880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2652520 1577880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2472520 1577880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2292520 1577880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2112520 1577880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1932520 1577880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1752520 1577880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1572520 1577880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1392520 1577880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1212520 1577880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1032520 1577880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 852520 1577880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 672520 1577880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 492520 1577880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 312520 1577880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 132520 1577880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -32480 1577880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2952100 1397880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2832520 1397880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2652520 1397880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2472520 1397880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2292520 1397880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2112520 1397880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1932520 1397880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1752520 1397880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1572520 1397880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1392520 1397880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1212520 1397880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1032520 1397880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 852520 1397880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 672520 1397880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 492520 1397880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 312520 1397880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 132520 1397880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -32480 1397880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2952100 1217880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2832520 1217880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2652520 1217880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2472520 1217880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2292520 1217880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2112520 1217880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1932520 1217880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1752520 1217880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1572520 1217880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1392520 1217880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1212520 1217880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 132520 1217880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -32480 1217880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2952100 1037880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2832520 1037880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2652520 1037880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2472520 1037880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2292520 1037880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2112520 1037880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1932520 1037880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1752520 1037880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1572520 1037880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1392520 1037880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1212520 1037880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 132520 1037880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -32480 1037880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2952100 857880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2832520 857880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2652520 857880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2472520 857880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2292520 857880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2112520 857880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1932520 857880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1752520 857880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1572520 857880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1392520 857880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1212520 857880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 132520 857880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -32480 857880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2952100 677880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2832520 677880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2652520 677880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2472520 677880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2292520 677880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2112520 677880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1932520 677880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1752520 677880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1572520 677880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1392520 677880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1212520 677880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 132520 677880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -32480 677880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2952100 497880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2832520 497880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2652520 497880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2472520 497880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2292520 497880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2112520 497880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1932520 497880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1752520 497880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1572520 497880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1392520 497880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1212520 497880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 132520 497880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -32480 497880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2952100 317880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2832520 317880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2652520 317880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2472520 317880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2292520 317880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2112520 317880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1932520 317880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1752520 317880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1572520 317880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1392520 317880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1212520 317880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 132520 317880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -32480 317880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2952100 137880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2832520 137880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2652520 137880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2472520 137880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2292520 137880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2112520 137880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1932520 137880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1752520 137880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1572520 137880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1392520 137880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1212520 137880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1032520 137880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 852520 137880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 672520 137880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 492520 137880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 312520 137880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 132520 137880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -32480 137880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2952100 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2832520 -27120 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 2652520 -27120 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 2472520 -27120 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 2292520 -27120 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 2112520 -27120 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 1932520 -27120 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 1752520 -27120 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 1572520 -27120 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 1392520 -27120 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 1212520 -27120 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 1032520 -27120 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 852520 -27120 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 672520 -27120 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 492520 -27120 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 312520 -27120 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 132520 -27120 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( -32480 -27120 ) via4_3100x3100
NEW met5 3100 + SHAPE STRIPE ( -34030 3546800 ) ( 2953650 3546800 )
NEW met5 3000 + SHAPE STRIPE ( -34030 3377880 ) ( 2953650 3377880 )
NEW met5 3000 + SHAPE STRIPE ( -34030 3197880 ) ( 2953650 3197880 )
NEW met5 3000 + SHAPE STRIPE ( -34030 3017880 ) ( 2953650 3017880 )
NEW met5 3000 + SHAPE STRIPE ( -34030 2837880 ) ( 2953650 2837880 )
NEW met5 3000 + SHAPE STRIPE ( -34030 2657880 ) ( 2953650 2657880 )
NEW met5 3000 + SHAPE STRIPE ( -34030 2477880 ) ( 2953650 2477880 )
NEW met5 3000 + SHAPE STRIPE ( -34030 2297880 ) ( 2953650 2297880 )
NEW met5 3000 + SHAPE STRIPE ( -34030 2117880 ) ( 2953650 2117880 )
NEW met5 3000 + SHAPE STRIPE ( -34030 1937880 ) ( 2953650 1937880 )
NEW met5 3000 + SHAPE STRIPE ( -34030 1757880 ) ( 2953650 1757880 )
NEW met5 3000 + SHAPE STRIPE ( -34030 1577880 ) ( 2953650 1577880 )
NEW met5 3000 + SHAPE STRIPE ( -34030 1397880 ) ( 2953650 1397880 )
NEW met5 3000 + SHAPE STRIPE ( -34030 1217880 ) ( 2953650 1217880 )
NEW met5 3000 + SHAPE STRIPE ( -34030 1037880 ) ( 2953650 1037880 )
NEW met5 3000 + SHAPE STRIPE ( -34030 857880 ) ( 2953650 857880 )
NEW met5 3000 + SHAPE STRIPE ( -34030 677880 ) ( 2953650 677880 )
NEW met5 3000 + SHAPE STRIPE ( -34030 497880 ) ( 2953650 497880 )
NEW met5 3000 + SHAPE STRIPE ( -34030 317880 ) ( 2953650 317880 )
NEW met5 3000 + SHAPE STRIPE ( -34030 137880 ) ( 2953650 137880 )
NEW met5 3100 + SHAPE STRIPE ( -34030 -27120 ) ( 2953650 -27120 )
NEW met4 3100 + SHAPE STRIPE ( 2952100 -28670 ) ( 2952100 3548350 )
NEW met4 3000 + SHAPE STRIPE ( 2832520 -28670 ) ( 2832520 3548350 )
NEW met4 3000 + SHAPE STRIPE ( 2652520 -28670 ) ( 2652520 3548350 )
NEW met4 3000 + SHAPE STRIPE ( 2472520 -28670 ) ( 2472520 3548350 )
NEW met4 3000 + SHAPE STRIPE ( 2292520 2126540 ) ( 2292520 3548350 )
NEW met4 3000 + SHAPE STRIPE ( 2112520 2126540 ) ( 2112520 3548350 )
NEW met4 3000 + SHAPE STRIPE ( 1932520 2126540 ) ( 1932520 3548350 )
NEW met4 3000 + SHAPE STRIPE ( 1752520 2126540 ) ( 1752520 3548350 )
NEW met4 3000 + SHAPE STRIPE ( 1572520 -28670 ) ( 1572520 3548350 )
NEW met4 3000 + SHAPE STRIPE ( 1392520 -28670 ) ( 1392520 3548350 )
NEW met4 3000 + SHAPE STRIPE ( 1212520 -28670 ) ( 1212520 3548350 )
NEW met4 3000 + SHAPE STRIPE ( 1032520 1217840 ) ( 1032520 3548350 )
NEW met4 3000 + SHAPE STRIPE ( 852520 2126540 ) ( 852520 3548350 )
NEW met4 3000 + SHAPE STRIPE ( 672520 2126540 ) ( 672520 3548350 )
NEW met4 3000 + SHAPE STRIPE ( 492520 2126540 ) ( 492520 3548350 )
NEW met4 3000 + SHAPE STRIPE ( 312520 2126540 ) ( 312520 3548350 )
NEW met4 3000 + SHAPE STRIPE ( 132520 -28670 ) ( 132520 3548350 )
NEW met4 3100 + SHAPE STRIPE ( -32480 -28670 ) ( -32480 3548350 )
NEW met4 3000 + SHAPE STRIPE ( 2292520 -28670 ) ( 2292520 1690000 )
NEW met4 3000 + SHAPE STRIPE ( 2112520 -28670 ) ( 2112520 1690000 )
NEW met4 3000 + SHAPE STRIPE ( 1932520 -28670 ) ( 1932520 1690000 )
NEW met4 3000 + SHAPE STRIPE ( 1752520 -28670 ) ( 1752520 1690000 )
NEW met4 3000 + SHAPE STRIPE ( 852520 1217840 ) ( 852520 1690000 )
NEW met4 3000 + SHAPE STRIPE ( 672520 1217840 ) ( 672520 1690000 )
NEW met4 3000 + SHAPE STRIPE ( 492520 1217840 ) ( 492520 1690000 )
NEW met4 3000 + SHAPE STRIPE ( 312520 1217840 ) ( 312520 1690000 )
NEW met4 3000 + SHAPE STRIPE ( 1032520 -28670 ) ( 1032520 190000 )
NEW met4 3000 + SHAPE STRIPE ( 852520 -28670 ) ( 852520 190000 )
NEW met4 3000 + SHAPE STRIPE ( 672520 -28670 ) ( 672520 190000 )
NEW met4 3000 + SHAPE STRIPE ( 492520 -28670 ) ( 492520 190000 )
NEW met4 3000 + SHAPE STRIPE ( 312520 -28670 ) ( 312520 190000 ) ;
- vssa2 ( PIN vssa2 ) + USE GROUND
+ ROUTED met4 0 + SHAPE STRIPE ( 2961700 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2851020 3556400 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 2671020 3556400 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 2491020 3556400 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 2311020 3556400 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 2131020 3556400 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 1951020 3556400 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 1771020 3556400 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 1591020 3556400 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 1411020 3556400 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 1231020 3556400 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 1051020 3556400 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 871020 3556400 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 691020 3556400 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 511020 3556400 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 331020 3556400 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 151020 3556400 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( -42080 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 3396380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2851020 3396380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2671020 3396380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2491020 3396380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2311020 3396380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2131020 3396380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1951020 3396380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1771020 3396380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1591020 3396380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1411020 3396380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1231020 3396380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1051020 3396380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 871020 3396380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 691020 3396380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 511020 3396380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 331020 3396380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 151020 3396380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -42080 3396380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2961700 3216380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2851020 3216380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2671020 3216380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2491020 3216380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2311020 3216380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2131020 3216380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1951020 3216380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1771020 3216380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1591020 3216380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1411020 3216380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1231020 3216380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1051020 3216380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 871020 3216380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 691020 3216380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 511020 3216380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 331020 3216380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 151020 3216380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -42080 3216380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2961700 3036380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2851020 3036380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2671020 3036380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2491020 3036380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2311020 3036380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2131020 3036380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1951020 3036380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1771020 3036380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1591020 3036380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1411020 3036380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1231020 3036380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1051020 3036380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 871020 3036380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 691020 3036380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 511020 3036380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 331020 3036380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 151020 3036380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -42080 3036380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2961700 2856380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2851020 2856380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2671020 2856380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2491020 2856380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2311020 2856380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2131020 2856380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1951020 2856380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1771020 2856380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1591020 2856380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1411020 2856380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1231020 2856380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1051020 2856380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 871020 2856380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 691020 2856380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 511020 2856380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 331020 2856380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 151020 2856380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -42080 2856380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2961700 2676380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2851020 2676380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2671020 2676380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2491020 2676380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2311020 2676380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2131020 2676380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1951020 2676380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1771020 2676380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1591020 2676380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1411020 2676380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1231020 2676380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1051020 2676380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 871020 2676380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 691020 2676380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 511020 2676380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 331020 2676380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 151020 2676380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -42080 2676380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2961700 2496380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2851020 2496380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2671020 2496380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2491020 2496380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2311020 2496380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2131020 2496380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1951020 2496380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1771020 2496380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1591020 2496380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1411020 2496380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1231020 2496380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1051020 2496380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 871020 2496380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 691020 2496380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 511020 2496380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 331020 2496380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 151020 2496380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -42080 2496380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2961700 2316380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2851020 2316380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2671020 2316380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2491020 2316380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2311020 2316380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2131020 2316380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1951020 2316380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1771020 2316380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1591020 2316380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1411020 2316380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1231020 2316380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1051020 2316380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 871020 2316380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 691020 2316380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 511020 2316380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 331020 2316380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 151020 2316380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -42080 2316380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2961700 2136380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2851020 2136380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2671020 2136380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2491020 2136380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2311020 2136380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2131020 2136380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1951020 2136380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1771020 2136380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1591020 2136380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1411020 2136380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1231020 2136380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1051020 2136380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 871020 2136380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 691020 2136380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 511020 2136380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 331020 2136380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 151020 2136380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -42080 2136380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2961700 1956380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2851020 1956380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2671020 1956380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2491020 1956380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1591020 1956380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1411020 1956380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1231020 1956380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1051020 1956380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 151020 1956380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -42080 1956380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2961700 1776380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2851020 1776380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2671020 1776380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2491020 1776380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1591020 1776380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1411020 1776380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1231020 1776380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1051020 1776380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 151020 1776380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -42080 1776380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2961700 1596380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2851020 1596380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2671020 1596380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2491020 1596380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2311020 1596380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2131020 1596380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1951020 1596380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1771020 1596380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1591020 1596380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1411020 1596380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1231020 1596380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1051020 1596380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 871020 1596380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 691020 1596380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 511020 1596380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 331020 1596380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 151020 1596380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -42080 1596380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2961700 1416380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2851020 1416380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2671020 1416380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2491020 1416380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2311020 1416380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2131020 1416380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1951020 1416380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1771020 1416380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1591020 1416380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1411020 1416380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1231020 1416380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1051020 1416380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 871020 1416380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 691020 1416380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 511020 1416380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 331020 1416380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 151020 1416380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -42080 1416380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2961700 1236380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2851020 1236380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2671020 1236380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2491020 1236380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2311020 1236380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2131020 1236380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1951020 1236380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1771020 1236380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1591020 1236380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1411020 1236380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1231020 1236380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1051020 1236380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 871020 1236380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 691020 1236380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 511020 1236380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 331020 1236380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 151020 1236380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -42080 1236380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2961700 1056380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2851020 1056380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2671020 1056380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2491020 1056380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2311020 1056380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2131020 1056380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1951020 1056380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1771020 1056380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1591020 1056380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1411020 1056380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1231020 1056380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 151020 1056380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -42080 1056380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2961700 876380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2851020 876380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2671020 876380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2491020 876380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2311020 876380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2131020 876380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1951020 876380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1771020 876380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1591020 876380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1411020 876380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1231020 876380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 151020 876380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -42080 876380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2961700 696380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2851020 696380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2671020 696380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2491020 696380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2311020 696380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2131020 696380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1951020 696380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1771020 696380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1591020 696380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1411020 696380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1231020 696380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 151020 696380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -42080 696380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2961700 516380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2851020 516380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2671020 516380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2491020 516380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2311020 516380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2131020 516380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1951020 516380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1771020 516380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1591020 516380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1411020 516380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1231020 516380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 151020 516380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -42080 516380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2961700 336380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2851020 336380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2671020 336380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2491020 336380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2311020 336380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2131020 336380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1951020 336380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1771020 336380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1591020 336380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1411020 336380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1231020 336380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 151020 336380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -42080 336380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2961700 156380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2851020 156380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2671020 156380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2491020 156380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2311020 156380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2131020 156380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1951020 156380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1771020 156380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1591020 156380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1411020 156380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1231020 156380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1051020 156380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 871020 156380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 691020 156380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 511020 156380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 331020 156380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 151020 156380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -42080 156380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2961700 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2851020 -36720 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 2671020 -36720 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 2491020 -36720 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 2311020 -36720 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 2131020 -36720 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 1951020 -36720 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 1771020 -36720 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 1591020 -36720 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 1411020 -36720 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 1231020 -36720 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 1051020 -36720 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 871020 -36720 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 691020 -36720 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 511020 -36720 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 331020 -36720 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 151020 -36720 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( -42080 -36720 ) via4_3100x3100
NEW met5 3100 + SHAPE STRIPE ( -43630 3556400 ) ( 2963250 3556400 )
NEW met5 3000 + SHAPE STRIPE ( -43630 3396380 ) ( 2963250 3396380 )
NEW met5 3000 + SHAPE STRIPE ( -43630 3216380 ) ( 2963250 3216380 )
NEW met5 3000 + SHAPE STRIPE ( -43630 3036380 ) ( 2963250 3036380 )
NEW met5 3000 + SHAPE STRIPE ( -43630 2856380 ) ( 2963250 2856380 )
NEW met5 3000 + SHAPE STRIPE ( -43630 2676380 ) ( 2963250 2676380 )
NEW met5 3000 + SHAPE STRIPE ( -43630 2496380 ) ( 2963250 2496380 )
NEW met5 3000 + SHAPE STRIPE ( -43630 2316380 ) ( 2963250 2316380 )
NEW met5 3000 + SHAPE STRIPE ( -43630 2136380 ) ( 2963250 2136380 )
NEW met5 3000 + SHAPE STRIPE ( -43630 1956380 ) ( 2963250 1956380 )
NEW met5 3000 + SHAPE STRIPE ( -43630 1776380 ) ( 2963250 1776380 )
NEW met5 3000 + SHAPE STRIPE ( -43630 1596380 ) ( 2963250 1596380 )
NEW met5 3000 + SHAPE STRIPE ( -43630 1416380 ) ( 2963250 1416380 )
NEW met5 3000 + SHAPE STRIPE ( -43630 1236380 ) ( 2963250 1236380 )
NEW met5 3000 + SHAPE STRIPE ( -43630 1056380 ) ( 2963250 1056380 )
NEW met5 3000 + SHAPE STRIPE ( -43630 876380 ) ( 2963250 876380 )
NEW met5 3000 + SHAPE STRIPE ( -43630 696380 ) ( 2963250 696380 )
NEW met5 3000 + SHAPE STRIPE ( -43630 516380 ) ( 2963250 516380 )
NEW met5 3000 + SHAPE STRIPE ( -43630 336380 ) ( 2963250 336380 )
NEW met5 3000 + SHAPE STRIPE ( -43630 156380 ) ( 2963250 156380 )
NEW met5 3100 + SHAPE STRIPE ( -43630 -36720 ) ( 2963250 -36720 )
NEW met4 3100 + SHAPE STRIPE ( 2961700 -38270 ) ( 2961700 3557950 )
NEW met4 3000 + SHAPE STRIPE ( 2851020 -38270 ) ( 2851020 3557950 )
NEW met4 3000 + SHAPE STRIPE ( 2671020 -38270 ) ( 2671020 3557950 )
NEW met4 3000 + SHAPE STRIPE ( 2491020 -38270 ) ( 2491020 3557950 )
NEW met4 3000 + SHAPE STRIPE ( 2311020 2126540 ) ( 2311020 3557950 )
NEW met4 3000 + SHAPE STRIPE ( 2131020 2126540 ) ( 2131020 3557950 )
NEW met4 3000 + SHAPE STRIPE ( 1951020 2126540 ) ( 1951020 3557950 )
NEW met4 3000 + SHAPE STRIPE ( 1771020 2126540 ) ( 1771020 3557950 )
NEW met4 3000 + SHAPE STRIPE ( 1591020 -38270 ) ( 1591020 3557950 )
NEW met4 3000 + SHAPE STRIPE ( 1411020 -38270 ) ( 1411020 3557950 )
NEW met4 3000 + SHAPE STRIPE ( 1231020 -38270 ) ( 1231020 3557950 )
NEW met4 3000 + SHAPE STRIPE ( 1051020 1217840 ) ( 1051020 3557950 )
NEW met4 3000 + SHAPE STRIPE ( 871020 2126540 ) ( 871020 3557950 )
NEW met4 3000 + SHAPE STRIPE ( 691020 2126540 ) ( 691020 3557950 )
NEW met4 3000 + SHAPE STRIPE ( 511020 2126540 ) ( 511020 3557950 )
NEW met4 3000 + SHAPE STRIPE ( 331020 2126540 ) ( 331020 3557950 )
NEW met4 3000 + SHAPE STRIPE ( 151020 -38270 ) ( 151020 3557950 )
NEW met4 3100 + SHAPE STRIPE ( -42080 -38270 ) ( -42080 3557950 )
NEW met4 3000 + SHAPE STRIPE ( 2311020 -38270 ) ( 2311020 1690000 )
NEW met4 3000 + SHAPE STRIPE ( 2131020 -38270 ) ( 2131020 1690000 )
NEW met4 3000 + SHAPE STRIPE ( 1951020 -38270 ) ( 1951020 1690000 )
NEW met4 3000 + SHAPE STRIPE ( 1771020 -38270 ) ( 1771020 1690000 )
NEW met4 3000 + SHAPE STRIPE ( 871020 1217840 ) ( 871020 1690000 )
NEW met4 3000 + SHAPE STRIPE ( 691020 1217840 ) ( 691020 1690000 )
NEW met4 3000 + SHAPE STRIPE ( 511020 1217840 ) ( 511020 1690000 )
NEW met4 3000 + SHAPE STRIPE ( 331020 1217840 ) ( 331020 1690000 )
NEW met4 3000 + SHAPE STRIPE ( 1051020 -38270 ) ( 1051020 190000 )
NEW met4 3000 + SHAPE STRIPE ( 871020 -38270 ) ( 871020 190000 )
NEW met4 3000 + SHAPE STRIPE ( 691020 -38270 ) ( 691020 190000 )
NEW met4 3000 + SHAPE STRIPE ( 511020 -38270 ) ( 511020 190000 )
NEW met4 3000 + SHAPE STRIPE ( 331020 -38270 ) ( 331020 190000 ) ;
- vssd1 ( PIN vssd1 ) + USE GROUND
+ ROUTED met4 0 + SHAPE STRIPE ( 2380870 2080880 ) via4_1740x3000
NEW met4 0 + SHAPE STRIPE ( 1702230 2080880 ) via4_1740x3000
NEW met4 0 + SHAPE STRIPE ( 2380870 1900880 ) via4_1740x3000
NEW met4 0 + SHAPE STRIPE ( 1702230 1900880 ) via4_1740x3000
NEW met4 0 + SHAPE STRIPE ( 2380870 1720880 ) via4_1740x3000
NEW met4 0 + SHAPE STRIPE ( 1702230 1720880 ) via4_1740x3000
NEW met4 0 + SHAPE STRIPE ( 880870 2080880 ) via4_1740x3000
NEW met4 0 + SHAPE STRIPE ( 202230 2080880 ) via4_1740x3000
NEW met4 0 + SHAPE STRIPE ( 880870 1900880 ) via4_1740x3000
NEW met4 0 + SHAPE STRIPE ( 202230 1900880 ) via4_1740x3000
NEW met4 0 + SHAPE STRIPE ( 880870 1720880 ) via4_1740x3000
NEW met4 0 + SHAPE STRIPE ( 202230 1720880 ) via4_1740x3000
NEW met4 0 + SHAPE STRIPE ( 1066640 1180880 ) via4_1600x3000
NEW met4 0 + SHAPE STRIPE ( 913040 1180880 ) via4_1600x3000
NEW met4 0 + SHAPE STRIPE ( 759440 1180880 ) via4_1600x3000
NEW met4 0 + SHAPE STRIPE ( 605840 1180880 ) via4_1600x3000
NEW met4 0 + SHAPE STRIPE ( 452240 1180880 ) via4_1600x3000
NEW met4 0 + SHAPE STRIPE ( 298640 1180880 ) via4_1600x3000
NEW met4 0 + SHAPE STRIPE ( 1066640 1000880 ) via4_1600x3000
NEW met4 0 + SHAPE STRIPE ( 913040 1000880 ) via4_1600x3000
NEW met4 0 + SHAPE STRIPE ( 759440 1000880 ) via4_1600x3000
NEW met4 0 + SHAPE STRIPE ( 605840 1000880 ) via4_1600x3000
NEW met4 0 + SHAPE STRIPE ( 452240 1000880 ) via4_1600x3000
NEW met4 0 + SHAPE STRIPE ( 298640 1000880 ) via4_1600x3000
NEW met4 0 + SHAPE STRIPE ( 1066640 820880 ) via4_1600x3000
NEW met4 0 + SHAPE STRIPE ( 913040 820880 ) via4_1600x3000
NEW met4 0 + SHAPE STRIPE ( 759440 820880 ) via4_1600x3000
NEW met4 0 + SHAPE STRIPE ( 605840 820880 ) via4_1600x3000
NEW met4 0 + SHAPE STRIPE ( 452240 820880 ) via4_1600x3000
NEW met4 0 + SHAPE STRIPE ( 298640 820880 ) via4_1600x3000
NEW met4 0 + SHAPE STRIPE ( 1066640 640880 ) via4_1600x3000
NEW met4 0 + SHAPE STRIPE ( 913040 640880 ) via4_1600x3000
NEW met4 0 + SHAPE STRIPE ( 759440 640880 ) via4_1600x3000
NEW met4 0 + SHAPE STRIPE ( 605840 640880 ) via4_1600x3000
NEW met4 0 + SHAPE STRIPE ( 452240 640880 ) via4_1600x3000
NEW met4 0 + SHAPE STRIPE ( 298640 640880 ) via4_1600x3000
NEW met4 0 + SHAPE STRIPE ( 1066640 460880 ) via4_1600x3000
NEW met4 0 + SHAPE STRIPE ( 913040 460880 ) via4_1600x3000
NEW met4 0 + SHAPE STRIPE ( 759440 460880 ) via4_1600x3000
NEW met4 0 + SHAPE STRIPE ( 605840 460880 ) via4_1600x3000
NEW met4 0 + SHAPE STRIPE ( 452240 460880 ) via4_1600x3000
NEW met4 0 + SHAPE STRIPE ( 298640 460880 ) via4_1600x3000
NEW met4 0 + SHAPE STRIPE ( 1066640 280880 ) via4_1600x3000
NEW met4 0 + SHAPE STRIPE ( 913040 280880 ) via4_1600x3000
NEW met4 0 + SHAPE STRIPE ( 759440 280880 ) via4_1600x3000
NEW met4 0 + SHAPE STRIPE ( 605840 280880 ) via4_1600x3000
NEW met4 0 + SHAPE STRIPE ( 452240 280880 ) via4_1600x3000
NEW met4 0 + SHAPE STRIPE ( 298640 280880 ) via4_1600x3000
NEW met4 0 + SHAPE STRIPE ( 2932900 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2795520 3527600 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 2615520 3527600 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 2435520 3527600 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 2255520 3527600 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 2075520 3527600 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 1895520 3527600 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 1715520 3527600 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 1535520 3527600 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 1355520 3527600 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 1175520 3527600 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 995520 3527600 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 815520 3527600 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 635520 3527600 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 455520 3527600 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 275520 3527600 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 95520 3527600 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( -13280 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 3340880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2795520 3340880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2615520 3340880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2435520 3340880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2255520 3340880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2075520 3340880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1895520 3340880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1715520 3340880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1535520 3340880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1355520 3340880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1175520 3340880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 995520 3340880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 815520 3340880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 635520 3340880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 455520 3340880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 275520 3340880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 95520 3340880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -13280 3340880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2932900 3160880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2795520 3160880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2615520 3160880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2435520 3160880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2255520 3160880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2075520 3160880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1895520 3160880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1715520 3160880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1535520 3160880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1355520 3160880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1175520 3160880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 995520 3160880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 815520 3160880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 635520 3160880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 455520 3160880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 275520 3160880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 95520 3160880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -13280 3160880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2932900 2980880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2795520 2980880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2615520 2980880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2435520 2980880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2255520 2980880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2075520 2980880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1895520 2980880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1715520 2980880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1535520 2980880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1355520 2980880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1175520 2980880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 995520 2980880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 815520 2980880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 635520 2980880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 455520 2980880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 275520 2980880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 95520 2980880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -13280 2980880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2932900 2800880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2795520 2800880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2615520 2800880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2435520 2800880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2255520 2800880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2075520 2800880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1895520 2800880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1715520 2800880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1535520 2800880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1355520 2800880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1175520 2800880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 995520 2800880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 815520 2800880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 635520 2800880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 455520 2800880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 275520 2800880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 95520 2800880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -13280 2800880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2932900 2620880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2795520 2620880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2615520 2620880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2435520 2620880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2255520 2620880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2075520 2620880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1895520 2620880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1715520 2620880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1535520 2620880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1355520 2620880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1175520 2620880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 995520 2620880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 815520 2620880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 635520 2620880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 455520 2620880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 275520 2620880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 95520 2620880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -13280 2620880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2932900 2440880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2795520 2440880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2615520 2440880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2435520 2440880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2255520 2440880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2075520 2440880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1895520 2440880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1715520 2440880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1535520 2440880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1355520 2440880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1175520 2440880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 995520 2440880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 815520 2440880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 635520 2440880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 455520 2440880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 275520 2440880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 95520 2440880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -13280 2440880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2932900 2260880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2795520 2260880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2615520 2260880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2435520 2260880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2255520 2260880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2075520 2260880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1895520 2260880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1715520 2260880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1535520 2260880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1355520 2260880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1175520 2260880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 995520 2260880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 815520 2260880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 635520 2260880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 455520 2260880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 275520 2260880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 95520 2260880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -13280 2260880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2932900 2080880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2795520 2080880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2615520 2080880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2435520 2080880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1535520 2080880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1355520 2080880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1175520 2080880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 995520 2080880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 95520 2080880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -13280 2080880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2932900 1900880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2795520 1900880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2615520 1900880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2435520 1900880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1535520 1900880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1355520 1900880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1175520 1900880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 995520 1900880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 95520 1900880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -13280 1900880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2932900 1720880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2795520 1720880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2615520 1720880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2435520 1720880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1535520 1720880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1355520 1720880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1175520 1720880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 995520 1720880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 95520 1720880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -13280 1720880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2932900 1540880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2795520 1540880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2615520 1540880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2435520 1540880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2255520 1540880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2075520 1540880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1895520 1540880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1715520 1540880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1535520 1540880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1355520 1540880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1175520 1540880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 995520 1540880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 815520 1540880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 635520 1540880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 455520 1540880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 275520 1540880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 95520 1540880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -13280 1540880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2932900 1360880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2795520 1360880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2615520 1360880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2435520 1360880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2255520 1360880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2075520 1360880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1895520 1360880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1715520 1360880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1535520 1360880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1355520 1360880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1175520 1360880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 995520 1360880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 815520 1360880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 635520 1360880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 455520 1360880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 275520 1360880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 95520 1360880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -13280 1360880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2932900 1180880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2795520 1180880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2615520 1180880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2435520 1180880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2255520 1180880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2075520 1180880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1895520 1180880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1715520 1180880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1535520 1180880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1355520 1180880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 95520 1180880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -13280 1180880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2932900 1000880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2795520 1000880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2615520 1000880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2435520 1000880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2255520 1000880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2075520 1000880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1895520 1000880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1715520 1000880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1535520 1000880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1355520 1000880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 95520 1000880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -13280 1000880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2932900 820880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2795520 820880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2615520 820880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2435520 820880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2255520 820880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2075520 820880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1895520 820880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1715520 820880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1535520 820880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1355520 820880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 95520 820880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -13280 820880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2932900 640880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2795520 640880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2615520 640880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2435520 640880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2255520 640880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2075520 640880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1895520 640880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1715520 640880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1535520 640880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1355520 640880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 95520 640880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -13280 640880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2932900 460880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2795520 460880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2615520 460880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2435520 460880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2255520 460880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2075520 460880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1895520 460880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1715520 460880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1535520 460880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1355520 460880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 95520 460880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -13280 460880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2932900 280880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2795520 280880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2615520 280880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2435520 280880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2255520 280880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2075520 280880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1895520 280880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1715520 280880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1535520 280880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1355520 280880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 95520 280880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -13280 280880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2932900 100880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2795520 100880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2615520 100880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2435520 100880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2255520 100880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2075520 100880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1895520 100880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1715520 100880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1535520 100880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1355520 100880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1175520 100880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 995520 100880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 815520 100880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 635520 100880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 455520 100880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 275520 100880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 95520 100880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -13280 100880 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2932900 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2795520 -7920 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 2615520 -7920 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 2435520 -7920 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 2255520 -7920 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 2075520 -7920 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 1895520 -7920 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 1715520 -7920 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 1535520 -7920 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 1355520 -7920 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 1175520 -7920 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 995520 -7920 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 815520 -7920 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 635520 -7920 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 455520 -7920 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 275520 -7920 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 95520 -7920 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( -13280 -7920 ) via4_3100x3100
NEW met5 3100 + SHAPE STRIPE ( -14830 3527600 ) ( 2934450 3527600 )
NEW met5 3000 + SHAPE STRIPE ( -14830 3340880 ) ( 2934450 3340880 )
NEW met5 3000 + SHAPE STRIPE ( -14830 3160880 ) ( 2934450 3160880 )
NEW met5 3000 + SHAPE STRIPE ( -14830 2980880 ) ( 2934450 2980880 )
NEW met5 3000 + SHAPE STRIPE ( -14830 2800880 ) ( 2934450 2800880 )
NEW met5 3000 + SHAPE STRIPE ( -14830 2620880 ) ( 2934450 2620880 )
NEW met5 3000 + SHAPE STRIPE ( -14830 2440880 ) ( 2934450 2440880 )
NEW met5 3000 + SHAPE STRIPE ( -14830 2260880 ) ( 2934450 2260880 )
NEW met5 3000 + SHAPE STRIPE ( -14830 2080880 ) ( 2934450 2080880 )
NEW met5 3000 + SHAPE STRIPE ( -14830 1900880 ) ( 2934450 1900880 )
NEW met5 3000 + SHAPE STRIPE ( -14830 1720880 ) ( 2934450 1720880 )
NEW met5 3000 + SHAPE STRIPE ( -14830 1540880 ) ( 2934450 1540880 )
NEW met5 3000 + SHAPE STRIPE ( -14830 1360880 ) ( 2934450 1360880 )
NEW met5 3000 + SHAPE STRIPE ( -14830 1180880 ) ( 2934450 1180880 )
NEW met5 3000 + SHAPE STRIPE ( -14830 1000880 ) ( 2934450 1000880 )
NEW met5 3000 + SHAPE STRIPE ( -14830 820880 ) ( 2934450 820880 )
NEW met5 3000 + SHAPE STRIPE ( -14830 640880 ) ( 2934450 640880 )
NEW met5 3000 + SHAPE STRIPE ( -14830 460880 ) ( 2934450 460880 )
NEW met5 3000 + SHAPE STRIPE ( -14830 280880 ) ( 2934450 280880 )
NEW met5 3000 + SHAPE STRIPE ( -14830 100880 ) ( 2934450 100880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 -7920 ) ( 2934450 -7920 )
NEW met4 3100 + SHAPE STRIPE ( 2932900 -9470 ) ( 2932900 3529150 )
NEW met4 3000 + SHAPE STRIPE ( 2795520 -9470 ) ( 2795520 3529150 )
NEW met4 3000 + SHAPE STRIPE ( 2615520 -9470 ) ( 2615520 3529150 )
NEW met4 3000 + SHAPE STRIPE ( 2435520 -9470 ) ( 2435520 3529150 )
NEW met4 3000 + SHAPE STRIPE ( 2255520 2126540 ) ( 2255520 3529150 )
NEW met4 3000 + SHAPE STRIPE ( 2075520 2126540 ) ( 2075520 3529150 )
NEW met4 3000 + SHAPE STRIPE ( 1895520 2126540 ) ( 1895520 3529150 )
NEW met4 3000 + SHAPE STRIPE ( 1715520 2126540 ) ( 1715520 3529150 )
NEW met4 3000 + SHAPE STRIPE ( 1535520 -9470 ) ( 1535520 3529150 )
NEW met4 3000 + SHAPE STRIPE ( 1355520 -9470 ) ( 1355520 3529150 )
NEW met4 3000 + SHAPE STRIPE ( 1175520 1217840 ) ( 1175520 3529150 )
NEW met4 3000 + SHAPE STRIPE ( 995520 1217840 ) ( 995520 3529150 )
NEW met4 3000 + SHAPE STRIPE ( 815520 2126540 ) ( 815520 3529150 )
NEW met4 3000 + SHAPE STRIPE ( 635520 2126540 ) ( 635520 3529150 )
NEW met4 3000 + SHAPE STRIPE ( 455520 2126540 ) ( 455520 3529150 )
NEW met4 3000 + SHAPE STRIPE ( 275520 2126540 ) ( 275520 3529150 )
NEW met4 3000 + SHAPE STRIPE ( 95520 -9470 ) ( 95520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( -13280 -9470 ) ( -13280 3529150 )
NEW met4 3000 + SHAPE STRIPE ( 2255520 -9470 ) ( 2255520 1690000 )
NEW met4 3000 + SHAPE STRIPE ( 2075520 -9470 ) ( 2075520 1690000 )
NEW met4 3000 + SHAPE STRIPE ( 1895520 -9470 ) ( 1895520 1690000 )
NEW met4 3000 + SHAPE STRIPE ( 1715520 -9470 ) ( 1715520 1690000 )
NEW met4 3000 + SHAPE STRIPE ( 815520 1217840 ) ( 815520 1690000 )
NEW met4 3000 + SHAPE STRIPE ( 635520 1217840 ) ( 635520 1690000 )
NEW met4 3000 + SHAPE STRIPE ( 455520 1217840 ) ( 455520 1690000 )
NEW met4 3000 + SHAPE STRIPE ( 275520 1217840 ) ( 275520 1690000 )
NEW met4 3000 + SHAPE STRIPE ( 1175520 -9470 ) ( 1175520 190000 )
NEW met4 3000 + SHAPE STRIPE ( 995520 -9470 ) ( 995520 190000 )
NEW met4 3000 + SHAPE STRIPE ( 815520 -9470 ) ( 815520 190000 )
NEW met4 3000 + SHAPE STRIPE ( 635520 -9470 ) ( 635520 190000 )
NEW met4 3000 + SHAPE STRIPE ( 455520 -9470 ) ( 455520 190000 )
NEW met4 3000 + SHAPE STRIPE ( 275520 -9470 ) ( 275520 190000 ) ;
- vssd2 ( PIN vssd2 ) + USE GROUND
+ ROUTED met4 0 + SHAPE STRIPE ( 2942500 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2814020 3537200 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 2634020 3537200 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 2454020 3537200 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 2274020 3537200 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 2094020 3537200 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 1914020 3537200 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 1734020 3537200 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 1554020 3537200 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 1374020 3537200 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 1194020 3537200 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 1014020 3537200 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 834020 3537200 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 654020 3537200 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 474020 3537200 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 294020 3537200 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 114020 3537200 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( -22880 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 3359380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2814020 3359380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2634020 3359380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2454020 3359380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2274020 3359380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2094020 3359380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1914020 3359380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1734020 3359380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1554020 3359380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1374020 3359380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1194020 3359380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1014020 3359380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 834020 3359380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 654020 3359380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 474020 3359380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 294020 3359380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 114020 3359380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -22880 3359380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2942500 3179380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2814020 3179380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2634020 3179380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2454020 3179380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2274020 3179380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2094020 3179380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1914020 3179380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1734020 3179380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1554020 3179380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1374020 3179380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1194020 3179380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1014020 3179380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 834020 3179380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 654020 3179380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 474020 3179380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 294020 3179380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 114020 3179380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -22880 3179380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2942500 2999380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2814020 2999380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2634020 2999380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2454020 2999380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2274020 2999380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2094020 2999380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1914020 2999380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1734020 2999380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1554020 2999380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1374020 2999380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1194020 2999380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1014020 2999380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 834020 2999380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 654020 2999380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 474020 2999380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 294020 2999380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 114020 2999380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -22880 2999380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2942500 2819380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2814020 2819380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2634020 2819380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2454020 2819380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2274020 2819380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2094020 2819380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1914020 2819380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1734020 2819380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1554020 2819380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1374020 2819380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1194020 2819380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1014020 2819380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 834020 2819380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 654020 2819380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 474020 2819380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 294020 2819380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 114020 2819380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -22880 2819380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2942500 2639380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2814020 2639380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2634020 2639380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2454020 2639380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2274020 2639380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2094020 2639380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1914020 2639380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1734020 2639380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1554020 2639380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1374020 2639380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1194020 2639380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1014020 2639380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 834020 2639380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 654020 2639380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 474020 2639380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 294020 2639380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 114020 2639380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -22880 2639380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2942500 2459380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2814020 2459380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2634020 2459380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2454020 2459380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2274020 2459380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2094020 2459380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1914020 2459380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1734020 2459380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1554020 2459380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1374020 2459380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1194020 2459380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1014020 2459380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 834020 2459380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 654020 2459380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 474020 2459380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 294020 2459380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 114020 2459380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -22880 2459380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2942500 2279380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2814020 2279380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2634020 2279380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2454020 2279380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2274020 2279380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2094020 2279380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1914020 2279380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1734020 2279380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1554020 2279380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1374020 2279380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1194020 2279380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1014020 2279380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 834020 2279380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 654020 2279380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 474020 2279380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 294020 2279380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 114020 2279380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -22880 2279380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2942500 2099380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2814020 2099380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2634020 2099380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2454020 2099380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1554020 2099380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1374020 2099380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1194020 2099380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1014020 2099380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 114020 2099380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -22880 2099380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2942500 1919380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2814020 1919380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2634020 1919380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2454020 1919380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1554020 1919380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1374020 1919380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1194020 1919380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1014020 1919380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 114020 1919380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -22880 1919380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2942500 1739380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2814020 1739380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2634020 1739380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2454020 1739380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1554020 1739380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1374020 1739380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1194020 1739380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1014020 1739380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 114020 1739380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -22880 1739380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2942500 1559380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2814020 1559380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2634020 1559380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2454020 1559380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2274020 1559380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2094020 1559380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1914020 1559380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1734020 1559380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1554020 1559380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1374020 1559380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1194020 1559380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1014020 1559380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 834020 1559380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 654020 1559380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 474020 1559380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 294020 1559380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 114020 1559380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -22880 1559380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2942500 1379380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2814020 1379380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2634020 1379380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2454020 1379380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2274020 1379380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2094020 1379380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1914020 1379380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1734020 1379380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1554020 1379380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1374020 1379380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1194020 1379380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1014020 1379380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 834020 1379380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 654020 1379380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 474020 1379380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 294020 1379380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 114020 1379380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -22880 1379380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2942500 1199380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2814020 1199380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2634020 1199380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2454020 1199380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2274020 1199380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2094020 1199380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1914020 1199380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1734020 1199380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1554020 1199380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1374020 1199380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 114020 1199380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -22880 1199380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2942500 1019380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2814020 1019380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2634020 1019380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2454020 1019380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2274020 1019380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2094020 1019380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1914020 1019380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1734020 1019380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1554020 1019380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1374020 1019380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 114020 1019380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -22880 1019380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2942500 839380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2814020 839380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2634020 839380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2454020 839380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2274020 839380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2094020 839380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1914020 839380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1734020 839380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1554020 839380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1374020 839380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 114020 839380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -22880 839380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2942500 659380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2814020 659380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2634020 659380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2454020 659380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2274020 659380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2094020 659380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1914020 659380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1734020 659380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1554020 659380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1374020 659380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 114020 659380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -22880 659380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2942500 479380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2814020 479380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2634020 479380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2454020 479380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2274020 479380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2094020 479380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1914020 479380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1734020 479380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1554020 479380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1374020 479380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 114020 479380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -22880 479380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2942500 299380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2814020 299380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2634020 299380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2454020 299380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2274020 299380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2094020 299380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1914020 299380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1734020 299380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1554020 299380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1374020 299380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 114020 299380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -22880 299380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2942500 119380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2814020 119380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2634020 119380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2454020 119380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2274020 119380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2094020 119380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1914020 119380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1734020 119380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1554020 119380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1374020 119380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1194020 119380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1014020 119380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 834020 119380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 654020 119380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 474020 119380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 294020 119380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 114020 119380 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -22880 119380 ) via4_3100x3000
NEW met4 0 + SHAPE STRIPE ( 2942500 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2814020 -17520 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 2634020 -17520 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 2454020 -17520 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 2274020 -17520 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 2094020 -17520 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 1914020 -17520 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 1734020 -17520 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 1554020 -17520 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 1374020 -17520 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 1194020 -17520 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 1014020 -17520 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 834020 -17520 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 654020 -17520 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 474020 -17520 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 294020 -17520 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( 114020 -17520 ) via4_3000x3100
NEW met4 0 + SHAPE STRIPE ( -22880 -17520 ) via4_3100x3100
NEW met5 3100 + SHAPE STRIPE ( -24430 3537200 ) ( 2944050 3537200 )
NEW met5 3000 + SHAPE STRIPE ( -24430 3359380 ) ( 2944050 3359380 )
NEW met5 3000 + SHAPE STRIPE ( -24430 3179380 ) ( 2944050 3179380 )
NEW met5 3000 + SHAPE STRIPE ( -24430 2999380 ) ( 2944050 2999380 )
NEW met5 3000 + SHAPE STRIPE ( -24430 2819380 ) ( 2944050 2819380 )
NEW met5 3000 + SHAPE STRIPE ( -24430 2639380 ) ( 2944050 2639380 )
NEW met5 3000 + SHAPE STRIPE ( -24430 2459380 ) ( 2944050 2459380 )
NEW met5 3000 + SHAPE STRIPE ( -24430 2279380 ) ( 2944050 2279380 )
NEW met5 3000 + SHAPE STRIPE ( -24430 2099380 ) ( 2944050 2099380 )
NEW met5 3000 + SHAPE STRIPE ( -24430 1919380 ) ( 2944050 1919380 )
NEW met5 3000 + SHAPE STRIPE ( -24430 1739380 ) ( 2944050 1739380 )
NEW met5 3000 + SHAPE STRIPE ( -24430 1559380 ) ( 2944050 1559380 )
NEW met5 3000 + SHAPE STRIPE ( -24430 1379380 ) ( 2944050 1379380 )
NEW met5 3000 + SHAPE STRIPE ( -24430 1199380 ) ( 2944050 1199380 )
NEW met5 3000 + SHAPE STRIPE ( -24430 1019380 ) ( 2944050 1019380 )
NEW met5 3000 + SHAPE STRIPE ( -24430 839380 ) ( 2944050 839380 )
NEW met5 3000 + SHAPE STRIPE ( -24430 659380 ) ( 2944050 659380 )
NEW met5 3000 + SHAPE STRIPE ( -24430 479380 ) ( 2944050 479380 )
NEW met5 3000 + SHAPE STRIPE ( -24430 299380 ) ( 2944050 299380 )
NEW met5 3000 + SHAPE STRIPE ( -24430 119380 ) ( 2944050 119380 )
NEW met5 3100 + SHAPE STRIPE ( -24430 -17520 ) ( 2944050 -17520 )
NEW met4 3100 + SHAPE STRIPE ( 2942500 -19070 ) ( 2942500 3538750 )
NEW met4 3000 + SHAPE STRIPE ( 2814020 -19070 ) ( 2814020 3538750 )
NEW met4 3000 + SHAPE STRIPE ( 2634020 -19070 ) ( 2634020 3538750 )
NEW met4 3000 + SHAPE STRIPE ( 2454020 -19070 ) ( 2454020 3538750 )
NEW met4 3000 + SHAPE STRIPE ( 2274020 2126540 ) ( 2274020 3538750 )
NEW met4 3000 + SHAPE STRIPE ( 2094020 2126540 ) ( 2094020 3538750 )
NEW met4 3000 + SHAPE STRIPE ( 1914020 2126540 ) ( 1914020 3538750 )
NEW met4 3000 + SHAPE STRIPE ( 1734020 2126540 ) ( 1734020 3538750 )
NEW met4 3000 + SHAPE STRIPE ( 1554020 -19070 ) ( 1554020 3538750 )
NEW met4 3000 + SHAPE STRIPE ( 1374020 -19070 ) ( 1374020 3538750 )
NEW met4 3000 + SHAPE STRIPE ( 1194020 1217840 ) ( 1194020 3538750 )
NEW met4 3000 + SHAPE STRIPE ( 1014020 1217840 ) ( 1014020 3538750 )
NEW met4 3000 + SHAPE STRIPE ( 834020 2126540 ) ( 834020 3538750 )
NEW met4 3000 + SHAPE STRIPE ( 654020 2126540 ) ( 654020 3538750 )
NEW met4 3000 + SHAPE STRIPE ( 474020 2126540 ) ( 474020 3538750 )
NEW met4 3000 + SHAPE STRIPE ( 294020 2126540 ) ( 294020 3538750 )
NEW met4 3000 + SHAPE STRIPE ( 114020 -19070 ) ( 114020 3538750 )
NEW met4 3100 + SHAPE STRIPE ( -22880 -19070 ) ( -22880 3538750 )
NEW met4 3000 + SHAPE STRIPE ( 2274020 -19070 ) ( 2274020 1690000 )
NEW met4 3000 + SHAPE STRIPE ( 2094020 -19070 ) ( 2094020 1690000 )
NEW met4 3000 + SHAPE STRIPE ( 1914020 -19070 ) ( 1914020 1690000 )
NEW met4 3000 + SHAPE STRIPE ( 1734020 -19070 ) ( 1734020 1690000 )
NEW met4 3000 + SHAPE STRIPE ( 834020 1217840 ) ( 834020 1690000 )
NEW met4 3000 + SHAPE STRIPE ( 654020 1217840 ) ( 654020 1690000 )
NEW met4 3000 + SHAPE STRIPE ( 474020 1217840 ) ( 474020 1690000 )
NEW met4 3000 + SHAPE STRIPE ( 294020 1217840 ) ( 294020 1690000 )
NEW met4 3000 + SHAPE STRIPE ( 1194020 -19070 ) ( 1194020 190000 )
NEW met4 3000 + SHAPE STRIPE ( 1014020 -19070 ) ( 1014020 190000 )
NEW met4 3000 + SHAPE STRIPE ( 834020 -19070 ) ( 834020 190000 )
NEW met4 3000 + SHAPE STRIPE ( 654020 -19070 ) ( 654020 190000 )
NEW met4 3000 + SHAPE STRIPE ( 474020 -19070 ) ( 474020 190000 )
NEW met4 3000 + SHAPE STRIPE ( 294020 -19070 ) ( 294020 190000 ) ;
END SPECIALNETS
NETS 540 ;
- analog_io[0] ( PIN analog_io[0] ) + USE SIGNAL ;
- analog_io[10] ( PIN analog_io[10] ) + USE SIGNAL ;
- analog_io[11] ( PIN analog_io[11] ) + USE SIGNAL ;
- analog_io[12] ( PIN analog_io[12] ) + USE SIGNAL ;
- analog_io[13] ( PIN analog_io[13] ) + USE SIGNAL ;
- analog_io[14] ( PIN analog_io[14] ) + USE SIGNAL ;
- analog_io[15] ( PIN analog_io[15] ) + USE SIGNAL ;
- analog_io[16] ( PIN analog_io[16] ) + USE SIGNAL ;
- analog_io[17] ( PIN analog_io[17] ) + USE SIGNAL ;
- analog_io[18] ( PIN analog_io[18] ) + USE SIGNAL ;
- analog_io[19] ( PIN analog_io[19] ) + USE SIGNAL ;
- analog_io[1] ( PIN analog_io[1] ) + USE SIGNAL ;
- analog_io[20] ( PIN analog_io[20] ) + USE SIGNAL ;
- analog_io[21] ( PIN analog_io[21] ) + USE SIGNAL ;
- analog_io[22] ( PIN analog_io[22] ) + USE SIGNAL ;
- analog_io[23] ( PIN analog_io[23] ) + USE SIGNAL ;
- analog_io[24] ( PIN analog_io[24] ) + USE SIGNAL ;
- analog_io[25] ( PIN analog_io[25] ) + USE SIGNAL ;
- analog_io[26] ( PIN analog_io[26] ) + USE SIGNAL ;
- analog_io[27] ( PIN analog_io[27] ) + USE SIGNAL ;
- analog_io[28] ( PIN analog_io[28] ) + USE SIGNAL ;
- analog_io[2] ( PIN analog_io[2] ) + USE SIGNAL ;
- analog_io[3] ( PIN analog_io[3] ) + USE SIGNAL ;
- analog_io[4] ( PIN analog_io[4] ) + USE SIGNAL ;
- analog_io[5] ( PIN analog_io[5] ) + USE SIGNAL ;
- analog_io[6] ( PIN analog_io[6] ) + USE SIGNAL ;
- analog_io[7] ( PIN analog_io[7] ) + USE SIGNAL ;
- analog_io[8] ( PIN analog_io[8] ) + USE SIGNAL ;
- analog_io[9] ( PIN analog_io[9] ) + USE SIGNAL ;
- i_dout0\[0\] ( sram dout0[0] ) ( mprj i_dout0[0] ) + USE SIGNAL
+ ROUTED met2 ( 993830 192780 ) ( * 200260 0 )
NEW met3 ( 238740 192780 ) ( 993830 * )
NEW met4 ( 238740 192780 ) ( * 1688780 )
NEW met3 ( 238740 1688780 ) ( 324300 * )
NEW met3 ( 324300 1688780 ) ( * 1689460 )
NEW met3 ( 324300 1689460 ) ( 341780 * )
NEW met4 ( 341780 1689460 ) ( * 1698300 )
NEW met4 ( 341630 1698300 ) ( 341780 * )
NEW met4 ( 341630 1698300 ) ( * 1700000 0 )
NEW met2 ( 993830 192780 ) M2M3_PR_M
NEW met3 ( 238740 192780 ) M3M4_PR_M
NEW met3 ( 238740 1688780 ) M3M4_PR_M
NEW met3 ( 341780 1689460 ) M3M4_PR_M ;
- i_dout0\[10\] ( sram dout0[10] ) ( mprj i_dout0[10] ) + USE SIGNAL
+ ROUTED met3 ( 185150 715020 ) ( 201020 * 0 )
NEW met2 ( 185150 715020 ) ( * 1279930 )
NEW met1 ( 185150 1279930 ) ( 462530 * )
NEW met3 ( 462530 1688100 ) ( 467820 * )
NEW met4 ( 467820 1688100 ) ( * 1700530 )
NEW met4 ( 467820 1700530 ) ( 468110 * 0 )
NEW met2 ( 462530 1279930 ) ( * 1688100 )
NEW met1 ( 185150 1279930 ) M1M2_PR
NEW met2 ( 185150 715020 ) M2M3_PR_M
NEW met1 ( 462530 1279930 ) M1M2_PR
NEW met2 ( 462530 1688100 ) M2M3_PR_M
NEW met3 ( 467820 1688100 ) M3M4_PR_M ;
- i_dout0\[11\] ( sram dout0[11] ) ( mprj i_dout0[11] ) + USE SIGNAL
+ ROUTED met2 ( 482770 1682150 ) ( * 1684020 )
NEW met3 ( 480700 1684020 ) ( 482770 * )
NEW met4 ( 480700 1684020 ) ( * 1698300 )
NEW met4 ( 480350 1698300 ) ( 480700 * )
NEW met4 ( 480350 1698300 ) ( * 1700000 0 )
NEW met2 ( 865950 1221110 ) ( * 1682150 )
NEW met1 ( 482770 1682150 ) ( 865950 * )
NEW met2 ( 1030630 1207340 0 ) ( * 1220770 )
NEW met1 ( 1011310 1220770 ) ( 1030630 * )
NEW met1 ( 1011310 1220770 ) ( * 1221110 )
NEW met1 ( 865950 1221110 ) ( 1011310 * )
NEW met1 ( 482770 1682150 ) M1M2_PR
NEW met2 ( 482770 1684020 ) M2M3_PR_M
NEW met3 ( 480700 1684020 ) M3M4_PR_M
NEW met1 ( 865950 1221110 ) M1M2_PR
NEW met1 ( 865950 1682150 ) M1M2_PR
NEW met1 ( 1030630 1220770 ) M1M2_PR ;
- i_dout0\[12\] ( sram dout0[12] ) ( mprj i_dout0[12] ) + USE SIGNAL
+ ROUTED met2 ( 496570 1682490 ) ( * 1684020 )
NEW met3 ( 494500 1684020 ) ( 496570 * )
NEW met4 ( 494500 1684020 ) ( * 1698300 )
NEW met4 ( 492590 1698300 ) ( 494500 * )
NEW met4 ( 492590 1698300 ) ( * 1700000 0 )
NEW met2 ( 859050 1220770 ) ( * 1682490 )
NEW met1 ( 496570 1682490 ) ( 859050 * )
NEW met2 ( 1037070 1207340 0 ) ( * 1219410 )
NEW met1 ( 1010850 1219410 ) ( 1037070 * )
NEW li1 ( 1010850 1219410 ) ( * 1220770 )
NEW met1 ( 859050 1220770 ) ( 1010850 * )
NEW met1 ( 496570 1682490 ) M1M2_PR
NEW met2 ( 496570 1684020 ) M2M3_PR_M
NEW met3 ( 494500 1684020 ) M3M4_PR_M
NEW met1 ( 859050 1220770 ) M1M2_PR
NEW met1 ( 859050 1682490 ) M1M2_PR
NEW met1 ( 1037070 1219410 ) M1M2_PR
NEW li1 ( 1010850 1219410 ) L1M1_PR_MR
NEW li1 ( 1010850 1220770 ) L1M1_PR_MR ;
- i_dout0\[13\] ( sram dout0[13] ) ( mprj i_dout0[13] ) + USE SIGNAL
+ ROUTED met3 ( 184690 780300 ) ( 201020 * 0 )
NEW met2 ( 184690 780300 ) ( * 1252730 )
NEW met3 ( 503930 1688100 ) ( 504620 * )
NEW met4 ( 504620 1688100 ) ( * 1698300 )
NEW met4 ( 504620 1698300 ) ( 504830 * )
NEW met4 ( 504830 1698300 ) ( * 1700000 0 )
NEW met2 ( 503930 1252730 ) ( * 1688100 )
NEW met1 ( 184690 1252730 ) ( 503930 * )
NEW met2 ( 184690 780300 ) M2M3_PR_M
NEW met1 ( 184690 1252730 ) M1M2_PR
NEW met1 ( 503930 1252730 ) M1M2_PR
NEW met2 ( 503930 1688100 ) M2M3_PR_M
NEW met3 ( 504620 1688100 ) M3M4_PR_M ;
- i_dout0\[14\] ( sram dout0[14] ) ( mprj i_dout0[14] ) + USE SIGNAL
+ ROUTED met4 ( 516580 1684020 ) ( * 1698300 )
NEW met3 ( 1196460 690540 0 ) ( 1202210 * )
NEW met1 ( 524170 1246270 ) ( 1202210 * )
NEW met4 ( 516580 1684020 ) ( 517500 * )
NEW met3 ( 517500 1684020 ) ( 524170 * )
NEW met4 ( 517750 1698300 ) ( * 1700000 0 )
NEW met4 ( 516580 1698300 ) ( 517750 * )
NEW met2 ( 524170 1246270 ) ( * 1684020 )
NEW met2 ( 1202210 690540 ) ( * 1246270 )
NEW met1 ( 524170 1246270 ) M1M2_PR
NEW met2 ( 1202210 690540 ) M2M3_PR_M
NEW met1 ( 1202210 1246270 ) M1M2_PR
NEW met3 ( 517500 1684020 ) M3M4_PR_M
NEW met2 ( 524170 1684020 ) M2M3_PR_M ;
- i_dout0\[15\] ( sram dout0[15] ) ( mprj i_dout0[15] ) + USE SIGNAL
+ ROUTED met3 ( 1196460 770100 0 ) ( 1202670 * )
NEW met1 ( 531070 1253410 ) ( 1202670 * )
NEW met3 ( 530380 1688100 ) ( 531070 * )
NEW met4 ( 530380 1688100 ) ( * 1698300 )
NEW met4 ( 529990 1698300 ) ( 530380 * )
NEW met4 ( 529990 1698300 ) ( * 1700000 0 )
NEW met2 ( 531070 1253410 ) ( * 1688100 )
NEW met2 ( 1202670 770100 ) ( * 1253410 )
NEW met1 ( 531070 1253410 ) M1M2_PR
NEW met2 ( 1202670 770100 ) M2M3_PR_M
NEW met1 ( 1202670 1253410 ) M1M2_PR
NEW met2 ( 531070 1688100 ) M2M3_PR_M
NEW met3 ( 530380 1688100 ) M3M4_PR_M ;
- i_dout0\[16\] ( sram dout0[16] ) ( mprj i_dout0[16] ) + USE SIGNAL
+ ROUTED met3 ( 184230 824500 ) ( 201020 * 0 )
NEW met2 ( 184230 824500 ) ( * 1233690 )
NEW met1 ( 184230 1233690 ) ( 538430 * )
NEW met3 ( 538430 1688100 ) ( 541420 * )
NEW met4 ( 541420 1688100 ) ( * 1698300 )
NEW met4 ( 541420 1698300 ) ( 541550 * )
NEW met4 ( 541550 1698300 ) ( * 1700000 0 )
NEW met2 ( 538430 1233690 ) ( * 1688100 )
NEW met2 ( 184230 824500 ) M2M3_PR_M
NEW met1 ( 184230 1233690 ) M1M2_PR
NEW met1 ( 538430 1233690 ) M1M2_PR
NEW met2 ( 538430 1688100 ) M2M3_PR_M
NEW met3 ( 541420 1688100 ) M3M4_PR_M ;
- i_dout0\[17\] ( sram dout0[17] ) ( mprj i_dout0[17] ) + USE SIGNAL
+ ROUTED met3 ( 555220 1688100 ) ( 558670 * )
NEW met4 ( 555220 1688100 ) ( * 1698300 )
NEW met4 ( 555150 1698300 ) ( 555220 * )
NEW met4 ( 555150 1698300 ) ( * 1700000 0 )
NEW met2 ( 558670 1234030 ) ( * 1688100 )
NEW met3 ( 1196460 823140 0 ) ( 1203130 * )
NEW met1 ( 558670 1234030 ) ( 1203130 * )
NEW met2 ( 1203130 823140 ) ( * 1234030 )
NEW met1 ( 558670 1234030 ) M1M2_PR
NEW met2 ( 558670 1688100 ) M2M3_PR_M
NEW met3 ( 555220 1688100 ) M3M4_PR_M
NEW met2 ( 1203130 823140 ) M2M3_PR_M
NEW met1 ( 1203130 1234030 ) M1M2_PR ;
- i_dout0\[18\] ( sram dout0[18] ) ( mprj i_dout0[18] ) + USE SIGNAL
+ ROUTED met2 ( 190210 866830 ) ( * 868020 )
NEW met3 ( 190210 868020 ) ( 201020 * 0 )
NEW met3 ( 566030 1688100 ) ( 567180 * )
NEW met4 ( 567180 1688100 ) ( * 1698300 )
NEW met4 ( 567180 1698300 ) ( 567390 * )
NEW met4 ( 567390 1698300 ) ( * 1700000 0 )
NEW met2 ( 566030 1260550 ) ( * 1688100 )
NEW met1 ( 177330 866830 ) ( 190210 * )
NEW met1 ( 177330 1260550 ) ( 566030 * )
NEW met2 ( 177330 866830 ) ( * 1260550 )
NEW met1 ( 190210 866830 ) M1M2_PR
NEW met2 ( 190210 868020 ) M2M3_PR_M
NEW met1 ( 566030 1260550 ) M1M2_PR
NEW met2 ( 566030 1688100 ) M2M3_PR_M
NEW met3 ( 567180 1688100 ) M3M4_PR_M
NEW met1 ( 177330 866830 ) M1M2_PR
NEW met1 ( 177330 1260550 ) M1M2_PR ;
- i_dout0\[19\] ( sram dout0[19] ) ( mprj i_dout0[19] ) + USE SIGNAL
+ ROUTED met2 ( 586270 1681130 ) ( * 1686060 )
NEW met3 ( 580060 1686060 ) ( 586270 * )
NEW met4 ( 580060 1686060 ) ( * 1698300 )
NEW met4 ( 579630 1698300 ) ( 580060 * )
NEW met4 ( 579630 1698300 ) ( * 1700000 0 )
NEW met2 ( 1093650 1207340 0 ) ( * 1219070 )
NEW met1 ( 1072950 1219070 ) ( 1093650 * )
NEW met2 ( 1072950 1219070 ) ( * 1681130 )
NEW met1 ( 586270 1681130 ) ( 1072950 * )
NEW met1 ( 586270 1681130 ) M1M2_PR
NEW met2 ( 586270 1686060 ) M2M3_PR_M
NEW met3 ( 580060 1686060 ) M3M4_PR_M
NEW met1 ( 1093650 1219070 ) M1M2_PR
NEW met1 ( 1072950 1219070 ) M1M2_PR
NEW met1 ( 1072950 1681130 ) M1M2_PR ;
- i_dout0\[1\] ( sram dout0[1] ) ( mprj i_dout0[1] ) + USE SIGNAL
+ ROUTED met2 ( 190210 276420 ) ( * 282710 )
NEW met3 ( 190210 276420 ) ( 201020 * 0 )
NEW met1 ( 175490 282710 ) ( 190210 * )
NEW met2 ( 175490 282710 ) ( * 1231650 )
NEW met1 ( 175490 1231650 ) ( 352590 * )
NEW met3 ( 352590 1688100 ) ( 353740 * )
NEW met4 ( 353740 1688100 ) ( * 1698300 )
NEW met4 ( 353740 1698300 ) ( 353870 * )
NEW met4 ( 353870 1698300 ) ( * 1700000 0 )
NEW met2 ( 352590 1231650 ) ( * 1688100 )
NEW met1 ( 190210 282710 ) M1M2_PR
NEW met2 ( 190210 276420 ) M2M3_PR_M
NEW met1 ( 175490 282710 ) M1M2_PR
NEW met1 ( 175490 1231650 ) M1M2_PR
NEW met1 ( 352590 1231650 ) M1M2_PR
NEW met2 ( 352590 1688100 ) M2M3_PR_M
NEW met3 ( 353740 1688100 ) M3M4_PR_M ;
- i_dout0\[20\] ( sram dout0[20] ) ( mprj i_dout0[20] ) + USE SIGNAL
+ ROUTED met3 ( 592940 1688100 ) ( 593170 * )
NEW met4 ( 592940 1688100 ) ( * 1698300 )
NEW met4 ( 592550 1698300 ) ( 592940 * )
NEW met4 ( 592550 1698300 ) ( * 1700000 0 )
NEW met2 ( 593170 1267690 ) ( * 1688100 )
NEW met1 ( 593170 1267690 ) ( 1104230 * )
NEW met2 ( 1104230 1207340 ) ( 1106070 * 0 )
NEW met2 ( 1104230 1207340 ) ( * 1267690 )
NEW met1 ( 593170 1267690 ) M1M2_PR
NEW met2 ( 593170 1688100 ) M2M3_PR_M
NEW met3 ( 592940 1688100 ) M3M4_PR_M
NEW met1 ( 1104230 1267690 ) M1M2_PR
NEW met3 ( 593170 1688100 ) RECT ( 0 -150 390 150 ) ;
- i_dout0\[21\] ( sram dout0[21] ) ( mprj i_dout0[21] ) + USE SIGNAL
+ ROUTED met3 ( 604900 1688100 ) ( 606970 * )
NEW met4 ( 604900 1688100 ) ( * 1698300 )
NEW met4 ( 604790 1698300 ) ( 604900 * )
NEW met4 ( 604790 1698300 ) ( * 1700000 0 )
NEW met2 ( 606970 1246610 ) ( * 1688100 )
NEW met2 ( 1136430 192270 ) ( * 200260 0 )
NEW met1 ( 1136430 192270 ) ( 1200830 * )
NEW met1 ( 606970 1246610 ) ( 1200830 * )
NEW met2 ( 1200830 192270 ) ( * 1246610 )
NEW met1 ( 606970 1246610 ) M1M2_PR
NEW met2 ( 606970 1688100 ) M2M3_PR_M
NEW met3 ( 604900 1688100 ) M3M4_PR_M
NEW met1 ( 1136430 192270 ) M1M2_PR
NEW met1 ( 1200830 192270 ) M1M2_PR
NEW met1 ( 1200830 1246610 ) M1M2_PR ;
- i_dout0\[22\] ( sram dout0[22] ) ( mprj i_dout0[22] ) + USE SIGNAL
+ ROUTED met3 ( 183770 999260 ) ( 201020 * 0 )
NEW met2 ( 183770 999260 ) ( * 1247970 )
NEW met1 ( 183770 1247970 ) ( 614330 * )
NEW met3 ( 614330 1688100 ) ( 617780 * )
NEW met4 ( 617780 1688100 ) ( * 1698300 )
NEW met4 ( 617710 1698300 ) ( 617780 * )
NEW met4 ( 617710 1698300 ) ( * 1700000 0 )
NEW met2 ( 614330 1247970 ) ( * 1688100 )
NEW met2 ( 183770 999260 ) M2M3_PR_M
NEW met1 ( 183770 1247970 ) M1M2_PR
NEW met1 ( 614330 1247970 ) M1M2_PR
NEW met2 ( 614330 1688100 ) M2M3_PR_M
NEW met3 ( 617780 1688100 ) M3M4_PR_M ;
- i_dout0\[23\] ( sram dout0[23] ) ( mprj i_dout0[23] ) + USE SIGNAL
+ ROUTED met3 ( 629740 1688100 ) ( 634570 * )
NEW met4 ( 629740 1688100 ) ( * 1698300 )
NEW met4 ( 629740 1698300 ) ( 629950 * )
NEW met4 ( 629950 1698300 ) ( * 1700000 0 )
NEW met2 ( 634570 1328210 ) ( * 1688100 )
NEW met2 ( 1131370 1207340 0 ) ( * 1216690 )
NEW met1 ( 1114350 1216690 ) ( 1131370 * )
NEW met1 ( 634570 1328210 ) ( 1114350 * )
NEW met2 ( 1114350 1216690 ) ( * 1328210 )
NEW met1 ( 634570 1328210 ) M1M2_PR
NEW met2 ( 634570 1688100 ) M2M3_PR_M
NEW met3 ( 629740 1688100 ) M3M4_PR_M
NEW met1 ( 1131370 1216690 ) M1M2_PR
NEW met1 ( 1114350 1216690 ) M1M2_PR
NEW met1 ( 1114350 1328210 ) M1M2_PR ;
- i_dout0\[24\] ( sram dout0[24] ) ( mprj i_dout0[24] ) + USE SIGNAL
+ ROUTED met3 ( 1196460 1061820 0 ) ( 1210030 * )
NEW met2 ( 1210030 1061820 ) ( * 1061990 )
NEW met1 ( 1210030 1061990 ) ( 1217390 * )
NEW met1 ( 648370 1267350 ) ( 1217390 * )
NEW met3 ( 642620 1688100 ) ( 648370 * )
NEW met4 ( 642620 1688100 ) ( * 1698300 )
NEW met4 ( 642620 1698300 ) ( 642870 * )
NEW met4 ( 642870 1698300 ) ( * 1700000 0 )
NEW met2 ( 648370 1267350 ) ( * 1688100 )
NEW met2 ( 1217390 1061990 ) ( * 1267350 )
NEW met1 ( 648370 1267350 ) M1M2_PR
NEW met2 ( 1210030 1061820 ) M2M3_PR_M
NEW met1 ( 1210030 1061990 ) M1M2_PR
NEW met1 ( 1217390 1061990 ) M1M2_PR
NEW met1 ( 1217390 1267350 ) M1M2_PR
NEW met2 ( 648370 1688100 ) M2M3_PR_M
NEW met3 ( 642620 1688100 ) M3M4_PR_M ;
- i_dout0\[25\] ( sram dout0[25] ) ( mprj i_dout0[25] ) + USE SIGNAL
+ ROUTED met2 ( 1066050 1220770 ) ( * 1681810 )
NEW met2 ( 655270 1681810 ) ( * 1690140 )
NEW met3 ( 655270 1690140 ) ( 655500 * )
NEW met3 ( 655500 1690140 ) ( * 1690820 )
NEW met4 ( 655500 1690820 ) ( * 1698300 )
NEW met4 ( 655110 1698300 ) ( 655500 * )
NEW met4 ( 655110 1698300 ) ( * 1700000 0 )
NEW met1 ( 655270 1681810 ) ( 1066050 * )
NEW met2 ( 1143790 1207340 0 ) ( * 1220430 )
NEW met1 ( 1134590 1220430 ) ( 1143790 * )
NEW met1 ( 1134590 1220430 ) ( * 1220770 )
NEW met1 ( 1066050 1220770 ) ( 1134590 * )
NEW met1 ( 1066050 1220770 ) M1M2_PR
NEW met1 ( 1066050 1681810 ) M1M2_PR
NEW met1 ( 655270 1681810 ) M1M2_PR
NEW met2 ( 655270 1690140 ) M2M3_PR_M
NEW met3 ( 655500 1690820 ) M3M4_PR_M
NEW met1 ( 1143790 1220430 ) M1M2_PR ;
- i_dout0\[26\] ( sram dout0[26] ) ( mprj i_dout0[26] ) + USE SIGNAL
+ ROUTED met3 ( 183310 1065220 ) ( 201020 * 0 )
NEW met2 ( 183310 1065220 ) ( * 1217710 )
NEW met3 ( 663090 1688100 ) ( 667460 * )
NEW met4 ( 667460 1688100 ) ( * 1698300 )
NEW met4 ( 667350 1698300 ) ( 667460 * )
NEW met4 ( 667350 1698300 ) ( * 1700000 0 )
NEW met2 ( 663090 1217710 ) ( * 1688100 )
NEW met1 ( 183310 1217710 ) ( 663090 * )
NEW met2 ( 183310 1065220 ) M2M3_PR_M
NEW met1 ( 183310 1217710 ) M1M2_PR
NEW met1 ( 663090 1217710 ) M1M2_PR
NEW met2 ( 663090 1688100 ) M2M3_PR_M
NEW met3 ( 667460 1688100 ) M3M4_PR_M ;
- i_dout0\[27\] ( sram dout0[27] ) ( mprj i_dout0[27] ) + USE SIGNAL
+ ROUTED met2 ( 190210 1086980 ) ( * 1089870 )
NEW met3 ( 190210 1086980 ) ( 201020 * 0 )
NEW met3 ( 676430 1688100 ) ( 679420 * )
NEW met4 ( 679420 1688100 ) ( * 1698300 )
NEW met4 ( 679420 1698300 ) ( 679590 * )
NEW met4 ( 679590 1698300 ) ( * 1700000 0 )
NEW met2 ( 676430 1268030 ) ( * 1688100 )
NEW met1 ( 179170 1089870 ) ( 190210 * )
NEW met1 ( 179170 1268030 ) ( 676430 * )
NEW met2 ( 179170 1089870 ) ( * 1268030 )
NEW met1 ( 190210 1089870 ) M1M2_PR
NEW met2 ( 190210 1086980 ) M2M3_PR_M
NEW met1 ( 676430 1268030 ) M1M2_PR
NEW met2 ( 676430 1688100 ) M2M3_PR_M
NEW met3 ( 679420 1688100 ) M3M4_PR_M
NEW met1 ( 179170 1089870 ) M1M2_PR
NEW met1 ( 179170 1268030 ) M1M2_PR ;
- i_dout0\[28\] ( sram dout0[28] ) ( mprj i_dout0[28] ) + USE SIGNAL
+ ROUTED met3 ( 693220 1688100 ) ( 696670 * )
NEW met4 ( 693220 1688100 ) ( * 1698300 )
NEW met4 ( 692510 1698300 ) ( 693220 * )
NEW met4 ( 692510 1698300 ) ( * 1700000 0 )
NEW met2 ( 696670 1234370 ) ( * 1688100 )
NEW met3 ( 1196460 1141380 0 ) ( 1203590 * )
NEW met1 ( 696670 1234370 ) ( 1203590 * )
NEW met2 ( 1203590 1141380 ) ( * 1234370 )
NEW met1 ( 696670 1234370 ) M1M2_PR
NEW met2 ( 696670 1688100 ) M2M3_PR_M
NEW met3 ( 693220 1688100 ) M3M4_PR_M
NEW met2 ( 1203590 1141380 ) M2M3_PR_M
NEW met1 ( 1203590 1234370 ) M1M2_PR ;
- i_dout0\[29\] ( sram dout0[29] ) ( mprj i_dout0[29] ) + USE SIGNAL
+ ROUTED met2 ( 1193470 187850 ) ( * 200260 0 )
NEW met3 ( 705180 1688100 ) ( 710470 * )
NEW met4 ( 705180 1688100 ) ( * 1698300 )
NEW met4 ( 704750 1698300 ) ( 705180 * )
NEW met4 ( 704750 1698300 ) ( * 1700000 0 )
NEW met2 ( 710470 1254090 ) ( * 1688100 )
NEW met1 ( 1193470 187850 ) ( 1215090 * )
NEW met1 ( 710470 1254090 ) ( 1215090 * )
NEW met2 ( 1215090 187850 ) ( * 1254090 )
NEW met1 ( 710470 1254090 ) M1M2_PR
NEW met1 ( 1193470 187850 ) M1M2_PR
NEW met2 ( 710470 1688100 ) M2M3_PR_M
NEW met3 ( 705180 1688100 ) M3M4_PR_M
NEW met1 ( 1215090 187850 ) M1M2_PR
NEW met1 ( 1215090 1254090 ) M1M2_PR ;
- i_dout0\[2\] ( sram dout0[2] ) ( mprj i_dout0[2] ) + USE SIGNAL
+ ROUTED met1 ( 372370 1260210 ) ( 1216010 * )
NEW met3 ( 368460 1688100 ) ( 372370 * )
NEW met4 ( 368460 1688100 ) ( * 1698300 )
NEW met4 ( 368150 1698300 ) ( 368460 * )
NEW met4 ( 368150 1698300 ) ( * 1700000 0 )
NEW met2 ( 372370 1260210 ) ( * 1688100 )
NEW met3 ( 1196460 372300 0 ) ( 1207730 * )
NEW met2 ( 1207730 372300 ) ( * 372470 )
NEW met1 ( 1207730 372470 ) ( 1216010 * )
NEW met2 ( 1216010 372470 ) ( * 1260210 )
NEW met1 ( 372370 1260210 ) M1M2_PR
NEW met1 ( 1216010 1260210 ) M1M2_PR
NEW met2 ( 372370 1688100 ) M2M3_PR_M
NEW met3 ( 368460 1688100 ) M3M4_PR_M
NEW met2 ( 1207730 372300 ) M2M3_PR_M
NEW met1 ( 1207730 372470 ) M1M2_PR
NEW met1 ( 1216010 372470 ) M1M2_PR ;
- i_dout0\[30\] ( sram dout0[30] ) ( mprj i_dout0[30] ) + USE SIGNAL
+ ROUTED met3 ( 185610 1174700 ) ( 201020 * 0 )
NEW met2 ( 185610 1174700 ) ( * 1254770 )
NEW met1 ( 185610 1254770 ) ( 710930 * )
NEW met3 ( 710930 1688780 ) ( 717140 * )
NEW met4 ( 717140 1688780 ) ( * 1698300 )
NEW met4 ( 716990 1698300 ) ( 717140 * )
NEW met4 ( 716990 1698300 ) ( * 1700000 0 )
NEW met2 ( 710930 1254770 ) ( * 1688780 )
NEW met2 ( 185610 1174700 ) M2M3_PR_M
NEW met1 ( 185610 1254770 ) M1M2_PR
NEW met1 ( 710930 1254770 ) M1M2_PR
NEW met2 ( 710930 1688780 ) M2M3_PR_M
NEW met3 ( 717140 1688780 ) M3M4_PR_M ;
- i_dout0\[31\] ( sram dout0[31] ) ( mprj i_dout0[31] ) + USE SIGNAL
+ ROUTED met1 ( 731170 1247970 ) ( 1198990 * )
NEW met3 ( 730020 1688100 ) ( 731170 * )
NEW met4 ( 730020 1688100 ) ( * 1698300 )
NEW met4 ( 729910 1698300 ) ( 730020 * )
NEW met4 ( 729910 1698300 ) ( * 1700000 0 )
NEW met2 ( 731170 1247970 ) ( * 1688100 )
NEW met3 ( 1196460 1194420 0 ) ( 1198990 * )
NEW met2 ( 1198990 1194420 ) ( * 1247970 )
NEW met1 ( 731170 1247970 ) M1M2_PR
NEW met1 ( 1198990 1247970 ) M1M2_PR
NEW met2 ( 731170 1688100 ) M2M3_PR_M
NEW met3 ( 730020 1688100 ) M3M4_PR_M
NEW met2 ( 1198990 1194420 ) M2M3_PR_M ;
- i_dout0\[3\] ( sram dout0[3] ) ( mprj i_dout0[3] ) + USE SIGNAL
+ ROUTED met2 ( 386170 1680790 ) ( * 1684020 )
NEW met3 ( 380420 1684020 ) ( 386170 * )
NEW met4 ( 380420 1684020 ) ( * 1698300 )
NEW met4 ( 380390 1698300 ) ( 380420 * )
NEW met4 ( 380390 1698300 ) ( * 1700000 0 )
NEW met3 ( 1196460 451860 0 ) ( 1214170 * )
NEW met2 ( 1214170 451860 ) ( 1214630 * )
NEW met1 ( 386170 1680790 ) ( 1214630 * )
NEW met2 ( 1214630 451860 ) ( * 1680790 )
NEW met1 ( 386170 1680790 ) M1M2_PR
NEW met2 ( 386170 1684020 ) M2M3_PR_M
NEW met3 ( 380420 1684020 ) M3M4_PR_M
NEW met2 ( 1214170 451860 ) M2M3_PR_M
NEW met1 ( 1214630 1680790 ) M1M2_PR ;
- i_dout0\[4\] ( sram dout0[4] ) ( mprj i_dout0[4] ) + USE SIGNAL
+ ROUTED met3 ( 392380 1688100 ) ( 392610 * )
NEW met4 ( 392380 1688100 ) ( * 1698300 )
NEW met4 ( 392380 1698300 ) ( 392630 * )
NEW met4 ( 392630 1698300 ) ( * 1700000 0 )
NEW met2 ( 392610 1273130 ) ( * 1688100 )
NEW met1 ( 392610 1273130 ) ( 1222910 * )
NEW met3 ( 1196460 531420 0 ) ( 1209570 * )
NEW met2 ( 1209570 531420 ) ( * 537710 )
NEW met1 ( 1209570 537710 ) ( 1222910 * )
NEW met2 ( 1222910 537710 ) ( * 1273130 )
NEW met1 ( 392610 1273130 ) M1M2_PR
NEW met2 ( 392610 1688100 ) M2M3_PR_M
NEW met3 ( 392380 1688100 ) M3M4_PR_M
NEW met1 ( 1222910 1273130 ) M1M2_PR
NEW met2 ( 1209570 531420 ) M2M3_PR_M
NEW met1 ( 1209570 537710 ) M1M2_PR
NEW met1 ( 1222910 537710 ) M1M2_PR
NEW met3 ( 392610 1688100 ) RECT ( 0 -150 390 150 ) ;
- i_dout0\[5\] ( sram dout0[5] ) ( mprj i_dout0[5] ) + USE SIGNAL
+ ROUTED met2 ( 406410 1681470 ) ( * 1684020 )
NEW met3 ( 406180 1684020 ) ( 406410 * )
NEW met4 ( 406180 1684020 ) ( * 1698300 )
NEW met4 ( 405550 1698300 ) ( 406180 * )
NEW met4 ( 405550 1698300 ) ( * 1700000 0 )
NEW met2 ( 886650 1218730 ) ( * 1681470 )
NEW met2 ( 974510 1207340 0 ) ( * 1218730 )
NEW met1 ( 406410 1681470 ) ( 886650 * )
NEW met1 ( 886650 1218730 ) ( 974510 * )
NEW met1 ( 406410 1681470 ) M1M2_PR
NEW met2 ( 406410 1684020 ) M2M3_PR_M
NEW met3 ( 406180 1684020 ) M3M4_PR_M
NEW met1 ( 886650 1218730 ) M1M2_PR
NEW met1 ( 886650 1681470 ) M1M2_PR
NEW met1 ( 974510 1218730 ) M1M2_PR
NEW met3 ( 406410 1684020 ) RECT ( 0 -150 390 150 ) ;
- i_dout0\[6\] ( sram dout0[6] ) ( mprj i_dout0[6] ) + USE SIGNAL
+ ROUTED met2 ( 190210 561340 ) ( * 565590 )
NEW met3 ( 190210 561340 ) ( 201020 * 0 )
NEW met3 ( 414690 1688100 ) ( 417220 * )
NEW met4 ( 417220 1688100 ) ( * 1698300 )
NEW met4 ( 417220 1698300 ) ( 417790 * )
NEW met4 ( 417790 1698300 ) ( * 1700000 0 )
NEW met2 ( 414690 1209890 ) ( * 1688100 )
NEW met1 ( 178250 565590 ) ( 190210 * )
NEW met2 ( 178250 565590 ) ( * 1209890 )
NEW met1 ( 178250 1209890 ) ( 414690 * )
NEW met1 ( 190210 565590 ) M1M2_PR
NEW met2 ( 190210 561340 ) M2M3_PR_M
NEW met1 ( 414690 1209890 ) M1M2_PR
NEW met2 ( 414690 1688100 ) M2M3_PR_M
NEW met3 ( 417220 1688100 ) M3M4_PR_M
NEW met1 ( 178250 565590 ) M1M2_PR
NEW met1 ( 178250 1209890 ) M1M2_PR ;
- i_dout0\[7\] ( sram dout0[7] ) ( mprj i_dout0[7] ) + USE SIGNAL
+ ROUTED met2 ( 1050870 192100 ) ( * 200260 0 )
NEW met4 ( 1187260 192100 ) ( * 1686740 )
NEW met3 ( 1050870 192100 ) ( 1187260 * )
NEW met4 ( 430100 1686740 ) ( * 1698300 )
NEW met4 ( 430030 1698300 ) ( 430100 * )
NEW met4 ( 430030 1698300 ) ( * 1700000 0 )
NEW met3 ( 430100 1686740 ) ( 1187260 * )
NEW met2 ( 1050870 192100 ) M2M3_PR_M
NEW met3 ( 1187260 192100 ) M3M4_PR_M
NEW met3 ( 1187260 1686740 ) M3M4_PR_M
NEW met3 ( 430100 1686740 ) M3M4_PR_M ;
- i_dout0\[8\] ( sram dout0[8] ) ( mprj i_dout0[8] ) + USE SIGNAL
+ ROUTED met1 ( 440910 1341810 ) ( 838350 * )
NEW met3 ( 440910 1688100 ) ( 441140 * )
NEW met4 ( 441140 1688100 ) ( * 1698300 )
NEW met4 ( 441140 1698300 ) ( 441590 * )
NEW met4 ( 441590 1698300 ) ( * 1700000 0 )
NEW met2 ( 440910 1341810 ) ( * 1688100 )
NEW met2 ( 838350 1217370 ) ( * 1341810 )
NEW met2 ( 1005790 1207340 0 ) ( * 1217370 )
NEW met1 ( 838350 1217370 ) ( 1005790 * )
NEW met1 ( 440910 1341810 ) M1M2_PR
NEW met1 ( 838350 1341810 ) M1M2_PR
NEW met2 ( 440910 1688100 ) M2M3_PR_M
NEW met3 ( 441140 1688100 ) M3M4_PR_M
NEW met1 ( 838350 1217370 ) M1M2_PR
NEW met1 ( 1005790 1217370 ) M1M2_PR
NEW met3 ( 440910 1688100 ) RECT ( -390 -150 0 150 ) ;
- i_dout0\[9\] ( sram dout0[9] ) ( mprj i_dout0[9] ) + USE SIGNAL
+ ROUTED met2 ( 1093650 188190 ) ( * 200260 0 )
NEW met1 ( 1093650 188190 ) ( 1197150 * )
NEW met2 ( 455170 1687930 ) ( * 1690140 )
NEW met3 ( 454940 1690140 ) ( 455170 * )
NEW met3 ( 454940 1690140 ) ( * 1690820 )
NEW met4 ( 454940 1690820 ) ( * 1698300 )
NEW met4 ( 454940 1698300 ) ( 455190 * )
NEW met4 ( 455190 1698300 ) ( * 1700000 0 )
NEW met1 ( 455170 1687930 ) ( 1197150 * )
NEW met2 ( 1197150 188190 ) ( * 1687930 )
NEW met1 ( 1093650 188190 ) M1M2_PR
NEW met1 ( 1197150 188190 ) M1M2_PR
NEW met1 ( 455170 1687930 ) M1M2_PR
NEW met2 ( 455170 1690140 ) M2M3_PR_M
NEW met3 ( 454940 1690820 ) M3M4_PR_M
NEW met1 ( 1197150 1687930 ) M1M2_PR ;
- i_dout0_1\[0\] ( sram1 dout0[0] ) ( mprj i_dout0_1[0] ) + USE SIGNAL
+ ROUTED met3 ( 1835630 1688780 ) ( 1840460 * )
NEW met4 ( 1840460 1688780 ) ( * 1697400 )
NEW met4 ( 1840460 1697400 ) ( 1841630 * )
NEW met4 ( 1841630 1697400 ) ( * 1700000 0 )
NEW met2 ( 1835630 194650 ) ( * 1688780 )
NEW met2 ( 1001190 194650 ) ( * 200260 0 )
NEW met1 ( 1001190 194650 ) ( 1835630 * )
NEW met1 ( 1835630 194650 ) M1M2_PR
NEW met2 ( 1835630 1688780 ) M2M3_PR_M
NEW met3 ( 1840460 1688780 ) M3M4_PR_M
NEW met1 ( 1001190 194650 ) M1M2_PR ;
- i_dout0_1\[10\] ( sram1 dout0[10] ) ( mprj i_dout0_1[10] ) + USE SIGNAL
+ ROUTED met3 ( 1196460 637500 0 ) ( 1211410 * )
NEW met2 ( 1211410 637500 ) ( * 641410 )
NEW met1 ( 1211410 641410 ) ( 1967190 * )
NEW met3 ( 1967190 1688100 ) ( 1968340 * )
NEW met4 ( 1968340 1688100 ) ( * 1698300 )
NEW met4 ( 1968110 1698300 ) ( 1968340 * )
NEW met4 ( 1968110 1698300 ) ( * 1700000 0 )
NEW met2 ( 1967190 641410 ) ( * 1688100 )
NEW met2 ( 1211410 637500 ) M2M3_PR_M
NEW met1 ( 1211410 641410 ) M1M2_PR
NEW met1 ( 1967190 641410 ) M1M2_PR
NEW met2 ( 1967190 1688100 ) M2M3_PR_M
NEW met3 ( 1968340 1688100 ) M3M4_PR_M ;
- i_dout0_1\[11\] ( sram1 dout0[11] ) ( mprj i_dout0_1[11] ) + USE SIGNAL
+ ROUTED met2 ( 190210 736780 ) ( * 737970 )
NEW met3 ( 190210 736780 ) ( 201020 * 0 )
NEW met1 ( 178710 1673310 ) ( 1980990 * )
NEW met1 ( 178710 737970 ) ( 190210 * )
NEW met2 ( 178710 737970 ) ( * 1673310 )
NEW met3 ( 1980300 1688100 ) ( 1980990 * )
NEW met4 ( 1980300 1688100 ) ( * 1698300 )
NEW met4 ( 1980300 1698300 ) ( 1980350 * )
NEW met4 ( 1980350 1698300 ) ( * 1700000 0 )
NEW met2 ( 1980990 1673310 ) ( * 1688100 )
NEW met1 ( 190210 737970 ) M1M2_PR
NEW met2 ( 190210 736780 ) M2M3_PR_M
NEW met1 ( 178710 1673310 ) M1M2_PR
NEW met1 ( 1980990 1673310 ) M1M2_PR
NEW met1 ( 178710 737970 ) M1M2_PR
NEW met2 ( 1980990 1688100 ) M2M3_PR_M
NEW met3 ( 1980300 1688100 ) M3M4_PR_M ;
- i_dout0_1\[12\] ( sram1 dout0[12] ) ( mprj i_dout0_1[12] ) + USE SIGNAL
+ ROUTED met2 ( 1115270 194310 ) ( * 200260 0 )
NEW met1 ( 1115270 194310 ) ( 1987890 * )
NEW met3 ( 1987890 1688100 ) ( 1992260 * )
NEW met4 ( 1992260 1688100 ) ( * 1698300 )
NEW met4 ( 1992260 1698300 ) ( 1992590 * )
NEW met4 ( 1992590 1698300 ) ( * 1700000 0 )
NEW met2 ( 1987890 194310 ) ( * 1688100 )
NEW met1 ( 1115270 194310 ) M1M2_PR
NEW met1 ( 1987890 194310 ) M1M2_PR
NEW met2 ( 1987890 1688100 ) M2M3_PR_M
NEW met3 ( 1992260 1688100 ) M3M4_PR_M ;
- i_dout0_1\[13\] ( sram1 dout0[13] ) ( mprj i_dout0_1[13] ) + USE SIGNAL
+ ROUTED met2 ( 1043510 1207340 0 ) ( * 1221110 )
NEW met1 ( 1043510 1221110 ) ( 1048570 * )
NEW met2 ( 1048570 1221110 ) ( * 1680450 )
NEW met2 ( 2001230 1680450 ) ( * 1684020 )
NEW met3 ( 2001230 1684020 ) ( 2006060 * )
NEW met4 ( 2006060 1684020 ) ( * 1698300 )
NEW met4 ( 2004830 1698300 ) ( 2006060 * )
NEW met4 ( 2004830 1698300 ) ( * 1700000 0 )
NEW met1 ( 1048570 1680450 ) ( 2001230 * )
NEW met1 ( 1043510 1221110 ) M1M2_PR
NEW met1 ( 1048570 1221110 ) M1M2_PR
NEW met1 ( 1048570 1680450 ) M1M2_PR
NEW met1 ( 2001230 1680450 ) M1M2_PR
NEW met2 ( 2001230 1684020 ) M2M3_PR_M
NEW met3 ( 2006060 1684020 ) M3M4_PR_M ;
- i_dout0_1\[14\] ( sram1 dout0[14] ) ( mprj i_dout0_1[14] ) + USE SIGNAL
+ ROUTED met3 ( 2015030 1688100 ) ( 2018020 * )
NEW met4 ( 2018020 1688100 ) ( * 1698300 )
NEW met4 ( 2017750 1698300 ) ( 2018020 * )
NEW met4 ( 2017750 1698300 ) ( * 1700000 0 )
NEW met2 ( 2015030 193970 ) ( * 1688100 )
NEW met2 ( 1129530 193970 ) ( * 200260 0 )
NEW met1 ( 1129530 193970 ) ( 2015030 * )
NEW met1 ( 2015030 193970 ) M1M2_PR
NEW met2 ( 2015030 1688100 ) M2M3_PR_M
NEW met3 ( 2018020 1688100 ) M3M4_PR_M
NEW met1 ( 1129530 193970 ) M1M2_PR ;
- i_dout0_1\[15\] ( sram1 dout0[15] ) ( mprj i_dout0_1[15] ) + USE SIGNAL
+ ROUTED met3 ( 2028830 1688100 ) ( 2029980 * )
NEW met4 ( 2029980 1688100 ) ( * 1698300 )
NEW met4 ( 2029980 1698300 ) ( 2029990 * )
NEW met4 ( 2029990 1698300 ) ( * 1700000 0 )
NEW met2 ( 2028830 745110 ) ( * 1688100 )
NEW met3 ( 1196460 743580 0 ) ( 1214170 * )
NEW met2 ( 1214170 743580 ) ( * 745110 )
NEW met1 ( 1214170 745110 ) ( 2028830 * )
NEW met1 ( 2028830 745110 ) M1M2_PR
NEW met2 ( 2028830 1688100 ) M2M3_PR_M
NEW met3 ( 2029980 1688100 ) M3M4_PR_M
NEW met2 ( 1214170 743580 ) M2M3_PR_M
NEW met1 ( 1214170 745110 ) M1M2_PR ;
- i_dout0_1\[16\] ( sram1 dout0[16] ) ( mprj i_dout0_1[16] ) + USE SIGNAL
+ ROUTED met2 ( 190210 802060 ) ( * 806990 )
NEW met3 ( 190210 802060 ) ( 201020 * 0 )
NEW met3 ( 2035730 1690140 ) ( 2041020 * )
NEW met3 ( 2041020 1690140 ) ( * 1690820 )
NEW met4 ( 2041020 1690820 ) ( * 1698300 )
NEW met4 ( 2041020 1698300 ) ( 2041550 * )
NEW met4 ( 2041550 1698300 ) ( * 1700000 0 )
NEW met2 ( 2035730 1672970 ) ( * 1690140 )
NEW met1 ( 171810 806990 ) ( 190210 * )
NEW met1 ( 171810 1672970 ) ( 2035730 * )
NEW met2 ( 171810 806990 ) ( * 1672970 )
NEW met1 ( 190210 806990 ) M1M2_PR
NEW met2 ( 190210 802060 ) M2M3_PR_M
NEW met1 ( 2035730 1672970 ) M1M2_PR
NEW met2 ( 2035730 1690140 ) M2M3_PR_M
NEW met3 ( 2041020 1690820 ) M3M4_PR_M
NEW met1 ( 171810 806990 ) M1M2_PR
NEW met1 ( 171810 1672970 ) M1M2_PR ;
- i_dout0_1\[17\] ( sram1 dout0[17] ) ( mprj i_dout0_1[17] ) + USE SIGNAL
+ ROUTED met2 ( 190210 846260 ) ( * 848470 )
NEW met3 ( 190210 846260 ) ( 201020 * 0 )
NEW met3 ( 2049530 1688100 ) ( 2054820 * )
NEW met4 ( 2054820 1688100 ) ( * 1698300 )
NEW met4 ( 2054820 1698300 ) ( 2055150 * )
NEW met4 ( 2055150 1698300 ) ( * 1700000 0 )
NEW met2 ( 2049530 1265990 ) ( * 1688100 )
NEW met1 ( 169970 1265990 ) ( 2049530 * )
NEW met1 ( 169970 848470 ) ( 190210 * )
NEW met2 ( 169970 848470 ) ( * 1265990 )
NEW met1 ( 2049530 1265990 ) M1M2_PR
NEW met1 ( 190210 848470 ) M1M2_PR
NEW met2 ( 190210 846260 ) M2M3_PR_M
NEW met2 ( 2049530 1688100 ) M2M3_PR_M
NEW met3 ( 2054820 1688100 ) M3M4_PR_M
NEW met1 ( 169970 1265990 ) M1M2_PR
NEW met1 ( 169970 848470 ) M1M2_PR ;
- i_dout0_1\[18\] ( sram1 dout0[18] ) ( mprj i_dout0_1[18] ) + USE SIGNAL
+ ROUTED met2 ( 1087210 1207340 0 ) ( 1089510 * )
NEW met2 ( 1089510 1207340 ) ( * 1214820 )
NEW met2 ( 1089510 1214820 ) ( 1090890 * )
NEW met2 ( 1090890 1214820 ) ( * 1233350 )
NEW met1 ( 1090890 1233350 ) ( 2063330 * )
NEW met3 ( 2063330 1688100 ) ( 2066780 * )
NEW met4 ( 2066780 1688100 ) ( * 1698300 )
NEW met4 ( 2066780 1698300 ) ( 2067390 * )
NEW met4 ( 2067390 1698300 ) ( * 1700000 0 )
NEW met2 ( 2063330 1233350 ) ( * 1688100 )
NEW met1 ( 1090890 1233350 ) M1M2_PR
NEW met1 ( 2063330 1233350 ) M1M2_PR
NEW met2 ( 2063330 1688100 ) M2M3_PR_M
NEW met3 ( 2066780 1688100 ) M3M4_PR_M ;
- i_dout0_1\[19\] ( sram1 dout0[19] ) ( mprj i_dout0_1[19] ) + USE SIGNAL
+ ROUTED met3 ( 1196460 876180 0 ) ( 1214170 * )
NEW met2 ( 1214170 876010 ) ( * 876180 )
NEW met1 ( 1214170 876010 ) ( 2077130 * )
NEW met3 ( 2077130 1688100 ) ( 2079660 * )
NEW met4 ( 2079660 1688100 ) ( * 1698300 )
NEW met4 ( 2079630 1698300 ) ( 2079660 * )
NEW met4 ( 2079630 1698300 ) ( * 1700000 0 )
NEW met2 ( 2077130 876010 ) ( * 1688100 )
NEW met2 ( 1214170 876180 ) M2M3_PR_M
NEW met1 ( 1214170 876010 ) M1M2_PR
NEW met1 ( 2077130 876010 ) M1M2_PR
NEW met2 ( 2077130 1688100 ) M2M3_PR_M
NEW met3 ( 2079660 1688100 ) M3M4_PR_M ;
- i_dout0_1\[1\] ( sram1 dout0[1] ) ( mprj i_dout0_1[1] ) + USE SIGNAL
+ ROUTED met2 ( 1849890 1680790 ) ( * 1684020 )
NEW met3 ( 1849890 1684020 ) ( 1853340 * )
NEW met4 ( 1853340 1684020 ) ( * 1697400 )
NEW met4 ( 1853340 1697400 ) ( 1853870 * )
NEW met4 ( 1853870 1697400 ) ( * 1700000 0 )
NEW met2 ( 1008090 190910 ) ( * 200260 0 )
NEW met1 ( 1008090 190910 ) ( 1238550 * )
NEW met2 ( 1238550 190910 ) ( * 1680790 )
NEW met1 ( 1238550 1680790 ) ( 1849890 * )
NEW met1 ( 1849890 1680790 ) M1M2_PR
NEW met2 ( 1849890 1684020 ) M2M3_PR_M
NEW met3 ( 1853340 1684020 ) M3M4_PR_M
NEW met1 ( 1008090 190910 ) M1M2_PR
NEW met1 ( 1238550 190910 ) M1M2_PR
NEW met1 ( 1238550 1680790 ) M1M2_PR ;
- i_dout0_1\[20\] ( sram1 dout0[20] ) ( mprj i_dout0_1[20] ) + USE SIGNAL
+ ROUTED met3 ( 1196460 902700 0 ) ( 1214170 * )
NEW met2 ( 1214170 902700 ) ( * 903890 )
NEW met1 ( 1214170 903890 ) ( 2087250 * )
NEW met3 ( 2087250 1688100 ) ( 2091620 * )
NEW met4 ( 2091620 1688100 ) ( * 1698300 )
NEW met4 ( 2091620 1698300 ) ( 2092550 * )
NEW met4 ( 2092550 1698300 ) ( * 1700000 0 )
NEW met2 ( 2087250 903890 ) ( * 1688100 )
NEW met2 ( 1214170 902700 ) M2M3_PR_M
NEW met1 ( 1214170 903890 ) M1M2_PR
NEW met1 ( 2087250 903890 ) M1M2_PR
NEW met2 ( 2087250 1688100 ) M2M3_PR_M
NEW met3 ( 2091620 1688100 ) M3M4_PR_M ;
- i_dout0_1\[21\] ( sram1 dout0[21] ) ( mprj i_dout0_1[21] ) + USE SIGNAL
+ ROUTED met2 ( 190210 977500 ) ( * 979710 )
NEW met3 ( 190210 977500 ) ( 201020 * 0 )
NEW met1 ( 176870 979710 ) ( 190210 * )
NEW met2 ( 176870 979710 ) ( * 1211930 )
NEW met1 ( 176870 1211930 ) ( 2104730 * )
NEW met3 ( 2104500 1688100 ) ( 2104730 * )
NEW met4 ( 2104500 1688100 ) ( * 1698300 )
NEW met4 ( 2104500 1698300 ) ( 2104790 * )
NEW met4 ( 2104790 1698300 ) ( * 1700000 0 )
NEW met2 ( 2104730 1211930 ) ( * 1688100 )
NEW met1 ( 190210 979710 ) M1M2_PR
NEW met2 ( 190210 977500 ) M2M3_PR_M
NEW met1 ( 176870 979710 ) M1M2_PR
NEW met1 ( 176870 1211930 ) M1M2_PR
NEW met1 ( 2104730 1211930 ) M1M2_PR
NEW met2 ( 2104730 1688100 ) M2M3_PR_M
NEW met3 ( 2104500 1688100 ) M3M4_PR_M
NEW met3 ( 2104730 1688100 ) RECT ( 0 -150 390 150 ) ;
- i_dout0_1\[22\] ( sram1 dout0[22] ) ( mprj i_dout0_1[22] ) + USE SIGNAL
+ ROUTED met2 ( 2113470 1683850 ) ( * 1684020 )
NEW met3 ( 2113470 1684020 ) ( 2117380 * )
NEW met4 ( 2117380 1684020 ) ( * 1698300 )
NEW met4 ( 2117380 1698300 ) ( 2117710 * )
NEW met4 ( 2117710 1698300 ) ( * 1700000 0 )
NEW met2 ( 1118490 1207340 0 ) ( * 1224510 )
NEW met1 ( 1118490 1224510 ) ( 2101050 * )
NEW met2 ( 2101050 1224510 ) ( * 1683850 )
NEW met1 ( 2101050 1683850 ) ( 2113470 * )
NEW met1 ( 2113470 1683850 ) M1M2_PR
NEW met2 ( 2113470 1684020 ) M2M3_PR_M
NEW met3 ( 2117380 1684020 ) M3M4_PR_M
NEW met1 ( 1118490 1224510 ) M1M2_PR
NEW met1 ( 2101050 1224510 ) M1M2_PR
NEW met1 ( 2101050 1683850 ) M1M2_PR ;
- i_dout0_1\[23\] ( sram1 dout0[23] ) ( mprj i_dout0_1[23] ) + USE SIGNAL
+ ROUTED met3 ( 2121750 1688100 ) ( 2128420 * )
NEW met4 ( 2128420 1688100 ) ( * 1698300 )
NEW met4 ( 2128420 1698300 ) ( 2129950 * )
NEW met4 ( 2129950 1698300 ) ( * 1700000 0 )
NEW met2 ( 2121750 986510 ) ( * 1688100 )
NEW met3 ( 1196460 982260 0 ) ( 1211870 * )
NEW met2 ( 1211870 982260 ) ( * 986510 )
NEW met1 ( 1211870 986510 ) ( 2121750 * )
NEW met1 ( 2121750 986510 ) M1M2_PR
NEW met2 ( 2121750 1688100 ) M2M3_PR_M
NEW met3 ( 2128420 1688100 ) M3M4_PR_M
NEW met2 ( 1211870 982260 ) M2M3_PR_M
NEW met1 ( 1211870 986510 ) M1M2_PR ;
- i_dout0_1\[24\] ( sram1 dout0[24] ) ( mprj i_dout0_1[24] ) + USE SIGNAL
+ ROUTED met3 ( 2139230 1688100 ) ( 2143140 * )
NEW met4 ( 2143140 1688100 ) ( * 1698300 )
NEW met4 ( 2142870 1698300 ) ( 2143140 * )
NEW met4 ( 2142870 1698300 ) ( * 1700000 0 )
NEW met2 ( 2139230 1041590 ) ( * 1688100 )
NEW met3 ( 1196460 1035300 0 ) ( 1212790 * )
NEW met2 ( 1212790 1035300 ) ( * 1041590 )
NEW met1 ( 1212790 1041590 ) ( 2139230 * )
NEW met1 ( 2139230 1041590 ) M1M2_PR
NEW met2 ( 2139230 1688100 ) M2M3_PR_M
NEW met3 ( 2143140 1688100 ) M3M4_PR_M
NEW met2 ( 1212790 1035300 ) M2M3_PR_M
NEW met1 ( 1212790 1041590 ) M1M2_PR ;
- i_dout0_1\[25\] ( sram1 dout0[25] ) ( mprj i_dout0_1[25] ) + USE SIGNAL
+ ROUTED met2 ( 1150690 193630 ) ( * 200260 0 )
NEW met3 ( 2153030 1688100 ) ( 2155100 * )
NEW met4 ( 2155100 1688100 ) ( * 1698300 )
NEW met4 ( 2155100 1698300 ) ( 2155110 * )
NEW met4 ( 2155110 1698300 ) ( * 1700000 0 )
NEW met2 ( 2153030 193630 ) ( * 1688100 )
NEW met1 ( 1150690 193630 ) ( 2153030 * )
NEW met1 ( 1150690 193630 ) M1M2_PR
NEW met1 ( 2153030 193630 ) M1M2_PR
NEW met2 ( 2153030 1688100 ) M2M3_PR_M
NEW met3 ( 2155100 1688100 ) M3M4_PR_M ;
- i_dout0_1\[26\] ( sram1 dout0[26] ) ( mprj i_dout0_1[26] ) + USE SIGNAL
+ ROUTED met2 ( 1158050 193290 ) ( * 200260 0 )
NEW met1 ( 1158050 193290 ) ( 2166830 * )
NEW met3 ( 2166830 1688100 ) ( 2167980 * )
NEW met4 ( 2167980 1688100 ) ( * 1698300 )
NEW met4 ( 2167350 1698300 ) ( 2167980 * )
NEW met4 ( 2167350 1698300 ) ( * 1700000 0 )
NEW met2 ( 2166830 193290 ) ( * 1688100 )
NEW met1 ( 1158050 193290 ) M1M2_PR
NEW met1 ( 2166830 193290 ) M1M2_PR
NEW met2 ( 2166830 1688100 ) M2M3_PR_M
NEW met3 ( 2167980 1688100 ) M3M4_PR_M ;
- i_dout0_1\[27\] ( sram1 dout0[27] ) ( mprj i_dout0_1[27] ) + USE SIGNAL
+ ROUTED met2 ( 1838850 1117750 ) ( * 1684530 )
NEW met3 ( 1196460 1114860 0 ) ( 1214170 * )
NEW met2 ( 1214170 1114860 ) ( * 1117750 )
NEW met1 ( 1214170 1117750 ) ( 1838850 * )
NEW met2 ( 2173730 1684530 ) ( * 1685380 )
NEW met3 ( 2173730 1685380 ) ( 2179020 * )
NEW met4 ( 2179020 1685380 ) ( * 1698300 )
NEW met4 ( 2179020 1698300 ) ( 2179590 * )
NEW met4 ( 2179590 1698300 ) ( * 1700000 0 )
NEW met1 ( 1838850 1684530 ) ( 2173730 * )
NEW met1 ( 1838850 1117750 ) M1M2_PR
NEW met1 ( 1838850 1684530 ) M1M2_PR
NEW met2 ( 1214170 1114860 ) M2M3_PR_M
NEW met1 ( 1214170 1117750 ) M1M2_PR
NEW met1 ( 2173730 1684530 ) M1M2_PR
NEW met2 ( 2173730 1685380 ) M2M3_PR_M
NEW met3 ( 2179020 1685380 ) M3M4_PR_M ;
- i_dout0_1\[28\] ( sram1 dout0[28] ) ( mprj i_dout0_1[28] ) + USE SIGNAL
+ ROUTED met2 ( 1179210 192780 ) ( * 200260 0 )
NEW met2 ( 1852650 192780 ) ( * 1684870 )
NEW met3 ( 1179210 192780 ) ( 1852650 * )
NEW met2 ( 2187530 1684870 ) ( * 1685380 )
NEW met3 ( 2187530 1685380 ) ( 2191900 * )
NEW met4 ( 2191900 1685380 ) ( * 1698300 )
NEW met4 ( 2191900 1698300 ) ( 2192510 * )
NEW met4 ( 2192510 1698300 ) ( * 1700000 0 )
NEW met1 ( 1852650 1684870 ) ( 2187530 * )
NEW met2 ( 1179210 192780 ) M2M3_PR_M
NEW met2 ( 1852650 192780 ) M2M3_PR_M
NEW met1 ( 1852650 1684870 ) M1M2_PR
NEW met1 ( 2187530 1684870 ) M1M2_PR
NEW met2 ( 2187530 1685380 ) M2M3_PR_M
NEW met3 ( 2191900 1685380 ) M3M4_PR_M ;
- i_dout0_1\[29\] ( sram1 dout0[29] ) ( mprj i_dout0_1[29] ) + USE SIGNAL
+ ROUTED met3 ( 186070 1131180 ) ( 201020 * 0 )
NEW met2 ( 186070 1131180 ) ( * 1211590 )
NEW met1 ( 186070 1211590 ) ( 2201330 * )
NEW met3 ( 2201330 1688100 ) ( 2204780 * )
NEW met4 ( 2204780 1688100 ) ( * 1698300 )
NEW met4 ( 2204750 1698300 ) ( 2204780 * )
NEW met4 ( 2204750 1698300 ) ( * 1700000 0 )
NEW met2 ( 2201330 1211590 ) ( * 1688100 )
NEW met2 ( 186070 1131180 ) M2M3_PR_M
NEW met1 ( 186070 1211590 ) M1M2_PR
NEW met1 ( 2201330 1211590 ) M1M2_PR
NEW met2 ( 2201330 1688100 ) M2M3_PR_M
NEW met3 ( 2204780 1688100 ) M3M4_PR_M ;
- i_dout0_1\[2\] ( sram1 dout0[2] ) ( mprj i_dout0_1[2] ) + USE SIGNAL
+ ROUTED met3 ( 1859550 1688780 ) ( 1868060 * )
NEW met4 ( 1868060 1688780 ) ( * 1697400 )
NEW met4 ( 1868060 1697400 ) ( 1868150 * )
NEW met4 ( 1868150 1697400 ) ( * 1700000 0 )
NEW met2 ( 1859550 189210 ) ( * 1688780 )
NEW met2 ( 1015450 189210 ) ( * 200260 0 )
NEW met1 ( 1015450 189210 ) ( 1859550 * )
NEW met1 ( 1859550 189210 ) M1M2_PR
NEW met2 ( 1859550 1688780 ) M2M3_PR_M
NEW met3 ( 1868060 1688780 ) M3M4_PR_M
NEW met1 ( 1015450 189210 ) M1M2_PR ;
- i_dout0_1\[30\] ( sram1 dout0[30] ) ( mprj i_dout0_1[30] ) + USE SIGNAL
+ ROUTED met3 ( 196190 1152940 ) ( 201020 * 0 )
NEW met2 ( 196190 1152940 ) ( * 1211250 )
NEW met3 ( 2215130 1688100 ) ( 2216740 * )
NEW met4 ( 2216740 1688100 ) ( * 1698300 )
NEW met4 ( 2216740 1698300 ) ( 2216990 * )
NEW met4 ( 2216990 1698300 ) ( * 1700000 0 )
NEW met2 ( 2215130 1211250 ) ( * 1688100 )
NEW met1 ( 196190 1211250 ) ( 2215130 * )
NEW met2 ( 196190 1152940 ) M2M3_PR_M
NEW met1 ( 196190 1211250 ) M1M2_PR
NEW met1 ( 2215130 1211250 ) M1M2_PR
NEW met2 ( 2215130 1688100 ) M2M3_PR_M
NEW met3 ( 2216740 1688100 ) M3M4_PR_M ;
- i_dout0_1\[31\] ( sram1 dout0[31] ) ( mprj i_dout0_1[31] ) + USE SIGNAL
+ ROUTED met2 ( 1187490 1207340 0 ) ( * 1220770 )
NEW met2 ( 1866450 1220770 ) ( * 1685890 )
NEW met2 ( 2228930 1685890 ) ( * 1686060 )
NEW met3 ( 2228930 1686060 ) ( 2229620 * )
NEW met4 ( 2229620 1686060 ) ( * 1698300 )
NEW met4 ( 2229620 1698300 ) ( 2229910 * )
NEW met4 ( 2229910 1698300 ) ( * 1700000 0 )
NEW met1 ( 1187490 1220770 ) ( 1866450 * )
NEW met1 ( 1866450 1685890 ) ( 2228930 * )
NEW met1 ( 1187490 1220770 ) M1M2_PR
NEW met1 ( 1866450 1220770 ) M1M2_PR
NEW met1 ( 1866450 1685890 ) M1M2_PR
NEW met1 ( 2228930 1685890 ) M1M2_PR
NEW met2 ( 2228930 1686060 ) M2M3_PR_M
NEW met3 ( 2229620 1686060 ) M3M4_PR_M ;
- i_dout0_1\[3\] ( sram1 dout0[3] ) ( mprj i_dout0_1[3] ) + USE SIGNAL
+ ROUTED met2 ( 1029710 189890 ) ( * 200260 0 )
NEW met1 ( 1029710 189890 ) ( 1877490 * )
NEW met3 ( 1877490 1685380 ) ( 1880020 * )
NEW met4 ( 1880020 1685380 ) ( * 1697400 )
NEW met4 ( 1880020 1697400 ) ( 1880390 * )
NEW met4 ( 1880390 1697400 ) ( * 1700000 0 )
NEW met2 ( 1877490 189890 ) ( * 1685380 )
NEW met1 ( 1029710 189890 ) M1M2_PR
NEW met1 ( 1877490 189890 ) M1M2_PR
NEW met2 ( 1877490 1685380 ) M2M3_PR_M
NEW met3 ( 1880020 1685380 ) M3M4_PR_M ;
- i_dout0_1\[4\] ( sram1 dout0[4] ) ( mprj i_dout0_1[4] ) + USE SIGNAL
+ ROUTED met2 ( 955650 1207340 0 ) ( * 1245930 )
NEW met1 ( 955650 1245930 ) ( 1797450 * )
NEW met2 ( 1797450 1245930 ) ( * 1686910 )
NEW met2 ( 1890830 1686910 ) ( * 1689460 )
NEW met3 ( 1890830 1689460 ) ( 1892900 * )
NEW met4 ( 1892900 1689460 ) ( * 1697400 )
NEW met4 ( 1892630 1697400 ) ( 1892900 * )
NEW met4 ( 1892630 1697400 ) ( * 1700000 0 )
NEW met1 ( 1797450 1686910 ) ( 1890830 * )
NEW met1 ( 955650 1245930 ) M1M2_PR
NEW met1 ( 1797450 1245930 ) M1M2_PR
NEW met1 ( 1797450 1686910 ) M1M2_PR
NEW met1 ( 1890830 1686910 ) M1M2_PR
NEW met2 ( 1890830 1689460 ) M2M3_PR_M
NEW met3 ( 1892900 1689460 ) M3M4_PR_M ;
- i_dout0_1\[5\] ( sram1 dout0[5] ) ( mprj i_dout0_1[5] ) + USE SIGNAL
+ ROUTED met2 ( 190210 473620 ) ( * 475830 )
NEW met3 ( 190210 473620 ) ( 201020 * 0 )
NEW met1 ( 171350 475830 ) ( 190210 * )
NEW met2 ( 171350 475830 ) ( * 1321070 )
NEW met1 ( 171350 1321070 ) ( 1905090 * )
NEW met3 ( 1905090 1688100 ) ( 1905780 * )
NEW met4 ( 1905780 1688100 ) ( * 1697400 )
NEW met4 ( 1905550 1697400 ) ( 1905780 * )
NEW met4 ( 1905550 1697400 ) ( * 1700000 0 )
NEW met2 ( 1905090 1321070 ) ( * 1688100 )
NEW met1 ( 190210 475830 ) M1M2_PR
NEW met2 ( 190210 473620 ) M2M3_PR_M
NEW met1 ( 171350 475830 ) M1M2_PR
NEW met1 ( 171350 1321070 ) M1M2_PR
NEW met1 ( 1905090 1321070 ) M1M2_PR
NEW met2 ( 1905090 1688100 ) M2M3_PR_M
NEW met3 ( 1905780 1688100 ) M3M4_PR_M ;
- i_dout0_1\[6\] ( sram1 dout0[6] ) ( mprj i_dout0_1[6] ) + USE SIGNAL
+ ROUTED met2 ( 188370 539580 ) ( * 544850 )
NEW met3 ( 188370 539580 ) ( 201020 * 0 )
NEW met1 ( 172270 544850 ) ( 188370 * )
NEW met2 ( 172270 544850 ) ( * 1680110 )
NEW met2 ( 1911530 1680110 ) ( * 1686060 )
NEW met3 ( 1911530 1686060 ) ( 1917740 * )
NEW met4 ( 1917740 1686060 ) ( * 1697400 )
NEW met4 ( 1917740 1697400 ) ( 1917790 * )
NEW met4 ( 1917790 1697400 ) ( * 1700000 0 )
NEW met1 ( 172270 1680110 ) ( 1911530 * )
NEW met1 ( 188370 544850 ) M1M2_PR
NEW met2 ( 188370 539580 ) M2M3_PR_M
NEW met1 ( 172270 544850 ) M1M2_PR
NEW met1 ( 172270 1680110 ) M1M2_PR
NEW met1 ( 1911530 1680110 ) M1M2_PR
NEW met2 ( 1911530 1686060 ) M2M3_PR_M
NEW met3 ( 1917740 1686060 ) M3M4_PR_M ;
- i_dout0_1\[7\] ( sram1 dout0[7] ) ( mprj i_dout0_1[7] ) + USE SIGNAL
+ ROUTED met2 ( 999350 1207340 0 ) ( * 1217030 )
NEW met2 ( 1148850 1217030 ) ( * 1681130 )
NEW met2 ( 1925790 1681130 ) ( * 1685380 )
NEW met3 ( 1925790 1685380 ) ( 1929700 * )
NEW met4 ( 1929700 1685380 ) ( * 1697400 )
NEW met4 ( 1929700 1697400 ) ( 1930030 * )
NEW met4 ( 1930030 1697400 ) ( * 1700000 0 )
NEW met1 ( 999350 1217030 ) ( 1148850 * )
NEW met1 ( 1148850 1681130 ) ( 1925790 * )
NEW met1 ( 999350 1217030 ) M1M2_PR
NEW met1 ( 1148850 1217030 ) M1M2_PR
NEW met1 ( 1148850 1681130 ) M1M2_PR
NEW met1 ( 1925790 1681130 ) M1M2_PR
NEW met2 ( 1925790 1685380 ) M2M3_PR_M
NEW met3 ( 1929700 1685380 ) M3M4_PR_M ;
- i_dout0_1\[8\] ( sram1 dout0[8] ) ( mprj i_dout0_1[8] ) + USE SIGNAL
+ ROUTED met2 ( 190210 649060 ) ( * 655350 )
NEW met3 ( 190210 649060 ) ( 201020 * 0 )
NEW met3 ( 1939590 1688100 ) ( 1941660 * )
NEW met4 ( 1941660 1688100 ) ( * 1697400 )
NEW met4 ( 1941590 1697400 ) ( 1941660 * )
NEW met4 ( 1941590 1697400 ) ( * 1700000 0 )
NEW met2 ( 1939590 1486990 ) ( * 1688100 )
NEW met1 ( 164450 655350 ) ( 190210 * )
NEW met2 ( 164450 655350 ) ( * 1486990 )
NEW met1 ( 164450 1486990 ) ( 1939590 * )
NEW met1 ( 190210 655350 ) M1M2_PR
NEW met2 ( 190210 649060 ) M2M3_PR_M
NEW met1 ( 1939590 1486990 ) M1M2_PR
NEW met2 ( 1939590 1688100 ) M2M3_PR_M
NEW met3 ( 1941660 1688100 ) M3M4_PR_M
NEW met1 ( 164450 655350 ) M1M2_PR
NEW met1 ( 164450 1486990 ) M1M2_PR ;
- i_dout0_1\[9\] ( sram1 dout0[9] ) ( mprj i_dout0_1[9] ) + USE SIGNAL
+ ROUTED met2 ( 1086750 189550 ) ( * 200260 0 )
NEW met2 ( 1952930 1686570 ) ( * 1687420 )
NEW met3 ( 1952930 1687420 ) ( 1954540 * )
NEW met4 ( 1954540 1687420 ) ( * 1698300 )
NEW met4 ( 1954540 1698300 ) ( 1955190 * )
NEW met4 ( 1955190 1698300 ) ( * 1700000 0 )
NEW met1 ( 1086750 189550 ) ( 1914750 * )
NEW met2 ( 1914750 189550 ) ( * 1686570 )
NEW met1 ( 1914750 1686570 ) ( 1952930 * )
NEW met1 ( 1086750 189550 ) M1M2_PR
NEW met1 ( 1952930 1686570 ) M1M2_PR
NEW met2 ( 1952930 1687420 ) M2M3_PR_M
NEW met3 ( 1954540 1687420 ) M3M4_PR_M
NEW met1 ( 1914750 189550 ) M1M2_PR
NEW met1 ( 1914750 1686570 ) M1M2_PR ;
- io_in[0] ( PIN io_in[0] ) ( mprj io_in[0] ) + USE SIGNAL
+ ROUTED met3 ( 2901450 32980 ) ( 2917780 * 0 )
NEW met1 ( 182850 1214650 ) ( 203090 * )
NEW met2 ( 203090 1207340 0 ) ( * 1214650 )
NEW met2 ( 182850 196690 ) ( * 1214650 )
NEW met2 ( 2901450 32980 ) ( * 196690 )
NEW met1 ( 182850 196690 ) ( 2901450 * )
NEW met1 ( 182850 196690 ) M1M2_PR
NEW met2 ( 2901450 32980 ) M2M3_PR_M
NEW met1 ( 2901450 196690 ) M1M2_PR
NEW met1 ( 182850 1214650 ) M1M2_PR
NEW met1 ( 203090 1214650 ) M1M2_PR ;
- io_in[10] ( PIN io_in[10] ) ( mprj io_in[10] ) + USE SIGNAL
+ ROUTED met2 ( 391230 1207340 0 ) ( * 1247290 )
NEW met2 ( 893550 1247290 ) ( * 2283950 )
NEW met2 ( 2900990 2283950 ) ( * 2290580 )
NEW met3 ( 2900990 2290580 ) ( 2917780 * 0 )
NEW met1 ( 391230 1247290 ) ( 893550 * )
NEW met1 ( 893550 2283950 ) ( 2900990 * )
NEW met1 ( 391230 1247290 ) M1M2_PR
NEW met1 ( 893550 1247290 ) M1M2_PR
NEW met1 ( 893550 2283950 ) M1M2_PR
NEW met1 ( 2900990 2283950 ) M1M2_PR
NEW met2 ( 2900990 2290580 ) M2M3_PR_M ;
- io_in[11] ( PIN io_in[11] ) ( mprj io_in[11] ) + USE SIGNAL
+ ROUTED met2 ( 409630 1207340 0 ) ( * 1253070 )
NEW met2 ( 1279950 1253070 ) ( * 2553230 )
NEW met2 ( 2900990 2553230 ) ( * 2556460 )
NEW met3 ( 2900990 2556460 ) ( 2917780 * 0 )
NEW met1 ( 409630 1253070 ) ( 1279950 * )
NEW met1 ( 1279950 2553230 ) ( 2900990 * )
NEW met1 ( 409630 1253070 ) M1M2_PR
NEW met1 ( 1279950 1253070 ) M1M2_PR
NEW met1 ( 1279950 2553230 ) M1M2_PR
NEW met1 ( 2900990 2553230 ) M1M2_PR
NEW met2 ( 2900990 2556460 ) M2M3_PR_M ;
- io_in[12] ( PIN io_in[12] ) ( mprj io_in[12] ) + USE SIGNAL
+ ROUTED met2 ( 2900990 2815370 ) ( * 2821660 )
NEW met3 ( 2900990 2821660 ) ( 2917780 * 0 )
NEW met2 ( 2728950 1245250 ) ( * 2815370 )
NEW met1 ( 428490 1245250 ) ( 2728950 * )
NEW met1 ( 2728950 2815370 ) ( 2900990 * )
NEW met2 ( 428490 1207340 0 ) ( * 1245250 )
NEW met1 ( 2728950 1245250 ) M1M2_PR
NEW met1 ( 2728950 2815370 ) M1M2_PR
NEW met1 ( 2900990 2815370 ) M1M2_PR
NEW met2 ( 2900990 2821660 ) M2M3_PR_M
NEW met1 ( 428490 1245250 ) M1M2_PR ;
- io_in[13] ( PIN io_in[13] ) ( mprj io_in[13] ) + USE SIGNAL
+ ROUTED met2 ( 2900990 3084310 ) ( * 3087540 )
NEW met3 ( 2900990 3087540 ) ( 2917780 * 0 )
NEW met2 ( 900450 1248310 ) ( * 3084310 )
NEW met1 ( 447350 1248310 ) ( 900450 * )
NEW met1 ( 900450 3084310 ) ( 2900990 * )
NEW met2 ( 447350 1207340 0 ) ( * 1248310 )
NEW met1 ( 900450 1248310 ) M1M2_PR
NEW met1 ( 900450 3084310 ) M1M2_PR
NEW met1 ( 2900990 3084310 ) M1M2_PR
NEW met2 ( 2900990 3087540 ) M2M3_PR_M
NEW met1 ( 447350 1248310 ) M1M2_PR ;
- io_in[14] ( PIN io_in[14] ) ( mprj io_in[14] ) + USE SIGNAL
+ ROUTED met2 ( 2900990 3353420 ) ( * 3353590 )
NEW met3 ( 2900990 3353420 ) ( 2917780 * 0 )
NEW met1 ( 466210 1248650 ) ( 914250 * )
NEW met2 ( 466210 1207340 0 ) ( * 1248650 )
NEW met2 ( 914250 1248650 ) ( * 3353590 )
NEW met1 ( 914250 3353590 ) ( 2900990 * )
NEW met1 ( 2900990 3353590 ) M1M2_PR
NEW met2 ( 2900990 3353420 ) M2M3_PR_M
NEW met1 ( 466210 1248650 ) M1M2_PR
NEW met1 ( 914250 1248650 ) M1M2_PR
NEW met1 ( 914250 3353590 ) M1M2_PR ;
- io_in[15] ( PIN io_in[15] ) ( mprj io_in[15] ) + USE SIGNAL
+ ROUTED met2 ( 485070 1207340 0 ) ( * 1245590 )
NEW met2 ( 2798410 3501490 ) ( * 3517980 0 )
NEW met1 ( 485070 1245590 ) ( 2397750 * )
NEW met1 ( 2397750 3501490 ) ( 2798410 * )
NEW met2 ( 2397750 1245590 ) ( * 3501490 )
NEW met1 ( 485070 1245590 ) M1M2_PR
NEW met1 ( 2798410 3501490 ) M1M2_PR
NEW met1 ( 2397750 1245590 ) M1M2_PR
NEW met1 ( 2397750 3501490 ) M1M2_PR ;
- io_in[16] ( PIN io_in[16] ) ( mprj io_in[16] ) + USE SIGNAL
+ ROUTED met2 ( 503930 1207340 0 ) ( * 1214650 )
NEW met1 ( 503930 1214650 ) ( 510370 * )
NEW met2 ( 510370 1214650 ) ( * 1259190 )
NEW met2 ( 2404650 1259190 ) ( * 3501830 )
NEW met1 ( 510370 1259190 ) ( 2404650 * )
NEW met1 ( 2404650 3501830 ) ( 2474110 * )
NEW met2 ( 2474110 3501830 ) ( * 3517980 0 )
NEW met1 ( 510370 1259190 ) M1M2_PR
NEW met1 ( 2404650 1259190 ) M1M2_PR
NEW met1 ( 2404650 3501830 ) M1M2_PR
NEW met1 ( 503930 1214650 ) M1M2_PR
NEW met1 ( 510370 1214650 ) M1M2_PR
NEW met1 ( 2474110 3501830 ) M1M2_PR ;
- io_in[17] ( PIN io_in[17] ) ( mprj io_in[17] ) + USE SIGNAL
+ ROUTED met2 ( 1638750 1266670 ) ( * 3502850 )
NEW met2 ( 2149350 3502850 ) ( * 3517980 0 )
NEW met1 ( 523710 1266670 ) ( 1638750 * )
NEW met1 ( 1638750 3502850 ) ( 2149350 * )
NEW met2 ( 522790 1207340 0 ) ( 523710 * )
NEW met2 ( 523710 1207340 ) ( * 1266670 )
NEW met1 ( 1638750 1266670 ) M1M2_PR
NEW met1 ( 1638750 3502850 ) M1M2_PR
NEW met1 ( 2149350 3502850 ) M1M2_PR
NEW met1 ( 523710 1266670 ) M1M2_PR ;
- io_in[18] ( PIN io_in[18] ) ( mprj io_in[18] ) + USE SIGNAL
+ ROUTED met2 ( 1666350 1279930 ) ( * 3503190 )
NEW met2 ( 1825050 3503190 ) ( * 3517980 0 )
NEW met1 ( 543950 1279930 ) ( 1666350 * )
NEW met1 ( 1666350 3503190 ) ( 1825050 * )
NEW met2 ( 541650 1207340 0 ) ( 543950 * )
NEW met2 ( 543950 1207340 ) ( * 1279930 )
NEW met1 ( 1666350 1279930 ) M1M2_PR
NEW met1 ( 1666350 3503190 ) M1M2_PR
NEW met1 ( 1825050 3503190 ) M1M2_PR
NEW met1 ( 543950 1279930 ) M1M2_PR ;
- io_in[19] ( PIN io_in[19] ) ( mprj io_in[19] ) + USE SIGNAL
+ ROUTED met2 ( 560510 1207340 0 ) ( * 1224850 )
NEW met1 ( 560510 1224850 ) ( 1497530 * )
NEW met2 ( 1497530 3517980 ) ( 1499830 * )
NEW met2 ( 1499830 3517300 ) ( * 3517980 )
NEW met2 ( 1499830 3517300 ) ( 1500750 * )
NEW met2 ( 1500750 3517300 ) ( * 3517980 0 )
NEW met2 ( 1497530 1224850 ) ( * 3517980 )
NEW met1 ( 560510 1224850 ) M1M2_PR
NEW met1 ( 1497530 1224850 ) M1M2_PR ;
- io_in[1] ( PIN io_in[1] ) ( mprj io_in[1] ) + USE SIGNAL
+ ROUTED met2 ( 221490 1207340 0 ) ( * 1221790 )
NEW met2 ( 1279950 234430 ) ( * 1221790 )
NEW met2 ( 2900990 231540 ) ( * 234430 )
NEW met3 ( 2900990 231540 ) ( 2917780 * 0 )
NEW met1 ( 221490 1221790 ) ( 1279950 * )
NEW met1 ( 1279950 234430 ) ( 2900990 * )
NEW met1 ( 221490 1221790 ) M1M2_PR
NEW met1 ( 1279950 234430 ) M1M2_PR
NEW met1 ( 1279950 1221790 ) M1M2_PR
NEW met1 ( 2900990 234430 ) M1M2_PR
NEW met2 ( 2900990 231540 ) M2M3_PR_M ;
- io_in[20] ( PIN io_in[20] ) ( mprj io_in[20] ) + USE SIGNAL
+ ROUTED met2 ( 579370 1207340 0 ) ( * 1225870 )
NEW met2 ( 1173230 3517980 ) ( 1175070 * )
NEW met2 ( 1175070 3517300 ) ( * 3517980 )
NEW met2 ( 1175070 3517300 ) ( 1175990 * )
NEW met2 ( 1175990 3517300 ) ( * 3517980 0 )
NEW met2 ( 1173230 1225870 ) ( * 3517980 )
NEW met1 ( 579370 1225870 ) ( 1173230 * )
NEW met1 ( 579370 1225870 ) M1M2_PR
NEW met1 ( 1173230 1225870 ) M1M2_PR ;
- io_in[21] ( PIN io_in[21] ) ( mprj io_in[21] ) + USE SIGNAL
+ ROUTED met2 ( 598230 1207340 0 ) ( 600070 * )
NEW met2 ( 600070 1207340 ) ( * 1682830 )
NEW met2 ( 890330 1682830 ) ( * 3501830 )
NEW met1 ( 851690 3501830 ) ( 890330 * )
NEW met1 ( 600070 1682830 ) ( 890330 * )
NEW met2 ( 851690 3501830 ) ( * 3517980 0 )
NEW met1 ( 890330 3501830 ) M1M2_PR
NEW met1 ( 600070 1682830 ) M1M2_PR
NEW met1 ( 890330 1682830 ) M1M2_PR
NEW met1 ( 851690 3501830 ) M1M2_PR ;
- io_in[22] ( PIN io_in[22] ) ( mprj io_in[22] ) + USE SIGNAL
+ ROUTED met2 ( 884350 1219410 ) ( * 2128570 )
NEW met1 ( 527390 3501830 ) ( 531070 * )
NEW met1 ( 531070 2128570 ) ( 884350 * )
NEW met2 ( 531070 2128570 ) ( * 3501830 )
NEW met2 ( 527390 3501830 ) ( * 3517980 0 )
NEW met2 ( 616630 1207340 0 ) ( * 1219410 )
NEW met1 ( 616630 1219410 ) ( 884350 * )
NEW met1 ( 884350 2128570 ) M1M2_PR
NEW met1 ( 884350 1219410 ) M1M2_PR
NEW met1 ( 531070 2128570 ) M1M2_PR
NEW met1 ( 527390 3501830 ) M1M2_PR
NEW met1 ( 531070 3501830 ) M1M2_PR
NEW met1 ( 616630 1219410 ) M1M2_PR ;
- io_in[23] ( PIN io_in[23] ) ( mprj io_in[23] ) + USE SIGNAL
+ ROUTED met1 ( 198950 3498430 ) ( 202630 * )
NEW met2 ( 198950 1693370 ) ( * 3498430 )
NEW met2 ( 202630 3498430 ) ( * 3517980 0 )
NEW met2 ( 635030 1207340 ) ( 635490 * 0 )
NEW met1 ( 198950 1693370 ) ( 635030 * )
NEW met2 ( 635030 1207340 ) ( * 1693370 )
NEW met1 ( 198950 3498430 ) M1M2_PR
NEW met1 ( 202630 3498430 ) M1M2_PR
NEW met1 ( 198950 1693370 ) M1M2_PR
NEW met1 ( 635030 1693370 ) M1M2_PR ;
- io_in[24] ( PIN io_in[24] ) ( mprj io_in[24] ) + USE SIGNAL
+ ROUTED met3 ( 1380 3421420 0 ) ( 14030 * )
NEW met2 ( 14030 3418190 ) ( * 3421420 )
NEW met1 ( 14030 3418190 ) ( 31050 * )
NEW met2 ( 31050 1245930 ) ( * 3418190 )
NEW met1 ( 31050 1245930 ) ( 654350 * )
NEW met2 ( 654350 1207340 0 ) ( * 1245930 )
NEW met1 ( 31050 1245930 ) M1M2_PR
NEW met2 ( 14030 3421420 ) M2M3_PR_M
NEW met1 ( 14030 3418190 ) M1M2_PR
NEW met1 ( 31050 3418190 ) M1M2_PR
NEW met1 ( 654350 1245930 ) M1M2_PR ;
- io_in[25] ( PIN io_in[25] ) ( mprj io_in[25] ) + USE SIGNAL
+ ROUTED met3 ( 1380 3160300 0 ) ( 17710 * )
NEW met2 ( 17710 3160300 ) ( * 3160470 )
NEW met2 ( 673210 1207340 0 ) ( * 1226550 )
NEW met1 ( 17710 3160470 ) ( 175950 * )
NEW met2 ( 175950 1226550 ) ( * 3160470 )
NEW met1 ( 175950 1226550 ) ( 673210 * )
NEW met2 ( 17710 3160300 ) M2M3_PR_M
NEW met1 ( 17710 3160470 ) M1M2_PR
NEW met1 ( 673210 1226550 ) M1M2_PR
NEW met1 ( 175950 1226550 ) M1M2_PR
NEW met1 ( 175950 3160470 ) M1M2_PR ;
- io_in[26] ( PIN io_in[26] ) ( mprj io_in[26] ) + USE SIGNAL
+ ROUTED met3 ( 1380 2899860 0 ) ( 16790 * )
NEW met2 ( 16790 2898330 ) ( * 2899860 )
NEW met2 ( 692070 1207340 0 ) ( * 1226210 )
NEW met1 ( 16790 2898330 ) ( 155250 * )
NEW met2 ( 155250 1226210 ) ( * 2898330 )
NEW met1 ( 155250 1226210 ) ( 692070 * )
NEW met2 ( 16790 2899860 ) M2M3_PR_M
NEW met1 ( 16790 2898330 ) M1M2_PR
NEW met1 ( 692070 1226210 ) M1M2_PR
NEW met1 ( 155250 2898330 ) M1M2_PR
NEW met1 ( 155250 1226210 ) M1M2_PR ;
- io_in[27] ( PIN io_in[27] ) ( mprj io_in[27] ) + USE SIGNAL
+ ROUTED met3 ( 1380 2639420 0 ) ( 16790 * )
NEW met2 ( 16790 2635850 ) ( * 2639420 )
NEW met1 ( 16790 2635850 ) ( 51750 * )
NEW met1 ( 51750 1253750 ) ( 710930 * )
NEW met2 ( 51750 1253750 ) ( * 2635850 )
NEW met2 ( 710930 1207340 0 ) ( * 1253750 )
NEW met2 ( 16790 2639420 ) M2M3_PR_M
NEW met1 ( 16790 2635850 ) M1M2_PR
NEW met1 ( 51750 1253750 ) M1M2_PR
NEW met1 ( 51750 2635850 ) M1M2_PR
NEW met1 ( 710930 1253750 ) M1M2_PR ;
- io_in[28] ( PIN io_in[28] ) ( mprj io_in[28] ) + USE SIGNAL
+ ROUTED met3 ( 1380 2378300 0 ) ( 16790 * )
NEW met2 ( 16790 2373710 ) ( * 2378300 )
NEW met1 ( 16790 2373710 ) ( 65550 * )
NEW met2 ( 65550 1225530 ) ( * 2373710 )
NEW met2 ( 729790 1207340 0 ) ( * 1225530 )
NEW met1 ( 65550 1225530 ) ( 729790 * )
NEW met2 ( 16790 2378300 ) M2M3_PR_M
NEW met1 ( 16790 2373710 ) M1M2_PR
NEW met1 ( 65550 1225530 ) M1M2_PR
NEW met1 ( 65550 2373710 ) M1M2_PR
NEW met1 ( 729790 1225530 ) M1M2_PR ;
- io_in[29] ( PIN io_in[29] ) ( mprj io_in[29] ) + USE SIGNAL
+ ROUTED met3 ( 1380 2117860 0 ) ( 15870 * )
NEW met2 ( 15870 2111570 ) ( * 2117860 )
NEW met2 ( 127650 1404030 ) ( * 2111570 )
NEW met1 ( 15870 2111570 ) ( 127650 * )
NEW met2 ( 746810 1207340 ) ( 748650 * 0 )
NEW met2 ( 745430 1338600 ) ( 746810 * )
NEW met2 ( 746810 1207340 ) ( * 1338600 )
NEW met1 ( 127650 1404030 ) ( 745430 * )
NEW met2 ( 745430 1338600 ) ( * 1404030 )
NEW met2 ( 15870 2117860 ) M2M3_PR_M
NEW met1 ( 15870 2111570 ) M1M2_PR
NEW met1 ( 127650 2111570 ) M1M2_PR
NEW met1 ( 127650 1404030 ) M1M2_PR
NEW met1 ( 745430 1404030 ) M1M2_PR ;
- io_in[2] ( PIN io_in[2] ) ( mprj io_in[2] ) + USE SIGNAL
+ ROUTED met2 ( 2900990 430780 ) ( * 434690 )
NEW met3 ( 2900990 430780 ) ( 2917780 * 0 )
NEW met2 ( 240350 1207340 0 ) ( * 1222130 )
NEW met1 ( 240350 1222130 ) ( 1293750 * )
NEW met2 ( 1293750 434690 ) ( * 1222130 )
NEW met1 ( 1293750 434690 ) ( 2900990 * )
NEW met1 ( 2900990 434690 ) M1M2_PR
NEW met2 ( 2900990 430780 ) M2M3_PR_M
NEW met1 ( 240350 1222130 ) M1M2_PR
NEW met1 ( 1293750 434690 ) M1M2_PR
NEW met1 ( 1293750 1222130 ) M1M2_PR ;
- io_in[30] ( PIN io_in[30] ) ( mprj io_in[30] ) + USE SIGNAL
+ ROUTED met3 ( 1380 1856740 0 ) ( 16790 * )
NEW met2 ( 16790 1856230 ) ( * 1856740 )
NEW met2 ( 766130 1207340 ) ( 767510 * 0 )
NEW met2 ( 766130 1207340 ) ( * 1260890 )
NEW met1 ( 16790 1856230 ) ( 72450 * )
NEW met1 ( 72450 1260890 ) ( 766130 * )
NEW met2 ( 72450 1260890 ) ( * 1856230 )
NEW met2 ( 16790 1856740 ) M2M3_PR_M
NEW met1 ( 16790 1856230 ) M1M2_PR
NEW met1 ( 766130 1260890 ) M1M2_PR
NEW met1 ( 72450 1260890 ) M1M2_PR
NEW met1 ( 72450 1856230 ) M1M2_PR ;
- io_in[31] ( PIN io_in[31] ) ( mprj io_in[31] ) + USE SIGNAL
+ ROUTED met3 ( 1380 1596300 0 ) ( 17250 * )
NEW met2 ( 17250 1594090 ) ( * 1596300 )
NEW met2 ( 784530 1207340 ) ( 786370 * 0 )
NEW met2 ( 780390 1338600 ) ( 784530 * )
NEW met2 ( 784530 1207340 ) ( * 1338600 )
NEW met2 ( 780390 1338600 ) ( * 1594090 )
NEW met1 ( 17250 1594090 ) ( 780390 * )
NEW met2 ( 17250 1596300 ) M2M3_PR_M
NEW met1 ( 17250 1594090 ) M1M2_PR
NEW met1 ( 780390 1594090 ) M1M2_PR ;
- io_in[32] ( PIN io_in[32] ) ( mprj io_in[32] ) + USE SIGNAL
+ ROUTED met3 ( 1380 1335860 0 ) ( 15410 * )
NEW met2 ( 15410 1331950 ) ( * 1335860 )
NEW met2 ( 802930 1207340 ) ( 804770 * 0 )
NEW met2 ( 802930 1207340 ) ( * 1331950 )
NEW met1 ( 15410 1331950 ) ( 802930 * )
NEW met2 ( 15410 1335860 ) M2M3_PR_M
NEW met1 ( 15410 1331950 ) M1M2_PR
NEW met1 ( 802930 1331950 ) M1M2_PR ;
- io_in[33] ( PIN io_in[33] ) ( mprj io_in[33] ) + USE SIGNAL
+ ROUTED met3 ( 1380 1074740 0 ) ( 16790 * )
NEW met2 ( 16790 1074740 ) ( * 1076270 )
NEW met2 ( 127650 1076270 ) ( * 1224170 )
NEW met1 ( 16790 1076270 ) ( 127650 * )
NEW met2 ( 823630 1207340 0 ) ( * 1224170 )
NEW met1 ( 127650 1224170 ) ( 823630 * )
NEW met2 ( 16790 1074740 ) M2M3_PR_M
NEW met1 ( 16790 1076270 ) M1M2_PR
NEW met1 ( 127650 1076270 ) M1M2_PR
NEW met1 ( 127650 1224170 ) M1M2_PR
NEW met1 ( 823630 1224170 ) M1M2_PR ;
- io_in[34] ( PIN io_in[34] ) ( mprj io_in[34] ) + USE SIGNAL
+ ROUTED met3 ( 1380 814300 0 ) ( 16330 * )
NEW met2 ( 16330 814300 ) ( * 820930 )
NEW met1 ( 16330 820930 ) ( 169050 * )
NEW met2 ( 169050 820930 ) ( * 1225190 )
NEW met2 ( 842490 1207340 0 ) ( * 1225190 )
NEW met1 ( 169050 1225190 ) ( 842490 * )
NEW met2 ( 16330 814300 ) M2M3_PR_M
NEW met1 ( 16330 820930 ) M1M2_PR
NEW met1 ( 169050 820930 ) M1M2_PR
NEW met1 ( 169050 1225190 ) M1M2_PR
NEW met1 ( 842490 1225190 ) M1M2_PR ;
- io_in[35] ( PIN io_in[35] ) ( mprj io_in[35] ) + USE SIGNAL
+ ROUTED met3 ( 1380 553180 0 ) ( 17250 * )
NEW met2 ( 17250 553180 ) ( * 558790 )
NEW met2 ( 861350 1207340 0 ) ( * 1224510 )
NEW met1 ( 17250 558790 ) ( 176410 * )
NEW met2 ( 176410 558790 ) ( * 1224510 )
NEW met1 ( 176410 1224510 ) ( 861350 * )
NEW met2 ( 17250 553180 ) M2M3_PR_M
NEW met1 ( 17250 558790 ) M1M2_PR
NEW met1 ( 861350 1224510 ) M1M2_PR
NEW met1 ( 176410 558790 ) M1M2_PR
NEW met1 ( 176410 1224510 ) M1M2_PR ;
- io_in[36] ( PIN io_in[36] ) ( mprj io_in[36] ) + USE SIGNAL
+ ROUTED met3 ( 1380 358020 0 ) ( 17250 * )
NEW met2 ( 17250 358020 ) ( * 358530 )
NEW met2 ( 880210 1207340 0 ) ( * 1223830 )
NEW met1 ( 17250 358530 ) ( 141450 * )
NEW met2 ( 141450 358530 ) ( * 1223830 )
NEW met1 ( 141450 1223830 ) ( 880210 * )
NEW met2 ( 17250 358020 ) M2M3_PR_M
NEW met1 ( 17250 358530 ) M1M2_PR
NEW met1 ( 880210 1223830 ) M1M2_PR
NEW met1 ( 141450 358530 ) M1M2_PR
NEW met1 ( 141450 1223830 ) M1M2_PR ;
- io_in[37] ( PIN io_in[37] ) ( mprj io_in[37] ) + USE SIGNAL
+ ROUTED met3 ( 1380 162180 0 ) ( 14030 * )
NEW met2 ( 14030 162180 ) ( * 164050 )
NEW met1 ( 14030 164050 ) ( 31050 * )
NEW met2 ( 31050 164050 ) ( * 1223490 )
NEW met2 ( 899070 1207340 0 ) ( * 1223490 )
NEW met1 ( 31050 1223490 ) ( 899070 * )
NEW met2 ( 14030 162180 ) M2M3_PR_M
NEW met1 ( 14030 164050 ) M1M2_PR
NEW met1 ( 31050 164050 ) M1M2_PR
NEW met1 ( 31050 1223490 ) M1M2_PR
NEW met1 ( 899070 1223490 ) M1M2_PR ;
- io_in[3] ( PIN io_in[3] ) ( mprj io_in[3] ) + USE SIGNAL
+ ROUTED met2 ( 2900990 630020 ) ( * 634610 )
NEW met3 ( 2900990 630020 ) ( 2917780 * 0 )
NEW met2 ( 259210 1207340 0 ) ( * 1222470 )
NEW met1 ( 259210 1222470 ) ( 1307550 * )
NEW met2 ( 1307550 634610 ) ( * 1222470 )
NEW met1 ( 1307550 634610 ) ( 2900990 * )
NEW met1 ( 2900990 634610 ) M1M2_PR
NEW met2 ( 2900990 630020 ) M2M3_PR_M
NEW met1 ( 259210 1222470 ) M1M2_PR
NEW met1 ( 1307550 634610 ) M1M2_PR
NEW met1 ( 1307550 1222470 ) M1M2_PR ;
- io_in[4] ( PIN io_in[4] ) ( mprj io_in[4] ) + USE SIGNAL
+ ROUTED met2 ( 278070 1207340 0 ) ( * 1222810 )
NEW met2 ( 2900990 829260 ) ( * 834870 )
NEW met3 ( 2900990 829260 ) ( 2917780 * 0 )
NEW met1 ( 278070 1222810 ) ( 1314450 * )
NEW met2 ( 1314450 834870 ) ( * 1222810 )
NEW met1 ( 1314450 834870 ) ( 2900990 * )
NEW met1 ( 278070 1222810 ) M1M2_PR
NEW met1 ( 2900990 834870 ) M1M2_PR
NEW met2 ( 2900990 829260 ) M2M3_PR_M
NEW met1 ( 1314450 834870 ) M1M2_PR
NEW met1 ( 1314450 1222810 ) M1M2_PR ;
- io_in[5] ( PIN io_in[5] ) ( mprj io_in[5] ) + USE SIGNAL
+ ROUTED met2 ( 296930 1207340 0 ) ( * 1223150 )
NEW met2 ( 2899610 1028500 ) ( * 1034790 )
NEW met3 ( 2899610 1028500 ) ( 2917780 * 0 )
NEW met1 ( 296930 1223150 ) ( 1328250 * )
NEW met2 ( 1328250 1034790 ) ( * 1223150 )
NEW met1 ( 1328250 1034790 ) ( 2899610 * )
NEW met1 ( 296930 1223150 ) M1M2_PR
NEW met1 ( 2899610 1034790 ) M1M2_PR
NEW met2 ( 2899610 1028500 ) M2M3_PR_M
NEW met1 ( 1328250 1034790 ) M1M2_PR
NEW met1 ( 1328250 1223150 ) M1M2_PR ;
- io_in[6] ( PIN io_in[6] ) ( mprj io_in[6] ) + USE SIGNAL
+ ROUTED met2 ( 315790 1207340 0 ) ( * 1218730 )
NEW met2 ( 503930 1218730 ) ( * 1221450 )
NEW met2 ( 2899150 1221450 ) ( * 1227740 )
NEW met3 ( 2899150 1227740 ) ( 2917780 * 0 )
NEW met1 ( 315790 1218730 ) ( 503930 * )
NEW met1 ( 503930 1221450 ) ( 2899150 * )
NEW met1 ( 315790 1218730 ) M1M2_PR
NEW met1 ( 503930 1218730 ) M1M2_PR
NEW met1 ( 503930 1221450 ) M1M2_PR
NEW met1 ( 2899150 1221450 ) M1M2_PR
NEW met2 ( 2899150 1227740 ) M2M3_PR_M ;
- io_in[7] ( PIN io_in[7] ) ( mprj io_in[7] ) + USE SIGNAL
+ ROUTED met2 ( 2900990 1490730 ) ( * 1493620 )
NEW met3 ( 2900990 1493620 ) ( 2917780 * 0 )
NEW met2 ( 334650 1207340 0 ) ( 336950 * )
NEW met2 ( 336950 1207340 ) ( * 1242000 )
NEW met2 ( 336950 1242000 ) ( 337870 * )
NEW met2 ( 337870 1242000 ) ( * 1490730 )
NEW met1 ( 337870 1490730 ) ( 2900990 * )
NEW met1 ( 2900990 1490730 ) M1M2_PR
NEW met2 ( 2900990 1493620 ) M2M3_PR_M
NEW met1 ( 337870 1490730 ) M1M2_PR ;
- io_in[8] ( PIN io_in[8] ) ( mprj io_in[8] ) + USE SIGNAL
+ ROUTED met2 ( 2900990 1759500 ) ( * 1759670 )
NEW met3 ( 2900990 1759500 ) ( 2917780 * 0 )
NEW met2 ( 2411550 1286730 ) ( * 1759670 )
NEW met1 ( 358570 1286730 ) ( 2411550 * )
NEW met1 ( 2411550 1759670 ) ( 2900990 * )
NEW met2 ( 353510 1207340 0 ) ( * 1221110 )
NEW met1 ( 353510 1221110 ) ( 358570 * )
NEW met2 ( 358570 1221110 ) ( * 1286730 )
NEW met1 ( 2411550 1286730 ) M1M2_PR
NEW met1 ( 2411550 1759670 ) M1M2_PR
NEW met1 ( 2900990 1759670 ) M1M2_PR
NEW met2 ( 2900990 1759500 ) M2M3_PR_M
NEW met1 ( 358570 1286730 ) M1M2_PR
NEW met1 ( 353510 1221110 ) M1M2_PR
NEW met1 ( 358570 1221110 ) M1M2_PR ;
- io_in[9] ( PIN io_in[9] ) ( mprj io_in[9] ) + USE SIGNAL
+ ROUTED met2 ( 2900990 2021810 ) ( * 2024700 )
NEW met3 ( 2900990 2024700 ) ( 2917780 * 0 )
NEW met2 ( 2418450 1252390 ) ( * 2021810 )
NEW met1 ( 372370 1252390 ) ( 2418450 * )
NEW met1 ( 2418450 2021810 ) ( 2900990 * )
NEW met2 ( 372370 1207340 0 ) ( * 1252390 )
NEW met1 ( 2418450 1252390 ) M1M2_PR
NEW met1 ( 2418450 2021810 ) M1M2_PR
NEW met1 ( 2900990 2021810 ) M1M2_PR
NEW met2 ( 2900990 2024700 ) M2M3_PR_M
NEW met1 ( 372370 1252390 ) M1M2_PR ;
- io_oeb[0] ( PIN io_oeb[0] ) ( mprj io_oeb[0] ) + USE SIGNAL
+ ROUTED met2 ( 209070 1207340 0 ) ( * 1221620 )
NEW met2 ( 2900990 165410 ) ( * 165580 )
NEW met3 ( 2900990 165580 ) ( 2917780 * 0 )
NEW met3 ( 209070 1221620 ) ( 1335150 * )
NEW met2 ( 1335150 165410 ) ( * 1221620 )
NEW met1 ( 1335150 165410 ) ( 2900990 * )
NEW met2 ( 209070 1221620 ) M2M3_PR_M
NEW met1 ( 2900990 165410 ) M1M2_PR
NEW met2 ( 2900990 165580 ) M2M3_PR_M
NEW met1 ( 1335150 165410 ) M1M2_PR
NEW met2 ( 1335150 1221620 ) M2M3_PR_M ;
- io_oeb[10] ( PIN io_oeb[10] ) ( mprj io_oeb[10] ) + USE SIGNAL
+ ROUTED met2 ( 2900990 2421990 ) ( * 2423180 )
NEW met3 ( 2900990 2423180 ) ( 2917780 * 0 )
NEW met2 ( 397210 1207340 0 ) ( * 1254430 )
NEW met1 ( 397210 1254430 ) ( 928050 * )
NEW met1 ( 928050 2421990 ) ( 2900990 * )
NEW met2 ( 928050 1254430 ) ( * 2421990 )
NEW met1 ( 397210 1254430 ) M1M2_PR
NEW met1 ( 2900990 2421990 ) M1M2_PR
NEW met2 ( 2900990 2423180 ) M2M3_PR_M
NEW met1 ( 928050 1254430 ) M1M2_PR
NEW met1 ( 928050 2421990 ) M1M2_PR ;
- io_oeb[11] ( PIN io_oeb[11] ) ( mprj io_oeb[11] ) + USE SIGNAL
+ ROUTED met2 ( 416070 1207340 0 ) ( * 1246950 )
NEW met2 ( 2899610 2684130 ) ( * 2689060 )
NEW met3 ( 2899610 2689060 ) ( 2917780 * 0 )
NEW met1 ( 416070 1246950 ) ( 934950 * )
NEW met2 ( 934950 1246950 ) ( * 2684130 )
NEW met1 ( 934950 2684130 ) ( 2899610 * )
NEW met1 ( 416070 1246950 ) M1M2_PR
NEW met1 ( 2899610 2684130 ) M1M2_PR
NEW met2 ( 2899610 2689060 ) M2M3_PR_M
NEW met1 ( 934950 1246950 ) M1M2_PR
NEW met1 ( 934950 2684130 ) M1M2_PR ;
- io_oeb[12] ( PIN io_oeb[12] ) ( mprj io_oeb[12] ) + USE SIGNAL
+ ROUTED met2 ( 2900990 2953410 ) ( * 2954940 )
NEW met3 ( 2900990 2954940 ) ( 2917780 * 0 )
NEW met2 ( 434930 1207340 0 ) ( * 1221110 )
NEW met1 ( 434930 1221110 ) ( 440910 * )
NEW met2 ( 440910 1221110 ) ( * 1300670 )
NEW met1 ( 440910 1300670 ) ( 1293750 * )
NEW met2 ( 1293750 1300670 ) ( * 2953410 )
NEW met1 ( 1293750 2953410 ) ( 2900990 * )
NEW met1 ( 2900990 2953410 ) M1M2_PR
NEW met2 ( 2900990 2954940 ) M2M3_PR_M
NEW met1 ( 434930 1221110 ) M1M2_PR
NEW met1 ( 440910 1221110 ) M1M2_PR
NEW met1 ( 440910 1300670 ) M1M2_PR
NEW met1 ( 1293750 1300670 ) M1M2_PR
NEW met1 ( 1293750 2953410 ) M1M2_PR ;
- io_oeb[13] ( PIN io_oeb[13] ) ( mprj io_oeb[13] ) + USE SIGNAL
+ ROUTED met2 ( 2900990 3215550 ) ( * 3220140 )
NEW met3 ( 2900990 3220140 ) ( 2917780 * 0 )
NEW met1 ( 453790 1255110 ) ( 948750 * )
NEW met1 ( 948750 3215550 ) ( 2900990 * )
NEW met2 ( 453790 1207340 0 ) ( * 1255110 )
NEW met2 ( 948750 1255110 ) ( * 3215550 )
NEW met1 ( 2900990 3215550 ) M1M2_PR
NEW met2 ( 2900990 3220140 ) M2M3_PR_M
NEW met1 ( 453790 1255110 ) M1M2_PR
NEW met1 ( 948750 1255110 ) M1M2_PR
NEW met1 ( 948750 3215550 ) M1M2_PR ;
- io_oeb[14] ( PIN io_oeb[14] ) ( mprj io_oeb[14] ) + USE SIGNAL
+ ROUTED met2 ( 2900990 3484830 ) ( * 3486020 )
NEW met3 ( 2900990 3486020 ) ( 2917780 * 0 )
NEW met2 ( 472650 1207340 0 ) ( * 1247630 )
NEW met2 ( 955650 1247630 ) ( * 3484830 )
NEW met1 ( 472650 1247630 ) ( 955650 * )
NEW met1 ( 955650 3484830 ) ( 2900990 * )
NEW met1 ( 472650 1247630 ) M1M2_PR
NEW met1 ( 955650 1247630 ) M1M2_PR
NEW met1 ( 955650 3484830 ) M1M2_PR
NEW met1 ( 2900990 3484830 ) M1M2_PR
NEW met2 ( 2900990 3486020 ) M2M3_PR_M ;
- io_oeb[15] ( PIN io_oeb[15] ) ( mprj io_oeb[15] ) + USE SIGNAL
+ ROUTED met2 ( 491510 1207340 0 ) ( * 1221110 )
NEW met1 ( 491510 1221110 ) ( 500250 * )
NEW met2 ( 500250 1221110 ) ( * 1307470 )
NEW met2 ( 2636030 1307470 ) ( * 3517980 0 )
NEW met1 ( 500250 1307470 ) ( 2636030 * )
NEW met1 ( 491510 1221110 ) M1M2_PR
NEW met1 ( 500250 1221110 ) M1M2_PR
NEW met1 ( 500250 1307470 ) M1M2_PR
NEW met1 ( 2636030 1307470 ) M1M2_PR ;
- io_oeb[16] ( PIN io_oeb[16] ) ( mprj io_oeb[16] ) + USE SIGNAL
+ ROUTED met2 ( 509910 1207340 ) ( 510370 * 0 )
NEW met2 ( 509910 1207340 ) ( * 1252730 )
NEW met2 ( 1652550 1252730 ) ( * 3502510 )
NEW met2 ( 2311730 3502510 ) ( * 3517980 0 )
NEW met1 ( 509910 1252730 ) ( 1652550 * )
NEW met1 ( 1652550 3502510 ) ( 2311730 * )
NEW met1 ( 509910 1252730 ) M1M2_PR
NEW met1 ( 1652550 1252730 ) M1M2_PR
NEW met1 ( 1652550 3502510 ) M1M2_PR
NEW met1 ( 2311730 3502510 ) M1M2_PR ;
- io_oeb[17] ( PIN io_oeb[17] ) ( mprj io_oeb[17] ) + USE SIGNAL
+ ROUTED met2 ( 1673250 1259870 ) ( * 3501830 )
NEW met1 ( 530610 1259870 ) ( 1673250 * )
NEW met1 ( 1673250 3501830 ) ( 1987430 * )
NEW met2 ( 529230 1207340 0 ) ( 530610 * )
NEW met2 ( 530610 1207340 ) ( * 1259870 )
NEW met2 ( 1987430 3501830 ) ( * 3517980 0 )
NEW met1 ( 1673250 1259870 ) M1M2_PR
NEW met1 ( 1673250 3501830 ) M1M2_PR
NEW met1 ( 530610 1259870 ) M1M2_PR
NEW met1 ( 1987430 3501830 ) M1M2_PR ;
- io_oeb[18] ( PIN io_oeb[18] ) ( mprj io_oeb[18] ) + USE SIGNAL
+ ROUTED met2 ( 969450 1255450 ) ( * 3501830 )
NEW met2 ( 1662670 3501830 ) ( * 3517980 0 )
NEW met1 ( 547630 1255450 ) ( 969450 * )
NEW met1 ( 969450 3501830 ) ( 1662670 * )
NEW met2 ( 547630 1207340 0 ) ( * 1255450 )
NEW met1 ( 969450 1255450 ) M1M2_PR
NEW met1 ( 969450 3501830 ) M1M2_PR
NEW met1 ( 1662670 3501830 ) M1M2_PR
NEW met1 ( 547630 1255450 ) M1M2_PR ;
- io_oeb[19] ( PIN io_oeb[19] ) ( mprj io_oeb[19] ) + USE SIGNAL
+ ROUTED met2 ( 566490 1207340 0 ) ( * 1221110 )
NEW met1 ( 566490 1221110 ) ( 572470 * )
NEW met2 ( 572470 1221110 ) ( * 1260550 )
NEW met1 ( 572470 1260550 ) ( 1331930 * )
NEW met1 ( 1331930 3487890 ) ( 1338370 * )
NEW met2 ( 1331930 1260550 ) ( * 3487890 )
NEW met2 ( 1338370 3487890 ) ( * 3517980 0 )
NEW met1 ( 572470 1260550 ) M1M2_PR
NEW met1 ( 566490 1221110 ) M1M2_PR
NEW met1 ( 572470 1221110 ) M1M2_PR
NEW met1 ( 1331930 1260550 ) M1M2_PR
NEW met1 ( 1331930 3487890 ) M1M2_PR
NEW met1 ( 1338370 3487890 ) M1M2_PR ;
- io_oeb[1] ( PIN io_oeb[1] ) ( mprj io_oeb[1] ) + USE SIGNAL
+ ROUTED met2 ( 1342050 365670 ) ( * 1201730 )
NEW met2 ( 2900070 364820 ) ( * 365670 )
NEW met3 ( 2900070 364820 ) ( 2917780 * 0 )
NEW li1 ( 229770 1201730 ) ( * 1205810 )
NEW met2 ( 229770 1205810 ) ( * 1205980 )
NEW met2 ( 227930 1205980 0 ) ( 229770 * )
NEW met1 ( 229770 1201730 ) ( 1342050 * )
NEW met1 ( 1342050 365670 ) ( 2900070 * )
NEW met1 ( 1342050 365670 ) M1M2_PR
NEW met1 ( 1342050 1201730 ) M1M2_PR
NEW met1 ( 2900070 365670 ) M1M2_PR
NEW met2 ( 2900070 364820 ) M2M3_PR_M
NEW li1 ( 229770 1201730 ) L1M1_PR_MR
NEW li1 ( 229770 1205810 ) L1M1_PR_MR
NEW met1 ( 229770 1205810 ) M1M2_PR
NEW met1 ( 229770 1205810 ) RECT ( -355 -70 0 70 ) ;
- io_oeb[20] ( PIN io_oeb[20] ) ( mprj io_oeb[20] ) + USE SIGNAL
+ ROUTED met2 ( 585350 1207340 0 ) ( * 1221110 )
NEW met1 ( 585350 1221110 ) ( 589950 * )
NEW met2 ( 589950 1221110 ) ( * 1261910 )
NEW met1 ( 589950 1261910 ) ( 1007630 * )
NEW met1 ( 1007630 3515090 ) ( 1014070 * )
NEW met2 ( 1014070 3515090 ) ( * 3517980 0 )
NEW met2 ( 1007630 1261910 ) ( * 3515090 )
NEW met1 ( 589950 1261910 ) M1M2_PR
NEW met1 ( 585350 1221110 ) M1M2_PR
NEW met1 ( 589950 1221110 ) M1M2_PR
NEW met1 ( 1007630 1261910 ) M1M2_PR
NEW met1 ( 1007630 3515090 ) M1M2_PR
NEW met1 ( 1014070 3515090 ) M1M2_PR ;
- io_oeb[21] ( PIN io_oeb[21] ) ( mprj io_oeb[21] ) + USE SIGNAL
+ ROUTED met2 ( 604210 1207340 0 ) ( * 1218730 )
NEW met2 ( 689310 3501490 ) ( * 3517980 0 )
NEW met2 ( 883430 1218730 ) ( * 3501490 )
NEW met1 ( 689310 3501490 ) ( 883430 * )
NEW met1 ( 604210 1218730 ) ( 883430 * )
NEW met1 ( 689310 3501490 ) M1M2_PR
NEW met1 ( 883430 3501490 ) M1M2_PR
NEW met1 ( 604210 1218730 ) M1M2_PR
NEW met1 ( 883430 1218730 ) M1M2_PR ;
- io_oeb[22] ( PIN io_oeb[22] ) ( mprj io_oeb[22] ) + USE SIGNAL
+ ROUTED met2 ( 198490 1218390 ) ( * 2128570 )
NEW met1 ( 198490 2128570 ) ( 359030 * )
NEW met1 ( 359030 3498430 ) ( 365010 * )
NEW met2 ( 359030 2128570 ) ( * 3498430 )
NEW met2 ( 365010 3498430 ) ( * 3517980 0 )
NEW met2 ( 623070 1207340 0 ) ( * 1218390 )
NEW met1 ( 198490 1218390 ) ( 623070 * )
NEW met1 ( 198490 2128570 ) M1M2_PR
NEW met1 ( 198490 1218390 ) M1M2_PR
NEW met1 ( 359030 2128570 ) M1M2_PR
NEW met1 ( 359030 3498430 ) M1M2_PR
NEW met1 ( 365010 3498430 ) M1M2_PR
NEW met1 ( 623070 1218390 ) M1M2_PR ;
- io_oeb[23] ( PIN io_oeb[23] ) ( mprj io_oeb[23] ) + USE SIGNAL
+ ROUTED met2 ( 41170 1234370 ) ( * 3512100 )
NEW met2 ( 40710 3512100 ) ( 41170 * )
NEW met2 ( 40710 3512100 ) ( * 3517980 0 )
NEW met2 ( 641930 1207340 0 ) ( * 1234370 )
NEW met1 ( 41170 1234370 ) ( 641930 * )
NEW met1 ( 41170 1234370 ) M1M2_PR
NEW met1 ( 641930 1234370 ) M1M2_PR ;
- io_oeb[24] ( PIN io_oeb[24] ) ( mprj io_oeb[24] ) + USE SIGNAL
+ ROUTED met3 ( 1380 3290860 0 ) ( 17710 * )
NEW met2 ( 17710 3284570 ) ( * 3290860 )
NEW met1 ( 17710 3284570 ) ( 79350 * )
NEW met1 ( 79350 1254090 ) ( 660790 * )
NEW met2 ( 79350 1254090 ) ( * 3284570 )
NEW met2 ( 660790 1207340 0 ) ( * 1254090 )
NEW met2 ( 17710 3290860 ) M2M3_PR_M
NEW met1 ( 17710 3284570 ) M1M2_PR
NEW met1 ( 79350 1254090 ) M1M2_PR
NEW met1 ( 79350 3284570 ) M1M2_PR
NEW met1 ( 660790 1254090 ) M1M2_PR ;
- io_oeb[25] ( PIN io_oeb[25] ) ( mprj io_oeb[25] ) + USE SIGNAL
+ ROUTED met3 ( 1380 3030420 0 ) ( 16790 * )
NEW met2 ( 16790 3029230 ) ( * 3030420 )
NEW met2 ( 86250 1261230 ) ( * 3029230 )
NEW met2 ( 677810 1207340 ) ( 679650 * 0 )
NEW met2 ( 677810 1207340 ) ( * 1261230 )
NEW met1 ( 86250 1261230 ) ( 677810 * )
NEW met1 ( 16790 3029230 ) ( 86250 * )
NEW met1 ( 86250 1261230 ) M1M2_PR
NEW met1 ( 677810 1261230 ) M1M2_PR
NEW met2 ( 16790 3030420 ) M2M3_PR_M
NEW met1 ( 16790 3029230 ) M1M2_PR
NEW met1 ( 86250 3029230 ) M1M2_PR ;
- io_oeb[26] ( PIN io_oeb[26] ) ( mprj io_oeb[26] ) + USE SIGNAL
+ ROUTED met3 ( 1380 2769300 0 ) ( 16790 * )
NEW met2 ( 16790 2767090 ) ( * 2769300 )
NEW met2 ( 697130 1207340 ) ( 698510 * 0 )
NEW met2 ( 697130 1207340 ) ( * 1410830 )
NEW met1 ( 16790 2767090 ) ( 141450 * )
NEW met2 ( 141450 1410830 ) ( * 2767090 )
NEW met1 ( 141450 1410830 ) ( 697130 * )
NEW met2 ( 16790 2769300 ) M2M3_PR_M
NEW met1 ( 16790 2767090 ) M1M2_PR
NEW met1 ( 697130 1410830 ) M1M2_PR
NEW met1 ( 141450 1410830 ) M1M2_PR
NEW met1 ( 141450 2767090 ) M1M2_PR ;
- io_oeb[27] ( PIN io_oeb[27] ) ( mprj io_oeb[27] ) + USE SIGNAL
+ ROUTED met3 ( 1380 2508860 0 ) ( 14490 * )
NEW met2 ( 14490 2504950 ) ( * 2508860 )
NEW met1 ( 14490 2504950 ) ( 169050 * )
NEW met2 ( 169050 1231310 ) ( * 2504950 )
NEW met2 ( 717370 1207340 0 ) ( * 1231310 )
NEW met1 ( 169050 1231310 ) ( 717370 * )
NEW met2 ( 14490 2508860 ) M2M3_PR_M
NEW met1 ( 14490 2504950 ) M1M2_PR
NEW met1 ( 169050 2504950 ) M1M2_PR
NEW met1 ( 169050 1231310 ) M1M2_PR
NEW met1 ( 717370 1231310 ) M1M2_PR ;
- io_oeb[28] ( PIN io_oeb[28] ) ( mprj io_oeb[28] ) + USE SIGNAL
+ ROUTED met3 ( 1380 2247740 0 ) ( 16790 * )
NEW met2 ( 16790 2242810 ) ( * 2247740 )
NEW met2 ( 100050 1452310 ) ( * 2242810 )
NEW met1 ( 16790 2242810 ) ( 100050 * )
NEW met1 ( 100050 1452310 ) ( 731630 * )
NEW met2 ( 733930 1207340 ) ( 735770 * 0 )
NEW met2 ( 731630 1338600 ) ( 733930 * )
NEW met2 ( 733930 1207340 ) ( * 1338600 )
NEW met2 ( 731630 1338600 ) ( * 1452310 )
NEW met2 ( 16790 2247740 ) M2M3_PR_M
NEW met1 ( 16790 2242810 ) M1M2_PR
NEW met1 ( 100050 1452310 ) M1M2_PR
NEW met1 ( 100050 2242810 ) M1M2_PR
NEW met1 ( 731630 1452310 ) M1M2_PR ;
- io_oeb[29] ( PIN io_oeb[29] ) ( mprj io_oeb[29] ) + USE SIGNAL
+ ROUTED met3 ( 1380 1987300 0 ) ( 16790 * )
NEW met2 ( 16790 1987300 ) ( * 1987470 )
NEW met2 ( 107410 1555670 ) ( * 1987470 )
NEW met1 ( 107410 1555670 ) ( 752330 * )
NEW met1 ( 16790 1987470 ) ( 107410 * )
NEW met2 ( 752330 1207340 ) ( 754630 * 0 )
NEW met2 ( 752330 1207340 ) ( * 1555670 )
NEW met1 ( 107410 1555670 ) M1M2_PR
NEW met2 ( 16790 1987300 ) M2M3_PR_M
NEW met1 ( 16790 1987470 ) M1M2_PR
NEW met1 ( 107410 1987470 ) M1M2_PR
NEW met1 ( 752330 1555670 ) M1M2_PR ;
- io_oeb[2] ( PIN io_oeb[2] ) ( mprj io_oeb[2] ) + USE SIGNAL
+ ROUTED met2 ( 1348950 565590 ) ( * 1202070 )
NEW met2 ( 2900990 564060 ) ( * 565590 )
NEW met3 ( 2900990 564060 ) ( 2917780 * 0 )
NEW li1 ( 248170 1202070 ) ( * 1205810 )
NEW met2 ( 248170 1205810 ) ( * 1205980 )
NEW met2 ( 246790 1205980 0 ) ( 248170 * )
NEW met1 ( 248170 1202070 ) ( 1348950 * )
NEW met1 ( 1348950 565590 ) ( 2900990 * )
NEW met1 ( 1348950 565590 ) M1M2_PR
NEW met1 ( 1348950 1202070 ) M1M2_PR
NEW met1 ( 2900990 565590 ) M1M2_PR
NEW met2 ( 2900990 564060 ) M2M3_PR_M
NEW li1 ( 248170 1202070 ) L1M1_PR_MR
NEW li1 ( 248170 1205810 ) L1M1_PR_MR
NEW met1 ( 248170 1205810 ) M1M2_PR
NEW met1 ( 248170 1205810 ) RECT ( -355 -70 0 70 ) ;
- io_oeb[30] ( PIN io_oeb[30] ) ( mprj io_oeb[30] ) + USE SIGNAL
+ ROUTED met3 ( 1380 1726860 0 ) ( 15410 * )
NEW met2 ( 15410 1725330 ) ( * 1726860 )
NEW met2 ( 773030 1207340 ) ( 773490 * 0 )
NEW met2 ( 773030 1207340 ) ( * 1301010 )
NEW met1 ( 15410 1725330 ) ( 162610 * )
NEW met2 ( 162610 1301010 ) ( * 1725330 )
NEW met1 ( 162610 1301010 ) ( 773030 * )
NEW met2 ( 15410 1726860 ) M2M3_PR_M
NEW met1 ( 15410 1725330 ) M1M2_PR
NEW met1 ( 773030 1301010 ) M1M2_PR
NEW met1 ( 162610 1725330 ) M1M2_PR
NEW met1 ( 162610 1301010 ) M1M2_PR ;
- io_oeb[31] ( PIN io_oeb[31] ) ( mprj io_oeb[31] ) + USE SIGNAL
+ ROUTED met3 ( 1380 1465740 0 ) ( 17250 * )
NEW met2 ( 17250 1462850 ) ( * 1465740 )
NEW met2 ( 790050 1207340 ) ( 792350 * 0 )
NEW met2 ( 786830 1338600 ) ( 790050 * )
NEW met2 ( 790050 1207340 ) ( * 1338600 )
NEW met2 ( 786830 1338600 ) ( * 1462850 )
NEW met1 ( 17250 1462850 ) ( 786830 * )
NEW met2 ( 17250 1465740 ) M2M3_PR_M
NEW met1 ( 17250 1462850 ) M1M2_PR
NEW met1 ( 786830 1462850 ) M1M2_PR ;
- io_oeb[32] ( PIN io_oeb[32] ) ( mprj io_oeb[32] ) + USE SIGNAL
+ ROUTED met3 ( 1380 1205300 0 ) ( 17250 * )
NEW met2 ( 17250 1205300 ) ( * 1210910 )
NEW met2 ( 158930 1210910 ) ( * 1216350 )
NEW met1 ( 17250 1210910 ) ( 158930 * )
NEW met2 ( 811210 1207340 0 ) ( * 1216350 )
NEW met1 ( 158930 1216350 ) ( 811210 * )
NEW met2 ( 17250 1205300 ) M2M3_PR_M
NEW met1 ( 17250 1210910 ) M1M2_PR
NEW met1 ( 158930 1210910 ) M1M2_PR
NEW met1 ( 158930 1216350 ) M1M2_PR
NEW met1 ( 811210 1216350 ) M1M2_PR ;
- io_oeb[33] ( PIN io_oeb[33] ) ( mprj io_oeb[33] ) + USE SIGNAL
+ ROUTED met3 ( 1380 944180 0 ) ( 17250 * )
NEW met2 ( 17250 944180 ) ( * 945030 )
NEW met1 ( 17250 945030 ) ( 162150 * )
NEW met2 ( 162150 945030 ) ( * 1205130 )
NEW met2 ( 828230 1205130 ) ( * 1205300 )
NEW met2 ( 828230 1205300 ) ( 830070 * 0 )
NEW met1 ( 162150 1205130 ) ( 828230 * )
NEW met2 ( 17250 944180 ) M2M3_PR_M
NEW met1 ( 17250 945030 ) M1M2_PR
NEW met1 ( 162150 945030 ) M1M2_PR
NEW met1 ( 162150 1205130 ) M1M2_PR
NEW met1 ( 828230 1205130 ) M1M2_PR ;
- io_oeb[34] ( PIN io_oeb[34] ) ( mprj io_oeb[34] ) + USE SIGNAL
+ ROUTED met3 ( 1380 683740 0 ) ( 16330 * )
NEW met2 ( 16330 683740 ) ( * 689690 )
NEW met1 ( 16330 689690 ) ( 155250 * )
NEW met2 ( 155250 689690 ) ( * 1204790 )
NEW met2 ( 848010 1204620 ) ( * 1204790 )
NEW met2 ( 848010 1204620 ) ( 848930 * 0 )
NEW met1 ( 155250 1204790 ) ( 848010 * )
NEW met2 ( 16330 683740 ) M2M3_PR_M
NEW met1 ( 16330 689690 ) M1M2_PR
NEW met1 ( 155250 689690 ) M1M2_PR
NEW met1 ( 155250 1204790 ) M1M2_PR
NEW met1 ( 848010 1204790 ) M1M2_PR ;
- io_oeb[35] ( PIN io_oeb[35] ) ( mprj io_oeb[35] ) + USE SIGNAL
+ ROUTED met3 ( 1380 423300 0 ) ( 15870 * )
NEW met2 ( 15870 423300 ) ( * 427550 )
NEW met2 ( 120750 427550 ) ( * 1207170 )
NEW met2 ( 865950 1207170 ) ( * 1207340 )
NEW met2 ( 865950 1207340 ) ( 867790 * 0 )
NEW met1 ( 15870 427550 ) ( 120750 * )
NEW met1 ( 120750 1207170 ) ( 865950 * )
NEW met2 ( 15870 423300 ) M2M3_PR_M
NEW met1 ( 15870 427550 ) M1M2_PR
NEW met1 ( 120750 427550 ) M1M2_PR
NEW met1 ( 120750 1207170 ) M1M2_PR
NEW met1 ( 865950 1207170 ) M1M2_PR ;
- io_oeb[36] ( PIN io_oeb[36] ) ( mprj io_oeb[36] ) + USE SIGNAL
+ ROUTED met3 ( 1380 227460 0 ) ( 17250 * )
NEW met2 ( 17250 227460 ) ( * 227630 )
NEW met2 ( 106950 227630 ) ( * 1204450 )
NEW met2 ( 885270 1204450 ) ( * 1204620 )
NEW met2 ( 885270 1204620 ) ( 886650 * 0 )
NEW met1 ( 17250 227630 ) ( 106950 * )
NEW met1 ( 106950 1204450 ) ( 885270 * )
NEW met2 ( 17250 227460 ) M2M3_PR_M
NEW met1 ( 17250 227630 ) M1M2_PR
NEW met1 ( 106950 227630 ) M1M2_PR
NEW met1 ( 106950 1204450 ) M1M2_PR
NEW met1 ( 885270 1204450 ) M1M2_PR ;
- io_oeb[37] ( PIN io_oeb[37] ) ( mprj io_oeb[37] ) + USE SIGNAL
+ ROUTED met3 ( 1380 32300 0 ) ( 17250 * )
NEW met2 ( 17250 32300 ) ( * 34170 )
NEW met1 ( 17250 34170 ) ( 51750 * )
NEW met2 ( 51750 34170 ) ( * 1203090 )
NEW li1 ( 904590 1203090 ) ( * 1204450 )
NEW met2 ( 904590 1204450 ) ( * 1204620 )
NEW met2 ( 904590 1204620 ) ( 905510 * 0 )
NEW met1 ( 51750 1203090 ) ( 904590 * )
NEW met2 ( 17250 32300 ) M2M3_PR_M
NEW met1 ( 17250 34170 ) M1M2_PR
NEW met1 ( 51750 34170 ) M1M2_PR
NEW met1 ( 51750 1203090 ) M1M2_PR
NEW li1 ( 904590 1203090 ) L1M1_PR_MR
NEW li1 ( 904590 1204450 ) L1M1_PR_MR
NEW met1 ( 904590 1204450 ) M1M2_PR
NEW met1 ( 904590 1204450 ) RECT ( -355 -70 0 70 ) ;
- io_oeb[3] ( PIN io_oeb[3] ) ( mprj io_oeb[3] ) + USE SIGNAL
+ ROUTED met2 ( 2899150 763300 ) ( * 765850 )
NEW met3 ( 2899150 763300 ) ( 2917780 * 0 )
NEW met2 ( 1362750 765850 ) ( * 1202410 )
NEW met1 ( 1362750 765850 ) ( 2899150 * )
NEW li1 ( 267490 1202410 ) ( * 1205810 )
NEW met2 ( 267490 1205810 ) ( * 1205980 )
NEW met2 ( 265650 1205980 0 ) ( 267490 * )
NEW met1 ( 267490 1202410 ) ( 1362750 * )
NEW met1 ( 1362750 765850 ) M1M2_PR
NEW met1 ( 2899150 765850 ) M1M2_PR
NEW met2 ( 2899150 763300 ) M2M3_PR_M
NEW met1 ( 1362750 1202410 ) M1M2_PR
NEW li1 ( 267490 1202410 ) L1M1_PR_MR
NEW li1 ( 267490 1205810 ) L1M1_PR_MR
NEW met1 ( 267490 1205810 ) M1M2_PR
NEW met1 ( 267490 1205810 ) RECT ( -355 -70 0 70 ) ;
- io_oeb[4] ( PIN io_oeb[4] ) ( mprj io_oeb[4] ) + USE SIGNAL
+ ROUTED met2 ( 2900990 962540 ) ( * 965770 )
NEW met3 ( 2900990 962540 ) ( 2917780 * 0 )
NEW li1 ( 285890 1202750 ) ( * 1206150 )
NEW met2 ( 285890 1205980 ) ( * 1206150 )
NEW met2 ( 284510 1205980 0 ) ( 285890 * )
NEW met2 ( 1369650 965770 ) ( * 1202750 )
NEW met1 ( 1369650 965770 ) ( 2900990 * )
NEW met1 ( 285890 1202750 ) ( 1369650 * )
NEW met1 ( 1369650 965770 ) M1M2_PR
NEW met1 ( 2900990 965770 ) M1M2_PR
NEW met2 ( 2900990 962540 ) M2M3_PR_M
NEW li1 ( 285890 1202750 ) L1M1_PR_MR
NEW li1 ( 285890 1206150 ) L1M1_PR_MR
NEW met1 ( 285890 1206150 ) M1M2_PR
NEW met1 ( 1369650 1202750 ) M1M2_PR
NEW met1 ( 285890 1206150 ) RECT ( -355 -70 0 70 ) ;
- io_oeb[5] ( PIN io_oeb[5] ) ( mprj io_oeb[5] ) + USE SIGNAL
+ ROUTED met3 ( 2899150 1161780 ) ( 2917780 * 0 )
NEW met2 ( 303370 1207340 0 ) ( * 1217370 )
NEW met2 ( 579830 1205810 ) ( * 1217370 )
NEW li1 ( 579830 1203770 ) ( * 1205810 )
NEW met2 ( 2899150 1161780 ) ( * 1203770 )
NEW met1 ( 303370 1217370 ) ( 579830 * )
NEW met1 ( 579830 1203770 ) ( 2899150 * )
NEW met2 ( 2899150 1161780 ) M2M3_PR_M
NEW met1 ( 303370 1217370 ) M1M2_PR
NEW met1 ( 579830 1217370 ) M1M2_PR
NEW li1 ( 579830 1205810 ) L1M1_PR_MR
NEW met1 ( 579830 1205810 ) M1M2_PR
NEW li1 ( 579830 1203770 ) L1M1_PR_MR
NEW met1 ( 2899150 1203770 ) M1M2_PR
NEW met1 ( 579830 1205810 ) RECT ( -355 -70 0 70 ) ;
- io_oeb[6] ( PIN io_oeb[6] ) ( mprj io_oeb[6] ) + USE SIGNAL
+ ROUTED met2 ( 2899150 1359490 ) ( * 1361020 )
NEW met3 ( 2899150 1361020 ) ( 2917780 * 0 )
NEW met2 ( 322230 1207340 0 ) ( 323610 * )
NEW met2 ( 323610 1207340 ) ( * 1359490 )
NEW met1 ( 323610 1359490 ) ( 2899150 * )
NEW met1 ( 323610 1359490 ) M1M2_PR
NEW met1 ( 2899150 1359490 ) M1M2_PR
NEW met2 ( 2899150 1361020 ) M2M3_PR_M ;
- io_oeb[7] ( PIN io_oeb[7] ) ( mprj io_oeb[7] ) + USE SIGNAL
+ ROUTED met2 ( 2900990 1621630 ) ( * 1626220 )
NEW met3 ( 2900990 1626220 ) ( 2917780 * 0 )
NEW met2 ( 340630 1207340 0 ) ( * 1221110 )
NEW met1 ( 340630 1221110 ) ( 344310 * )
NEW met2 ( 344310 1221110 ) ( * 1621630 )
NEW met1 ( 344310 1621630 ) ( 2900990 * )
NEW met1 ( 2900990 1621630 ) M1M2_PR
NEW met2 ( 2900990 1626220 ) M2M3_PR_M
NEW met1 ( 340630 1221110 ) M1M2_PR
NEW met1 ( 344310 1221110 ) M1M2_PR
NEW met1 ( 344310 1621630 ) M1M2_PR ;
- io_oeb[8] ( PIN io_oeb[8] ) ( mprj io_oeb[8] ) + USE SIGNAL
+ ROUTED met3 ( 2902830 1892100 ) ( 2917780 * 0 )
NEW met2 ( 2902830 1693710 ) ( * 1892100 )
NEW met2 ( 359490 1207340 0 ) ( * 1221110 )
NEW met1 ( 359490 1221110 ) ( 365470 * )
NEW met2 ( 365470 1221110 ) ( * 1693710 )
NEW met1 ( 365470 1693710 ) ( 2902830 * )
NEW met1 ( 2902830 1693710 ) M1M2_PR
NEW met2 ( 2902830 1892100 ) M2M3_PR_M
NEW met1 ( 359490 1221110 ) M1M2_PR
NEW met1 ( 365470 1221110 ) M1M2_PR
NEW met1 ( 365470 1693710 ) M1M2_PR ;
- io_oeb[9] ( PIN io_oeb[9] ) ( mprj io_oeb[9] ) + USE SIGNAL
+ ROUTED met3 ( 2902370 2157980 ) ( 2917780 * 0 )
NEW met2 ( 378350 1207340 0 ) ( 379270 * )
NEW met2 ( 379270 1207340 ) ( * 1694050 )
NEW met2 ( 2902370 1694050 ) ( * 2157980 )
NEW met1 ( 379270 1694050 ) ( 2902370 * )
NEW met2 ( 2902370 2157980 ) M2M3_PR_M
NEW met1 ( 379270 1694050 ) M1M2_PR
NEW met1 ( 2902370 1694050 ) M1M2_PR ;
- io_out[0] ( PIN io_out[0] ) ( mprj io_out[0] ) + USE SIGNAL
+ ROUTED met2 ( 2900990 98940 ) ( * 103190 )
NEW met3 ( 2900990 98940 ) ( 2917780 * 0 )
NEW li1 ( 216890 1200710 ) ( * 1205470 )
NEW met2 ( 216890 1205300 ) ( * 1205470 )
NEW met2 ( 215510 1205300 0 ) ( 216890 * )
NEW met2 ( 1383450 103190 ) ( * 1200710 )
NEW met1 ( 1383450 103190 ) ( 2900990 * )
NEW met1 ( 216890 1200710 ) ( 1383450 * )
NEW met1 ( 1383450 103190 ) M1M2_PR
NEW met1 ( 2900990 103190 ) M1M2_PR
NEW met2 ( 2900990 98940 ) M2M3_PR_M
NEW li1 ( 216890 1200710 ) L1M1_PR_MR
NEW li1 ( 216890 1205470 ) L1M1_PR_MR
NEW met1 ( 216890 1205470 ) M1M2_PR
NEW met1 ( 1383450 1200710 ) M1M2_PR
NEW met1 ( 216890 1205470 ) RECT ( -355 -70 0 70 ) ;
- io_out[10] ( PIN io_out[10] ) ( mprj io_out[10] ) + USE SIGNAL
+ ROUTED met2 ( 403650 1207340 0 ) ( 405950 * )
NEW met2 ( 405950 1207340 ) ( * 1242000 )
NEW met2 ( 405950 1242000 ) ( 406870 * )
NEW met2 ( 406870 1242000 ) ( * 1694390 )
NEW met3 ( 2901910 2357220 ) ( 2917780 * 0 )
NEW met2 ( 2901910 1694390 ) ( * 2357220 )
NEW met1 ( 406870 1694390 ) ( 2901910 * )
NEW met1 ( 406870 1694390 ) M1M2_PR
NEW met1 ( 2901910 1694390 ) M1M2_PR
NEW met2 ( 2901910 2357220 ) M2M3_PR_M ;
- io_out[11] ( PIN io_out[11] ) ( mprj io_out[11] ) + USE SIGNAL
+ ROUTED met2 ( 2900990 2622250 ) ( * 2622420 )
NEW met3 ( 2900990 2622420 ) ( 2917780 * 0 )
NEW met2 ( 983250 1314270 ) ( * 2622250 )
NEW met1 ( 983250 2622250 ) ( 2900990 * )
NEW met2 ( 422510 1207340 0 ) ( * 1221110 )
NEW met1 ( 422510 1221110 ) ( 427570 * )
NEW met2 ( 427570 1221110 ) ( * 1314270 )
NEW met1 ( 427570 1314270 ) ( 983250 * )
NEW met1 ( 983250 2622250 ) M1M2_PR
NEW met1 ( 2900990 2622250 ) M1M2_PR
NEW met2 ( 2900990 2622420 ) M2M3_PR_M
NEW met1 ( 983250 1314270 ) M1M2_PR
NEW met1 ( 422510 1221110 ) M1M2_PR
NEW met1 ( 427570 1221110 ) M1M2_PR
NEW met1 ( 427570 1314270 ) M1M2_PR ;
- io_out[12] ( PIN io_out[12] ) ( mprj io_out[12] ) + USE SIGNAL
+ ROUTED met2 ( 2900990 2884390 ) ( * 2888300 )
NEW met3 ( 2900990 2888300 ) ( 2917780 * 0 )
NEW met1 ( 1024650 2884390 ) ( 2900990 * )
NEW met2 ( 441370 1207340 0 ) ( * 1234710 )
NEW met1 ( 441370 1234710 ) ( 1024650 * )
NEW met2 ( 1024650 1234710 ) ( * 2884390 )
NEW met1 ( 2900990 2884390 ) M1M2_PR
NEW met2 ( 2900990 2888300 ) M2M3_PR_M
NEW met1 ( 1024650 2884390 ) M1M2_PR
NEW met1 ( 441370 1234710 ) M1M2_PR
NEW met1 ( 1024650 1234710 ) M1M2_PR ;
- io_out[13] ( PIN io_out[13] ) ( mprj io_out[13] ) + USE SIGNAL
+ ROUTED met3 ( 2901450 3154180 ) ( 2917780 * 0 )
NEW met2 ( 2901450 1694730 ) ( * 3154180 )
NEW met2 ( 460230 1207340 0 ) ( 461610 * )
NEW met2 ( 461610 1207340 ) ( * 1694730 )
NEW met1 ( 461610 1694730 ) ( 2901450 * )
NEW met1 ( 2901450 1694730 ) M1M2_PR
NEW met2 ( 2901450 3154180 ) M2M3_PR_M
NEW met1 ( 461610 1694730 ) M1M2_PR ;
- io_out[14] ( PIN io_out[14] ) ( mprj io_out[14] ) + USE SIGNAL
+ ROUTED met2 ( 478630 1207340 0 ) ( * 1235050 )
NEW met2 ( 2900990 3415810 ) ( * 3419380 )
NEW met3 ( 2900990 3419380 ) ( 2917780 * 0 )
NEW met1 ( 478630 1235050 ) ( 1038450 * )
NEW met2 ( 1038450 1235050 ) ( * 3415810 )
NEW met1 ( 1038450 3415810 ) ( 2900990 * )
NEW met1 ( 478630 1235050 ) M1M2_PR
NEW met1 ( 2900990 3415810 ) M1M2_PR
NEW met2 ( 2900990 3419380 ) M2M3_PR_M
NEW met1 ( 1038450 1235050 ) M1M2_PR
NEW met1 ( 1038450 3415810 ) M1M2_PR ;
- io_out[15] ( PIN io_out[15] ) ( mprj io_out[15] ) + USE SIGNAL
+ ROUTED met2 ( 497490 1207340 0 ) ( * 1231650 )
NEW met2 ( 2711930 1231650 ) ( * 3512100 )
NEW met2 ( 2711930 3512100 ) ( 2717450 * )
NEW met2 ( 2717450 3512100 ) ( * 3517980 0 )
NEW met1 ( 497490 1231650 ) ( 2711930 * )
NEW met1 ( 497490 1231650 ) M1M2_PR
NEW met1 ( 2711930 1231650 ) M1M2_PR ;
- io_out[16] ( PIN io_out[16] ) ( mprj io_out[16] ) + USE SIGNAL
+ ROUTED met2 ( 516350 1207340 0 ) ( * 1232670 )
NEW met1 ( 516350 1232670 ) ( 2387630 * )
NEW met2 ( 2387630 1232670 ) ( * 3512100 )
NEW met2 ( 2387630 3512100 ) ( 2392690 * )
NEW met2 ( 2392690 3512100 ) ( * 3517980 0 )
NEW met1 ( 516350 1232670 ) M1M2_PR
NEW met1 ( 2387630 1232670 ) M1M2_PR ;
- io_out[17] ( PIN io_out[17] ) ( mprj io_out[17] ) + USE SIGNAL
+ ROUTED met1 ( 1314450 3501490 ) ( 2068390 * )
NEW met2 ( 535210 1207340 0 ) ( 537510 * )
NEW met2 ( 537510 1207340 ) ( * 1242000 )
NEW met2 ( 537510 1242000 ) ( 537970 * )
NEW met2 ( 537970 1242000 ) ( * 1321410 )
NEW met1 ( 537970 1321410 ) ( 1314450 * )
NEW met2 ( 1314450 1321410 ) ( * 3501490 )
NEW met2 ( 2068390 3501490 ) ( * 3517980 0 )
NEW met1 ( 1314450 3501490 ) M1M2_PR
NEW met1 ( 2068390 3501490 ) M1M2_PR
NEW met1 ( 537970 1321410 ) M1M2_PR
NEW met1 ( 1314450 1321410 ) M1M2_PR ;
- io_out[18] ( PIN io_out[18] ) ( mprj io_out[18] ) + USE SIGNAL
+ ROUTED met2 ( 1059150 1261570 ) ( * 3502170 )
NEW met2 ( 1744090 3502170 ) ( * 3517980 0 )
NEW met1 ( 558210 1261570 ) ( 1059150 * )
NEW met1 ( 1059150 3502170 ) ( 1744090 * )
NEW met2 ( 554070 1207340 0 ) ( * 1221110 )
NEW met1 ( 554070 1221110 ) ( 558210 * )
NEW met2 ( 558210 1221110 ) ( * 1261570 )
NEW met1 ( 1059150 1261570 ) M1M2_PR
NEW met1 ( 1059150 3502170 ) M1M2_PR
NEW met1 ( 1744090 3502170 ) M1M2_PR
NEW met1 ( 558210 1261570 ) M1M2_PR
NEW met1 ( 554070 1221110 ) M1M2_PR
NEW met1 ( 558210 1221110 ) M1M2_PR ;
- io_out[19] ( PIN io_out[19] ) ( mprj io_out[19] ) + USE SIGNAL
+ ROUTED met2 ( 572930 1207340 0 ) ( * 1233690 )
NEW met1 ( 572930 1233690 ) ( 1414730 * )
NEW met2 ( 1414730 1233690 ) ( * 3512100 )
NEW met2 ( 1414730 3512100 ) ( 1419330 * )
NEW met2 ( 1419330 3512100 ) ( * 3517980 0 )
NEW met1 ( 572930 1233690 ) M1M2_PR
NEW met1 ( 1414730 1233690 ) M1M2_PR ;
- io_out[1] ( PIN io_out[1] ) ( mprj io_out[1] ) + USE SIGNAL
+ ROUTED met3 ( 2901450 298180 ) ( 2917780 * 0 )
NEW met2 ( 862270 1204790 ) ( * 1216690 )
NEW met1 ( 862270 1204790 ) ( 885730 * )
NEW met1 ( 885730 1204110 ) ( * 1204790 )
NEW met2 ( 2901450 298180 ) ( * 1204110 )
NEW met2 ( 234370 1207340 0 ) ( * 1216690 )
NEW met1 ( 234370 1216690 ) ( 862270 * )
NEW met1 ( 885730 1204110 ) ( 2901450 * )
NEW met2 ( 2901450 298180 ) M2M3_PR_M
NEW met1 ( 862270 1216690 ) M1M2_PR
NEW met1 ( 862270 1204790 ) M1M2_PR
NEW met1 ( 2901450 1204110 ) M1M2_PR
NEW met1 ( 234370 1216690 ) M1M2_PR ;
- io_out[20] ( PIN io_out[20] ) ( mprj io_out[20] ) + USE SIGNAL
+ ROUTED met2 ( 591790 1207340 0 ) ( * 1230630 )
NEW met2 ( 1090430 3517980 ) ( 1094110 * )
NEW met2 ( 1094110 3517300 ) ( * 3517980 )
NEW met2 ( 1094110 3517300 ) ( 1095030 * )
NEW met2 ( 1095030 3517300 ) ( * 3517980 0 )
NEW met2 ( 1090430 1230630 ) ( * 3517980 )
NEW met1 ( 591790 1230630 ) ( 1090430 * )
NEW met1 ( 591790 1230630 ) M1M2_PR
NEW met1 ( 1090430 1230630 ) M1M2_PR ;
- io_out[21] ( PIN io_out[21] ) ( mprj io_out[21] ) + USE SIGNAL
+ ROUTED met2 ( 610650 1207340 0 ) ( * 1219070 )
NEW met2 ( 766130 2369970 ) ( * 3512100 )
NEW met2 ( 766130 3512100 ) ( 770730 * )
NEW met2 ( 770730 3512100 ) ( * 3517980 0 )
NEW met2 ( 883890 1219070 ) ( * 2369970 )
NEW met1 ( 610650 1219070 ) ( 883890 * )
NEW met1 ( 766130 2369970 ) ( 883890 * )
NEW met1 ( 610650 1219070 ) M1M2_PR
NEW met1 ( 766130 2369970 ) M1M2_PR
NEW met1 ( 883890 1219070 ) M1M2_PR
NEW met1 ( 883890 2369970 ) M1M2_PR ;
- io_out[22] ( PIN io_out[22] ) ( mprj io_out[22] ) + USE SIGNAL
+ ROUTED met2 ( 199410 1218050 ) ( * 3501490 )
NEW met1 ( 199410 3501490 ) ( 445970 * )
NEW met2 ( 445970 3501490 ) ( * 3517980 0 )
NEW met2 ( 629510 1207340 0 ) ( * 1218050 )
NEW met1 ( 199410 1218050 ) ( 629510 * )
NEW met1 ( 199410 3501490 ) M1M2_PR
NEW met1 ( 199410 1218050 ) M1M2_PR
NEW met1 ( 445970 3501490 ) M1M2_PR
NEW met1 ( 629510 1218050 ) M1M2_PR ;
- io_out[23] ( PIN io_out[23] ) ( mprj io_out[23] ) + USE SIGNAL
+ ROUTED met1 ( 121670 3498430 ) ( 123970 * )
NEW met2 ( 123970 1230970 ) ( * 3498430 )
NEW met2 ( 121670 3498430 ) ( * 3517980 0 )
NEW met2 ( 648370 1207340 0 ) ( * 1230970 )
NEW met1 ( 123970 1230970 ) ( 648370 * )
NEW met1 ( 121670 3498430 ) M1M2_PR
NEW met1 ( 123970 3498430 ) M1M2_PR
NEW met1 ( 123970 1230970 ) M1M2_PR
NEW met1 ( 648370 1230970 ) M1M2_PR ;
- io_out[24] ( PIN io_out[24] ) ( mprj io_out[24] ) + USE SIGNAL
+ ROUTED met3 ( 1380 3356140 0 ) ( 17250 * )
NEW met2 ( 17250 1696430 ) ( * 3356140 )
NEW met2 ( 664930 1207340 ) ( 667230 * 0 )
NEW met2 ( 664930 1207340 ) ( * 1207670 )
NEW met2 ( 662630 1207670 ) ( 664930 * )
NEW met2 ( 662630 1207670 ) ( * 1696430 )
NEW met1 ( 17250 1696430 ) ( 662630 * )
NEW met1 ( 17250 1696430 ) M1M2_PR
NEW met2 ( 17250 3356140 ) M2M3_PR_M
NEW met1 ( 662630 1696430 ) M1M2_PR ;
- io_out[25] ( PIN io_out[25] ) ( mprj io_out[25] ) + USE SIGNAL
+ ROUTED met3 ( 1380 3095700 0 ) ( 17710 * )
NEW met2 ( 17710 1696090 ) ( * 3095700 )
NEW met2 ( 683330 1207340 ) ( 685630 * 0 )
NEW met2 ( 683330 1207340 ) ( * 1696090 )
NEW met1 ( 17710 1696090 ) ( 683330 * )
NEW met2 ( 17710 3095700 ) M2M3_PR_M
NEW met1 ( 17710 1696090 ) M1M2_PR
NEW met1 ( 683330 1696090 ) M1M2_PR ;
- io_out[26] ( PIN io_out[26] ) ( mprj io_out[26] ) + USE SIGNAL
+ ROUTED met3 ( 1380 2834580 0 ) ( 18170 * )
NEW met2 ( 18170 1695750 ) ( * 2834580 )
NEW met2 ( 704030 1207340 ) ( 704490 * 0 )
NEW met2 ( 704030 1207340 ) ( * 1695750 )
NEW met1 ( 18170 1695750 ) ( 704030 * )
NEW met2 ( 18170 2834580 ) M2M3_PR_M
NEW met1 ( 18170 1695750 ) M1M2_PR
NEW met1 ( 704030 1695750 ) M1M2_PR ;
- io_out[27] ( PIN io_out[27] ) ( mprj io_out[27] ) + USE SIGNAL
+ ROUTED met3 ( 1380 2574140 0 ) ( 16790 * )
NEW met2 ( 16790 2573970 ) ( * 2574140 )
NEW met1 ( 16790 2573970 ) ( 162150 * )
NEW met2 ( 162150 1680450 ) ( * 2573970 )
NEW met2 ( 721050 1207340 ) ( 723350 * 0 )
NEW met2 ( 717830 1338600 ) ( 721050 * )
NEW met2 ( 721050 1207340 ) ( * 1338600 )
NEW met1 ( 162150 1680450 ) ( 717830 * )
NEW met2 ( 717830 1338600 ) ( * 1680450 )
NEW met2 ( 16790 2574140 ) M2M3_PR_M
NEW met1 ( 16790 2573970 ) M1M2_PR
NEW met1 ( 162150 1680450 ) M1M2_PR
NEW met1 ( 162150 2573970 ) M1M2_PR
NEW met1 ( 717830 1680450 ) M1M2_PR ;
- io_out[28] ( PIN io_out[28] ) ( mprj io_out[28] ) + USE SIGNAL
+ ROUTED met3 ( 1380 2313020 0 ) ( 16790 * )
NEW met2 ( 16790 2311830 ) ( * 2313020 )
NEW met2 ( 106950 1267010 ) ( * 2311830 )
NEW met1 ( 16790 2311830 ) ( 106950 * )
NEW met1 ( 106950 1267010 ) ( 740370 * )
NEW met2 ( 740370 1207340 ) ( 742210 * 0 )
NEW met2 ( 740370 1207340 ) ( * 1267010 )
NEW met2 ( 16790 2313020 ) M2M3_PR_M
NEW met1 ( 16790 2311830 ) M1M2_PR
NEW met1 ( 106950 1267010 ) M1M2_PR
NEW met1 ( 106950 2311830 ) M1M2_PR
NEW met1 ( 740370 1267010 ) M1M2_PR ;
- io_out[29] ( PIN io_out[29] ) ( mprj io_out[29] ) + USE SIGNAL
+ ROUTED met3 ( 1380 2052580 0 ) ( 18630 * )
NEW met2 ( 18630 1233350 ) ( * 2052580 )
NEW met2 ( 761070 1207340 0 ) ( * 1233350 )
NEW met1 ( 18630 1233350 ) ( 761070 * )
NEW met2 ( 18630 2052580 ) M2M3_PR_M
NEW met1 ( 18630 1233350 ) M1M2_PR
NEW met1 ( 761070 1233350 ) M1M2_PR ;
- io_out[2] ( PIN io_out[2] ) ( mprj io_out[2] ) + USE SIGNAL
+ ROUTED met2 ( 2900990 497420 ) ( * 503370 )
NEW met3 ( 2900990 497420 ) ( 2917780 * 0 )
NEW met1 ( 1397250 503370 ) ( 2900990 * )
NEW li1 ( 254610 1201050 ) ( * 1205810 )
NEW met2 ( 254610 1205810 ) ( * 1205980 )
NEW met2 ( 253230 1205980 0 ) ( 254610 * )
NEW met1 ( 254610 1201050 ) ( 1397250 * )
NEW met2 ( 1397250 503370 ) ( * 1201050 )
NEW met1 ( 2900990 503370 ) M1M2_PR
NEW met2 ( 2900990 497420 ) M2M3_PR_M
NEW met1 ( 1397250 503370 ) M1M2_PR
NEW li1 ( 254610 1201050 ) L1M1_PR_MR
NEW li1 ( 254610 1205810 ) L1M1_PR_MR
NEW met1 ( 254610 1205810 ) M1M2_PR
NEW met1 ( 1397250 1201050 ) M1M2_PR
NEW met1 ( 254610 1205810 ) RECT ( -355 -70 0 70 ) ;
- io_out[30] ( PIN io_out[30] ) ( mprj io_out[30] ) + USE SIGNAL
+ ROUTED met3 ( 1380 1792140 0 ) ( 19090 * )
NEW met2 ( 19090 1695410 ) ( * 1792140 )
NEW met2 ( 779930 1207340 0 ) ( * 1695410 )
NEW met1 ( 19090 1695410 ) ( 779930 * )
NEW met1 ( 19090 1695410 ) M1M2_PR
NEW met2 ( 19090 1792140 ) M2M3_PR_M
NEW met1 ( 779930 1695410 ) M1M2_PR ;
- io_out[31] ( PIN io_out[31] ) ( mprj io_out[31] ) + USE SIGNAL
+ ROUTED met3 ( 1380 1531020 0 ) ( 17250 * )
NEW met2 ( 17250 1525070 ) ( * 1531020 )
NEW met2 ( 796490 1207340 ) ( 798790 * 0 )
NEW met2 ( 793730 1338600 ) ( 796490 * )
NEW met2 ( 796490 1207340 ) ( * 1338600 )
NEW met2 ( 793730 1338600 ) ( * 1525070 )
NEW met1 ( 17250 1525070 ) ( 793730 * )
NEW met2 ( 17250 1531020 ) M2M3_PR_M
NEW met1 ( 17250 1525070 ) M1M2_PR
NEW met1 ( 793730 1525070 ) M1M2_PR ;
- io_out[32] ( PIN io_out[32] ) ( mprj io_out[32] ) + USE SIGNAL
+ ROUTED met3 ( 1380 1270580 0 ) ( 17250 * )
NEW met2 ( 17250 1269730 ) ( * 1270580 )
NEW met1 ( 17250 1269730 ) ( 815810 * )
NEW met2 ( 815810 1207340 ) ( 817650 * 0 )
NEW met2 ( 815810 1207340 ) ( * 1269730 )
NEW met2 ( 17250 1270580 ) M2M3_PR_M
NEW met1 ( 17250 1269730 ) M1M2_PR
NEW met1 ( 815810 1269730 ) M1M2_PR ;
- io_out[33] ( PIN io_out[33] ) ( mprj io_out[33] ) + USE SIGNAL
+ ROUTED met3 ( 1380 1009460 0 ) ( 17250 * )
NEW met2 ( 17250 1009460 ) ( * 1014050 )
NEW met2 ( 100050 1014050 ) ( * 1215670 )
NEW met1 ( 17250 1014050 ) ( 100050 * )
NEW met2 ( 836510 1207340 0 ) ( * 1215670 )
NEW met1 ( 100050 1215670 ) ( 836510 * )
NEW met2 ( 17250 1009460 ) M2M3_PR_M
NEW met1 ( 17250 1014050 ) M1M2_PR
NEW met1 ( 100050 1014050 ) M1M2_PR
NEW met1 ( 100050 1215670 ) M1M2_PR
NEW met1 ( 836510 1215670 ) M1M2_PR ;
- io_out[34] ( PIN io_out[34] ) ( mprj io_out[34] ) + USE SIGNAL
+ ROUTED met3 ( 1380 749020 0 ) ( 17250 * )
NEW met2 ( 17250 749020 ) ( * 751230 )
NEW met1 ( 17250 751230 ) ( 37950 * )
NEW met2 ( 37950 751230 ) ( * 1220770 )
NEW met2 ( 855370 1207340 0 ) ( * 1220770 )
NEW met1 ( 37950 1220770 ) ( 855370 * )
NEW met2 ( 17250 749020 ) M2M3_PR_M
NEW met1 ( 17250 751230 ) M1M2_PR
NEW met1 ( 37950 751230 ) M1M2_PR
NEW met1 ( 37950 1220770 ) M1M2_PR
NEW met1 ( 855370 1220770 ) M1M2_PR ;
- io_out[35] ( PIN io_out[35] ) ( mprj io_out[35] ) + USE SIGNAL
+ ROUTED met3 ( 1380 487900 0 ) ( 17250 * )
NEW met2 ( 17250 487900 ) ( * 489770 )
NEW met2 ( 873770 1207340 0 ) ( * 1215330 )
NEW met1 ( 17250 489770 ) ( 65550 * )
NEW met2 ( 65550 489770 ) ( * 1215330 )
NEW met1 ( 65550 1215330 ) ( 873770 * )
NEW met2 ( 17250 487900 ) M2M3_PR_M
NEW met1 ( 17250 489770 ) M1M2_PR
NEW met1 ( 873770 1215330 ) M1M2_PR
NEW met1 ( 65550 489770 ) M1M2_PR
NEW met1 ( 65550 1215330 ) M1M2_PR ;
- io_out[36] ( PIN io_out[36] ) ( mprj io_out[36] ) + USE SIGNAL
+ ROUTED met3 ( 1380 292740 0 ) ( 15410 * )
NEW met2 ( 15410 292740 ) ( * 296650 )
NEW met2 ( 892630 1207340 0 ) ( * 1214990 )
NEW met1 ( 15410 296650 ) ( 79350 * )
NEW met2 ( 79350 296650 ) ( * 1214990 )
NEW met1 ( 79350 1214990 ) ( 892630 * )
NEW met2 ( 15410 292740 ) M2M3_PR_M
NEW met1 ( 15410 296650 ) M1M2_PR
NEW met1 ( 892630 1214990 ) M1M2_PR
NEW met1 ( 79350 296650 ) M1M2_PR
NEW met1 ( 79350 1214990 ) M1M2_PR ;
- io_out[37] ( PIN io_out[37] ) ( mprj io_out[37] ) + USE SIGNAL
+ ROUTED met3 ( 1380 96900 0 ) ( 17250 * )
NEW met2 ( 17250 96900 ) ( * 103190 )
NEW met1 ( 17250 103190 ) ( 72450 * )
NEW met2 ( 72450 103190 ) ( * 1203430 )
NEW li1 ( 910570 1203430 ) ( * 1204450 )
NEW met2 ( 910570 1204450 ) ( * 1204620 )
NEW met2 ( 910570 1204620 ) ( 911490 * 0 )
NEW met1 ( 72450 1203430 ) ( 910570 * )
NEW met2 ( 17250 96900 ) M2M3_PR_M
NEW met1 ( 17250 103190 ) M1M2_PR
NEW met1 ( 72450 103190 ) M1M2_PR
NEW met1 ( 72450 1203430 ) M1M2_PR
NEW li1 ( 910570 1203430 ) L1M1_PR_MR
NEW li1 ( 910570 1204450 ) L1M1_PR_MR
NEW met1 ( 910570 1204450 ) M1M2_PR
NEW met1 ( 910570 1204450 ) RECT ( -355 -70 0 70 ) ;
- io_out[3] ( PIN io_out[3] ) ( mprj io_out[3] ) + USE SIGNAL
+ ROUTED met2 ( 2900990 696660 ) ( * 696830 )
NEW met3 ( 2900990 696660 ) ( 2917780 * 0 )
NEW met1 ( 1404150 696830 ) ( 2900990 * )
NEW li1 ( 273010 1201390 ) ( * 1205810 )
NEW met2 ( 273010 1205810 ) ( * 1205980 )
NEW met2 ( 271630 1205980 0 ) ( 273010 * )
NEW met1 ( 273010 1201390 ) ( 1404150 * )
NEW met2 ( 1404150 696830 ) ( * 1201390 )
NEW met1 ( 2900990 696830 ) M1M2_PR
NEW met2 ( 2900990 696660 ) M2M3_PR_M
NEW met1 ( 1404150 696830 ) M1M2_PR
NEW li1 ( 273010 1201390 ) L1M1_PR_MR
NEW li1 ( 273010 1205810 ) L1M1_PR_MR
NEW met1 ( 273010 1205810 ) M1M2_PR
NEW met1 ( 1404150 1201390 ) M1M2_PR
NEW met1 ( 273010 1205810 ) RECT ( -355 -70 0 70 ) ;
- io_out[4] ( PIN io_out[4] ) ( mprj io_out[4] ) + USE SIGNAL
+ ROUTED met3 ( 2901910 895900 ) ( 2917780 * 0 )
NEW met2 ( 290490 1207340 0 ) ( * 1216010 )
NEW met2 ( 2901910 895900 ) ( * 1204790 )
NEW met2 ( 938630 1204790 ) ( * 1216010 )
NEW met1 ( 290490 1216010 ) ( 938630 * )
NEW met1 ( 938630 1204790 ) ( 2901910 * )
NEW met2 ( 2901910 895900 ) M2M3_PR_M
NEW met1 ( 290490 1216010 ) M1M2_PR
NEW met1 ( 2901910 1204790 ) M1M2_PR
NEW met1 ( 938630 1216010 ) M1M2_PR
NEW met1 ( 938630 1204790 ) M1M2_PR ;
- io_out[5] ( PIN io_out[5] ) ( mprj io_out[5] ) + USE SIGNAL
+ ROUTED met3 ( 2902370 1095140 ) ( 2917780 * 0 )
NEW met2 ( 309350 1207340 0 ) ( * 1217030 )
NEW met2 ( 2902370 1095140 ) ( * 1204450 )
NEW met2 ( 923450 1204450 ) ( * 1217030 )
NEW met1 ( 309350 1217030 ) ( 923450 * )
NEW met1 ( 923450 1204450 ) ( 2902370 * )
NEW met2 ( 2902370 1095140 ) M2M3_PR_M
NEW met1 ( 309350 1217030 ) M1M2_PR
NEW met1 ( 2902370 1204450 ) M1M2_PR
NEW met1 ( 923450 1217030 ) M1M2_PR
NEW met1 ( 923450 1204450 ) M1M2_PR ;
- io_out[6] ( PIN io_out[6] ) ( mprj io_out[6] ) + USE SIGNAL
+ ROUTED met2 ( 2900990 1290470 ) ( * 1294380 )
NEW met3 ( 2900990 1294380 ) ( 2917780 * 0 )
NEW met2 ( 328210 1207340 0 ) ( 330510 * )
NEW met2 ( 330510 1207340 ) ( * 1242000 )
NEW met2 ( 330510 1242000 ) ( 330970 * )
NEW met2 ( 330970 1242000 ) ( * 1290470 )
NEW met1 ( 330970 1290470 ) ( 2900990 * )
NEW met1 ( 2900990 1290470 ) M1M2_PR
NEW met2 ( 2900990 1294380 ) M2M3_PR_M
NEW met1 ( 330970 1290470 ) M1M2_PR ;
- io_out[7] ( PIN io_out[7] ) ( mprj io_out[7] ) + USE SIGNAL
+ ROUTED met2 ( 2900990 1559410 ) ( * 1560260 )
NEW met3 ( 2900990 1560260 ) ( 2917780 * 0 )
NEW met1 ( 351670 1559410 ) ( 2900990 * )
NEW met2 ( 347070 1207340 0 ) ( * 1221110 )
NEW met1 ( 347070 1221110 ) ( 351670 * )
NEW met2 ( 351670 1221110 ) ( * 1559410 )
NEW met1 ( 2900990 1559410 ) M1M2_PR
NEW met2 ( 2900990 1560260 ) M2M3_PR_M
NEW met1 ( 351670 1559410 ) M1M2_PR
NEW met1 ( 347070 1221110 ) M1M2_PR
NEW met1 ( 351670 1221110 ) M1M2_PR ;
- io_out[8] ( PIN io_out[8] ) ( mprj io_out[8] ) + USE SIGNAL
+ ROUTED met2 ( 2900990 1821890 ) ( * 1825460 )
NEW met3 ( 2900990 1825460 ) ( 2917780 * 0 )
NEW met2 ( 2425350 1231990 ) ( * 1821890 )
NEW met1 ( 2425350 1821890 ) ( 2900990 * )
NEW met2 ( 365930 1207340 0 ) ( * 1231990 )
NEW met1 ( 365930 1231990 ) ( 2425350 * )
NEW met1 ( 2425350 1821890 ) M1M2_PR
NEW met1 ( 2900990 1821890 ) M1M2_PR
NEW met2 ( 2900990 1825460 ) M2M3_PR_M
NEW met1 ( 2425350 1231990 ) M1M2_PR
NEW met1 ( 365930 1231990 ) M1M2_PR ;
- io_out[9] ( PIN io_out[9] ) ( mprj io_out[9] ) + USE SIGNAL
+ ROUTED met2 ( 384790 1207340 0 ) ( * 1232330 )
NEW met2 ( 2432250 1232330 ) ( * 2090830 )
NEW met2 ( 2900990 2090830 ) ( * 2091340 )
NEW met3 ( 2900990 2091340 ) ( 2917780 * 0 )
NEW met1 ( 384790 1232330 ) ( 2432250 * )
NEW met1 ( 2432250 2090830 ) ( 2900990 * )
NEW met1 ( 384790 1232330 ) M1M2_PR
NEW met1 ( 2432250 1232330 ) M1M2_PR
NEW met1 ( 2432250 2090830 ) M1M2_PR
NEW met1 ( 2900990 2090830 ) M1M2_PR
NEW met2 ( 2900990 2091340 ) M2M3_PR_M ;
- la_data_in[0] ( PIN la_data_in[0] ) + USE SIGNAL ;
- la_data_in[100] ( PIN la_data_in[100] ) + USE SIGNAL ;
- la_data_in[101] ( PIN la_data_in[101] ) + USE SIGNAL ;
- la_data_in[102] ( PIN la_data_in[102] ) + USE SIGNAL ;
- la_data_in[103] ( PIN la_data_in[103] ) + USE SIGNAL ;
- la_data_in[104] ( PIN la_data_in[104] ) + USE SIGNAL ;
- la_data_in[105] ( PIN la_data_in[105] ) + USE SIGNAL ;
- la_data_in[106] ( PIN la_data_in[106] ) + USE SIGNAL ;
- la_data_in[107] ( PIN la_data_in[107] ) + USE SIGNAL ;
- la_data_in[108] ( PIN la_data_in[108] ) + USE SIGNAL ;
- la_data_in[109] ( PIN la_data_in[109] ) + USE SIGNAL ;
- la_data_in[10] ( PIN la_data_in[10] ) + USE SIGNAL ;
- la_data_in[110] ( PIN la_data_in[110] ) + USE SIGNAL ;
- la_data_in[111] ( PIN la_data_in[111] ) + USE SIGNAL ;
- la_data_in[112] ( PIN la_data_in[112] ) + USE SIGNAL ;
- la_data_in[113] ( PIN la_data_in[113] ) + USE SIGNAL ;
- la_data_in[114] ( PIN la_data_in[114] ) + USE SIGNAL ;
- la_data_in[115] ( PIN la_data_in[115] ) + USE SIGNAL ;
- la_data_in[116] ( PIN la_data_in[116] ) + USE SIGNAL ;
- la_data_in[117] ( PIN la_data_in[117] ) + USE SIGNAL ;
- la_data_in[118] ( PIN la_data_in[118] ) + USE SIGNAL ;
- la_data_in[119] ( PIN la_data_in[119] ) + USE SIGNAL ;
- la_data_in[11] ( PIN la_data_in[11] ) + USE SIGNAL ;
- la_data_in[120] ( PIN la_data_in[120] ) + USE SIGNAL ;
- la_data_in[121] ( PIN la_data_in[121] ) + USE SIGNAL ;
- la_data_in[122] ( PIN la_data_in[122] ) + USE SIGNAL ;
- la_data_in[123] ( PIN la_data_in[123] ) + USE SIGNAL ;
- la_data_in[124] ( PIN la_data_in[124] ) + USE SIGNAL ;
- la_data_in[125] ( PIN la_data_in[125] ) + USE SIGNAL ;
- la_data_in[126] ( PIN la_data_in[126] ) + USE SIGNAL ;
- la_data_in[127] ( PIN la_data_in[127] ) + USE SIGNAL ;
- la_data_in[12] ( PIN la_data_in[12] ) + USE SIGNAL ;
- la_data_in[13] ( PIN la_data_in[13] ) + USE SIGNAL ;
- la_data_in[14] ( PIN la_data_in[14] ) + USE SIGNAL ;
- la_data_in[15] ( PIN la_data_in[15] ) + USE SIGNAL ;
- la_data_in[16] ( PIN la_data_in[16] ) + USE SIGNAL ;
- la_data_in[17] ( PIN la_data_in[17] ) + USE SIGNAL ;
- la_data_in[18] ( PIN la_data_in[18] ) + USE SIGNAL ;
- la_data_in[19] ( PIN la_data_in[19] ) + USE SIGNAL ;
- la_data_in[1] ( PIN la_data_in[1] ) + USE SIGNAL ;
- la_data_in[20] ( PIN la_data_in[20] ) + USE SIGNAL ;
- la_data_in[21] ( PIN la_data_in[21] ) + USE SIGNAL ;
- la_data_in[22] ( PIN la_data_in[22] ) + USE SIGNAL ;
- la_data_in[23] ( PIN la_data_in[23] ) + USE SIGNAL ;
- la_data_in[24] ( PIN la_data_in[24] ) + USE SIGNAL ;
- la_data_in[25] ( PIN la_data_in[25] ) + USE SIGNAL ;
- la_data_in[26] ( PIN la_data_in[26] ) + USE SIGNAL ;
- la_data_in[27] ( PIN la_data_in[27] ) + USE SIGNAL ;
- la_data_in[28] ( PIN la_data_in[28] ) + USE SIGNAL ;
- la_data_in[29] ( PIN la_data_in[29] ) + USE SIGNAL ;
- la_data_in[2] ( PIN la_data_in[2] ) + USE SIGNAL ;
- la_data_in[30] ( PIN la_data_in[30] ) + USE SIGNAL ;
- la_data_in[31] ( PIN la_data_in[31] ) + USE SIGNAL ;
- la_data_in[32] ( PIN la_data_in[32] ) + USE SIGNAL ;
- la_data_in[33] ( PIN la_data_in[33] ) + USE SIGNAL ;
- la_data_in[34] ( PIN la_data_in[34] ) + USE SIGNAL ;
- la_data_in[35] ( PIN la_data_in[35] ) + USE SIGNAL ;
- la_data_in[36] ( PIN la_data_in[36] ) + USE SIGNAL ;
- la_data_in[37] ( PIN la_data_in[37] ) + USE SIGNAL ;
- la_data_in[38] ( PIN la_data_in[38] ) + USE SIGNAL ;
- la_data_in[39] ( PIN la_data_in[39] ) + USE SIGNAL ;
- la_data_in[3] ( PIN la_data_in[3] ) + USE SIGNAL ;
- la_data_in[40] ( PIN la_data_in[40] ) + USE SIGNAL ;
- la_data_in[41] ( PIN la_data_in[41] ) + USE SIGNAL ;
- la_data_in[42] ( PIN la_data_in[42] ) + USE SIGNAL ;
- la_data_in[43] ( PIN la_data_in[43] ) + USE SIGNAL ;
- la_data_in[44] ( PIN la_data_in[44] ) + USE SIGNAL ;
- la_data_in[45] ( PIN la_data_in[45] ) + USE SIGNAL ;
- la_data_in[46] ( PIN la_data_in[46] ) + USE SIGNAL ;
- la_data_in[47] ( PIN la_data_in[47] ) + USE SIGNAL ;
- la_data_in[48] ( PIN la_data_in[48] ) + USE SIGNAL ;
- la_data_in[49] ( PIN la_data_in[49] ) + USE SIGNAL ;
- la_data_in[4] ( PIN la_data_in[4] ) + USE SIGNAL ;
- la_data_in[50] ( PIN la_data_in[50] ) + USE SIGNAL ;
- la_data_in[51] ( PIN la_data_in[51] ) + USE SIGNAL ;
- la_data_in[52] ( PIN la_data_in[52] ) + USE SIGNAL ;
- la_data_in[53] ( PIN la_data_in[53] ) + USE SIGNAL ;
- la_data_in[54] ( PIN la_data_in[54] ) + USE SIGNAL ;
- la_data_in[55] ( PIN la_data_in[55] ) + USE SIGNAL ;
- la_data_in[56] ( PIN la_data_in[56] ) + USE SIGNAL ;
- la_data_in[57] ( PIN la_data_in[57] ) + USE SIGNAL ;
- la_data_in[58] ( PIN la_data_in[58] ) + USE SIGNAL ;
- la_data_in[59] ( PIN la_data_in[59] ) + USE SIGNAL ;
- la_data_in[5] ( PIN la_data_in[5] ) + USE SIGNAL ;
- la_data_in[60] ( PIN la_data_in[60] ) + USE SIGNAL ;
- la_data_in[61] ( PIN la_data_in[61] ) + USE SIGNAL ;
- la_data_in[62] ( PIN la_data_in[62] ) + USE SIGNAL ;
- la_data_in[63] ( PIN la_data_in[63] ) + USE SIGNAL ;
- la_data_in[64] ( PIN la_data_in[64] ) + USE SIGNAL ;
- la_data_in[65] ( PIN la_data_in[65] ) + USE SIGNAL ;
- la_data_in[66] ( PIN la_data_in[66] ) + USE SIGNAL ;
- la_data_in[67] ( PIN la_data_in[67] ) + USE SIGNAL ;
- la_data_in[68] ( PIN la_data_in[68] ) + USE SIGNAL ;
- la_data_in[69] ( PIN la_data_in[69] ) + USE SIGNAL ;
- la_data_in[6] ( PIN la_data_in[6] ) + USE SIGNAL ;
- la_data_in[70] ( PIN la_data_in[70] ) + USE SIGNAL ;
- la_data_in[71] ( PIN la_data_in[71] ) + USE SIGNAL ;
- la_data_in[72] ( PIN la_data_in[72] ) + USE SIGNAL ;
- la_data_in[73] ( PIN la_data_in[73] ) + USE SIGNAL ;
- la_data_in[74] ( PIN la_data_in[74] ) + USE SIGNAL ;
- la_data_in[75] ( PIN la_data_in[75] ) + USE SIGNAL ;
- la_data_in[76] ( PIN la_data_in[76] ) + USE SIGNAL ;
- la_data_in[77] ( PIN la_data_in[77] ) + USE SIGNAL ;
- la_data_in[78] ( PIN la_data_in[78] ) + USE SIGNAL ;
- la_data_in[79] ( PIN la_data_in[79] ) + USE SIGNAL ;
- la_data_in[7] ( PIN la_data_in[7] ) + USE SIGNAL ;
- la_data_in[80] ( PIN la_data_in[80] ) + USE SIGNAL ;
- la_data_in[81] ( PIN la_data_in[81] ) + USE SIGNAL ;
- la_data_in[82] ( PIN la_data_in[82] ) + USE SIGNAL ;
- la_data_in[83] ( PIN la_data_in[83] ) + USE SIGNAL ;
- la_data_in[84] ( PIN la_data_in[84] ) + USE SIGNAL ;
- la_data_in[85] ( PIN la_data_in[85] ) + USE SIGNAL ;
- la_data_in[86] ( PIN la_data_in[86] ) + USE SIGNAL ;
- la_data_in[87] ( PIN la_data_in[87] ) + USE SIGNAL ;
- la_data_in[88] ( PIN la_data_in[88] ) + USE SIGNAL ;
- la_data_in[89] ( PIN la_data_in[89] ) + USE SIGNAL ;
- la_data_in[8] ( PIN la_data_in[8] ) + USE SIGNAL ;
- la_data_in[90] ( PIN la_data_in[90] ) + USE SIGNAL ;
- la_data_in[91] ( PIN la_data_in[91] ) + USE SIGNAL ;
- la_data_in[92] ( PIN la_data_in[92] ) + USE SIGNAL ;
- la_data_in[93] ( PIN la_data_in[93] ) + USE SIGNAL ;
- la_data_in[94] ( PIN la_data_in[94] ) + USE SIGNAL ;
- la_data_in[95] ( PIN la_data_in[95] ) + USE SIGNAL ;
- la_data_in[96] ( PIN la_data_in[96] ) + USE SIGNAL ;
- la_data_in[97] ( PIN la_data_in[97] ) + USE SIGNAL ;
- la_data_in[98] ( PIN la_data_in[98] ) + USE SIGNAL ;
- la_data_in[99] ( PIN la_data_in[99] ) + USE SIGNAL ;
- la_data_in[9] ( PIN la_data_in[9] ) + USE SIGNAL ;
- o_csb0 ( sram csb0 ) ( mprj o_csb0 ) + USE SIGNAL
+ ROUTED met2 ( 190210 211140 ) ( * 213690 )
NEW met3 ( 190210 211140 ) ( 201020 * 0 )
NEW met2 ( 189290 1739270 ) ( * 1740460 )
NEW met3 ( 189290 1740460 ) ( 197340 * )
NEW met3 ( 197340 1740310 ) ( * 1740460 )
NEW met3 ( 197340 1740310 ) ( 200100 * 0 )
NEW met1 ( 165370 213690 ) ( 190210 * )
NEW met1 ( 165370 1739270 ) ( 189290 * )
NEW met2 ( 165370 213690 ) ( * 1739270 )
NEW met1 ( 190210 213690 ) M1M2_PR
NEW met2 ( 190210 211140 ) M2M3_PR_M
NEW met1 ( 189290 1739270 ) M1M2_PR
NEW met2 ( 189290 1740460 ) M2M3_PR_M
NEW met1 ( 165370 213690 ) M1M2_PR
NEW met1 ( 165370 1739270 ) M1M2_PR ;
- o_csb0_1 ( sram1 csb0 ) ( mprj o_csb0_1 ) + USE SIGNAL
+ ROUTED met2 ( 190210 232900 ) ( * 234430 )
NEW met3 ( 190210 232900 ) ( 201020 * 0 )
NEW met3 ( 1687970 1740460 ) ( 1696940 * )
NEW met3 ( 1696940 1740310 ) ( * 1740460 )
NEW met3 ( 1696940 1740310 ) ( 1700160 * 0 )
NEW met1 ( 164910 234430 ) ( 190210 * )
NEW met2 ( 164910 234430 ) ( * 1212950 )
NEW met1 ( 164910 1212950 ) ( 1687970 * )
NEW met2 ( 1687970 1212950 ) ( * 1740460 )
NEW met1 ( 190210 234430 ) M1M2_PR
NEW met2 ( 190210 232900 ) M2M3_PR_M
NEW met2 ( 1687970 1740460 ) M2M3_PR_M
NEW met1 ( 164910 234430 ) M1M2_PR
NEW met1 ( 164910 1212950 ) M1M2_PR
NEW met1 ( 1687970 1212950 ) M1M2_PR ;
- o_din0\[0\] ( sram din0[0] ) ( mprj o_din0[0] ) + USE SIGNAL
+ ROUTED met3 ( 316020 1688100 ) ( 317170 * )
NEW met4 ( 316020 1688100 ) ( * 1698300 )
NEW met4 ( 315790 1698300 ) ( 316020 * )
NEW met4 ( 315790 1698300 ) ( * 1700000 0 )
NEW met2 ( 317170 1213290 ) ( * 1688100 )
NEW met3 ( 1196460 213180 0 ) ( 1201750 * )
NEW met1 ( 317170 1213290 ) ( 1201750 * )
NEW met2 ( 1201750 213180 ) ( * 1213290 )
NEW met1 ( 317170 1213290 ) M1M2_PR
NEW met2 ( 317170 1688100 ) M2M3_PR_M
NEW met3 ( 316020 1688100 ) M3M4_PR_M
NEW met2 ( 1201750 213180 ) M2M3_PR_M
NEW met1 ( 1201750 1213290 ) M1M2_PR ;
- o_din0\[10\] ( sram din0[10] ) ( mprj o_din0[10] ) + USE SIGNAL
+ ROUTED met3 ( 373060 1688100 ) ( 374670 * )
NEW met4 ( 373060 1688100 ) ( * 1698300 )
NEW met4 ( 372910 1698300 ) ( 373060 * )
NEW met4 ( 372910 1698300 ) ( * 1700000 0 )
NEW met2 ( 374670 1674330 ) ( * 1688100 )
NEW met2 ( 976350 1218730 ) ( * 1674330 )
NEW met1 ( 374670 1674330 ) ( 976350 * )
NEW met2 ( 1024650 1207340 0 ) ( * 1218730 )
NEW met1 ( 976350 1218730 ) ( 1024650 * )
NEW met1 ( 374670 1674330 ) M1M2_PR
NEW met1 ( 976350 1674330 ) M1M2_PR
NEW met2 ( 374670 1688100 ) M2M3_PR_M
NEW met3 ( 373060 1688100 ) M3M4_PR_M
NEW met1 ( 976350 1218730 ) M1M2_PR
NEW met1 ( 1024650 1218730 ) M1M2_PR ;
- o_din0\[11\] ( sram din0[11] ) ( mprj o_din0[11] ) + USE SIGNAL
+ ROUTED met2 ( 386170 1687250 ) ( * 1688780 )
NEW met3 ( 379500 1688780 ) ( 386170 * )
NEW met4 ( 379500 1688780 ) ( * 1698300 )
NEW met4 ( 379500 1698300 ) ( 379710 * )
NEW met4 ( 379710 1698300 ) ( * 1700000 0 )
NEW met2 ( 1107910 190230 ) ( * 200260 0 )
NEW met1 ( 1107910 190230 ) ( 1197610 * )
NEW met1 ( 386170 1687250 ) ( 1197610 * )
NEW met2 ( 1197610 190230 ) ( * 1687250 )
NEW met1 ( 386170 1687250 ) M1M2_PR
NEW met2 ( 386170 1688780 ) M2M3_PR_M
NEW met3 ( 379500 1688780 ) M3M4_PR_M
NEW met1 ( 1107910 190230 ) M1M2_PR
NEW met1 ( 1197610 190230 ) M1M2_PR
NEW met1 ( 1197610 1687250 ) M1M2_PR ;
- o_din0\[12\] ( sram din0[12] ) ( mprj o_din0[12] ) + USE SIGNAL
+ ROUTED met3 ( 381570 1690140 ) ( 385020 * )
NEW met3 ( 385020 1690140 ) ( * 1690820 )
NEW met4 ( 385020 1690820 ) ( * 1698300 )
NEW met4 ( 385020 1698300 ) ( 385150 * )
NEW met4 ( 385150 1698300 ) ( * 1700000 0 )
NEW met2 ( 381570 1673990 ) ( * 1690140 )
NEW met3 ( 1196460 664020 0 ) ( 1215550 * )
NEW met1 ( 381570 1673990 ) ( 1215550 * )
NEW met2 ( 1215550 664020 ) ( * 1673990 )
NEW met1 ( 381570 1673990 ) M1M2_PR
NEW met2 ( 381570 1690140 ) M2M3_PR_M
NEW met3 ( 385020 1690820 ) M3M4_PR_M
NEW met2 ( 1215550 664020 ) M2M3_PR_M
NEW met1 ( 1215550 1673990 ) M1M2_PR ;
- o_din0\[13\] ( sram din0[13] ) ( mprj o_din0[13] ) + USE SIGNAL
+ ROUTED met2 ( 393070 1687590 ) ( * 1689460 )
NEW met3 ( 390540 1689460 ) ( 393070 * )
NEW met4 ( 390540 1689460 ) ( * 1698300 )
NEW met4 ( 390540 1698300 ) ( 390590 * )
NEW met4 ( 390590 1698300 ) ( * 1700000 0 )
NEW met2 ( 1122170 192610 ) ( * 200260 0 )
NEW met1 ( 1122170 192610 ) ( 1198070 * )
NEW met1 ( 393070 1687590 ) ( 1198070 * )
NEW met2 ( 1198070 192610 ) ( * 1687590 )
NEW met1 ( 393070 1687590 ) M1M2_PR
NEW met2 ( 393070 1689460 ) M2M3_PR_M
NEW met3 ( 390540 1689460 ) M3M4_PR_M
NEW met1 ( 1122170 192610 ) M1M2_PR
NEW met1 ( 1198070 192610 ) M1M2_PR
NEW met1 ( 1198070 1687590 ) M1M2_PR ;
- o_din0\[14\] ( sram din0[14] ) ( mprj o_din0[14] ) + USE SIGNAL
+ ROUTED met3 ( 397900 1688100 ) ( 399970 * )
NEW met4 ( 397900 1688100 ) ( * 1698300 )
NEW met4 ( 397390 1698300 ) ( 397900 * )
NEW met4 ( 397390 1698300 ) ( * 1700000 0 )
NEW met2 ( 399970 1348950 ) ( * 1688100 )
NEW met1 ( 399970 1348950 ) ( 1223370 * )
NEW met3 ( 1196460 717060 0 ) ( 1209570 * )
NEW met2 ( 1209570 717060 ) ( * 717230 )
NEW met1 ( 1209570 717230 ) ( 1223370 * )
NEW met2 ( 1223370 717230 ) ( * 1348950 )
NEW met1 ( 399970 1348950 ) M1M2_PR
NEW met2 ( 399970 1688100 ) M2M3_PR_M
NEW met3 ( 397900 1688100 ) M3M4_PR_M
NEW met1 ( 1223370 1348950 ) M1M2_PR
NEW met2 ( 1209570 717060 ) M2M3_PR_M
NEW met1 ( 1209570 717230 ) M1M2_PR
NEW met1 ( 1223370 717230 ) M1M2_PR ;
- o_din0\[15\] ( sram din0[15] ) ( mprj o_din0[15] ) + USE SIGNAL
+ ROUTED met3 ( 405260 1688100 ) ( 405490 * )
NEW met4 ( 405260 1688100 ) ( * 1691500 )
NEW met4 ( 404340 1691500 ) ( 405260 * )
NEW met4 ( 404340 1691500 ) ( * 1698300 )
NEW met4 ( 403510 1698300 ) ( 404340 * )
NEW met4 ( 403510 1698300 ) ( * 1700000 0 )
NEW met2 ( 405490 1674670 ) ( * 1688100 )
NEW met2 ( 1068350 1207340 0 ) ( * 1219750 )
NEW met1 ( 405490 1674670 ) ( 1003950 * )
NEW met1 ( 1003950 1219750 ) ( 1068350 * )
NEW met2 ( 1003950 1219750 ) ( * 1674670 )
NEW met1 ( 405490 1674670 ) M1M2_PR
NEW met2 ( 405490 1688100 ) M2M3_PR_M
NEW met3 ( 405260 1688100 ) M3M4_PR_M
NEW met1 ( 1068350 1219750 ) M1M2_PR
NEW met1 ( 1003950 1674670 ) M1M2_PR
NEW met1 ( 1003950 1219750 ) M1M2_PR
NEW met3 ( 405490 1688100 ) RECT ( 0 -150 390 150 ) ;
- o_din0\[16\] ( sram din0[16] ) ( mprj o_din0[16] ) + USE SIGNAL
+ ROUTED met3 ( 408940 1688100 ) ( 413770 * )
NEW met4 ( 408940 1688100 ) ( * 1698300 )
NEW met4 ( 408940 1698300 ) ( 408950 * )
NEW met4 ( 408950 1698300 ) ( * 1700000 0 )
NEW met2 ( 413770 1213970 ) ( * 1688100 )
NEW met3 ( 1196460 796620 0 ) ( 1209570 * )
NEW met2 ( 1209570 796620 ) ( * 797130 )
NEW met1 ( 1209570 797130 ) ( 1216930 * )
NEW met1 ( 413770 1213970 ) ( 1216930 * )
NEW met2 ( 1216930 797130 ) ( * 1213970 )
NEW met1 ( 413770 1213970 ) M1M2_PR
NEW met2 ( 413770 1688100 ) M2M3_PR_M
NEW met3 ( 408940 1688100 ) M3M4_PR_M
NEW met2 ( 1209570 796620 ) M2M3_PR_M
NEW met1 ( 1209570 797130 ) M1M2_PR
NEW met1 ( 1216930 797130 ) M1M2_PR
NEW met1 ( 1216930 1213970 ) M1M2_PR ;
- o_din0\[17\] ( sram din0[17] ) ( mprj o_din0[17] ) + USE SIGNAL
+ ROUTED met3 ( 414460 1688780 ) ( 417910 * )
NEW met4 ( 414460 1688780 ) ( * 1698300 )
NEW met4 ( 414390 1698300 ) ( 414460 * )
NEW met4 ( 414390 1698300 ) ( * 1700000 0 )
NEW met2 ( 417910 1666510 ) ( * 1688780 )
NEW met1 ( 417910 1666510 ) ( 1222450 * )
NEW met3 ( 1196460 849660 0 ) ( 1209570 * )
NEW met2 ( 1209570 849660 ) ( * 849830 )
NEW met1 ( 1209570 849830 ) ( 1222450 * )
NEW met2 ( 1222450 849830 ) ( * 1666510 )
NEW met1 ( 417910 1666510 ) M1M2_PR
NEW met2 ( 417910 1688780 ) M2M3_PR_M
NEW met3 ( 414460 1688780 ) M3M4_PR_M
NEW met1 ( 1222450 1666510 ) M1M2_PR
NEW met2 ( 1209570 849660 ) M2M3_PR_M
NEW met1 ( 1209570 849830 ) M1M2_PR
NEW met1 ( 1222450 849830 ) M1M2_PR ;
- o_din0\[18\] ( sram din0[18] ) ( mprj o_din0[18] ) + USE SIGNAL
+ ROUTED met3 ( 194810 911540 ) ( 201020 * 0 )
NEW met2 ( 194810 911540 ) ( * 1203770 )
NEW li1 ( 415150 1203770 ) ( * 1205470 )
NEW met3 ( 415150 1684700 ) ( 418140 * )
NEW met4 ( 418140 1684700 ) ( 419060 * )
NEW met4 ( 419060 1684700 ) ( * 1698300 )
NEW met4 ( 419060 1698300 ) ( 419830 * )
NEW met4 ( 419830 1698300 ) ( * 1700000 0 )
NEW met2 ( 415150 1205470 ) ( * 1684700 )
NEW met1 ( 194810 1203770 ) ( 415150 * )
NEW met2 ( 194810 911540 ) M2M3_PR_M
NEW met1 ( 194810 1203770 ) M1M2_PR
NEW li1 ( 415150 1203770 ) L1M1_PR_MR
NEW li1 ( 415150 1205470 ) L1M1_PR_MR
NEW met1 ( 415150 1205470 ) M1M2_PR
NEW met2 ( 415150 1684700 ) M2M3_PR_M
NEW met3 ( 418140 1684700 ) M3M4_PR_M
NEW met1 ( 415150 1205470 ) RECT ( -355 -70 0 70 ) ;
- o_din0\[19\] ( sram din0[19] ) ( mprj o_din0[19] ) + USE SIGNAL
+ ROUTED met1 ( 426650 1667870 ) ( 810750 * )
NEW met3 ( 426420 1688100 ) ( 426650 * )
NEW met4 ( 426420 1688100 ) ( * 1698300 )
NEW met4 ( 426420 1698300 ) ( 426630 * )
NEW met4 ( 426630 1698300 ) ( * 1700000 0 )
NEW met2 ( 426650 1667870 ) ( * 1688100 )
NEW met2 ( 810750 1220090 ) ( * 1667870 )
NEW met2 ( 1099630 1207340 0 ) ( * 1220090 )
NEW met1 ( 810750 1220090 ) ( 1099630 * )
NEW met1 ( 426650 1667870 ) M1M2_PR
NEW met1 ( 810750 1667870 ) M1M2_PR
NEW met2 ( 426650 1688100 ) M2M3_PR_M
NEW met3 ( 426420 1688100 ) M3M4_PR_M
NEW met1 ( 810750 1220090 ) M1M2_PR
NEW met1 ( 1099630 1220090 ) M1M2_PR
NEW met3 ( 426650 1688100 ) RECT ( 0 -150 390 150 ) ;
- o_din0\[1\] ( sram din0[1] ) ( mprj o_din0[1] ) + USE SIGNAL
+ ROUTED met3 ( 321540 1687420 ) ( 324070 * )
NEW met4 ( 321540 1687420 ) ( * 1698300 )
NEW met4 ( 321230 1698300 ) ( 321540 * )
NEW met4 ( 321230 1698300 ) ( * 1700000 0 )
NEW met2 ( 324070 1210910 ) ( * 1687420 )
NEW met3 ( 1196460 292740 0 ) ( 1207730 * )
NEW met2 ( 1207730 292740 ) ( * 294610 )
NEW met1 ( 1207730 294610 ) ( 1221990 * )
NEW met1 ( 324070 1210910 ) ( 1221990 * )
NEW met2 ( 1221990 294610 ) ( * 1210910 )
NEW met1 ( 324070 1210910 ) M1M2_PR
NEW met2 ( 324070 1687420 ) M2M3_PR_M
NEW met3 ( 321540 1687420 ) M3M4_PR_M
NEW met2 ( 1207730 292740 ) M2M3_PR_M
NEW met1 ( 1207730 294610 ) M1M2_PR
NEW met1 ( 1221990 294610 ) M1M2_PR
NEW met1 ( 1221990 1210910 ) M1M2_PR ;
- o_din0\[20\] ( sram din0[20] ) ( mprj o_din0[20] ) + USE SIGNAL
+ ROUTED met1 ( 432170 1667530 ) ( 845250 * )
NEW met3 ( 431940 1688100 ) ( 432170 * )
NEW met4 ( 431940 1688100 ) ( * 1698300 )
NEW met4 ( 431940 1698300 ) ( 432070 * )
NEW met4 ( 432070 1698300 ) ( * 1700000 0 )
NEW met2 ( 432170 1667530 ) ( * 1688100 )
NEW met2 ( 845250 1220430 ) ( * 1667530 )
NEW met2 ( 1112510 1207340 0 ) ( * 1220430 )
NEW met1 ( 845250 1220430 ) ( 1112510 * )
NEW met1 ( 432170 1667530 ) M1M2_PR
NEW met1 ( 845250 1667530 ) M1M2_PR
NEW met2 ( 432170 1688100 ) M2M3_PR_M
NEW met3 ( 431940 1688100 ) M3M4_PR_M
NEW met1 ( 845250 1220430 ) M1M2_PR
NEW met1 ( 1112510 1220430 ) M1M2_PR
NEW met3 ( 432170 1688100 ) RECT ( 0 -150 390 150 ) ;
- o_din0\[21\] ( sram din0[21] ) ( mprj o_din0[21] ) + USE SIGNAL
+ ROUTED met3 ( 1196460 955740 0 ) ( 1209570 * )
NEW met3 ( 438380 1688780 ) ( 440450 * )
NEW met4 ( 438380 1688780 ) ( * 1698300 )
NEW met4 ( 438190 1698300 ) ( 438380 * )
NEW met4 ( 438190 1698300 ) ( * 1700000 0 )
NEW met2 ( 440450 1205470 ) ( * 1688780 )
NEW met1 ( 440450 1205470 ) ( 1209570 * )
NEW met2 ( 1209570 955740 ) ( * 1205470 )
NEW met2 ( 1209570 955740 ) M2M3_PR_M
NEW met1 ( 440450 1205470 ) M1M2_PR
NEW met2 ( 440450 1688780 ) M2M3_PR_M
NEW met3 ( 438380 1688780 ) M3M4_PR_M
NEW met1 ( 1209570 1205470 ) M1M2_PR ;
- o_din0\[22\] ( sram din0[22] ) ( mprj o_din0[22] ) + USE SIGNAL
+ ROUTED met3 ( 195270 1021700 ) ( 201020 * 0 )
NEW met2 ( 195270 1021700 ) ( * 1210570 )
NEW met1 ( 195270 1210570 ) ( 442290 * )
NEW met3 ( 442290 1688100 ) ( 443900 * )
NEW met4 ( 443900 1688100 ) ( * 1698300 )
NEW met4 ( 443630 1698300 ) ( 443900 * )
NEW met4 ( 443630 1698300 ) ( * 1700000 0 )
NEW met2 ( 442290 1210570 ) ( * 1688100 )
NEW met2 ( 195270 1021700 ) M2M3_PR_M
NEW met1 ( 195270 1210570 ) M1M2_PR
NEW met1 ( 442290 1210570 ) M1M2_PR
NEW met2 ( 442290 1688100 ) M2M3_PR_M
NEW met3 ( 443900 1688100 ) M3M4_PR_M ;
- o_din0\[23\] ( sram din0[23] ) ( mprj o_din0[23] ) + USE SIGNAL
+ ROUTED met1 ( 453330 1667190 ) ( 1100550 * )
NEW met3 ( 449420 1688100 ) ( 453330 * )
NEW met4 ( 449420 1688100 ) ( * 1698300 )
NEW met4 ( 449070 1698300 ) ( 449420 * )
NEW met4 ( 449070 1698300 ) ( * 1700000 0 )
NEW met2 ( 453330 1667190 ) ( * 1688100 )
NEW met2 ( 1137350 1207340 0 ) ( * 1215330 )
NEW met1 ( 1100550 1215330 ) ( 1137350 * )
NEW met2 ( 1100550 1215330 ) ( * 1667190 )
NEW met1 ( 453330 1667190 ) M1M2_PR
NEW met1 ( 1100550 1667190 ) M1M2_PR
NEW met2 ( 453330 1688100 ) M2M3_PR_M
NEW met3 ( 449420 1688100 ) M3M4_PR_M
NEW met1 ( 1137350 1215330 ) M1M2_PR
NEW met1 ( 1100550 1215330 ) M1M2_PR ;
- o_din0\[24\] ( sram din0[24] ) ( mprj o_din0[24] ) + USE SIGNAL
+ ROUTED met2 ( 1143790 191930 ) ( * 200260 0 )
NEW met1 ( 1143790 191930 ) ( 1201290 * )
NEW met3 ( 457700 1688780 ) ( 462070 * )
NEW met4 ( 457700 1688780 ) ( * 1698300 )
NEW met4 ( 455870 1698300 ) ( 457700 * )
NEW met4 ( 455870 1698300 ) ( * 1700000 0 )
NEW met2 ( 462070 1214310 ) ( * 1688780 )
NEW met1 ( 462070 1214310 ) ( 1201290 * )
NEW met2 ( 1201290 191930 ) ( * 1214310 )
NEW met1 ( 1143790 191930 ) M1M2_PR
NEW met1 ( 1201290 191930 ) M1M2_PR
NEW met1 ( 462070 1214310 ) M1M2_PR
NEW met2 ( 462070 1688780 ) M2M3_PR_M
NEW met3 ( 457700 1688780 ) M3M4_PR_M
NEW met1 ( 1201290 1214310 ) M1M2_PR ;
- o_din0\[25\] ( sram din0[25] ) ( mprj o_din0[25] ) + USE SIGNAL
+ ROUTED met3 ( 195730 1043460 ) ( 201020 * 0 )
NEW met1 ( 195730 1207510 ) ( 199410 * )
NEW met2 ( 199410 1207510 ) ( * 1214310 )
NEW met2 ( 195730 1043460 ) ( * 1207510 )
NEW met1 ( 199410 1214310 ) ( 456090 * )
NEW met3 ( 456090 1688100 ) ( 461380 * )
NEW met4 ( 461380 1688100 ) ( * 1698300 )
NEW met4 ( 461310 1698300 ) ( 461380 * )
NEW met4 ( 461310 1698300 ) ( * 1700000 0 )
NEW met2 ( 456090 1214310 ) ( * 1688100 )
NEW met2 ( 195730 1043460 ) M2M3_PR_M
NEW met1 ( 195730 1207510 ) M1M2_PR
NEW met1 ( 199410 1207510 ) M1M2_PR
NEW met1 ( 199410 1214310 ) M1M2_PR
NEW met1 ( 456090 1214310 ) M1M2_PR
NEW met2 ( 456090 1688100 ) M2M3_PR_M
NEW met3 ( 461380 1688100 ) M3M4_PR_M ;
- o_din0\[26\] ( sram din0[26] ) ( mprj o_din0[26] ) + USE SIGNAL
+ ROUTED met2 ( 500250 1355750 ) ( * 1683850 )
NEW met2 ( 1154370 1207340 ) ( 1156210 * 0 )
NEW met2 ( 1152530 1338600 ) ( * 1355750 )
NEW met2 ( 1152530 1338600 ) ( 1154370 * )
NEW met2 ( 1154370 1207340 ) ( * 1338600 )
NEW met1 ( 500250 1355750 ) ( 1152530 * )
NEW met2 ( 468050 1683850 ) ( * 1688780 )
NEW met3 ( 466900 1688780 ) ( 468050 * )
NEW met4 ( 466900 1688780 ) ( * 1698300 )
NEW met4 ( 466750 1698300 ) ( 466900 * )
NEW met4 ( 466750 1698300 ) ( * 1700000 0 )
NEW met1 ( 468050 1683850 ) ( 500250 * )
NEW met1 ( 500250 1355750 ) M1M2_PR
NEW met1 ( 1152530 1355750 ) M1M2_PR
NEW met1 ( 500250 1683850 ) M1M2_PR
NEW met1 ( 468050 1683850 ) M1M2_PR
NEW met2 ( 468050 1688780 ) M2M3_PR_M
NEW met3 ( 466900 1688780 ) M3M4_PR_M ;
- o_din0\[27\] ( sram din0[27] ) ( mprj o_din0[27] ) + USE SIGNAL
+ ROUTED met2 ( 475870 1688270 ) ( * 1688780 )
NEW met3 ( 471500 1688780 ) ( 475870 * )
NEW met4 ( 471500 1688780 ) ( * 1698300 )
NEW met4 ( 471500 1698300 ) ( 472190 * )
NEW met4 ( 472190 1698300 ) ( * 1700000 0 )
NEW met2 ( 1161270 1207340 ) ( 1162650 * 0 )
NEW met2 ( 1161270 1207340 ) ( * 1217370 )
NEW met1 ( 1128150 1217370 ) ( 1161270 * )
NEW met1 ( 475870 1688270 ) ( 1128150 * )
NEW met2 ( 1128150 1217370 ) ( * 1688270 )
NEW met1 ( 475870 1688270 ) M1M2_PR
NEW met2 ( 475870 1688780 ) M2M3_PR_M
NEW met3 ( 471500 1688780 ) M3M4_PR_M
NEW met1 ( 1161270 1217370 ) M1M2_PR
NEW met1 ( 1128150 1217370 ) M1M2_PR
NEW met1 ( 1128150 1688270 ) M1M2_PR ;
- o_din0\[28\] ( sram din0[28] ) ( mprj o_din0[28] ) + USE SIGNAL
+ ROUTED met2 ( 1186570 192950 ) ( * 200260 0 )
NEW met3 ( 478860 1688100 ) ( 481850 * )
NEW met4 ( 478860 1688100 ) ( * 1698300 )
NEW met4 ( 478860 1698300 ) ( 478990 * )
NEW met4 ( 478990 1698300 ) ( * 1700000 0 )
NEW met2 ( 481850 1210570 ) ( * 1688100 )
NEW met1 ( 1186570 192950 ) ( 1221530 * )
NEW met1 ( 481850 1210570 ) ( 1221530 * )
NEW met2 ( 1221530 192950 ) ( * 1210570 )
NEW met1 ( 1186570 192950 ) M1M2_PR
NEW met1 ( 481850 1210570 ) M1M2_PR
NEW met2 ( 481850 1688100 ) M2M3_PR_M
NEW met3 ( 478860 1688100 ) M3M4_PR_M
NEW met1 ( 1221530 192950 ) M1M2_PR
NEW met1 ( 1221530 1210570 ) M1M2_PR ;
- o_din0\[29\] ( sram din0[29] ) ( mprj o_din0[29] ) + USE SIGNAL
+ ROUTED met3 ( 485300 1688100 ) ( 489670 * )
NEW met4 ( 485300 1688100 ) ( * 1698300 )
NEW met4 ( 485110 1698300 ) ( 485300 * )
NEW met4 ( 485110 1698300 ) ( * 1700000 0 )
NEW met2 ( 489670 1369690 ) ( * 1688100 )
NEW met2 ( 1175070 1207340 0 ) ( * 1216010 )
NEW met1 ( 1162650 1216010 ) ( 1175070 * )
NEW met2 ( 1162650 1216010 ) ( * 1369690 )
NEW met1 ( 489670 1369690 ) ( 1162650 * )
NEW met1 ( 489670 1369690 ) M1M2_PR
NEW met1 ( 1162650 1369690 ) M1M2_PR
NEW met2 ( 489670 1688100 ) M2M3_PR_M
NEW met3 ( 485300 1688100 ) M3M4_PR_M
NEW met1 ( 1175070 1216010 ) M1M2_PR
NEW met1 ( 1162650 1216010 ) M1M2_PR ;
- o_din0\[2\] ( sram din0[2] ) ( mprj o_din0[2] ) + USE SIGNAL
+ ROUTED met3 ( 193890 364140 ) ( 201020 * 0 )
NEW met2 ( 193890 364140 ) ( * 1204110 )
NEW li1 ( 273470 1204110 ) ( * 1205810 )
NEW met1 ( 193890 1204110 ) ( 273470 * )
NEW met1 ( 273470 1205810 ) ( 324990 * )
NEW met3 ( 324990 1688100 ) ( 327060 * )
NEW met4 ( 327060 1688100 ) ( * 1698300 )
NEW met4 ( 327060 1698300 ) ( 327350 * )
NEW met4 ( 327350 1698300 ) ( * 1700000 0 )
NEW met2 ( 324990 1205810 ) ( * 1688100 )
NEW met2 ( 193890 364140 ) M2M3_PR_M
NEW met1 ( 193890 1204110 ) M1M2_PR
NEW li1 ( 273470 1204110 ) L1M1_PR_MR
NEW li1 ( 273470 1205810 ) L1M1_PR_MR
NEW met1 ( 324990 1205810 ) M1M2_PR
NEW met2 ( 324990 1688100 ) M2M3_PR_M
NEW met3 ( 327060 1688100 ) M3M4_PR_M ;
- o_din0\[30\] ( sram din0[30] ) ( mprj o_din0[30] ) + USE SIGNAL
+ ROUTED met2 ( 496570 1688610 ) ( * 1689460 )
NEW met3 ( 489900 1689460 ) ( 496570 * )
NEW met4 ( 489900 1689460 ) ( * 1698300 )
NEW met4 ( 489900 1698300 ) ( 490550 * )
NEW met4 ( 490550 1698300 ) ( * 1700000 0 )
NEW met2 ( 1181510 1207340 0 ) ( * 1220770 )
NEW met1 ( 1135050 1220770 ) ( 1181510 * )
NEW met1 ( 496570 1688610 ) ( 1135050 * )
NEW met2 ( 1135050 1220770 ) ( * 1688610 )
NEW met1 ( 496570 1688610 ) M1M2_PR
NEW met2 ( 496570 1689460 ) M2M3_PR_M
NEW met3 ( 489900 1689460 ) M3M4_PR_M
NEW met1 ( 1181510 1220770 ) M1M2_PR
NEW met1 ( 1135050 1220770 ) M1M2_PR
NEW met1 ( 1135050 1688610 ) M1M2_PR ;
- o_din0\[31\] ( sram din0[31] ) ( mprj o_din0[31] ) + USE SIGNAL
+ ROUTED met3 ( 201020 1196460 0 ) ( * 1199180 )
NEW met3 ( 199870 1199180 ) ( 201020 * )
NEW met2 ( 199870 1199180 ) ( * 1210230 )
NEW met3 ( 490130 1688780 ) ( 495420 * )
NEW met4 ( 495420 1688780 ) ( * 1698300 )
NEW met4 ( 495420 1698300 ) ( 495990 * )
NEW met4 ( 495990 1698300 ) ( * 1700000 0 )
NEW met2 ( 490130 1210230 ) ( * 1688780 )
NEW met1 ( 199870 1210230 ) ( 490130 * )
NEW met2 ( 199870 1199180 ) M2M3_PR_M
NEW met1 ( 199870 1210230 ) M1M2_PR
NEW met1 ( 490130 1210230 ) M1M2_PR
NEW met2 ( 490130 1688780 ) M2M3_PR_M
NEW met3 ( 495420 1688780 ) M3M4_PR_M ;
- o_din0\[3\] ( sram din0[3] ) ( mprj o_din0[3] ) + USE SIGNAL
+ ROUTED met3 ( 194350 407660 ) ( 201020 * 0 )
NEW met1 ( 194350 1205810 ) ( 210910 * )
NEW li1 ( 210910 1205810 ) ( 211830 * )
NEW met2 ( 194350 407660 ) ( * 1205810 )
NEW met1 ( 211830 1205810 ) ( 227700 * )
NEW met1 ( 227700 1205470 ) ( * 1205810 )
NEW met1 ( 227700 1205470 ) ( 331890 * )
NEW met3 ( 331890 1688100 ) ( 333500 * )
NEW met4 ( 333500 1688100 ) ( * 1698300 )
NEW met4 ( 332790 1698300 ) ( 333500 * )
NEW met4 ( 332790 1698300 ) ( * 1700000 0 )
NEW met2 ( 331890 1205470 ) ( * 1688100 )
NEW met2 ( 194350 407660 ) M2M3_PR_M
NEW met1 ( 194350 1205810 ) M1M2_PR
NEW li1 ( 210910 1205810 ) L1M1_PR_MR
NEW li1 ( 211830 1205810 ) L1M1_PR_MR
NEW met1 ( 331890 1205470 ) M1M2_PR
NEW met2 ( 331890 1688100 ) M2M3_PR_M
NEW met3 ( 333500 1688100 ) M3M4_PR_M ;
- o_din0\[4\] ( sram din0[4] ) ( mprj o_din0[4] ) + USE SIGNAL
+ ROUTED met3 ( 338100 1688780 ) ( 344770 * )
NEW met4 ( 338100 1688780 ) ( * 1698300 )
NEW met4 ( 338100 1698300 ) ( 338230 * )
NEW met4 ( 338230 1698300 ) ( * 1700000 0 )
NEW met2 ( 344770 1213630 ) ( * 1688780 )
NEW met3 ( 1196460 557940 0 ) ( 1209570 * )
NEW met2 ( 1209570 557940 ) ( * 558110 )
NEW met1 ( 1209570 558110 ) ( 1216470 * )
NEW met1 ( 344770 1213630 ) ( 1216470 * )
NEW met2 ( 1216470 558110 ) ( * 1213630 )
NEW met1 ( 344770 1213630 ) M1M2_PR
NEW met2 ( 344770 1688780 ) M2M3_PR_M
NEW met3 ( 338100 1688780 ) M3M4_PR_M
NEW met2 ( 1209570 557940 ) M2M3_PR_M
NEW met1 ( 1209570 558110 ) M1M2_PR
NEW met1 ( 1216470 558110 ) M1M2_PR
NEW met1 ( 1216470 1213630 ) M1M2_PR ;
- o_din0\[5\] ( sram din0[5] ) ( mprj o_din0[5] ) + USE SIGNAL
+ ROUTED met3 ( 193430 517820 ) ( 201020 * 0 )
NEW met2 ( 193430 517820 ) ( * 1624690 )
NEW met1 ( 193430 1624690 ) ( 338330 * )
NEW met3 ( 338330 1688100 ) ( 343620 * )
NEW met4 ( 343620 1688100 ) ( * 1698300 )
NEW met4 ( 343620 1698300 ) ( 343670 * )
NEW met4 ( 343670 1698300 ) ( * 1700000 0 )
NEW met2 ( 338330 1624690 ) ( * 1688100 )
NEW met2 ( 193430 517820 ) M2M3_PR_M
NEW met1 ( 193430 1624690 ) M1M2_PR
NEW met1 ( 338330 1624690 ) M1M2_PR
NEW met2 ( 338330 1688100 ) M2M3_PR_M
NEW met3 ( 343620 1688100 ) M3M4_PR_M ;
- o_din0\[6\] ( sram din0[6] ) ( mprj o_din0[6] ) + USE SIGNAL
+ ROUTED met2 ( 189750 604860 ) ( * 607070 )
NEW met3 ( 189750 604860 ) ( 201020 * 0 )
NEW met1 ( 170890 607070 ) ( 189750 * )
NEW met2 ( 170890 607070 ) ( * 1209550 )
NEW met1 ( 170890 1209550 ) ( 345690 * )
NEW met3 ( 345690 1686740 ) ( 350060 * )
NEW met4 ( 350060 1686740 ) ( * 1698300 )
NEW met4 ( 350060 1698300 ) ( 350470 * )
NEW met4 ( 350470 1698300 ) ( * 1700000 0 )
NEW met2 ( 345690 1209550 ) ( * 1686740 )
NEW met1 ( 189750 607070 ) M1M2_PR
NEW met2 ( 189750 604860 ) M2M3_PR_M
NEW met1 ( 170890 607070 ) M1M2_PR
NEW met1 ( 170890 1209550 ) M1M2_PR
NEW met1 ( 345690 1209550 ) M1M2_PR
NEW met2 ( 345690 1686740 ) M2M3_PR_M
NEW met3 ( 350060 1686740 ) M3M4_PR_M ;
- o_din0\[7\] ( sram din0[7] ) ( mprj o_din0[7] ) + USE SIGNAL
+ ROUTED met2 ( 190210 625770 ) ( * 627300 )
NEW met3 ( 190210 627300 ) ( 201020 * 0 )
NEW met1 ( 163990 625770 ) ( 190210 * )
NEW met2 ( 163990 625770 ) ( * 1213970 )
NEW met1 ( 163990 1213970 ) ( 353050 * )
NEW met3 ( 353050 1688780 ) ( 356500 * )
NEW met4 ( 356500 1688780 ) ( * 1698300 )
NEW met4 ( 356500 1698300 ) ( 356590 * )
NEW met4 ( 356590 1698300 ) ( * 1700000 0 )
NEW met2 ( 353050 1213970 ) ( * 1688780 )
NEW met1 ( 190210 625770 ) M1M2_PR
NEW met2 ( 190210 627300 ) M2M3_PR_M
NEW met1 ( 163990 625770 ) M1M2_PR
NEW met1 ( 163990 1213970 ) M1M2_PR
NEW met1 ( 353050 1213970 ) M1M2_PR
NEW met2 ( 353050 1688780 ) M2M3_PR_M
NEW met3 ( 356500 1688780 ) M3M4_PR_M ;
- o_din0\[8\] ( sram din0[8] ) ( mprj o_din0[8] ) + USE SIGNAL
+ ROUTED met2 ( 1072490 188530 ) ( * 200260 0 )
NEW met1 ( 1072490 188530 ) ( 1198530 * )
NEW met2 ( 365010 1686230 ) ( * 1687420 )
NEW met3 ( 362020 1687420 ) ( 365010 * )
NEW met4 ( 362020 1687420 ) ( * 1698300 )
NEW met4 ( 362020 1698300 ) ( 362030 * )
NEW met4 ( 362030 1698300 ) ( * 1700000 0 )
NEW met1 ( 365010 1686230 ) ( 1198530 * )
NEW met2 ( 1198530 188530 ) ( * 1686230 )
NEW met1 ( 1072490 188530 ) M1M2_PR
NEW met1 ( 1198530 188530 ) M1M2_PR
NEW met1 ( 365010 1686230 ) M1M2_PR
NEW met2 ( 365010 1687420 ) M2M3_PR_M
NEW met3 ( 362020 1687420 ) M3M4_PR_M
NEW met1 ( 1198530 1686230 ) M1M2_PR ;
- o_din0\[9\] ( sram din0[9] ) ( mprj o_din0[9] ) + USE SIGNAL
+ ROUTED met3 ( 1196460 610980 0 ) ( 1210030 * )
NEW met2 ( 1210030 610980 ) ( * 612850 )
NEW met1 ( 1210030 612850 ) ( 1228430 * )
NEW met1 ( 371910 1272790 ) ( 1228430 * )
NEW met3 ( 367540 1688780 ) ( 371910 * )
NEW met4 ( 367540 1688780 ) ( * 1698300 )
NEW met4 ( 367470 1698300 ) ( 367540 * )
NEW met4 ( 367470 1698300 ) ( * 1700000 0 )
NEW met2 ( 371910 1272790 ) ( * 1688780 )
NEW met2 ( 1228430 612850 ) ( * 1272790 )
NEW met1 ( 371910 1272790 ) M1M2_PR
NEW met2 ( 1210030 610980 ) M2M3_PR_M
NEW met1 ( 1210030 612850 ) M1M2_PR
NEW met1 ( 1228430 612850 ) M1M2_PR
NEW met1 ( 1228430 1272790 ) M1M2_PR
NEW met2 ( 371910 1688780 ) M2M3_PR_M
NEW met3 ( 367540 1688780 ) M3M4_PR_M ;
- o_din0_1\[0\] ( sram1 din0[0] ) ( mprj o_din0_1[0] ) + USE SIGNAL
+ ROUTED met2 ( 930350 1207340 0 ) ( * 1217710 )
NEW met1 ( 930350 1217710 ) ( 1814930 * )
NEW met3 ( 1814930 1688100 ) ( 1815620 * )
NEW met4 ( 1815620 1688100 ) ( * 1698300 )
NEW met4 ( 1815620 1698300 ) ( 1815790 * )
NEW met4 ( 1815790 1698300 ) ( * 1700000 0 )
NEW met2 ( 1814930 1217710 ) ( * 1688100 )
NEW met1 ( 930350 1217710 ) M1M2_PR
NEW met1 ( 1814930 1217710 ) M1M2_PR
NEW met2 ( 1814930 1688100 ) M2M3_PR_M
NEW met3 ( 1815620 1688100 ) M3M4_PR_M ;
- o_din0_1\[10\] ( sram1 din0[10] ) ( mprj o_din0_1[10] ) + USE SIGNAL
+ ROUTED met2 ( 1018210 1207340 0 ) ( * 1218390 )
NEW met1 ( 1018210 1218390 ) ( 1870130 * )
NEW met3 ( 1870130 1688100 ) ( 1872660 * )
NEW met4 ( 1872660 1688100 ) ( * 1697400 )
NEW met4 ( 1872660 1697400 ) ( 1872910 * )
NEW met4 ( 1872910 1697400 ) ( * 1700000 0 )
NEW met2 ( 1870130 1218390 ) ( * 1688100 )
NEW met1 ( 1018210 1218390 ) M1M2_PR
NEW met1 ( 1870130 1218390 ) M1M2_PR
NEW met2 ( 1870130 1688100 ) M2M3_PR_M
NEW met3 ( 1872660 1688100 ) M3M4_PR_M ;
- o_din0_1\[11\] ( sram1 din0[11] ) ( mprj o_din0_1[11] ) + USE SIGNAL
+ ROUTED met2 ( 1101010 195330 ) ( * 200260 0 )
NEW met1 ( 1101010 195330 ) ( 1776750 * )
NEW met2 ( 1776750 195330 ) ( * 1685210 )
NEW met2 ( 1877030 1685210 ) ( * 1686060 )
NEW met3 ( 1877030 1686060 ) ( 1879100 * )
NEW met4 ( 1879100 1686060 ) ( * 1698300 )
NEW met4 ( 1879100 1698300 ) ( 1879710 * )
NEW met4 ( 1879710 1698300 ) ( * 1700000 0 )
NEW met1 ( 1776750 1685210 ) ( 1877030 * )
NEW met1 ( 1101010 195330 ) M1M2_PR
NEW met1 ( 1776750 195330 ) M1M2_PR
NEW met1 ( 1776750 1685210 ) M1M2_PR
NEW met1 ( 1877030 1685210 ) M1M2_PR
NEW met2 ( 1877030 1686060 ) M2M3_PR_M
NEW met3 ( 1879100 1686060 ) M3M4_PR_M ;
- o_din0_1\[12\] ( sram1 din0[12] ) ( mprj o_din0_1[12] ) + USE SIGNAL
+ ROUTED met2 ( 190210 758540 ) ( * 758710 )
NEW met3 ( 190210 758540 ) ( 201020 * 0 )
NEW met1 ( 177790 758710 ) ( 190210 * )
NEW met2 ( 177790 758710 ) ( * 1212610 )
NEW met1 ( 177790 1212610 ) ( 1883930 * )
NEW met3 ( 1883930 1688100 ) ( 1884620 * )
NEW met4 ( 1884620 1688100 ) ( * 1697400 )
NEW met4 ( 1884620 1697400 ) ( 1885150 * )
NEW met4 ( 1885150 1697400 ) ( * 1700000 0 )
NEW met2 ( 1883930 1212610 ) ( * 1688100 )
NEW met1 ( 190210 758710 ) M1M2_PR
NEW met2 ( 190210 758540 ) M2M3_PR_M
NEW met1 ( 177790 758710 ) M1M2_PR
NEW met1 ( 177790 1212610 ) M1M2_PR
NEW met1 ( 1883930 1212610 ) M1M2_PR
NEW met2 ( 1883930 1688100 ) M2M3_PR_M
NEW met3 ( 1884620 1688100 ) M3M4_PR_M ;
- o_din0_1\[13\] ( sram1 din0[13] ) ( mprj o_din0_1[13] ) + USE SIGNAL
+ ROUTED met2 ( 1049490 1207340 0 ) ( * 1217370 )
NEW met1 ( 1049490 1217370 ) ( 1095030 * )
NEW li1 ( 1095030 1217370 ) ( * 1219410 )
NEW met1 ( 1095030 1219410 ) ( 1884390 * )
NEW met3 ( 1884390 1688780 ) ( 1890140 * )
NEW met4 ( 1890140 1688780 ) ( * 1697400 )
NEW met4 ( 1890140 1697400 ) ( 1890590 * )
NEW met4 ( 1890590 1697400 ) ( * 1700000 0 )
NEW met2 ( 1884390 1219410 ) ( * 1688780 )
NEW met1 ( 1049490 1217370 ) M1M2_PR
NEW li1 ( 1095030 1217370 ) L1M1_PR_MR
NEW li1 ( 1095030 1219410 ) L1M1_PR_MR
NEW met1 ( 1884390 1219410 ) M1M2_PR
NEW met2 ( 1884390 1688780 ) M2M3_PR_M
NEW met3 ( 1890140 1688780 ) M3M4_PR_M ;
- o_din0_1\[14\] ( sram1 din0[14] ) ( mprj o_din0_1[14] ) + USE SIGNAL
+ ROUTED met2 ( 1055930 1207340 0 ) ( * 1219410 )
NEW met1 ( 1055930 1219410 ) ( 1094570 * )
NEW met1 ( 1094570 1218730 ) ( * 1219410 )
NEW met1 ( 1094570 1218730 ) ( 1897730 * )
NEW met3 ( 1897500 1688100 ) ( 1897730 * )
NEW met4 ( 1897500 1688100 ) ( * 1698300 )
NEW met4 ( 1897390 1698300 ) ( 1897500 * )
NEW met4 ( 1897390 1698300 ) ( * 1700000 0 )
NEW met2 ( 1897730 1218730 ) ( * 1688100 )
NEW met1 ( 1055930 1219410 ) M1M2_PR
NEW met1 ( 1897730 1218730 ) M1M2_PR
NEW met2 ( 1897730 1688100 ) M2M3_PR_M
NEW met3 ( 1897500 1688100 ) M3M4_PR_M
NEW met3 ( 1897730 1688100 ) RECT ( 0 -150 390 150 ) ;
- o_din0_1\[15\] ( sram1 din0[15] ) ( mprj o_din0_1[15] ) + USE SIGNAL
+ ROUTED met2 ( 1062370 1207340 0 ) ( * 1216690 )
NEW met1 ( 1062370 1216690 ) ( 1095490 * )
NEW li1 ( 1095490 1216690 ) ( * 1219070 )
NEW met1 ( 1095490 1219070 ) ( 1898190 * )
NEW met3 ( 1898190 1688780 ) ( 1903020 * )
NEW met4 ( 1903020 1688780 ) ( * 1697400 )
NEW met4 ( 1903020 1697400 ) ( 1903510 * )
NEW met4 ( 1903510 1697400 ) ( * 1700000 0 )
NEW met2 ( 1898190 1219070 ) ( * 1688780 )
NEW met1 ( 1062370 1216690 ) M1M2_PR
NEW li1 ( 1095490 1216690 ) L1M1_PR_MR
NEW li1 ( 1095490 1219070 ) L1M1_PR_MR
NEW met1 ( 1898190 1219070 ) M1M2_PR
NEW met2 ( 1898190 1688780 ) M2M3_PR_M
NEW met3 ( 1903020 1688780 ) M3M4_PR_M ;
- o_din0_1\[16\] ( sram1 din0[16] ) ( mprj o_din0_1[16] ) + USE SIGNAL
+ ROUTED met2 ( 1074790 1207340 0 ) ( * 1219750 )
NEW met1 ( 1074790 1219750 ) ( 1904630 * )
NEW met3 ( 1904630 1686740 ) ( 1908540 * )
NEW met4 ( 1908540 1686740 ) ( * 1697400 )
NEW met4 ( 1908540 1697400 ) ( 1908950 * )
NEW met4 ( 1908950 1697400 ) ( * 1700000 0 )
NEW met2 ( 1904630 1219750 ) ( * 1686740 )
NEW met1 ( 1074790 1219750 ) M1M2_PR
NEW met1 ( 1904630 1219750 ) M1M2_PR
NEW met2 ( 1904630 1686740 ) M2M3_PR_M
NEW met3 ( 1908540 1686740 ) M3M4_PR_M ;
- o_din0_1\[17\] ( sram1 din0[17] ) ( mprj o_din0_1[17] ) + USE SIGNAL
+ ROUTED met2 ( 1080770 1207340 0 ) ( 1083070 * )
NEW met2 ( 1083070 1207340 ) ( * 1686570 )
NEW met2 ( 1911530 1686570 ) ( * 1690140 )
NEW met3 ( 1911530 1690140 ) ( 1914060 * )
NEW met3 ( 1914060 1690140 ) ( * 1690820 )
NEW met4 ( 1914060 1690820 ) ( * 1697400 )
NEW met4 ( 1914060 1697400 ) ( 1914390 * )
NEW met4 ( 1914390 1697400 ) ( * 1700000 0 )
NEW met1 ( 1083070 1686570 ) ( 1911530 * )
NEW met1 ( 1083070 1686570 ) M1M2_PR
NEW met1 ( 1911530 1686570 ) M1M2_PR
NEW met2 ( 1911530 1690140 ) M2M3_PR_M
NEW met3 ( 1914060 1690820 ) M3M4_PR_M ;
- o_din0_1\[18\] ( sram1 din0[18] ) ( mprj o_din0_1[18] ) + USE SIGNAL
+ ROUTED met2 ( 190210 889780 ) ( * 889950 )
NEW met3 ( 190210 889780 ) ( 201020 * 0 )
NEW met3 ( 1918430 1688100 ) ( 1919580 * )
NEW met4 ( 1919580 1688100 ) ( * 1697400 )
NEW met4 ( 1919580 1697400 ) ( 1919830 * )
NEW met4 ( 1919830 1697400 ) ( * 1700000 0 )
NEW met2 ( 1918430 1259530 ) ( * 1688100 )
NEW met1 ( 163530 889950 ) ( 190210 * )
NEW met1 ( 163530 1259530 ) ( 1918430 * )
NEW met2 ( 163530 889950 ) ( * 1259530 )
NEW met1 ( 190210 889950 ) M1M2_PR
NEW met2 ( 190210 889780 ) M2M3_PR_M
NEW met1 ( 1918430 1259530 ) M1M2_PR
NEW met2 ( 1918430 1688100 ) M2M3_PR_M
NEW met3 ( 1919580 1688100 ) M3M4_PR_M
NEW met1 ( 163530 889950 ) M1M2_PR
NEW met1 ( 163530 1259530 ) M1M2_PR ;
- o_din0_1\[19\] ( sram1 din0[19] ) ( mprj o_din0_1[19] ) + USE SIGNAL
+ ROUTED met1 ( 182390 938230 ) ( 186990 * )
NEW met2 ( 186990 933980 ) ( * 938230 )
NEW met3 ( 186990 933980 ) ( 201020 * 0 )
NEW met2 ( 182390 938230 ) ( * 1212270 )
NEW met3 ( 1925330 1688100 ) ( 1926020 * )
NEW met4 ( 1926020 1688100 ) ( * 1697400 )
NEW met4 ( 1926020 1697400 ) ( 1926630 * )
NEW met4 ( 1926630 1697400 ) ( * 1700000 0 )
NEW met2 ( 1925330 1212270 ) ( * 1688100 )
NEW met1 ( 182390 1212270 ) ( 1925330 * )
NEW met1 ( 182390 938230 ) M1M2_PR
NEW met1 ( 186990 938230 ) M1M2_PR
NEW met2 ( 186990 933980 ) M2M3_PR_M
NEW met1 ( 182390 1212270 ) M1M2_PR
NEW met1 ( 1925330 1212270 ) M1M2_PR
NEW met2 ( 1925330 1688100 ) M2M3_PR_M
NEW met3 ( 1926020 1688100 ) M3M4_PR_M ;
- o_din0_1\[1\] ( sram1 din0[1] ) ( mprj o_din0_1[1] ) + USE SIGNAL
+ ROUTED met2 ( 942770 1207340 0 ) ( * 1218050 )
NEW met1 ( 942770 1218050 ) ( 1815390 * )
NEW met3 ( 1815390 1688780 ) ( 1821140 * )
NEW met4 ( 1821140 1688780 ) ( * 1698300 )
NEW met4 ( 1821140 1698300 ) ( 1821230 * )
NEW met4 ( 1821230 1698300 ) ( * 1700000 0 )
NEW met2 ( 1815390 1218050 ) ( * 1688780 )
NEW met1 ( 942770 1218050 ) M1M2_PR
NEW met1 ( 1815390 1218050 ) M1M2_PR
NEW met2 ( 1815390 1688780 ) M2M3_PR_M
NEW met3 ( 1821140 1688780 ) M3M4_PR_M ;
- o_din0_1\[20\] ( sram1 din0[20] ) ( mprj o_din0_1[20] ) + USE SIGNAL
+ ROUTED met2 ( 190210 955740 ) ( * 958970 )
NEW met3 ( 190210 955740 ) ( 201020 * 0 )
NEW met3 ( 1932460 1690140 ) ( 1933150 * )
NEW met3 ( 1932460 1690140 ) ( * 1690820 )
NEW met4 ( 1932460 1690820 ) ( * 1697400 )
NEW met4 ( 1932070 1697400 ) ( 1932460 * )
NEW met4 ( 1932070 1697400 ) ( * 1700000 0 )
NEW met2 ( 1933150 1673650 ) ( * 1690140 )
NEW met1 ( 157090 958970 ) ( 190210 * )
NEW met1 ( 157090 1673650 ) ( 1933150 * )
NEW met2 ( 157090 958970 ) ( * 1673650 )
NEW met1 ( 190210 958970 ) M1M2_PR
NEW met2 ( 190210 955740 ) M2M3_PR_M
NEW met1 ( 1933150 1673650 ) M1M2_PR
NEW met2 ( 1933150 1690140 ) M2M3_PR_M
NEW met3 ( 1932460 1690820 ) M3M4_PR_M
NEW met1 ( 157090 958970 ) M1M2_PR
NEW met1 ( 157090 1673650 ) M1M2_PR ;
- o_din0_1\[21\] ( sram1 din0[21] ) ( mprj o_din0_1[21] ) + USE SIGNAL
+ ROUTED met3 ( 1932230 1688100 ) ( 1937980 * )
NEW met4 ( 1937980 1688100 ) ( * 1697400 )
NEW met4 ( 1937980 1697400 ) ( 1938190 * )
NEW met4 ( 1938190 1697400 ) ( * 1700000 0 )
NEW met2 ( 1932230 931430 ) ( * 1688100 )
NEW met3 ( 1196460 929220 0 ) ( 1214170 * )
NEW met2 ( 1214170 929220 ) ( * 931430 )
NEW met1 ( 1214170 931430 ) ( 1932230 * )
NEW met1 ( 1932230 931430 ) M1M2_PR
NEW met2 ( 1932230 1688100 ) M2M3_PR_M
NEW met3 ( 1937980 1688100 ) M3M4_PR_M
NEW met2 ( 1214170 929220 ) M2M3_PR_M
NEW met1 ( 1214170 931430 ) M1M2_PR ;
- o_din0_1\[22\] ( sram1 din0[22] ) ( mprj o_din0_1[22] ) + USE SIGNAL
+ ROUTED met1 ( 1928550 1688270 ) ( 1939130 * )
NEW met2 ( 1939130 1688270 ) ( * 1688780 )
NEW met3 ( 1939130 1688780 ) ( 1943500 * )
NEW met4 ( 1943500 1688780 ) ( * 1697400 )
NEW met4 ( 1943500 1697400 ) ( 1943630 * )
NEW met4 ( 1943630 1697400 ) ( * 1700000 0 )
NEW met2 ( 1928550 1220090 ) ( * 1688270 )
NEW met2 ( 1124930 1207340 0 ) ( * 1220090 )
NEW met1 ( 1124930 1220090 ) ( 1928550 * )
NEW met1 ( 1928550 1220090 ) M1M2_PR
NEW met1 ( 1928550 1688270 ) M1M2_PR
NEW met1 ( 1939130 1688270 ) M1M2_PR
NEW met2 ( 1939130 1688780 ) M2M3_PR_M
NEW met3 ( 1943500 1688780 ) M3M4_PR_M
NEW met1 ( 1124930 1220090 ) M1M2_PR ;
- o_din0_1\[23\] ( sram1 din0[23] ) ( mprj o_din0_1[23] ) + USE SIGNAL
+ ROUTED met1 ( 1935450 1687930 ) ( 1946030 * )
NEW met2 ( 1946030 1687930 ) ( * 1688100 )
NEW met3 ( 1946030 1688100 ) ( 1949020 * )
NEW met4 ( 1949020 1688100 ) ( * 1697400 )
NEW met4 ( 1949020 1697400 ) ( 1949070 * )
NEW met4 ( 1949070 1697400 ) ( * 1700000 0 )
NEW met2 ( 1935450 1014050 ) ( * 1687930 )
NEW met3 ( 1196460 1008780 0 ) ( 1212330 * )
NEW met2 ( 1212330 1008780 ) ( * 1014050 )
NEW met1 ( 1212330 1014050 ) ( 1935450 * )
NEW met1 ( 1935450 1014050 ) M1M2_PR
NEW met1 ( 1935450 1687930 ) M1M2_PR
NEW met1 ( 1946030 1687930 ) M1M2_PR
NEW met2 ( 1946030 1688100 ) M2M3_PR_M
NEW met3 ( 1949020 1688100 ) M3M4_PR_M
NEW met2 ( 1212330 1008780 ) M2M3_PR_M
NEW met1 ( 1212330 1014050 ) M1M2_PR ;
- o_din0_1\[24\] ( sram1 din0[24] ) ( mprj o_din0_1[24] ) + USE SIGNAL
+ ROUTED met1 ( 1942350 1688270 ) ( 1952930 * )
NEW met2 ( 1952930 1688270 ) ( * 1688780 )
NEW met3 ( 1952930 1688780 ) ( 1955460 * )
NEW met4 ( 1955460 1688780 ) ( * 1697400 )
NEW met4 ( 1955460 1697400 ) ( 1955870 * )
NEW met4 ( 1955870 1697400 ) ( * 1700000 0 )
NEW met2 ( 1942350 1089870 ) ( * 1688270 )
NEW met3 ( 1196460 1088340 0 ) ( 1214170 * )
NEW met2 ( 1214170 1088340 ) ( * 1089870 )
NEW met1 ( 1214170 1089870 ) ( 1942350 * )
NEW met1 ( 1942350 1089870 ) M1M2_PR
NEW met1 ( 1942350 1688270 ) M1M2_PR
NEW met1 ( 1952930 1688270 ) M1M2_PR
NEW met2 ( 1952930 1688780 ) M2M3_PR_M
NEW met3 ( 1955460 1688780 ) M3M4_PR_M
NEW met2 ( 1214170 1088340 ) M2M3_PR_M
NEW met1 ( 1214170 1089870 ) M1M2_PR ;
- o_din0_1\[25\] ( sram1 din0[25] ) ( mprj o_din0_1[25] ) + USE SIGNAL
+ ROUTED met2 ( 1149770 1207340 0 ) ( * 1220430 )
NEW met2 ( 1959830 1685210 ) ( * 1689460 )
NEW met3 ( 1959830 1689460 ) ( 1960980 * )
NEW met4 ( 1960980 1689460 ) ( * 1697400 )
NEW met4 ( 1960980 1697400 ) ( 1961310 * )
NEW met4 ( 1961310 1697400 ) ( * 1700000 0 )
NEW met1 ( 1149770 1220430 ) ( 1887150 * )
NEW met2 ( 1887150 1220430 ) ( * 1685210 )
NEW met1 ( 1887150 1685210 ) ( 1959830 * )
NEW met1 ( 1149770 1220430 ) M1M2_PR
NEW met1 ( 1959830 1685210 ) M1M2_PR
NEW met2 ( 1959830 1689460 ) M2M3_PR_M
NEW met3 ( 1960980 1689460 ) M3M4_PR_M
NEW met1 ( 1887150 1220430 ) M1M2_PR
NEW met1 ( 1887150 1685210 ) M1M2_PR ;
- o_din0_1\[26\] ( sram1 din0[26] ) ( mprj o_din0_1[26] ) + USE SIGNAL
+ ROUTED met2 ( 1164950 194990 ) ( * 200260 0 )
NEW met2 ( 1963050 194990 ) ( * 1684700 )
NEW met1 ( 1164950 194990 ) ( 1963050 * )
NEW met4 ( 1967420 1684700 ) ( * 1694900 )
NEW met4 ( 1966750 1694900 ) ( 1967420 * )
NEW met4 ( 1966750 1694900 ) ( * 1700000 0 )
NEW met3 ( 1963050 1684700 ) ( 1967420 * )
NEW met1 ( 1164950 194990 ) M1M2_PR
NEW met1 ( 1963050 194990 ) M1M2_PR
NEW met2 ( 1963050 1684700 ) M2M3_PR_M
NEW met3 ( 1967420 1684700 ) M3M4_PR_M ;
- o_din0_1\[27\] ( sram1 din0[27] ) ( mprj o_din0_1[27] ) + USE SIGNAL
+ ROUTED met2 ( 1172310 191250 ) ( * 200260 0 )
NEW met2 ( 1956150 191250 ) ( * 1683850 )
NEW met1 ( 1172310 191250 ) ( 1956150 * )
NEW met2 ( 1969490 1683850 ) ( * 1687420 )
NEW met3 ( 1969490 1687420 ) ( 1972020 * )
NEW met4 ( 1972020 1687420 ) ( * 1698300 )
NEW met4 ( 1972020 1698300 ) ( 1972190 * )
NEW met4 ( 1972190 1698300 ) ( * 1700000 0 )
NEW met1 ( 1956150 1683850 ) ( 1969490 * )
NEW met1 ( 1172310 191250 ) M1M2_PR
NEW met1 ( 1956150 191250 ) M1M2_PR
NEW met1 ( 1956150 1683850 ) M1M2_PR
NEW met1 ( 1969490 1683850 ) M1M2_PR
NEW met2 ( 1969490 1687420 ) M2M3_PR_M
NEW met3 ( 1972020 1687420 ) M3M4_PR_M ;
- o_din0_1\[28\] ( sram1 din0[28] ) ( mprj o_din0_1[28] ) + USE SIGNAL
+ ROUTED met3 ( 189290 1108740 ) ( 201020 * 0 )
NEW met1 ( 189290 1206830 ) ( 217350 * )
NEW met2 ( 189290 1108740 ) ( * 1206830 )
NEW met2 ( 217350 1206830 ) ( * 1688950 )
NEW met2 ( 1973630 1688950 ) ( * 1689460 )
NEW met3 ( 1973630 1689460 ) ( 1978460 * )
NEW met4 ( 1978460 1689460 ) ( * 1698300 )
NEW met4 ( 1978460 1698300 ) ( 1978990 * )
NEW met4 ( 1978990 1698300 ) ( * 1700000 0 )
NEW met1 ( 217350 1688950 ) ( 1973630 * )
NEW met2 ( 189290 1108740 ) M2M3_PR_M
NEW met1 ( 189290 1206830 ) M1M2_PR
NEW met1 ( 217350 1206830 ) M1M2_PR
NEW met1 ( 217350 1688950 ) M1M2_PR
NEW met1 ( 1973630 1688950 ) M1M2_PR
NEW met2 ( 1973630 1689460 ) M2M3_PR_M
NEW met3 ( 1978460 1689460 ) M3M4_PR_M ;
- o_din0_1\[29\] ( sram1 din0[29] ) ( mprj o_din0_1[29] ) + USE SIGNAL
+ ROUTED met2 ( 1168630 1207340 0 ) ( * 1221110 )
NEW met1 ( 1168630 1221110 ) ( 1172770 * )
NEW met2 ( 1172770 1221110 ) ( * 1685550 )
NEW met2 ( 1980530 1685550 ) ( * 1689460 )
NEW met3 ( 1980530 1689460 ) ( 1983060 * )
NEW met4 ( 1983060 1689460 ) ( * 1698300 )
NEW met4 ( 1983060 1698300 ) ( 1985110 * )
NEW met4 ( 1985110 1698300 ) ( * 1700000 0 )
NEW met1 ( 1172770 1685550 ) ( 1980530 * )
NEW met1 ( 1168630 1221110 ) M1M2_PR
NEW met1 ( 1172770 1221110 ) M1M2_PR
NEW met1 ( 1172770 1685550 ) M1M2_PR
NEW met1 ( 1980530 1685550 ) M1M2_PR
NEW met2 ( 1980530 1689460 ) M2M3_PR_M
NEW met3 ( 1983060 1689460 ) M3M4_PR_M ;
- o_din0_1\[2\] ( sram1 din0[2] ) ( mprj o_din0_1[2] ) + USE SIGNAL
+ ROUTED met2 ( 190210 342380 ) ( * 344930 )
NEW met3 ( 190210 342380 ) ( 201020 * 0 )
NEW met3 ( 1821830 1688100 ) ( 1827580 * )
NEW met4 ( 1827580 1688100 ) ( * 1697400 )
NEW met4 ( 1827350 1697400 ) ( 1827580 * )
NEW met4 ( 1827350 1697400 ) ( * 1700000 0 )
NEW met2 ( 1821830 1376490 ) ( * 1688100 )
NEW met1 ( 158470 1376490 ) ( 1821830 * )
NEW met1 ( 158470 344930 ) ( 190210 * )
NEW met2 ( 158470 344930 ) ( * 1376490 )
NEW met1 ( 1821830 1376490 ) M1M2_PR
NEW met1 ( 190210 344930 ) M1M2_PR
NEW met2 ( 190210 342380 ) M2M3_PR_M
NEW met2 ( 1821830 1688100 ) M2M3_PR_M
NEW met3 ( 1827580 1688100 ) M3M4_PR_M
NEW met1 ( 158470 1376490 ) M1M2_PR
NEW met1 ( 158470 344930 ) M1M2_PR ;
- o_din0_1\[30\] ( sram1 din0[30] ) ( mprj o_din0_1[30] ) + USE SIGNAL
+ ROUTED met2 ( 1956610 1172830 ) ( * 1686570 )
NEW met3 ( 1196460 1167900 0 ) ( 1214170 * )
NEW met2 ( 1214170 1167900 ) ( * 1172830 )
NEW met1 ( 1214170 1172830 ) ( 1956610 * )
NEW met2 ( 1987430 1686570 ) ( * 1686740 )
NEW met3 ( 1987430 1686740 ) ( 1990420 * )
NEW met4 ( 1990420 1686740 ) ( * 1698300 )
NEW met4 ( 1990420 1698300 ) ( 1990550 * )
NEW met4 ( 1990550 1698300 ) ( * 1700000 0 )
NEW met1 ( 1956610 1686570 ) ( 1987430 * )
NEW met1 ( 1956610 1172830 ) M1M2_PR
NEW met1 ( 1956610 1686570 ) M1M2_PR
NEW met2 ( 1214170 1167900 ) M2M3_PR_M
NEW met1 ( 1214170 1172830 ) M1M2_PR
NEW met1 ( 1987430 1686570 ) M1M2_PR
NEW met2 ( 1987430 1686740 ) M2M3_PR_M
NEW met3 ( 1990420 1686740 ) M3M4_PR_M ;
- o_din0_1\[31\] ( sram1 din0[31] ) ( mprj o_din0_1[31] ) + USE SIGNAL
+ ROUTED met2 ( 1193930 1207340 0 ) ( * 1221110 )
NEW met1 ( 1193930 1221110 ) ( 1200370 * )
NEW met2 ( 1200370 1221110 ) ( * 1686230 )
NEW met2 ( 1994330 1686230 ) ( * 1689460 )
NEW met3 ( 1994330 1689460 ) ( 1995940 * )
NEW met4 ( 1995940 1689460 ) ( * 1698300 )
NEW met4 ( 1995940 1698300 ) ( 1995990 * )
NEW met4 ( 1995990 1698300 ) ( * 1700000 0 )
NEW met1 ( 1200370 1686230 ) ( 1994330 * )
NEW met1 ( 1193930 1221110 ) M1M2_PR
NEW met1 ( 1200370 1221110 ) M1M2_PR
NEW met1 ( 1200370 1686230 ) M1M2_PR
NEW met1 ( 1994330 1686230 ) M1M2_PR
NEW met2 ( 1994330 1689460 ) M2M3_PR_M
NEW met3 ( 1995940 1689460 ) M3M4_PR_M ;
- o_din0_1\[3\] ( sram1 din0[3] ) ( mprj o_din0_1[3] ) + USE SIGNAL
+ ROUTED met3 ( 1828730 1688100 ) ( 1832180 * )
NEW met4 ( 1832180 1688100 ) ( * 1697400 )
NEW met4 ( 1832180 1697400 ) ( 1832790 * )
NEW met4 ( 1832790 1697400 ) ( * 1700000 0 )
NEW met2 ( 1828730 191590 ) ( * 1688100 )
NEW met2 ( 1036610 191590 ) ( * 200260 0 )
NEW met1 ( 1036610 191590 ) ( 1828730 * )
NEW met1 ( 1828730 191590 ) M1M2_PR
NEW met2 ( 1828730 1688100 ) M2M3_PR_M
NEW met3 ( 1832180 1688100 ) M3M4_PR_M
NEW met1 ( 1036610 191590 ) M1M2_PR ;
- o_din0_1\[4\] ( sram1 din0[4] ) ( mprj o_din0_1[4] ) + USE SIGNAL
+ ROUTED met2 ( 190210 451860 ) ( * 455090 )
NEW met3 ( 190210 451860 ) ( 201020 * 0 )
NEW met3 ( 1836090 1688100 ) ( 1837700 * )
NEW met4 ( 1837700 1688100 ) ( * 1697400 )
NEW met4 ( 1837700 1697400 ) ( 1838230 * )
NEW met4 ( 1838230 1697400 ) ( * 1700000 0 )
NEW met2 ( 1836090 1266330 ) ( * 1688100 )
NEW met1 ( 157550 1266330 ) ( 1836090 * )
NEW met1 ( 157550 455090 ) ( 190210 * )
NEW met2 ( 157550 455090 ) ( * 1266330 )
NEW met1 ( 1836090 1266330 ) M1M2_PR
NEW met1 ( 190210 455090 ) M1M2_PR
NEW met2 ( 190210 451860 ) M2M3_PR_M
NEW met2 ( 1836090 1688100 ) M2M3_PR_M
NEW met3 ( 1837700 1688100 ) M3M4_PR_M
NEW met1 ( 157550 1266330 ) M1M2_PR
NEW met1 ( 157550 455090 ) M1M2_PR ;
- o_din0_1\[5\] ( sram1 din0[5] ) ( mprj o_din0_1[5] ) + USE SIGNAL
+ ROUTED met3 ( 191590 495380 ) ( 201020 * 0 )
NEW met2 ( 191590 495380 ) ( * 1206490 )
NEW met2 ( 1842530 1689970 ) ( * 1690140 )
NEW met3 ( 1842530 1690140 ) ( 1845060 * )
NEW met4 ( 1845060 1690140 ) ( * 1697400 )
NEW met4 ( 1843670 1697400 ) ( 1845060 * )
NEW met4 ( 1843670 1697400 ) ( * 1700000 0 )
NEW met1 ( 191590 1206490 ) ( 244950 * )
NEW met2 ( 244950 1206490 ) ( * 1689970 )
NEW met1 ( 244950 1689970 ) ( 1842530 * )
NEW met2 ( 191590 495380 ) M2M3_PR_M
NEW met1 ( 191590 1206490 ) M1M2_PR
NEW met1 ( 1842530 1689970 ) M1M2_PR
NEW met2 ( 1842530 1690140 ) M2M3_PR_M
NEW met3 ( 1845060 1690140 ) M3M4_PR_M
NEW met1 ( 244950 1206490 ) M1M2_PR
NEW met1 ( 244950 1689970 ) M1M2_PR ;
- o_din0_1\[6\] ( sram1 din0[6] ) ( mprj o_din0_1[6] ) + USE SIGNAL
+ ROUTED met3 ( 188830 583100 ) ( 201020 * 0 )
NEW met1 ( 188830 1205470 ) ( 211370 * )
NEW met1 ( 211370 1205470 ) ( * 1206150 )
NEW met2 ( 188830 583100 ) ( * 1205470 )
NEW met2 ( 1849430 1684190 ) ( * 1684700 )
NEW met3 ( 1849430 1684700 ) ( 1850580 * )
NEW met4 ( 1850580 1684700 ) ( * 1697400 )
NEW met4 ( 1850470 1697400 ) ( 1850580 * )
NEW met4 ( 1850470 1697400 ) ( * 1700000 0 )
NEW met1 ( 211370 1206150 ) ( 251850 * )
NEW met2 ( 251850 1206150 ) ( * 1684190 )
NEW met1 ( 251850 1684190 ) ( 1849430 * )
NEW met2 ( 188830 583100 ) M2M3_PR_M
NEW met1 ( 188830 1205470 ) M1M2_PR
NEW met1 ( 1849430 1684190 ) M1M2_PR
NEW met2 ( 1849430 1684700 ) M2M3_PR_M
NEW met3 ( 1850580 1684700 ) M3M4_PR_M
NEW met1 ( 251850 1206150 ) M1M2_PR
NEW met1 ( 251850 1684190 ) M1M2_PR ;
- o_din0_1\[7\] ( sram1 din0[7] ) ( mprj o_din0_1[7] ) + USE SIGNAL
+ ROUTED met2 ( 1058230 188870 ) ( * 200260 0 )
NEW met3 ( 1856790 1688100 ) ( 1857020 * )
NEW met4 ( 1857020 1688100 ) ( * 1697400 )
NEW met4 ( 1856590 1697400 ) ( 1857020 * )
NEW met4 ( 1856590 1697400 ) ( * 1700000 0 )
NEW met2 ( 1856790 188870 ) ( * 1688100 )
NEW met1 ( 1058230 188870 ) ( 1856790 * )
NEW met1 ( 1058230 188870 ) M1M2_PR
NEW met1 ( 1856790 188870 ) M1M2_PR
NEW met2 ( 1856790 1688100 ) M2M3_PR_M
NEW met3 ( 1857020 1688100 ) M3M4_PR_M
NEW met3 ( 1856790 1688100 ) RECT ( -390 -150 0 150 ) ;
- o_din0_1\[8\] ( sram1 din0[8] ) ( mprj o_din0_1[8] ) + USE SIGNAL
+ ROUTED met2 ( 1856330 1685890 ) ( * 1690140 )
NEW met3 ( 1856330 1690140 ) ( 1861620 * )
NEW met3 ( 1861620 1690140 ) ( * 1690820 )
NEW met4 ( 1861620 1690820 ) ( * 1697400 )
NEW met4 ( 1861620 1697400 ) ( 1862030 * )
NEW met4 ( 1862030 1697400 ) ( * 1700000 0 )
NEW met2 ( 1011770 1207340 0 ) ( * 1221110 )
NEW met1 ( 1011770 1221110 ) ( 1017750 * )
NEW met2 ( 1017750 1221110 ) ( * 1685890 )
NEW met1 ( 1017750 1685890 ) ( 1856330 * )
NEW met1 ( 1856330 1685890 ) M1M2_PR
NEW met2 ( 1856330 1690140 ) M2M3_PR_M
NEW met3 ( 1861620 1690820 ) M3M4_PR_M
NEW met1 ( 1011770 1221110 ) M1M2_PR
NEW met1 ( 1017750 1221110 ) M1M2_PR
NEW met1 ( 1017750 1685890 ) M1M2_PR ;
- o_din0_1\[9\] ( sram1 din0[9] ) ( mprj o_din0_1[9] ) + USE SIGNAL
+ ROUTED met2 ( 190210 692580 ) ( * 696830 )
NEW met3 ( 190210 692580 ) ( 201020 * 0 )
NEW met3 ( 1863690 1688100 ) ( 1867140 * )
NEW met4 ( 1867140 1688100 ) ( * 1698300 )
NEW met4 ( 1867140 1698300 ) ( 1867470 * )
NEW met4 ( 1867470 1698300 ) ( * 1700000 0 )
NEW met2 ( 1863690 1233010 ) ( * 1688100 )
NEW met1 ( 170430 696830 ) ( 190210 * )
NEW met2 ( 170430 696830 ) ( * 1233010 )
NEW met1 ( 170430 1233010 ) ( 1863690 * )
NEW met1 ( 190210 696830 ) M1M2_PR
NEW met2 ( 190210 692580 ) M2M3_PR_M
NEW met1 ( 1863690 1233010 ) M1M2_PR
NEW met2 ( 1863690 1688100 ) M2M3_PR_M
NEW met3 ( 1867140 1688100 ) M3M4_PR_M
NEW met1 ( 170430 696830 ) M1M2_PR
NEW met1 ( 170430 1233010 ) M1M2_PR ;
- o_waddr0\[0\] ( sram addr0[0] ) ( mprj o_waddr0[0] ) + USE SIGNAL
+ ROUTED met2 ( 282670 1689290 ) ( * 1689460 )
NEW met3 ( 280140 1689460 ) ( 282670 * )
NEW met4 ( 280140 1689460 ) ( * 1698300 )
NEW met4 ( 280140 1698300 ) ( 280430 * )
NEW met4 ( 280430 1698300 ) ( * 1700000 0 )
NEW met2 ( 1183350 1205810 ) ( * 1689290 )
NEW met1 ( 282670 1689290 ) ( 1183350 * )
NEW met3 ( 1196460 239700 0 ) ( 1208190 * )
NEW met1 ( 1183350 1205810 ) ( 1208190 * )
NEW met2 ( 1208190 239700 ) ( * 1205810 )
NEW met1 ( 282670 1689290 ) M1M2_PR
NEW met2 ( 282670 1689460 ) M2M3_PR_M
NEW met3 ( 280140 1689460 ) M3M4_PR_M
NEW met1 ( 1183350 1205810 ) M1M2_PR
NEW met1 ( 1183350 1689290 ) M1M2_PR
NEW met2 ( 1208190 239700 ) M2M3_PR_M
NEW met1 ( 1208190 1205810 ) M1M2_PR ;
- o_waddr0\[1\] ( sram addr0[1] ) ( mprj o_waddr0[1] ) + USE SIGNAL
+ ROUTED met2 ( 289570 1686910 ) ( * 1687420 )
NEW met3 ( 285660 1687420 ) ( 289570 * )
NEW met4 ( 285660 1687420 ) ( * 1698300 )
NEW met4 ( 285660 1698300 ) ( 285870 * )
NEW met4 ( 285870 1698300 ) ( * 1700000 0 )
NEW met1 ( 289570 1686910 ) ( 1107450 * )
NEW met2 ( 1107450 1205130 ) ( * 1686910 )
NEW met3 ( 1196460 345780 0 ) ( 1208650 * )
NEW met1 ( 1107450 1205130 ) ( 1208650 * )
NEW met2 ( 1208650 345780 ) ( * 1205130 )
NEW met1 ( 289570 1686910 ) M1M2_PR
NEW met2 ( 289570 1687420 ) M2M3_PR_M
NEW met3 ( 285660 1687420 ) M3M4_PR_M
NEW met1 ( 1107450 1205130 ) M1M2_PR
NEW met1 ( 1107450 1686910 ) M1M2_PR
NEW met2 ( 1208650 345780 ) M2M3_PR_M
NEW met1 ( 1208650 1205130 ) M1M2_PR ;
- o_waddr0\[2\] ( sram addr0[2] ) ( mprj o_waddr0[2] ) + USE SIGNAL
+ ROUTED met3 ( 193890 1841100 ) ( 197340 * )
NEW met3 ( 197340 1840950 ) ( * 1841100 )
NEW met3 ( 197340 1840950 ) ( 200100 * 0 )
NEW met2 ( 193890 1390090 ) ( * 1841100 )
NEW met3 ( 1196460 425340 0 ) ( 1207730 * )
NEW met1 ( 193890 1390090 ) ( 1207730 * )
NEW met2 ( 1207730 425340 ) ( * 1390090 )
NEW met2 ( 193890 1841100 ) M2M3_PR_M
NEW met1 ( 193890 1390090 ) M1M2_PR
NEW met2 ( 1207730 425340 ) M2M3_PR_M
NEW met1 ( 1207730 1390090 ) M1M2_PR ;
- o_waddr0\[3\] ( sram addr0[3] ) ( mprj o_waddr0[3] ) + USE SIGNAL
+ ROUTED met3 ( 193430 1849940 ) ( 197340 * )
NEW met3 ( 197340 1849790 ) ( * 1849940 )
NEW met3 ( 197340 1849790 ) ( 200100 * 0 )
NEW met2 ( 193430 1666850 ) ( * 1849940 )
NEW met1 ( 193430 1666850 ) ( 945530 * )
NEW met2 ( 947370 1207340 ) ( 949210 * 0 )
NEW met2 ( 945530 1338600 ) ( 947370 * )
NEW met2 ( 947370 1207340 ) ( * 1338600 )
NEW met2 ( 945530 1338600 ) ( * 1666850 )
NEW met1 ( 193430 1666850 ) M1M2_PR
NEW met2 ( 193430 1849940 ) M2M3_PR_M
NEW met1 ( 945530 1666850 ) M1M2_PR ;
- o_waddr0\[4\] ( sram addr0[4] ) ( mprj o_waddr0[4] ) + USE SIGNAL
+ ROUTED met3 ( 194350 1855380 ) ( 197340 * )
NEW met3 ( 197340 1855230 ) ( * 1855380 )
NEW met3 ( 197340 1855230 ) ( 200100 * 0 )
NEW met2 ( 194350 1695070 ) ( * 1855380 )
NEW met2 ( 966230 1207340 ) ( 968070 * 0 )
NEW met2 ( 966230 1207340 ) ( * 1695070 )
NEW met1 ( 194350 1695070 ) ( 966230 * )
NEW met2 ( 194350 1855380 ) M2M3_PR_M
NEW met1 ( 194350 1695070 ) M1M2_PR
NEW met1 ( 966230 1695070 ) M1M2_PR ;
- o_waddr0\[5\] ( sram addr0[5] ) ( mprj o_waddr0[5] ) + USE SIGNAL
+ ROUTED met3 ( 191590 1864220 ) ( 197340 * )
NEW met3 ( 197340 1864070 ) ( * 1864220 )
NEW met3 ( 197340 1864070 ) ( 200100 * 0 )
NEW met2 ( 191590 1696770 ) ( * 1864220 )
NEW met2 ( 769350 1219750 ) ( * 1696770 )
NEW met2 ( 980490 1207340 0 ) ( * 1219410 )
NEW met1 ( 191590 1696770 ) ( 769350 * )
NEW met1 ( 769350 1219750 ) ( 903900 * )
NEW met1 ( 903900 1219410 ) ( * 1219750 )
NEW met1 ( 903900 1219410 ) ( 980490 * )
NEW met2 ( 191590 1864220 ) M2M3_PR_M
NEW met1 ( 191590 1696770 ) M1M2_PR
NEW met1 ( 769350 1219750 ) M1M2_PR
NEW met1 ( 769350 1696770 ) M1M2_PR
NEW met1 ( 980490 1219410 ) M1M2_PR ;
- o_waddr0\[6\] ( sram addr0[6] ) ( mprj o_waddr0[6] ) + USE SIGNAL
+ ROUTED met3 ( 190670 1868980 ) ( 197340 * )
NEW met3 ( 197340 1868830 ) ( * 1868980 )
NEW met3 ( 197340 1868830 ) ( 200100 * 0 )
NEW met2 ( 190670 1697110 ) ( * 1868980 )
NEW met2 ( 993370 1207340 0 ) ( * 1218390 )
NEW met1 ( 190670 1697110 ) ( 658950 * )
NEW met2 ( 658950 1218390 ) ( * 1697110 )
NEW met1 ( 658950 1218390 ) ( 993370 * )
NEW met2 ( 190670 1868980 ) M2M3_PR_M
NEW met1 ( 190670 1697110 ) M1M2_PR
NEW met1 ( 993370 1218390 ) M1M2_PR
NEW met1 ( 658950 1218390 ) M1M2_PR
NEW met1 ( 658950 1697110 ) M1M2_PR ;
- o_waddr0\[7\] ( sram addr0[7] ) ( mprj o_waddr0[7] ) + USE SIGNAL
+ ROUTED met2 ( 1065130 192610 ) ( * 200260 0 )
NEW met1 ( 185610 931770 ) ( 189750 * )
NEW met2 ( 185610 192610 ) ( * 931770 )
NEW met3 ( 189750 1879860 ) ( 197340 * )
NEW met3 ( 197340 1879710 ) ( * 1879860 )
NEW met3 ( 197340 1879710 ) ( 200100 * 0 )
NEW met2 ( 189750 931770 ) ( * 1879860 )
NEW met1 ( 185610 192610 ) ( 1065130 * )
NEW met1 ( 185610 192610 ) M1M2_PR
NEW met1 ( 1065130 192610 ) M1M2_PR
NEW met1 ( 185610 931770 ) M1M2_PR
NEW met1 ( 189750 931770 ) M1M2_PR
NEW met2 ( 189750 1879860 ) M2M3_PR_M ;
- o_waddr0\[8\] ( sram addr0[8] ) ( mprj o_waddr0[8] ) + USE SIGNAL
+ ROUTED met2 ( 1079390 193290 ) ( * 200260 0 )
NEW met3 ( 192510 1884620 ) ( 197340 * )
NEW met3 ( 197340 1884470 ) ( * 1884620 )
NEW met3 ( 197340 1884470 ) ( 200100 * 0 )
NEW met2 ( 192510 193290 ) ( * 1884620 )
NEW met1 ( 192510 193290 ) ( 1079390 * )
NEW met1 ( 192510 193290 ) M1M2_PR
NEW met1 ( 1079390 193290 ) M1M2_PR
NEW met2 ( 192510 1884620 ) M2M3_PR_M ;
- o_waddr0_1\[0\] ( sram1 addr0[0] ) ( mprj o_waddr0_1[0] ) + USE SIGNAL
+ ROUTED met3 ( 189290 254660 ) ( 201020 * 0 )
NEW met1 ( 189290 932110 ) ( 202630 * )
NEW met2 ( 189290 254660 ) ( * 932110 )
NEW met2 ( 202630 932110 ) ( * 1689630 )
NEW met2 ( 1780430 1689630 ) ( * 1690140 )
NEW met3 ( 1780430 1690140 ) ( 1780660 * )
NEW met4 ( 1780660 1690140 ) ( * 1698300 )
NEW met4 ( 1780430 1698300 ) ( 1780660 * )
NEW met4 ( 1780430 1698300 ) ( * 1700000 0 )
NEW met1 ( 202630 1689630 ) ( 1780430 * )
NEW met2 ( 189290 254660 ) M2M3_PR_M
NEW met1 ( 189290 932110 ) M1M2_PR
NEW met1 ( 202630 932110 ) M1M2_PR
NEW met1 ( 202630 1689630 ) M1M2_PR
NEW met1 ( 1780430 1689630 ) M1M2_PR
NEW met2 ( 1780430 1690140 ) M2M3_PR_M
NEW met3 ( 1780660 1690140 ) M3M4_PR_M
NEW met3 ( 1780430 1690140 ) RECT ( -390 -150 0 150 ) ;
- o_waddr0_1\[1\] ( sram1 addr0[1] ) ( mprj o_waddr0_1[1] ) + USE SIGNAL
+ ROUTED met3 ( 1196460 319260 0 ) ( 1214170 * )
NEW met2 ( 1214170 319260 ) ( * 324190 )
NEW met1 ( 1214170 324190 ) ( 1780890 * )
NEW met3 ( 1780890 1688100 ) ( 1785260 * )
NEW met4 ( 1785260 1688100 ) ( * 1698300 )
NEW met4 ( 1785260 1698300 ) ( 1785870 * )
NEW met4 ( 1785870 1698300 ) ( * 1700000 0 )
NEW met2 ( 1780890 324190 ) ( * 1688100 )
NEW met2 ( 1214170 319260 ) M2M3_PR_M
NEW met1 ( 1214170 324190 ) M1M2_PR
NEW met1 ( 1780890 324190 ) M1M2_PR
NEW met2 ( 1780890 1688100 ) M2M3_PR_M
NEW met3 ( 1785260 1688100 ) M3M4_PR_M ;
- o_waddr0_1\[2\] ( sram1 addr0[2] ) ( mprj o_waddr0_1[2] ) + USE SIGNAL
+ ROUTED met2 ( 1245450 400010 ) ( * 1835490 )
NEW met3 ( 1196460 398820 0 ) ( 1211410 * )
NEW met2 ( 1211410 398820 ) ( * 400010 )
NEW met1 ( 1211410 400010 ) ( 1245450 * )
NEW met2 ( 1687510 1835490 ) ( * 1841100 )
NEW met3 ( 1687510 1841100 ) ( 1696940 * )
NEW met3 ( 1696940 1840950 ) ( * 1841100 )
NEW met3 ( 1696940 1840950 ) ( 1700160 * 0 )
NEW met1 ( 1245450 1835490 ) ( 1687510 * )
NEW met1 ( 1245450 400010 ) M1M2_PR
NEW met1 ( 1245450 1835490 ) M1M2_PR
NEW met2 ( 1211410 398820 ) M2M3_PR_M
NEW met1 ( 1211410 400010 ) M1M2_PR
NEW met1 ( 1687510 1835490 ) M1M2_PR
NEW met2 ( 1687510 1841100 ) M2M3_PR_M ;
- o_waddr0_1\[3\] ( sram1 addr0[3] ) ( mprj o_waddr0_1[3] ) + USE SIGNAL
+ ROUTED met2 ( 1252350 479910 ) ( * 1849770 )
NEW met3 ( 1196460 478380 0 ) ( 1214170 * )
NEW met2 ( 1214170 478380 ) ( * 479910 )
NEW met1 ( 1214170 479910 ) ( 1252350 * )
NEW met2 ( 1688890 1849770 ) ( * 1849940 )
NEW met3 ( 1688890 1849940 ) ( 1696940 * )
NEW met3 ( 1696940 1849790 ) ( * 1849940 )
NEW met3 ( 1696940 1849790 ) ( 1700160 * 0 )
NEW met1 ( 1252350 1849770 ) ( 1688890 * )
NEW met1 ( 1252350 479910 ) M1M2_PR
NEW met1 ( 1252350 1849770 ) M1M2_PR
NEW met2 ( 1214170 478380 ) M2M3_PR_M
NEW met1 ( 1214170 479910 ) M1M2_PR
NEW met1 ( 1688890 1849770 ) M1M2_PR
NEW met2 ( 1688890 1849940 ) M2M3_PR_M ;
- o_waddr0_1\[4\] ( sram1 addr0[4] ) ( mprj o_waddr0_1[4] ) + USE SIGNAL
+ ROUTED met2 ( 961630 1207340 0 ) ( * 1219750 )
NEW met1 ( 961630 1219750 ) ( 965770 * )
NEW met2 ( 965770 1219750 ) ( * 1849430 )
NEW met2 ( 1687510 1849430 ) ( * 1855380 )
NEW met3 ( 1687510 1855380 ) ( 1696940 * )
NEW met3 ( 1696940 1855230 ) ( * 1855380 )
NEW met3 ( 1696940 1855230 ) ( 1700160 * 0 )
NEW met1 ( 965770 1849430 ) ( 1687510 * )
NEW met1 ( 965770 1849430 ) M1M2_PR
NEW met1 ( 961630 1219750 ) M1M2_PR
NEW met1 ( 965770 1219750 ) M1M2_PR
NEW met1 ( 1687510 1849430 ) M1M2_PR
NEW met2 ( 1687510 1855380 ) M2M3_PR_M ;
- o_waddr0_1\[5\] ( sram1 addr0[5] ) ( mprj o_waddr0_1[5] ) + USE SIGNAL
+ ROUTED met2 ( 1259250 190570 ) ( * 1863370 )
NEW met2 ( 1043970 190570 ) ( * 200260 0 )
NEW met1 ( 1043970 190570 ) ( 1259250 * )
NEW met2 ( 1687510 1863370 ) ( * 1864220 )
NEW met3 ( 1687510 1864220 ) ( 1696940 * )
NEW met3 ( 1696940 1864070 ) ( * 1864220 )
NEW met3 ( 1696940 1864070 ) ( 1700160 * 0 )
NEW met1 ( 1259250 1863370 ) ( 1687510 * )
NEW met1 ( 1259250 190570 ) M1M2_PR
NEW met1 ( 1259250 1863370 ) M1M2_PR
NEW met1 ( 1043970 190570 ) M1M2_PR
NEW met1 ( 1687510 1863370 ) M1M2_PR
NEW met2 ( 1687510 1864220 ) M2M3_PR_M ;
- o_waddr0_1\[6\] ( sram1 addr0[6] ) ( mprj o_waddr0_1[6] ) + USE SIGNAL
+ ROUTED met2 ( 986930 1207340 0 ) ( * 1219750 )
NEW met1 ( 986930 1219750 ) ( 993370 * )
NEW met2 ( 993370 1219750 ) ( * 1863030 )
NEW met2 ( 1688430 1863030 ) ( * 1868980 )
NEW met3 ( 1688430 1868980 ) ( 1696940 * )
NEW met3 ( 1696940 1868830 ) ( * 1868980 )
NEW met3 ( 1696940 1868830 ) ( 1700160 * 0 )
NEW met1 ( 993370 1863030 ) ( 1688430 * )
NEW met1 ( 993370 1863030 ) M1M2_PR
NEW met1 ( 986930 1219750 ) M1M2_PR
NEW met1 ( 993370 1219750 ) M1M2_PR
NEW met1 ( 1688430 1863030 ) M1M2_PR
NEW met2 ( 1688430 1868980 ) M2M3_PR_M ;
- o_waddr0_1\[7\] ( sram1 addr0[7] ) ( mprj o_waddr0_1[7] ) + USE SIGNAL
+ ROUTED met2 ( 1273050 586330 ) ( * 1876970 )
NEW met3 ( 1196460 584460 0 ) ( 1214170 * )
NEW met2 ( 1214170 584460 ) ( * 586330 )
NEW met1 ( 1214170 586330 ) ( 1273050 * )
NEW met2 ( 1688890 1876970 ) ( * 1879860 )
NEW met3 ( 1688890 1879860 ) ( 1696940 * )
NEW met3 ( 1696940 1879710 ) ( * 1879860 )
NEW met3 ( 1696940 1879710 ) ( 1700160 * 0 )
NEW met1 ( 1273050 1876970 ) ( 1688890 * )
NEW met1 ( 1273050 586330 ) M1M2_PR
NEW met1 ( 1273050 1876970 ) M1M2_PR
NEW met2 ( 1214170 584460 ) M2M3_PR_M
NEW met1 ( 1214170 586330 ) M1M2_PR
NEW met1 ( 1688890 1876970 ) M1M2_PR
NEW met2 ( 1688890 1879860 ) M2M3_PR_M ;
- o_waddr0_1\[8\] ( sram1 addr0[8] ) ( mprj o_waddr0_1[8] ) + USE SIGNAL
+ ROUTED met3 ( 190670 670820 ) ( 201020 * 0 )
NEW met2 ( 190670 670820 ) ( * 1238790 )
NEW met1 ( 190670 1238790 ) ( 1307550 * )
NEW met2 ( 1307550 1238790 ) ( * 1883770 )
NEW met2 ( 1688430 1883770 ) ( * 1884620 )
NEW met3 ( 1688430 1884620 ) ( 1696940 * )
NEW met3 ( 1696940 1884470 ) ( * 1884620 )
NEW met3 ( 1696940 1884470 ) ( 1700160 * 0 )
NEW met1 ( 1307550 1883770 ) ( 1688430 * )
NEW met2 ( 190670 670820 ) M2M3_PR_M
NEW met1 ( 190670 1238790 ) M1M2_PR
NEW met1 ( 1307550 1238790 ) M1M2_PR
NEW met1 ( 1307550 1883770 ) M1M2_PR
NEW met1 ( 1688430 1883770 ) M1M2_PR
NEW met2 ( 1688430 1884620 ) M2M3_PR_M ;
- o_web0 ( sram web0 ) ( mprj o_web0 ) + USE SIGNAL
+ ROUTED met3 ( 192050 1749980 ) ( 197340 * )
NEW met3 ( 197340 1749830 ) ( * 1749980 )
NEW met3 ( 197340 1749830 ) ( 200100 * 0 )
NEW met2 ( 986930 191930 ) ( * 200260 0 )
NEW met1 ( 192050 917490 ) ( 197570 * )
NEW met2 ( 197570 191930 ) ( * 917490 )
NEW met2 ( 192050 917490 ) ( * 1749980 )
NEW met1 ( 197570 191930 ) ( 986930 * )
NEW met1 ( 197570 191930 ) M1M2_PR
NEW met2 ( 192050 1749980 ) M2M3_PR_M
NEW met1 ( 986930 191930 ) M1M2_PR
NEW met1 ( 192050 917490 ) M1M2_PR
NEW met1 ( 197570 917490 ) M1M2_PR ;
- o_web0_1 ( sram1 web0 ) ( mprj o_web0_1 ) + USE SIGNAL
+ ROUTED met2 ( 1686590 1745730 ) ( * 1749980 )
NEW met3 ( 1686590 1749980 ) ( 1696940 * )
NEW met3 ( 1696940 1749830 ) ( * 1749980 )
NEW met3 ( 1696940 1749830 ) ( 1700160 * 0 )
NEW met1 ( 924370 1745730 ) ( 1686590 * )
NEW met2 ( 917930 1207340 0 ) ( * 1216690 )
NEW met1 ( 917930 1216690 ) ( 924370 * )
NEW met2 ( 924370 1216690 ) ( * 1745730 )
NEW met1 ( 924370 1745730 ) M1M2_PR
NEW met1 ( 1686590 1745730 ) M1M2_PR
NEW met2 ( 1686590 1749980 ) M2M3_PR_M
NEW met1 ( 917930 1216690 ) M1M2_PR
NEW met1 ( 924370 1216690 ) M1M2_PR ;
- o_wmask0\[0\] ( sram wmask0[0] ) ( mprj o_wmask0[0] ) + USE SIGNAL
+ ROUTED met3 ( 291180 1688100 ) ( 296470 * )
NEW met4 ( 291180 1688100 ) ( * 1698300 )
NEW met4 ( 291180 1698300 ) ( 291310 * )
NEW met4 ( 291310 1698300 ) ( * 1700000 0 )
NEW met2 ( 296470 1239470 ) ( * 1688100 )
NEW met2 ( 936790 1207340 0 ) ( * 1239470 )
NEW met1 ( 296470 1239470 ) ( 936790 * )
NEW met1 ( 296470 1239470 ) M1M2_PR
NEW met2 ( 296470 1688100 ) M2M3_PR_M
NEW met3 ( 291180 1688100 ) M3M4_PR_M
NEW met1 ( 936790 1239470 ) M1M2_PR ;
- o_wmask0\[1\] ( sram wmask0[1] ) ( mprj o_wmask0[1] ) + USE SIGNAL
+ ROUTED met3 ( 191130 320620 ) ( 201020 * 0 )
NEW met1 ( 191130 589730 ) ( 197110 * )
NEW met2 ( 191130 320620 ) ( * 589730 )
NEW met2 ( 197110 589730 ) ( * 1685890 )
NEW met2 ( 296930 1685890 ) ( * 1686060 )
NEW met3 ( 296930 1686060 ) ( 297620 * )
NEW met4 ( 297620 1686060 ) ( * 1698300 )
NEW met4 ( 297620 1698300 ) ( 298110 * )
NEW met4 ( 298110 1698300 ) ( * 1700000 0 )
NEW met1 ( 197110 1685890 ) ( 296930 * )
NEW met2 ( 191130 320620 ) M2M3_PR_M
NEW met1 ( 191130 589730 ) M1M2_PR
NEW met1 ( 197110 589730 ) M1M2_PR
NEW met1 ( 197110 1685890 ) M1M2_PR
NEW met1 ( 296930 1685890 ) M1M2_PR
NEW met2 ( 296930 1686060 ) M2M3_PR_M
NEW met3 ( 297620 1686060 ) M3M4_PR_M ;
- o_wmask0\[2\] ( sram wmask0[2] ) ( mprj o_wmask0[2] ) + USE SIGNAL
+ ROUTED met2 ( 202170 192270 ) ( * 1686230 )
NEW met2 ( 297390 1686230 ) ( * 1686740 )
NEW met3 ( 297390 1686740 ) ( 303140 * )
NEW met4 ( 303140 1686740 ) ( * 1698300 )
NEW met4 ( 302870 1698300 ) ( 303140 * )
NEW met4 ( 302870 1698300 ) ( * 1700000 0 )
NEW met2 ( 1022350 192270 ) ( * 200260 0 )
NEW met1 ( 202170 192270 ) ( 1022350 * )
NEW met1 ( 202170 1686230 ) ( 297390 * )
NEW met1 ( 202170 192270 ) M1M2_PR
NEW met1 ( 202170 1686230 ) M1M2_PR
NEW met1 ( 297390 1686230 ) M1M2_PR
NEW met2 ( 297390 1686740 ) M2M3_PR_M
NEW met3 ( 303140 1686740 ) M3M4_PR_M
NEW met1 ( 1022350 192270 ) M1M2_PR ;
- o_wmask0\[3\] ( sram wmask0[3] ) ( mprj o_wmask0[3] ) + USE SIGNAL
+ ROUTED met3 ( 309580 1688100 ) ( 310270 * )
NEW met4 ( 309580 1688100 ) ( * 1698300 )
NEW met4 ( 308990 1698300 ) ( 309580 * )
NEW met4 ( 308990 1698300 ) ( * 1700000 0 )
NEW met2 ( 310270 1239130 ) ( * 1688100 )
NEW met3 ( 1196460 504900 0 ) ( 1209110 * )
NEW met1 ( 310270 1239130 ) ( 1209110 * )
NEW met2 ( 1209110 504900 ) ( * 1239130 )
NEW met1 ( 310270 1239130 ) M1M2_PR
NEW met2 ( 310270 1688100 ) M2M3_PR_M
NEW met3 ( 309580 1688100 ) M3M4_PR_M
NEW met2 ( 1209110 504900 ) M2M3_PR_M
NEW met1 ( 1209110 1239130 ) M1M2_PR ;
- o_wmask0_1\[0\] ( sram1 wmask0[0] ) ( mprj o_wmask0_1[0] ) + USE SIGNAL
+ ROUTED met3 ( 1196460 266220 0 ) ( 1210030 * )
NEW met2 ( 1210030 266220 ) ( * 268770 )
NEW met1 ( 1210030 268770 ) ( 1787330 * )
NEW met3 ( 1787330 1688100 ) ( 1790780 * )
NEW met4 ( 1790780 1688100 ) ( * 1698300 )
NEW met4 ( 1790780 1698300 ) ( 1791310 * )
NEW met4 ( 1791310 1698300 ) ( * 1700000 0 )
NEW met2 ( 1787330 268770 ) ( * 1688100 )
NEW met2 ( 1210030 266220 ) M2M3_PR_M
NEW met1 ( 1210030 268770 ) M1M2_PR
NEW met1 ( 1787330 268770 ) M1M2_PR
NEW met2 ( 1787330 1688100 ) M2M3_PR_M
NEW met3 ( 1790780 1688100 ) M3M4_PR_M ;
- o_wmask0_1\[1\] ( sram1 wmask0[1] ) ( mprj o_wmask0_1[1] ) + USE SIGNAL
+ ROUTED met2 ( 190210 298180 ) ( * 303450 )
NEW met3 ( 190210 298180 ) ( 201020 * 0 )
NEW met1 ( 158010 303450 ) ( 190210 * )
NEW met2 ( 158010 303450 ) ( * 1238450 )
NEW met1 ( 158010 1238450 ) ( 1794230 * )
NEW met3 ( 1794230 1688100 ) ( 1798140 * )
NEW met4 ( 1798140 1688100 ) ( * 1698300 )
NEW met4 ( 1798110 1698300 ) ( 1798140 * )
NEW met4 ( 1798110 1698300 ) ( * 1700000 0 )
NEW met2 ( 1794230 1238450 ) ( * 1688100 )
NEW met1 ( 190210 303450 ) M1M2_PR
NEW met2 ( 190210 298180 ) M2M3_PR_M
NEW met1 ( 158010 303450 ) M1M2_PR
NEW met1 ( 158010 1238450 ) M1M2_PR
NEW met1 ( 1794230 1238450 ) M1M2_PR
NEW met2 ( 1794230 1688100 ) M2M3_PR_M
NEW met3 ( 1798140 1688100 ) M3M4_PR_M ;
- o_wmask0_1\[2\] ( sram1 wmask0[2] ) ( mprj o_wmask0_1[2] ) + USE SIGNAL
+ ROUTED met2 ( 190210 384710 ) ( * 385900 )
NEW met3 ( 190210 385900 ) ( 201020 * 0 )
NEW met1 ( 151570 384710 ) ( 190210 * )
NEW met1 ( 151570 1666170 ) ( 1801130 * )
NEW met2 ( 151570 384710 ) ( * 1666170 )
NEW met3 ( 1801130 1688100 ) ( 1802740 * )
NEW met4 ( 1802740 1688100 ) ( * 1698300 )
NEW met4 ( 1802740 1698300 ) ( 1802870 * )
NEW met4 ( 1802870 1698300 ) ( * 1700000 0 )
NEW met2 ( 1801130 1666170 ) ( * 1688100 )
NEW met1 ( 190210 384710 ) M1M2_PR
NEW met2 ( 190210 385900 ) M2M3_PR_M
NEW met1 ( 151570 384710 ) M1M2_PR
NEW met1 ( 151570 1666170 ) M1M2_PR
NEW met1 ( 1801130 1666170 ) M1M2_PR
NEW met2 ( 1801130 1688100 ) M2M3_PR_M
NEW met3 ( 1802740 1688100 ) M3M4_PR_M ;
- o_wmask0_1\[3\] ( sram1 wmask0[3] ) ( mprj o_wmask0_1[3] ) + USE SIGNAL
+ ROUTED met3 ( 192970 430100 ) ( 201020 * 0 )
NEW met1 ( 192970 1206150 ) ( 210450 * )
NEW met2 ( 192970 430100 ) ( * 1206150 )
NEW met2 ( 210450 1206150 ) ( * 1684870 )
NEW met2 ( 1808030 1684870 ) ( * 1685380 )
NEW met3 ( 1808030 1685380 ) ( 1809180 * )
NEW met4 ( 1809180 1685380 ) ( * 1698300 )
NEW met4 ( 1808990 1698300 ) ( 1809180 * )
NEW met4 ( 1808990 1698300 ) ( * 1700000 0 )
NEW met1 ( 210450 1684870 ) ( 1808030 * )
NEW met2 ( 192970 430100 ) M2M3_PR_M
NEW met1 ( 192970 1206150 ) M1M2_PR
NEW met1 ( 210450 1206150 ) M1M2_PR
NEW met1 ( 210450 1684870 ) M1M2_PR
NEW met1 ( 1808030 1684870 ) M1M2_PR
NEW met2 ( 1808030 1685380 ) M2M3_PR_M
NEW met3 ( 1809180 1685380 ) M3M4_PR_M ;
- user_clock2 ( PIN user_clock2 ) + USE SIGNAL ;
- user_irq[0] ( PIN user_irq[0] ) ( mprj irq[0] ) + USE SIGNAL
+ ROUTED met2 ( 2905130 1700 0 ) ( * 17170 )
NEW met2 ( 958410 179400 ) ( * 200260 0 )
NEW met2 ( 958410 179400 ) ( 958870 * )
NEW met2 ( 958870 17170 ) ( * 179400 )
NEW met1 ( 958870 17170 ) ( 2905130 * )
NEW met1 ( 958870 17170 ) M1M2_PR
NEW met1 ( 2905130 17170 ) M1M2_PR ;
- user_irq[1] ( PIN user_irq[1] ) ( mprj irq[1] ) + USE SIGNAL
+ ROUTED met2 ( 2911110 1700 0 ) ( * 17850 )
NEW met2 ( 965310 179400 ) ( * 200260 0 )
NEW met2 ( 965310 179400 ) ( 965770 * )
NEW met2 ( 965770 17850 ) ( * 179400 )
NEW met1 ( 965770 17850 ) ( 2911110 * )
NEW met1 ( 965770 17850 ) M1M2_PR
NEW met1 ( 2911110 17850 ) M1M2_PR ;
- user_irq[2] ( PIN user_irq[2] ) ( mprj irq[2] ) + USE SIGNAL
+ ROUTED met2 ( 2917090 1700 0 ) ( * 17510 )
NEW met2 ( 972670 17510 ) ( * 200260 0 )
NEW met1 ( 972670 17510 ) ( 2917090 * )
NEW met1 ( 972670 17510 ) M1M2_PR
NEW met1 ( 2917090 17510 ) M1M2_PR ;
- wb_clk_i ( PIN wb_clk_i ) ( sram1 clk0 ) ( sram clk0 ) ( mprj wb_clk_i ) ( mprj clk_i ) + USE CLOCK
+ ROUTED met2 ( 2990 1700 0 ) ( * 16830 )
NEW met1 ( 2990 16830 ) ( 10350 * )
NEW met2 ( 203550 182750 ) ( * 200260 0 )
NEW met1 ( 196650 192950 ) ( 203550 * )
NEW met3 ( 192970 1741820 ) ( 197340 * )
NEW met3 ( 197340 1741670 ) ( * 1741820 )
NEW met3 ( 197340 1741670 ) ( 200100 * 0 )
NEW met2 ( 979570 192950 ) ( * 200260 0 )
NEW met2 ( 10350 16830 ) ( * 182750 )
NEW met1 ( 192970 1214310 ) ( 196650 * )
NEW met2 ( 196650 192950 ) ( * 1214310 )
NEW met2 ( 192970 1214310 ) ( * 1741820 )
NEW met1 ( 10350 182750 ) ( 203550 * )
NEW met1 ( 203550 192950 ) ( 979570 * )
NEW met3 ( 1687050 1741820 ) ( 1696940 * )
NEW met3 ( 1696940 1741670 ) ( * 1741820 )
NEW met3 ( 1696940 1741670 ) ( 1700160 * 0 )
NEW met1 ( 192970 1690310 ) ( 1687050 * )
NEW met2 ( 1687050 1690310 ) ( * 1741820 )
NEW met1 ( 2990 16830 ) M1M2_PR
NEW met1 ( 10350 16830 ) M1M2_PR
NEW met1 ( 10350 182750 ) M1M2_PR
NEW met1 ( 203550 182750 ) M1M2_PR
NEW met1 ( 203550 192950 ) M1M2_PR
NEW met1 ( 196650 192950 ) M1M2_PR
NEW met2 ( 192970 1741820 ) M2M3_PR_M
NEW met1 ( 979570 192950 ) M1M2_PR
NEW met1 ( 192970 1214310 ) M1M2_PR
NEW met1 ( 196650 1214310 ) M1M2_PR
NEW met1 ( 192970 1690310 ) M1M2_PR
NEW met2 ( 1687050 1741820 ) M2M3_PR_M
NEW met1 ( 1687050 1690310 ) M1M2_PR
NEW met2 ( 203550 192950 ) RECT ( -70 -485 70 0 )
NEW met2 ( 192970 1690310 ) RECT ( -70 -485 70 0 ) ;
- wb_rst_i ( PIN wb_rst_i ) ( mprj wb_rst_i ) ( mprj rst_i ) + USE SIGNAL
+ ROUTED met2 ( 8510 1700 0 ) ( * 17850 )
NEW met2 ( 210450 199580 ) ( * 200260 0 )
NEW met3 ( 210450 199580 ) ( 212980 * )
NEW met3 ( 207460 192780 ) ( 210450 * )
NEW met2 ( 210450 192780 ) ( * 199580 )
NEW met4 ( 207460 30940 ) ( * 192780 )
NEW met4 ( 212980 199580 ) ( * 1214820 )
NEW met2 ( 51750 17850 ) ( * 30940 )
NEW met1 ( 8510 17850 ) ( 51750 * )
NEW met3 ( 51750 30940 ) ( 207460 * )
NEW met2 ( 924370 1207340 0 ) ( * 1214820 )
NEW met3 ( 212980 1214820 ) ( 924370 * )
NEW met1 ( 8510 17850 ) M1M2_PR
NEW met3 ( 207460 30940 ) M3M4_PR_M
NEW met2 ( 210450 199580 ) M2M3_PR_M
NEW met3 ( 212980 199580 ) M3M4_PR_M
NEW met3 ( 207460 192780 ) M3M4_PR_M
NEW met2 ( 210450 192780 ) M2M3_PR_M
NEW met3 ( 212980 1214820 ) M3M4_PR_M
NEW met1 ( 51750 17850 ) M1M2_PR
NEW met2 ( 51750 30940 ) M2M3_PR_M
NEW met2 ( 924370 1214820 ) M2M3_PR_M ;
- wbs_ack_o ( PIN wbs_ack_o ) ( mprj wbs_ack_o ) + USE SIGNAL
+ ROUTED met2 ( 14490 1700 0 ) ( * 17170 )
NEW met2 ( 216890 184450 ) ( * 185300 )
NEW met2 ( 216890 185300 ) ( 217350 * )
NEW met2 ( 217350 185300 ) ( * 200260 0 )
NEW met1 ( 14490 17170 ) ( 79350 * )
NEW met1 ( 79350 184450 ) ( 216890 * )
NEW met2 ( 79350 17170 ) ( * 184450 )
NEW met1 ( 14490 17170 ) M1M2_PR
NEW met1 ( 216890 184450 ) M1M2_PR
NEW met1 ( 79350 17170 ) M1M2_PR
NEW met1 ( 79350 184450 ) M1M2_PR ;
- wbs_adr_i[0] ( PIN wbs_adr_i[0] ) ( mprj wbs_adr_i[0] ) + USE SIGNAL
+ ROUTED met2 ( 38410 1700 0 ) ( * 17510 )
NEW met1 ( 38410 17510 ) ( 41170 * )
NEW met2 ( 245870 185470 ) ( * 200260 0 )
NEW met1 ( 41170 185470 ) ( 245870 * )
NEW met2 ( 41170 17510 ) ( * 185470 )
NEW met1 ( 38410 17510 ) M1M2_PR
NEW met1 ( 41170 17510 ) M1M2_PR
NEW met1 ( 41170 185470 ) M1M2_PR
NEW met1 ( 245870 185470 ) M1M2_PR ;
- wbs_adr_i[10] ( PIN wbs_adr_i[10] ) ( mprj wbs_adr_i[10] ) + USE SIGNAL
+ ROUTED met2 ( 483230 31450 ) ( * 131100 )
NEW met2 ( 483230 131100 ) ( 488290 * )
NEW met2 ( 488290 131100 ) ( * 200260 0 )
NEW met2 ( 239430 1700 0 ) ( * 31450 )
NEW met1 ( 239430 31450 ) ( 483230 * )
NEW met1 ( 483230 31450 ) M1M2_PR
NEW met1 ( 239430 31450 ) M1M2_PR ;
- wbs_adr_i[11] ( PIN wbs_adr_i[11] ) ( mprj wbs_adr_i[11] ) + USE SIGNAL
+ ROUTED met2 ( 503930 31790 ) ( * 131100 )
NEW met2 ( 503930 131100 ) ( 509450 * )
NEW met2 ( 509450 131100 ) ( * 200260 0 )
NEW met2 ( 256910 1700 0 ) ( * 31790 )
NEW met1 ( 256910 31790 ) ( 503930 * )
NEW met1 ( 503930 31790 ) M1M2_PR
NEW met1 ( 256910 31790 ) M1M2_PR ;
- wbs_adr_i[12] ( PIN wbs_adr_i[12] ) ( mprj wbs_adr_i[12] ) + USE SIGNAL
+ ROUTED met2 ( 274850 1700 0 ) ( * 31110 )
NEW met1 ( 274850 31110 ) ( 524630 * )
NEW met1 ( 524630 189890 ) ( 531070 * )
NEW met2 ( 531070 189890 ) ( * 200260 0 )
NEW met2 ( 524630 31110 ) ( * 189890 )
NEW met1 ( 274850 31110 ) M1M2_PR
NEW met1 ( 524630 31110 ) M1M2_PR
NEW met1 ( 524630 189890 ) M1M2_PR
NEW met1 ( 531070 189890 ) M1M2_PR ;
- wbs_adr_i[13] ( PIN wbs_adr_i[13] ) ( mprj wbs_adr_i[13] ) + USE SIGNAL
+ ROUTED met2 ( 292330 1700 0 ) ( * 16490 )
NEW met1 ( 292330 16490 ) ( 308430 * )
NEW met2 ( 308430 16490 ) ( * 32810 )
NEW met1 ( 308430 32810 ) ( 552230 * )
NEW met2 ( 552230 32810 ) ( * 200260 0 )
NEW met1 ( 292330 16490 ) M1M2_PR
NEW met1 ( 308430 16490 ) M1M2_PR
NEW met1 ( 308430 32810 ) M1M2_PR
NEW met1 ( 552230 32810 ) M1M2_PR ;
- wbs_adr_i[14] ( PIN wbs_adr_i[14] ) ( mprj wbs_adr_i[14] ) + USE SIGNAL
+ ROUTED met2 ( 310270 1700 0 ) ( * 30770 )
NEW met1 ( 569250 188530 ) ( 573850 * )
NEW met2 ( 573850 188530 ) ( * 200260 0 )
NEW met2 ( 569250 30770 ) ( * 188530 )
NEW met1 ( 310270 30770 ) ( 569250 * )
NEW met1 ( 310270 30770 ) M1M2_PR
NEW met1 ( 569250 30770 ) M1M2_PR
NEW met1 ( 569250 188530 ) M1M2_PR
NEW met1 ( 573850 188530 ) M1M2_PR ;
- wbs_adr_i[15] ( PIN wbs_adr_i[15] ) ( mprj wbs_adr_i[15] ) + USE SIGNAL
+ ROUTED met2 ( 595010 183430 ) ( * 200260 0 )
NEW met2 ( 327750 1700 0 ) ( * 17510 )
NEW met1 ( 327750 17510 ) ( 341550 * )
NEW met1 ( 341550 183430 ) ( 595010 * )
NEW met2 ( 341550 17510 ) ( * 183430 )
NEW met1 ( 595010 183430 ) M1M2_PR
NEW met1 ( 327750 17510 ) M1M2_PR
NEW met1 ( 341550 17510 ) M1M2_PR
NEW met1 ( 341550 183430 ) M1M2_PR ;
- wbs_adr_i[16] ( PIN wbs_adr_i[16] ) ( mprj wbs_adr_i[16] ) + USE SIGNAL
+ ROUTED met2 ( 345690 1700 0 ) ( * 17510 )
NEW met1 ( 345690 17510 ) ( 355350 * )
NEW met2 ( 616630 183090 ) ( * 200260 0 )
NEW met1 ( 355350 183090 ) ( 616630 * )
NEW met2 ( 355350 17510 ) ( * 183090 )
NEW met1 ( 345690 17510 ) M1M2_PR
NEW met1 ( 355350 17510 ) M1M2_PR
NEW met1 ( 355350 183090 ) M1M2_PR
NEW met1 ( 616630 183090 ) M1M2_PR ;
- wbs_adr_i[17] ( PIN wbs_adr_i[17] ) ( mprj wbs_adr_i[17] ) + USE SIGNAL
+ ROUTED met2 ( 363170 1700 0 ) ( * 27030 )
NEW met1 ( 363170 27030 ) ( 635030 * )
NEW met2 ( 635030 27030 ) ( * 131100 )
NEW met2 ( 635030 131100 ) ( 637790 * )
NEW met2 ( 637790 131100 ) ( * 200260 0 )
NEW met1 ( 363170 27030 ) M1M2_PR
NEW met1 ( 635030 27030 ) M1M2_PR ;
- wbs_adr_i[18] ( PIN wbs_adr_i[18] ) ( mprj wbs_adr_i[18] ) + USE SIGNAL
+ ROUTED met2 ( 381110 1700 0 ) ( * 27370 )
NEW met1 ( 381110 27370 ) ( 655730 * )
NEW met2 ( 655730 27370 ) ( * 131100 )
NEW met2 ( 655730 131100 ) ( 659410 * )
NEW met2 ( 659410 131100 ) ( * 200260 0 )
NEW met1 ( 381110 27370 ) M1M2_PR
NEW met1 ( 655730 27370 ) M1M2_PR ;
- wbs_adr_i[19] ( PIN wbs_adr_i[19] ) ( mprj wbs_adr_i[19] ) + USE SIGNAL
+ ROUTED met2 ( 398590 1700 0 ) ( * 26350 )
NEW met2 ( 676430 26350 ) ( * 131100 )
NEW met2 ( 676430 131100 ) ( 680570 * )
NEW met2 ( 680570 131100 ) ( * 200260 0 )
NEW met1 ( 398590 26350 ) ( 676430 * )
NEW met1 ( 398590 26350 ) M1M2_PR
NEW met1 ( 676430 26350 ) M1M2_PR ;
- wbs_adr_i[1] ( PIN wbs_adr_i[1] ) ( mprj wbs_adr_i[1] ) + USE SIGNAL
+ ROUTED met2 ( 100050 17850 ) ( * 185810 )
NEW met2 ( 61870 1700 0 ) ( * 17850 )
NEW met1 ( 61870 17850 ) ( 100050 * )
NEW met2 ( 274390 185810 ) ( * 200260 0 )
NEW met1 ( 100050 185810 ) ( 274390 * )
NEW met1 ( 100050 17850 ) M1M2_PR
NEW met1 ( 100050 185810 ) M1M2_PR
NEW met1 ( 61870 17850 ) M1M2_PR
NEW met1 ( 274390 185810 ) M1M2_PR ;
- wbs_adr_i[20] ( PIN wbs_adr_i[20] ) ( mprj wbs_adr_i[20] ) + USE SIGNAL
+ ROUTED met2 ( 416530 1700 0 ) ( * 26010 )
NEW met2 ( 697130 26010 ) ( * 131100 )
NEW met2 ( 697130 131100 ) ( 702190 * )
NEW met2 ( 702190 131100 ) ( * 200260 0 )
NEW met1 ( 416530 26010 ) ( 697130 * )
NEW met1 ( 416530 26010 ) M1M2_PR
NEW met1 ( 697130 26010 ) M1M2_PR ;
- wbs_adr_i[21] ( PIN wbs_adr_i[21] ) ( mprj wbs_adr_i[21] ) + USE SIGNAL
+ ROUTED met2 ( 434470 1700 0 ) ( * 25670 )
NEW met1 ( 434470 25670 ) ( 717830 * )
NEW met2 ( 717830 25670 ) ( * 131100 )
NEW met2 ( 717830 131100 ) ( 723350 * )
NEW met2 ( 723350 131100 ) ( * 200260 0 )
NEW met1 ( 434470 25670 ) M1M2_PR
NEW met1 ( 717830 25670 ) M1M2_PR ;
- wbs_adr_i[22] ( PIN wbs_adr_i[22] ) ( mprj wbs_adr_i[22] ) + USE SIGNAL
+ ROUTED met2 ( 451950 1700 0 ) ( * 12580 )
NEW met2 ( 451950 12580 ) ( 452410 * )
NEW met2 ( 452410 12580 ) ( * 25330 )
NEW met1 ( 452410 25330 ) ( 738530 * )
NEW met1 ( 738530 188530 ) ( 744510 * )
NEW met2 ( 744510 188530 ) ( * 200260 0 )
NEW met2 ( 738530 25330 ) ( * 188530 )
NEW met1 ( 452410 25330 ) M1M2_PR
NEW met1 ( 738530 25330 ) M1M2_PR
NEW met1 ( 738530 188530 ) M1M2_PR
NEW met1 ( 744510 188530 ) M1M2_PR ;
- wbs_adr_i[23] ( PIN wbs_adr_i[23] ) ( mprj wbs_adr_i[23] ) + USE SIGNAL
+ ROUTED met2 ( 469890 1700 0 ) ( * 17510 )
NEW met1 ( 469890 17510 ) ( 476790 * )
NEW met2 ( 476790 17510 ) ( * 37910 )
NEW met2 ( 766130 37910 ) ( * 200260 0 )
NEW met1 ( 476790 37910 ) ( 766130 * )
NEW met1 ( 469890 17510 ) M1M2_PR
NEW met1 ( 476790 17510 ) M1M2_PR
NEW met1 ( 476790 37910 ) M1M2_PR
NEW met1 ( 766130 37910 ) M1M2_PR ;
- wbs_adr_i[24] ( PIN wbs_adr_i[24] ) ( mprj wbs_adr_i[24] ) + USE SIGNAL
+ ROUTED met2 ( 487370 1700 0 ) ( * 31450 )
NEW met2 ( 786830 31450 ) ( * 131100 )
NEW met2 ( 786830 131100 ) ( 787290 * )
NEW met2 ( 787290 131100 ) ( * 200260 0 )
NEW met1 ( 487370 31450 ) ( 786830 * )
NEW met1 ( 487370 31450 ) M1M2_PR
NEW met1 ( 786830 31450 ) M1M2_PR ;
- wbs_adr_i[25] ( PIN wbs_adr_i[25] ) ( mprj wbs_adr_i[25] ) + USE SIGNAL
+ ROUTED met2 ( 505310 1700 0 ) ( * 31790 )
NEW met1 ( 505310 31790 ) ( 807530 * )
NEW met2 ( 807530 31790 ) ( * 131100 )
NEW met2 ( 807530 131100 ) ( 808910 * )
NEW met2 ( 808910 131100 ) ( * 200260 0 )
NEW met1 ( 505310 31790 ) M1M2_PR
NEW met1 ( 807530 31790 ) M1M2_PR ;
- wbs_adr_i[26] ( PIN wbs_adr_i[26] ) ( mprj wbs_adr_i[26] ) + USE SIGNAL
+ ROUTED met2 ( 803850 32130 ) ( * 190910 )
NEW met2 ( 522790 1700 0 ) ( * 32130 )
NEW met1 ( 522790 32130 ) ( 803850 * )
NEW met2 ( 830070 190910 ) ( * 200260 0 )
NEW met1 ( 803850 190910 ) ( 830070 * )
NEW met1 ( 803850 32130 ) M1M2_PR
NEW met1 ( 803850 190910 ) M1M2_PR
NEW met1 ( 522790 32130 ) M1M2_PR
NEW met1 ( 830070 190910 ) M1M2_PR ;
- wbs_adr_i[27] ( PIN wbs_adr_i[27] ) ( mprj wbs_adr_i[27] ) + USE SIGNAL
+ ROUTED met2 ( 540730 1700 0 ) ( * 32470 )
NEW met1 ( 540730 32470 ) ( 824550 * )
NEW met1 ( 824550 190230 ) ( 851690 * )
NEW met2 ( 851690 190230 ) ( * 200260 0 )
NEW met2 ( 824550 32470 ) ( * 190230 )
NEW met1 ( 540730 32470 ) M1M2_PR
NEW met1 ( 824550 32470 ) M1M2_PR
NEW met1 ( 824550 190230 ) M1M2_PR
NEW met1 ( 851690 190230 ) M1M2_PR ;
- wbs_adr_i[28] ( PIN wbs_adr_i[28] ) ( mprj wbs_adr_i[28] ) + USE SIGNAL
+ ROUTED met1 ( 863190 189210 ) ( 872850 * )
NEW met2 ( 872850 189210 ) ( * 200260 0 )
NEW met2 ( 863190 148070 ) ( * 189210 )
NEW met2 ( 558210 1700 0 ) ( * 34500 )
NEW met2 ( 558210 34500 ) ( 558670 * )
NEW met2 ( 558670 34500 ) ( * 148070 )
NEW met1 ( 558670 148070 ) ( 863190 * )
NEW met1 ( 863190 189210 ) M1M2_PR
NEW met1 ( 872850 189210 ) M1M2_PR
NEW met1 ( 863190 148070 ) M1M2_PR
NEW met1 ( 558670 148070 ) M1M2_PR ;
- wbs_adr_i[29] ( PIN wbs_adr_i[29] ) ( mprj wbs_adr_i[29] ) + USE SIGNAL
+ ROUTED met2 ( 576150 1700 0 ) ( * 16660 )
NEW met2 ( 576150 16660 ) ( 576610 * )
NEW met2 ( 576610 16660 ) ( * 32810 )
NEW met2 ( 894470 190570 ) ( * 200260 0 )
NEW met1 ( 576610 32810 ) ( 845250 * )
NEW met1 ( 845250 190570 ) ( 894470 * )
NEW met2 ( 845250 32810 ) ( * 190570 )
NEW met1 ( 576610 32810 ) M1M2_PR
NEW met1 ( 894470 190570 ) M1M2_PR
NEW met1 ( 845250 32810 ) M1M2_PR
NEW met1 ( 845250 190570 ) M1M2_PR ;
- wbs_adr_i[2] ( PIN wbs_adr_i[2] ) ( mprj wbs_adr_i[2] ) + USE SIGNAL
+ ROUTED met2 ( 85330 1700 0 ) ( * 17510 )
NEW met1 ( 85330 17510 ) ( 89470 * )
NEW met2 ( 302910 183430 ) ( * 200260 0 )
NEW met2 ( 89470 17510 ) ( * 183430 )
NEW met1 ( 89470 183430 ) ( 302910 * )
NEW met1 ( 85330 17510 ) M1M2_PR
NEW met1 ( 89470 17510 ) M1M2_PR
NEW met1 ( 89470 183430 ) M1M2_PR
NEW met1 ( 302910 183430 ) M1M2_PR ;
- wbs_adr_i[30] ( PIN wbs_adr_i[30] ) ( mprj wbs_adr_i[30] ) + USE SIGNAL
+ ROUTED met2 ( 594090 1700 0 ) ( * 31110 )
NEW met1 ( 594090 31110 ) ( 911030 * )
NEW met2 ( 911030 31110 ) ( * 131100 )
NEW met2 ( 911030 131100 ) ( 915630 * )
NEW met2 ( 915630 131100 ) ( * 200260 0 )
NEW met1 ( 594090 31110 ) M1M2_PR
NEW met1 ( 911030 31110 ) M1M2_PR ;
- wbs_adr_i[31] ( PIN wbs_adr_i[31] ) ( mprj wbs_adr_i[31] ) + USE SIGNAL
+ ROUTED met2 ( 611570 1700 0 ) ( * 30770 )
NEW met1 ( 611570 30770 ) ( 931730 * )
NEW met2 ( 931730 30770 ) ( * 131100 )
NEW met2 ( 931730 131100 ) ( 937250 * )
NEW met2 ( 937250 131100 ) ( * 200260 0 )
NEW met1 ( 611570 30770 ) M1M2_PR
NEW met1 ( 931730 30770 ) M1M2_PR ;
- wbs_adr_i[3] ( PIN wbs_adr_i[3] ) ( mprj wbs_adr_i[3] ) + USE SIGNAL
+ ROUTED met2 ( 109250 1700 0 ) ( * 34500 )
NEW met2 ( 109250 34500 ) ( 110170 * )
NEW met2 ( 110170 34500 ) ( * 183090 )
NEW met2 ( 331430 183090 ) ( * 200260 0 )
NEW met1 ( 110170 183090 ) ( 331430 * )
NEW met1 ( 110170 183090 ) M1M2_PR
NEW met1 ( 331430 183090 ) M1M2_PR ;
- wbs_adr_i[4] ( PIN wbs_adr_i[4] ) ( mprj wbs_adr_i[4] ) + USE SIGNAL
+ ROUTED met2 ( 132710 1700 0 ) ( * 14110 )
NEW met1 ( 132710 14110 ) ( 145130 * )
NEW met2 ( 145130 14110 ) ( * 24990 )
NEW met1 ( 145130 24990 ) ( 359030 * )
NEW met2 ( 359030 24990 ) ( * 131100 )
NEW met2 ( 359030 131100 ) ( 359950 * )
NEW met2 ( 359950 131100 ) ( * 200260 0 )
NEW met1 ( 132710 14110 ) M1M2_PR
NEW met1 ( 145130 14110 ) M1M2_PR
NEW met1 ( 145130 24990 ) M1M2_PR
NEW met1 ( 359030 24990 ) M1M2_PR ;
- wbs_adr_i[5] ( PIN wbs_adr_i[5] ) ( mprj wbs_adr_i[5] ) + USE SIGNAL
+ ROUTED met2 ( 381570 185130 ) ( * 200260 0 )
NEW met2 ( 150650 1700 0 ) ( * 18190 )
NEW met1 ( 150650 18190 ) ( 162150 * )
NEW met1 ( 162150 185130 ) ( 381570 * )
NEW met2 ( 162150 18190 ) ( * 185130 )
NEW met1 ( 381570 185130 ) M1M2_PR
NEW met1 ( 150650 18190 ) M1M2_PR
NEW met1 ( 162150 18190 ) M1M2_PR
NEW met1 ( 162150 185130 ) M1M2_PR ;
- wbs_adr_i[6] ( PIN wbs_adr_i[6] ) ( mprj wbs_adr_i[6] ) + USE SIGNAL
+ ROUTED met2 ( 402730 184790 ) ( * 200260 0 )
NEW met2 ( 168130 1700 0 ) ( * 17170 )
NEW met1 ( 168130 17170 ) ( 172270 * )
NEW met1 ( 172270 184790 ) ( 402730 * )
NEW met2 ( 172270 17170 ) ( * 184790 )
NEW met1 ( 402730 184790 ) M1M2_PR
NEW met1 ( 168130 17170 ) M1M2_PR
NEW met1 ( 172270 17170 ) M1M2_PR
NEW met1 ( 172270 184790 ) M1M2_PR ;
- wbs_adr_i[7] ( PIN wbs_adr_i[7] ) ( mprj wbs_adr_i[7] ) + USE SIGNAL
+ ROUTED met2 ( 186070 1700 0 ) ( * 24310 )
NEW met1 ( 186070 24310 ) ( 421130 * )
NEW met2 ( 421130 24310 ) ( * 131100 )
NEW met2 ( 421130 131100 ) ( 424350 * )
NEW met2 ( 424350 131100 ) ( * 200260 0 )
NEW met1 ( 186070 24310 ) M1M2_PR
NEW met1 ( 421130 24310 ) M1M2_PR ;
- wbs_adr_i[8] ( PIN wbs_adr_i[8] ) ( mprj wbs_adr_i[8] ) + USE SIGNAL
+ ROUTED met2 ( 203550 1700 0 ) ( * 24650 )
NEW met1 ( 203550 24650 ) ( 441830 * )
NEW met2 ( 441830 24650 ) ( * 131100 )
NEW met2 ( 441830 131100 ) ( 445510 * )
NEW met2 ( 445510 131100 ) ( * 200260 0 )
NEW met1 ( 203550 24650 ) M1M2_PR
NEW met1 ( 441830 24650 ) M1M2_PR ;
- wbs_adr_i[9] ( PIN wbs_adr_i[9] ) ( mprj wbs_adr_i[9] ) + USE SIGNAL
+ ROUTED met2 ( 221490 1700 0 ) ( * 17170 )
NEW met1 ( 221490 17170 ) ( 462530 * )
NEW met2 ( 462530 17170 ) ( * 131100 )
NEW met2 ( 462530 131100 ) ( 466670 * )
NEW met2 ( 466670 131100 ) ( * 200260 0 )
NEW met1 ( 221490 17170 ) M1M2_PR
NEW met1 ( 462530 17170 ) M1M2_PR ;
- wbs_cyc_i ( PIN wbs_cyc_i ) ( mprj wbs_cyc_i ) + USE SIGNAL
+ ROUTED met2 ( 224710 183770 ) ( * 200260 0 )
NEW met2 ( 20470 1700 0 ) ( * 183770 )
NEW met1 ( 20470 183770 ) ( 224710 * )
NEW met1 ( 20470 183770 ) M1M2_PR
NEW met1 ( 224710 183770 ) M1M2_PR ;
- wbs_dat_i[0] ( PIN wbs_dat_i[0] ) ( mprj wbs_dat_i[0] ) + USE SIGNAL
+ ROUTED met2 ( 120750 16830 ) ( * 176630 )
NEW met2 ( 43930 1700 0 ) ( * 16830 )
NEW met1 ( 43930 16830 ) ( 120750 * )
NEW met1 ( 120750 176630 ) ( 253230 * )
NEW met2 ( 253230 176630 ) ( * 200260 0 )
NEW met1 ( 120750 16830 ) M1M2_PR
NEW met1 ( 120750 176630 ) M1M2_PR
NEW met1 ( 43930 16830 ) M1M2_PR
NEW met1 ( 253230 176630 ) M1M2_PR ;
- wbs_dat_i[10] ( PIN wbs_dat_i[10] ) ( mprj wbs_dat_i[10] ) + USE SIGNAL
+ ROUTED met2 ( 495190 185810 ) ( * 200260 0 )
NEW met2 ( 376050 16490 ) ( * 185810 )
NEW met2 ( 244950 1700 0 ) ( * 18870 )
NEW li1 ( 348450 16490 ) ( * 18870 )
NEW met1 ( 244950 18870 ) ( 348450 * )
NEW met1 ( 348450 16490 ) ( 376050 * )
NEW met1 ( 376050 185810 ) ( 495190 * )
NEW met1 ( 376050 16490 ) M1M2_PR
NEW met1 ( 376050 185810 ) M1M2_PR
NEW met1 ( 495190 185810 ) M1M2_PR
NEW met1 ( 244950 18870 ) M1M2_PR
NEW li1 ( 348450 18870 ) L1M1_PR_MR
NEW li1 ( 348450 16490 ) L1M1_PR_MR ;
- wbs_dat_i[11] ( PIN wbs_dat_i[11] ) ( mprj wbs_dat_i[11] ) + USE SIGNAL
+ ROUTED met2 ( 516810 185470 ) ( * 200260 0 )
NEW met2 ( 262890 1700 0 ) ( * 19550 )
NEW met1 ( 262890 19550 ) ( 368690 * )
NEW met1 ( 369150 185470 ) ( 516810 * )
NEW met2 ( 368690 19550 ) ( * 34500 )
NEW met2 ( 368690 34500 ) ( 369150 * )
NEW met2 ( 369150 34500 ) ( * 185470 )
NEW met1 ( 516810 185470 ) M1M2_PR
NEW met1 ( 262890 19550 ) M1M2_PR
NEW met1 ( 368690 19550 ) M1M2_PR
NEW met1 ( 369150 185470 ) M1M2_PR ;
- wbs_dat_i[12] ( PIN wbs_dat_i[12] ) ( mprj wbs_dat_i[12] ) + USE SIGNAL
+ ROUTED met2 ( 280370 1700 0 ) ( * 23970 )
NEW met1 ( 280370 23970 ) ( 531530 * )
NEW met1 ( 531530 189890 ) ( 537970 * )
NEW met2 ( 537970 189890 ) ( * 200260 0 )
NEW met2 ( 531530 23970 ) ( * 189890 )
NEW met1 ( 280370 23970 ) M1M2_PR
NEW met1 ( 531530 23970 ) M1M2_PR
NEW met1 ( 531530 189890 ) M1M2_PR
NEW met1 ( 537970 189890 ) M1M2_PR ;
- wbs_dat_i[13] ( PIN wbs_dat_i[13] ) ( mprj wbs_dat_i[13] ) + USE SIGNAL
+ ROUTED met2 ( 298310 1700 0 ) ( * 19210 )
NEW met2 ( 410090 19210 ) ( * 34500 )
NEW met2 ( 410090 34500 ) ( 410550 * )
NEW met2 ( 410550 34500 ) ( * 185130 )
NEW met1 ( 298310 19210 ) ( 410090 * )
NEW met2 ( 559590 185130 ) ( * 200260 0 )
NEW met1 ( 410550 185130 ) ( 559590 * )
NEW met1 ( 298310 19210 ) M1M2_PR
NEW met1 ( 410090 19210 ) M1M2_PR
NEW met1 ( 410550 185130 ) M1M2_PR
NEW met1 ( 559590 185130 ) M1M2_PR ;
- wbs_dat_i[14] ( PIN wbs_dat_i[14] ) ( mprj wbs_dat_i[14] ) + USE SIGNAL
+ ROUTED met2 ( 316250 1700 0 ) ( * 19890 )
NEW met2 ( 580750 184110 ) ( * 200260 0 )
NEW met1 ( 316250 19890 ) ( 324300 * )
NEW met1 ( 324300 19890 ) ( * 20230 )
NEW met1 ( 324300 20230 ) ( 458850 * )
NEW met1 ( 458850 184110 ) ( 580750 * )
NEW met2 ( 458850 20230 ) ( * 184110 )
NEW met1 ( 316250 19890 ) M1M2_PR
NEW met1 ( 580750 184110 ) M1M2_PR
NEW met1 ( 458850 20230 ) M1M2_PR
NEW met1 ( 458850 184110 ) M1M2_PR ;
- wbs_dat_i[15] ( PIN wbs_dat_i[15] ) ( mprj wbs_dat_i[15] ) + USE SIGNAL
+ ROUTED met2 ( 600530 23290 ) ( * 131100 )
NEW met2 ( 600530 131100 ) ( 602370 * )
NEW met2 ( 602370 131100 ) ( * 200260 0 )
NEW met2 ( 333730 1700 0 ) ( * 23290 )
NEW met1 ( 333730 23290 ) ( 600530 * )
NEW met1 ( 600530 23290 ) M1M2_PR
NEW met1 ( 333730 23290 ) M1M2_PR ;
- wbs_dat_i[16] ( PIN wbs_dat_i[16] ) ( mprj wbs_dat_i[16] ) + USE SIGNAL
+ ROUTED met2 ( 351670 1700 0 ) ( * 26690 )
NEW met1 ( 351670 26690 ) ( 621230 * )
NEW met2 ( 621230 26690 ) ( * 131100 )
NEW met2 ( 621230 131100 ) ( 623530 * )
NEW met2 ( 623530 131100 ) ( * 200260 0 )
NEW met1 ( 351670 26690 ) M1M2_PR
NEW met1 ( 621230 26690 ) M1M2_PR ;
- wbs_dat_i[17] ( PIN wbs_dat_i[17] ) ( mprj wbs_dat_i[17] ) + USE SIGNAL
+ ROUTED met2 ( 369150 1700 0 ) ( * 23630 )
NEW met1 ( 369150 23630 ) ( 641930 * )
NEW met2 ( 641930 23630 ) ( * 131100 )
NEW met2 ( 641930 131100 ) ( 645150 * )
NEW met2 ( 645150 131100 ) ( * 200260 0 )
NEW met1 ( 369150 23630 ) M1M2_PR
NEW met1 ( 641930 23630 ) M1M2_PR ;
- wbs_dat_i[18] ( PIN wbs_dat_i[18] ) ( mprj wbs_dat_i[18] ) + USE SIGNAL
+ ROUTED met2 ( 387090 1700 0 ) ( * 17510 )
NEW met2 ( 662630 44710 ) ( * 131100 )
NEW met2 ( 662630 131100 ) ( 666310 * )
NEW met2 ( 666310 131100 ) ( * 200260 0 )
NEW met1 ( 387090 17510 ) ( 441370 * )
NEW met2 ( 441370 17510 ) ( * 44710 )
NEW met1 ( 441370 44710 ) ( 662630 * )
NEW met1 ( 387090 17510 ) M1M2_PR
NEW met1 ( 662630 44710 ) M1M2_PR
NEW met1 ( 441370 17510 ) M1M2_PR
NEW met1 ( 441370 44710 ) M1M2_PR ;
- wbs_dat_i[19] ( PIN wbs_dat_i[19] ) ( mprj wbs_dat_i[19] ) + USE SIGNAL
+ ROUTED met2 ( 404570 1700 0 ) ( * 16830 )
NEW met2 ( 683330 33150 ) ( * 131100 )
NEW met2 ( 683330 131100 ) ( 687930 * )
NEW met2 ( 687930 131100 ) ( * 200260 0 )
NEW met2 ( 426190 16830 ) ( * 33150 )
NEW met1 ( 404570 16830 ) ( 426190 * )
NEW met1 ( 426190 33150 ) ( 683330 * )
NEW met1 ( 404570 16830 ) M1M2_PR
NEW met1 ( 683330 33150 ) M1M2_PR
NEW met1 ( 426190 16830 ) M1M2_PR
NEW met1 ( 426190 33150 ) M1M2_PR ;
- wbs_dat_i[1] ( PIN wbs_dat_i[1] ) ( mprj wbs_dat_i[1] ) + USE SIGNAL
+ ROUTED met2 ( 276230 190060 ) ( 281750 * )
NEW met2 ( 281750 190060 ) ( * 200260 0 )
NEW met2 ( 276230 175950 ) ( * 190060 )
NEW met2 ( 67850 1700 0 ) ( * 34500 )
NEW met2 ( 67850 34500 ) ( 68770 * )
NEW met2 ( 68770 34500 ) ( * 175950 )
NEW met1 ( 68770 175950 ) ( 276230 * )
NEW met1 ( 276230 175950 ) M1M2_PR
NEW met1 ( 68770 175950 ) M1M2_PR ;
- wbs_dat_i[20] ( PIN wbs_dat_i[20] ) ( mprj wbs_dat_i[20] ) + USE SIGNAL
+ ROUTED met2 ( 704030 19210 ) ( * 131100 )
NEW met2 ( 704030 131100 ) ( 709090 * )
NEW met2 ( 709090 131100 ) ( * 200260 0 )
NEW met2 ( 422510 1700 0 ) ( * 19210 )
NEW met1 ( 422510 19210 ) ( 704030 * )
NEW met1 ( 704030 19210 ) M1M2_PR
NEW met1 ( 422510 19210 ) M1M2_PR ;
- wbs_dat_i[21] ( PIN wbs_dat_i[21] ) ( mprj wbs_dat_i[21] ) + USE SIGNAL
+ ROUTED met2 ( 439990 1700 0 ) ( * 24990 )
NEW met1 ( 439990 24990 ) ( 724730 * )
NEW met2 ( 724730 24990 ) ( * 131100 )
NEW met2 ( 724730 131100 ) ( 730250 * )
NEW met2 ( 730250 131100 ) ( * 200260 0 )
NEW met1 ( 439990 24990 ) M1M2_PR
NEW met1 ( 724730 24990 ) M1M2_PR ;
- wbs_dat_i[22] ( PIN wbs_dat_i[22] ) ( mprj wbs_dat_i[22] ) + USE SIGNAL
+ ROUTED met2 ( 457930 1700 0 ) ( * 18870 )
NEW met1 ( 457930 18870 ) ( 745430 * )
NEW met1 ( 745430 189210 ) ( 751870 * )
NEW met2 ( 751870 189210 ) ( * 200260 0 )
NEW met2 ( 745430 18870 ) ( * 189210 )
NEW met1 ( 457930 18870 ) M1M2_PR
NEW met1 ( 745430 18870 ) M1M2_PR
NEW met1 ( 745430 189210 ) M1M2_PR
NEW met1 ( 751870 189210 ) M1M2_PR ;
- wbs_dat_i[23] ( PIN wbs_dat_i[23] ) ( mprj wbs_dat_i[23] ) + USE SIGNAL
+ ROUTED met2 ( 475870 1700 0 ) ( * 24310 )
NEW met2 ( 773030 24310 ) ( * 200260 0 )
NEW met1 ( 475870 24310 ) ( 773030 * )
NEW met1 ( 475870 24310 ) M1M2_PR
NEW met1 ( 773030 24310 ) M1M2_PR ;
- wbs_dat_i[24] ( PIN wbs_dat_i[24] ) ( mprj wbs_dat_i[24] ) + USE SIGNAL
+ ROUTED met2 ( 493350 1700 0 ) ( * 17850 )
NEW met2 ( 793730 17850 ) ( * 131100 )
NEW met2 ( 793730 131100 ) ( 794650 * )
NEW met2 ( 794650 131100 ) ( * 200260 0 )
NEW met1 ( 493350 17850 ) ( 793730 * )
NEW met1 ( 493350 17850 ) M1M2_PR
NEW met1 ( 793730 17850 ) M1M2_PR ;
- wbs_dat_i[25] ( PIN wbs_dat_i[25] ) ( mprj wbs_dat_i[25] ) + USE SIGNAL
+ ROUTED met2 ( 511290 1700 0 ) ( * 24650 )
NEW met1 ( 511290 24650 ) ( 814430 * )
NEW met2 ( 814430 24650 ) ( * 131100 )
NEW met2 ( 814430 131100 ) ( 815810 * )
NEW met2 ( 815810 131100 ) ( * 200260 0 )
NEW met1 ( 511290 24650 ) M1M2_PR
NEW met1 ( 814430 24650 ) M1M2_PR ;
- wbs_dat_i[26] ( PIN wbs_dat_i[26] ) ( mprj wbs_dat_i[26] ) + USE SIGNAL
+ ROUTED met2 ( 528770 1700 0 ) ( * 17170 )
NEW met1 ( 528770 17170 ) ( 835130 * )
NEW met2 ( 835130 17170 ) ( * 131100 )
NEW met2 ( 835130 131100 ) ( 837430 * )
NEW met2 ( 837430 131100 ) ( * 200260 0 )
NEW met1 ( 528770 17170 ) M1M2_PR
NEW met1 ( 835130 17170 ) M1M2_PR ;
- wbs_dat_i[27] ( PIN wbs_dat_i[27] ) ( mprj wbs_dat_i[27] ) + USE SIGNAL
+ ROUTED met2 ( 855830 23970 ) ( * 131100 )
NEW met2 ( 855830 131100 ) ( 858590 * )
NEW met2 ( 858590 131100 ) ( * 200260 0 )
NEW met2 ( 546710 1700 0 ) ( * 23970 )
NEW met1 ( 546710 23970 ) ( 855830 * )
NEW met1 ( 855830 23970 ) M1M2_PR
NEW met1 ( 546710 23970 ) M1M2_PR ;
- wbs_dat_i[28] ( PIN wbs_dat_i[28] ) ( mprj wbs_dat_i[28] ) + USE SIGNAL
+ ROUTED met2 ( 880210 183090 ) ( * 200260 0 )
NEW met2 ( 564190 1700 0 ) ( * 16150 )
NEW met1 ( 564190 16150 ) ( 617090 * )
NEW met1 ( 617550 183090 ) ( 880210 * )
NEW met2 ( 617090 16150 ) ( * 34500 )
NEW met2 ( 617090 34500 ) ( 617550 * )
NEW met2 ( 617550 34500 ) ( * 183090 )
NEW met1 ( 880210 183090 ) M1M2_PR
NEW met1 ( 564190 16150 ) M1M2_PR
NEW met1 ( 617090 16150 ) M1M2_PR
NEW met1 ( 617550 183090 ) M1M2_PR ;
- wbs_dat_i[29] ( PIN wbs_dat_i[29] ) ( mprj wbs_dat_i[29] ) + USE SIGNAL
+ ROUTED met2 ( 582130 1700 0 ) ( * 15810 )
NEW met1 ( 582130 15810 ) ( 611570 * )
NEW li1 ( 611570 15810 ) ( * 18530 )
NEW met1 ( 872850 188530 ) ( 901370 * )
NEW met2 ( 901370 188530 ) ( * 200260 0 )
NEW met2 ( 872850 18530 ) ( * 188530 )
NEW met1 ( 611570 18530 ) ( 872850 * )
NEW met1 ( 582130 15810 ) M1M2_PR
NEW li1 ( 611570 15810 ) L1M1_PR_MR
NEW li1 ( 611570 18530 ) L1M1_PR_MR
NEW met1 ( 872850 18530 ) M1M2_PR
NEW met1 ( 872850 188530 ) M1M2_PR
NEW met1 ( 901370 188530 ) M1M2_PR ;
- wbs_dat_i[2] ( PIN wbs_dat_i[2] ) ( mprj wbs_dat_i[2] ) + USE SIGNAL
+ ROUTED met2 ( 91310 1700 0 ) ( * 14450 )
NEW met1 ( 91310 14450 ) ( 127650 * )
NEW met1 ( 303830 189210 ) ( 310270 * )
NEW met2 ( 310270 189210 ) ( * 200260 0 )
NEW met2 ( 127650 14450 ) ( * 177650 )
NEW met2 ( 303830 177650 ) ( * 189210 )
NEW met1 ( 127650 177650 ) ( 303830 * )
NEW met1 ( 91310 14450 ) M1M2_PR
NEW met1 ( 127650 14450 ) M1M2_PR
NEW met1 ( 303830 189210 ) M1M2_PR
NEW met1 ( 310270 189210 ) M1M2_PR
NEW met1 ( 127650 177650 ) M1M2_PR
NEW met1 ( 303830 177650 ) M1M2_PR ;
- wbs_dat_i[30] ( PIN wbs_dat_i[30] ) ( mprj wbs_dat_i[30] ) + USE SIGNAL
+ ROUTED met2 ( 599610 1700 0 ) ( * 34500 )
NEW met2 ( 599610 34500 ) ( 600070 * )
NEW met2 ( 600070 34500 ) ( * 182750 )
NEW met2 ( 922990 182750 ) ( * 200260 0 )
NEW met1 ( 600070 182750 ) ( 922990 * )
NEW met1 ( 600070 182750 ) M1M2_PR
NEW met1 ( 922990 182750 ) M1M2_PR ;
- wbs_dat_i[31] ( PIN wbs_dat_i[31] ) ( mprj wbs_dat_i[31] ) + USE SIGNAL
+ ROUTED met2 ( 879750 20570 ) ( * 190230 )
NEW met2 ( 617550 1700 0 ) ( * 20570 )
NEW met1 ( 617550 20570 ) ( 879750 * )
NEW met2 ( 944150 190230 ) ( * 200260 0 )
NEW met1 ( 879750 190230 ) ( 944150 * )
NEW met1 ( 879750 20570 ) M1M2_PR
NEW met1 ( 879750 190230 ) M1M2_PR
NEW met1 ( 617550 20570 ) M1M2_PR
NEW met1 ( 944150 190230 ) M1M2_PR ;
- wbs_dat_i[3] ( PIN wbs_dat_i[3] ) ( mprj wbs_dat_i[3] ) + USE SIGNAL
+ ROUTED met2 ( 115230 1700 0 ) ( * 17510 )
NEW met1 ( 115230 17510 ) ( 123970 * )
NEW met2 ( 123970 17510 ) ( * 37910 )
NEW met1 ( 123970 37910 ) ( 338330 * )
NEW met2 ( 338330 37910 ) ( * 131100 )
NEW met2 ( 338330 131100 ) ( 338790 * )
NEW met2 ( 338790 131100 ) ( * 200260 0 )
NEW met1 ( 115230 17510 ) M1M2_PR
NEW met1 ( 123970 17510 ) M1M2_PR
NEW met1 ( 123970 37910 ) M1M2_PR
NEW met1 ( 338330 37910 ) M1M2_PR ;
- wbs_dat_i[4] ( PIN wbs_dat_i[4] ) ( mprj wbs_dat_i[4] ) + USE SIGNAL
+ ROUTED met2 ( 138690 1700 0 ) ( * 17170 )
NEW met1 ( 138690 17170 ) ( 144670 * )
NEW met2 ( 144670 17170 ) ( * 176970 )
NEW met1 ( 144670 176970 ) ( 367310 * )
NEW met2 ( 367310 176970 ) ( * 200260 0 )
NEW met1 ( 138690 17170 ) M1M2_PR
NEW met1 ( 144670 17170 ) M1M2_PR
NEW met1 ( 144670 176970 ) M1M2_PR
NEW met1 ( 367310 176970 ) M1M2_PR ;
- wbs_dat_i[5] ( PIN wbs_dat_i[5] ) ( mprj wbs_dat_i[5] ) + USE SIGNAL
+ ROUTED met2 ( 388470 176290 ) ( * 200260 0 )
NEW met2 ( 156630 1700 0 ) ( * 34500 )
NEW met2 ( 156630 34500 ) ( 158470 * )
NEW met2 ( 158470 34500 ) ( * 176290 )
NEW met1 ( 158470 176290 ) ( 388470 * )
NEW met1 ( 388470 176290 ) M1M2_PR
NEW met1 ( 158470 176290 ) M1M2_PR ;
- wbs_dat_i[6] ( PIN wbs_dat_i[6] ) ( mprj wbs_dat_i[6] ) + USE SIGNAL
+ ROUTED met2 ( 410090 177310 ) ( * 200260 0 )
NEW met2 ( 174110 1700 0 ) ( * 17170 )
NEW met1 ( 174110 17170 ) ( 179170 * )
NEW met2 ( 179170 17170 ) ( * 177310 )
NEW met1 ( 179170 177310 ) ( 410090 * )
NEW met1 ( 410090 177310 ) M1M2_PR
NEW met1 ( 174110 17170 ) M1M2_PR
NEW met1 ( 179170 17170 ) M1M2_PR
NEW met1 ( 179170 177310 ) M1M2_PR ;
- wbs_dat_i[7] ( PIN wbs_dat_i[7] ) ( mprj wbs_dat_i[7] ) + USE SIGNAL
+ ROUTED met2 ( 192050 1700 0 ) ( * 34500 )
NEW met2 ( 192050 34500 ) ( 192970 * )
NEW met2 ( 192970 34500 ) ( * 184110 )
NEW met2 ( 431250 184110 ) ( * 200260 0 )
NEW met1 ( 192970 184110 ) ( 431250 * )
NEW met1 ( 192970 184110 ) M1M2_PR
NEW met1 ( 431250 184110 ) M1M2_PR ;
- wbs_dat_i[8] ( PIN wbs_dat_i[8] ) ( mprj wbs_dat_i[8] ) + USE SIGNAL
+ ROUTED met2 ( 209530 1700 0 ) ( * 17170 )
NEW met1 ( 209530 17170 ) ( 217350 * )
NEW met2 ( 217350 17170 ) ( * 184450 )
NEW met2 ( 452870 184450 ) ( * 200260 0 )
NEW met1 ( 217350 184450 ) ( 452870 * )
NEW met1 ( 209530 17170 ) M1M2_PR
NEW met1 ( 217350 17170 ) M1M2_PR
NEW met1 ( 217350 184450 ) M1M2_PR
NEW met1 ( 452870 184450 ) M1M2_PR ;
- wbs_dat_i[9] ( PIN wbs_dat_i[9] ) ( mprj wbs_dat_i[9] ) + USE SIGNAL
+ ROUTED met2 ( 227470 1700 0 ) ( * 18190 )
NEW met2 ( 474030 179690 ) ( * 200260 0 )
NEW met1 ( 227470 18190 ) ( 438150 * )
NEW met1 ( 438150 179690 ) ( 474030 * )
NEW met2 ( 438150 18190 ) ( * 179690 )
NEW met1 ( 227470 18190 ) M1M2_PR
NEW met1 ( 474030 179690 ) M1M2_PR
NEW met1 ( 438150 18190 ) M1M2_PR
NEW met1 ( 438150 179690 ) M1M2_PR ;
- wbs_dat_o[0] ( PIN wbs_dat_o[0] ) ( mprj wbs_dat_o[0] ) + USE SIGNAL
+ ROUTED met2 ( 49910 1700 0 ) ( * 23970 )
NEW met1 ( 49910 23970 ) ( 244950 * )
NEW met1 ( 244950 187510 ) ( 260130 * )
NEW met2 ( 260130 187510 ) ( * 200260 0 )
NEW met2 ( 244950 23970 ) ( * 187510 )
NEW met1 ( 49910 23970 ) M1M2_PR
NEW met1 ( 244950 23970 ) M1M2_PR
NEW met1 ( 244950 187510 ) M1M2_PR
NEW met1 ( 260130 187510 ) M1M2_PR ;
- wbs_dat_o[10] ( PIN wbs_dat_o[10] ) ( mprj wbs_dat_o[10] ) + USE SIGNAL
+ ROUTED met2 ( 497030 190060 ) ( 502550 * )
NEW met2 ( 502550 190060 ) ( * 200260 0 )
NEW met2 ( 497030 176630 ) ( * 190060 )
NEW met2 ( 250930 1700 0 ) ( * 16490 )
NEW met1 ( 250930 16490 ) ( 255070 * )
NEW met2 ( 255070 16490 ) ( * 176630 )
NEW met1 ( 255070 176630 ) ( 497030 * )
NEW met1 ( 497030 176630 ) M1M2_PR
NEW met1 ( 250930 16490 ) M1M2_PR
NEW met1 ( 255070 16490 ) M1M2_PR
NEW met1 ( 255070 176630 ) M1M2_PR ;
- wbs_dat_o[11] ( PIN wbs_dat_o[11] ) ( mprj wbs_dat_o[11] ) + USE SIGNAL
+ ROUTED met2 ( 523710 183770 ) ( * 200260 0 )
NEW met1 ( 268870 183770 ) ( 523710 * )
NEW met2 ( 268870 1700 0 ) ( * 183770 )
NEW met1 ( 268870 183770 ) M1M2_PR
NEW met1 ( 523710 183770 ) M1M2_PR ;
- wbs_dat_o[12] ( PIN wbs_dat_o[12] ) ( mprj wbs_dat_o[12] ) + USE SIGNAL
+ ROUTED met2 ( 286350 1700 0 ) ( * 16490 )
NEW met1 ( 286350 16490 ) ( 289570 * )
NEW met2 ( 289570 16490 ) ( * 182750 )
NEW met2 ( 545330 182750 ) ( * 200260 0 )
NEW met1 ( 289570 182750 ) ( 545330 * )
NEW met1 ( 286350 16490 ) M1M2_PR
NEW met1 ( 289570 16490 ) M1M2_PR
NEW met1 ( 289570 182750 ) M1M2_PR
NEW met1 ( 545330 182750 ) M1M2_PR ;
- wbs_dat_o[13] ( PIN wbs_dat_o[13] ) ( mprj wbs_dat_o[13] ) + USE SIGNAL
+ ROUTED met2 ( 304290 1700 0 ) ( * 18530 )
NEW met2 ( 566490 184450 ) ( * 200260 0 )
NEW met2 ( 479550 18530 ) ( * 184450 )
NEW met1 ( 304290 18530 ) ( 479550 * )
NEW met1 ( 479550 184450 ) ( 566490 * )
NEW met1 ( 304290 18530 ) M1M2_PR
NEW met1 ( 479550 18530 ) M1M2_PR
NEW met1 ( 479550 184450 ) M1M2_PR
NEW met1 ( 566490 184450 ) M1M2_PR ;
- wbs_dat_o[14] ( PIN wbs_dat_o[14] ) ( mprj wbs_dat_o[14] ) + USE SIGNAL
+ ROUTED met2 ( 321770 1700 0 ) ( * 16490 )
NEW met1 ( 321770 16490 ) ( 324070 * )
NEW met2 ( 324070 16490 ) ( * 175950 )
NEW met2 ( 588110 175950 ) ( * 200260 0 )
NEW met1 ( 324070 175950 ) ( 588110 * )
NEW met1 ( 321770 16490 ) M1M2_PR
NEW met1 ( 324070 16490 ) M1M2_PR
NEW met1 ( 324070 175950 ) M1M2_PR
NEW met1 ( 588110 175950 ) M1M2_PR ;
- wbs_dat_o[15] ( PIN wbs_dat_o[15] ) ( mprj wbs_dat_o[15] ) + USE SIGNAL
+ ROUTED met1 ( 589950 172550 ) ( 609270 * )
NEW met2 ( 589950 19890 ) ( * 172550 )
NEW met2 ( 609270 172550 ) ( * 200260 0 )
NEW met2 ( 339710 1700 0 ) ( * 19890 )
NEW met1 ( 339710 19890 ) ( 589950 * )
NEW met1 ( 589950 19890 ) M1M2_PR
NEW met1 ( 589950 172550 ) M1M2_PR
NEW met1 ( 609270 172550 ) M1M2_PR
NEW met1 ( 339710 19890 ) M1M2_PR ;
- wbs_dat_o[16] ( PIN wbs_dat_o[16] ) ( mprj wbs_dat_o[16] ) + USE SIGNAL
+ ROUTED met2 ( 389850 16830 ) ( * 176970 )
NEW met2 ( 357650 1700 0 ) ( * 16830 )
NEW met1 ( 357650 16830 ) ( 389850 * )
NEW met1 ( 389850 176970 ) ( 630890 * )
NEW met2 ( 630890 176970 ) ( * 200260 0 )
NEW met1 ( 389850 16830 ) M1M2_PR
NEW met1 ( 389850 176970 ) M1M2_PR
NEW met1 ( 357650 16830 ) M1M2_PR
NEW met1 ( 630890 176970 ) M1M2_PR ;
- wbs_dat_o[17] ( PIN wbs_dat_o[17] ) ( mprj wbs_dat_o[17] ) + USE SIGNAL
+ ROUTED met2 ( 375130 1700 0 ) ( * 17850 )
NEW met1 ( 375130 17850 ) ( 417450 * )
NEW met2 ( 417450 17850 ) ( * 184790 )
NEW met2 ( 652050 184790 ) ( * 200260 0 )
NEW met1 ( 417450 184790 ) ( 652050 * )
NEW met1 ( 375130 17850 ) M1M2_PR
NEW met1 ( 417450 17850 ) M1M2_PR
NEW met1 ( 417450 184790 ) M1M2_PR
NEW met1 ( 652050 184790 ) M1M2_PR ;
- wbs_dat_o[18] ( PIN wbs_dat_o[18] ) ( mprj wbs_dat_o[18] ) + USE SIGNAL
+ ROUTED met2 ( 393070 1700 0 ) ( * 16490 )
NEW met2 ( 673670 179690 ) ( * 200260 0 )
NEW met1 ( 393070 16490 ) ( 631350 * )
NEW met1 ( 631350 179690 ) ( 673670 * )
NEW met2 ( 631350 16490 ) ( * 179690 )
NEW met1 ( 393070 16490 ) M1M2_PR
NEW met1 ( 673670 179690 ) M1M2_PR
NEW met1 ( 631350 16490 ) M1M2_PR
NEW met1 ( 631350 179690 ) M1M2_PR ;
- wbs_dat_o[19] ( PIN wbs_dat_o[19] ) ( mprj wbs_dat_o[19] ) + USE SIGNAL
+ ROUTED met2 ( 410550 1700 0 ) ( * 19210 )
NEW met2 ( 694830 176290 ) ( * 200260 0 )
NEW met1 ( 410550 19210 ) ( 420900 * )
NEW met1 ( 420900 18870 ) ( * 19210 )
NEW met1 ( 420900 18870 ) ( 431250 * )
NEW met2 ( 431250 18870 ) ( * 176290 )
NEW met1 ( 431250 176290 ) ( 694830 * )
NEW met1 ( 410550 19210 ) M1M2_PR
NEW met1 ( 694830 176290 ) M1M2_PR
NEW met1 ( 431250 18870 ) M1M2_PR
NEW met1 ( 431250 176290 ) M1M2_PR ;
- wbs_dat_o[1] ( PIN wbs_dat_o[1] ) ( mprj wbs_dat_o[1] ) + USE SIGNAL
+ ROUTED met2 ( 288650 186490 ) ( * 200260 0 )
NEW met2 ( 73830 1700 0 ) ( * 19210 )
NEW li1 ( 251390 18870 ) ( * 19210 )
NEW li1 ( 251390 18870 ) ( 252310 * )
NEW li1 ( 252310 18870 ) ( * 20230 )
NEW met1 ( 252310 20230 ) ( 272550 * )
NEW met1 ( 73830 19210 ) ( 251390 * )
NEW met1 ( 272550 186490 ) ( 288650 * )
NEW met2 ( 272550 20230 ) ( * 186490 )
NEW met1 ( 288650 186490 ) M1M2_PR
NEW met1 ( 73830 19210 ) M1M2_PR
NEW li1 ( 251390 19210 ) L1M1_PR_MR
NEW li1 ( 252310 20230 ) L1M1_PR_MR
NEW met1 ( 272550 20230 ) M1M2_PR
NEW met1 ( 272550 186490 ) M1M2_PR ;
- wbs_dat_o[20] ( PIN wbs_dat_o[20] ) ( mprj wbs_dat_o[20] ) + USE SIGNAL
+ ROUTED met2 ( 428490 1700 0 ) ( * 19550 )
NEW met1 ( 428490 19550 ) ( 710930 * )
NEW met2 ( 710930 19550 ) ( * 131100 )
NEW met2 ( 710930 131100 ) ( 715990 * )
NEW met2 ( 715990 131100 ) ( * 200260 0 )
NEW met1 ( 428490 19550 ) M1M2_PR
NEW met1 ( 710930 19550 ) M1M2_PR ;
- wbs_dat_o[21] ( PIN wbs_dat_o[21] ) ( mprj wbs_dat_o[21] ) + USE SIGNAL
+ ROUTED met2 ( 445970 1700 0 ) ( * 17510 )
NEW met1 ( 445970 17510 ) ( 451950 * )
NEW met2 ( 737610 191250 ) ( * 200260 0 )
NEW met1 ( 451950 191250 ) ( 737610 * )
NEW met2 ( 451950 17510 ) ( * 191250 )
NEW met1 ( 445970 17510 ) M1M2_PR
NEW met1 ( 451950 17510 ) M1M2_PR
NEW met1 ( 451950 191250 ) M1M2_PR
NEW met1 ( 737610 191250 ) M1M2_PR ;
- wbs_dat_o[22] ( PIN wbs_dat_o[22] ) ( mprj wbs_dat_o[22] ) + USE SIGNAL
+ ROUTED met2 ( 463910 1700 0 ) ( * 20230 )
NEW met1 ( 463910 20230 ) ( 734850 * )
NEW met1 ( 734850 187170 ) ( 758770 * )
NEW met2 ( 758770 187170 ) ( * 200260 0 )
NEW met2 ( 734850 20230 ) ( * 187170 )
NEW met1 ( 463910 20230 ) M1M2_PR
NEW met1 ( 734850 20230 ) M1M2_PR
NEW met1 ( 734850 187170 ) M1M2_PR
NEW met1 ( 758770 187170 ) M1M2_PR ;
- wbs_dat_o[23] ( PIN wbs_dat_o[23] ) ( mprj wbs_dat_o[23] ) + USE SIGNAL
+ ROUTED met2 ( 481390 1700 0 ) ( * 15810 )
NEW met1 ( 481390 15810 ) ( 500250 * )
NEW met2 ( 780390 190910 ) ( * 200260 0 )
NEW met2 ( 500250 15810 ) ( * 189550 )
NEW met1 ( 500250 189550 ) ( 517500 * )
NEW met1 ( 517500 189550 ) ( * 190910 )
NEW met1 ( 517500 190910 ) ( 780390 * )
NEW met1 ( 481390 15810 ) M1M2_PR
NEW met1 ( 500250 15810 ) M1M2_PR
NEW met1 ( 500250 189550 ) M1M2_PR
NEW met1 ( 780390 190910 ) M1M2_PR ;
- wbs_dat_o[24] ( PIN wbs_dat_o[24] ) ( mprj wbs_dat_o[24] ) + USE SIGNAL
+ ROUTED met2 ( 499330 1700 0 ) ( * 16830 )
NEW met2 ( 801550 191250 ) ( * 200260 0 )
NEW met1 ( 499330 16830 ) ( 755550 * )
NEW met1 ( 755550 191250 ) ( 801550 * )
NEW met2 ( 755550 16830 ) ( * 191250 )
NEW met1 ( 499330 16830 ) M1M2_PR
NEW met1 ( 801550 191250 ) M1M2_PR
NEW met1 ( 755550 16830 ) M1M2_PR
NEW met1 ( 755550 191250 ) M1M2_PR ;
- wbs_dat_o[25] ( PIN wbs_dat_o[25] ) ( mprj wbs_dat_o[25] ) + USE SIGNAL
+ ROUTED met2 ( 516810 1700 0 ) ( * 20570 )
NEW met1 ( 516810 20570 ) ( 520950 * )
NEW met2 ( 823170 190230 ) ( * 200260 0 )
NEW met1 ( 520950 190230 ) ( 823170 * )
NEW met2 ( 520950 20570 ) ( * 190230 )
NEW met1 ( 516810 20570 ) M1M2_PR
NEW met1 ( 520950 20570 ) M1M2_PR
NEW met1 ( 520950 190230 ) M1M2_PR
NEW met1 ( 823170 190230 ) M1M2_PR ;
- wbs_dat_o[26] ( PIN wbs_dat_o[26] ) ( mprj wbs_dat_o[26] ) + USE SIGNAL
+ ROUTED met2 ( 534750 1700 0 ) ( * 17510 )
NEW met1 ( 534750 17510 ) ( 541650 * )
NEW met2 ( 844330 190570 ) ( * 200260 0 )
NEW met1 ( 541650 190570 ) ( 844330 * )
NEW met2 ( 541650 17510 ) ( * 190570 )
NEW met1 ( 534750 17510 ) M1M2_PR
NEW met1 ( 541650 17510 ) M1M2_PR
NEW met1 ( 541650 190570 ) M1M2_PR
NEW met1 ( 844330 190570 ) M1M2_PR ;
- wbs_dat_o[27] ( PIN wbs_dat_o[27] ) ( mprj wbs_dat_o[27] ) + USE SIGNAL
+ ROUTED met2 ( 862730 190060 ) ( 865950 * )
NEW met2 ( 865950 190060 ) ( * 200260 0 )
NEW met2 ( 862730 17510 ) ( * 190060 )
NEW met2 ( 552690 1700 0 ) ( * 17510 )
NEW met1 ( 552690 17510 ) ( 862730 * )
NEW met1 ( 862730 17510 ) M1M2_PR
NEW met1 ( 552690 17510 ) M1M2_PR ;
- wbs_dat_o[28] ( PIN wbs_dat_o[28] ) ( mprj wbs_dat_o[28] ) + USE SIGNAL
+ ROUTED met2 ( 570170 1700 0 ) ( * 18190 )
NEW met1 ( 570170 18190 ) ( 576150 * )
NEW met2 ( 887110 189890 ) ( * 200260 0 )
NEW met2 ( 576150 18190 ) ( * 189890 )
NEW met1 ( 576150 189890 ) ( 887110 * )
NEW met1 ( 570170 18190 ) M1M2_PR
NEW met1 ( 576150 18190 ) M1M2_PR
NEW met1 ( 576150 189890 ) M1M2_PR
NEW met1 ( 887110 189890 ) M1M2_PR ;
- wbs_dat_o[29] ( PIN wbs_dat_o[29] ) ( mprj wbs_dat_o[29] ) + USE SIGNAL
+ ROUTED met2 ( 588110 1700 0 ) ( * 18530 )
NEW met1 ( 588110 18530 ) ( 611110 * )
NEW met1 ( 611110 18190 ) ( * 18530 )
NEW met2 ( 886650 18190 ) ( * 186490 )
NEW met1 ( 611110 18190 ) ( 886650 * )
NEW met2 ( 908730 186490 ) ( * 200260 0 )
NEW met1 ( 886650 186490 ) ( 908730 * )
NEW met1 ( 588110 18530 ) M1M2_PR
NEW met1 ( 886650 18190 ) M1M2_PR
NEW met1 ( 886650 186490 ) M1M2_PR
NEW met1 ( 908730 186490 ) M1M2_PR ;
- wbs_dat_o[2] ( PIN wbs_dat_o[2] ) ( mprj wbs_dat_o[2] ) + USE SIGNAL
+ ROUTED met2 ( 97290 1700 0 ) ( * 20230 )
NEW met1 ( 279450 188530 ) ( 317170 * )
NEW met2 ( 317170 188530 ) ( * 200260 0 )
NEW met2 ( 279450 19210 ) ( * 188530 )
NEW li1 ( 251850 19210 ) ( * 20230 )
NEW met1 ( 97290 20230 ) ( 251850 * )
NEW met1 ( 251850 19210 ) ( 279450 * )
NEW met1 ( 97290 20230 ) M1M2_PR
NEW met1 ( 279450 19210 ) M1M2_PR
NEW met1 ( 279450 188530 ) M1M2_PR
NEW met1 ( 317170 188530 ) M1M2_PR
NEW li1 ( 251850 20230 ) L1M1_PR_MR
NEW li1 ( 251850 19210 ) L1M1_PR_MR ;
- wbs_dat_o[30] ( PIN wbs_dat_o[30] ) ( mprj wbs_dat_o[30] ) + USE SIGNAL
+ ROUTED met2 ( 605590 1700 0 ) ( * 18190 )
NEW met1 ( 605590 18190 ) ( 610650 * )
NEW met2 ( 610650 18190 ) ( * 189550 )
NEW met2 ( 929890 189550 ) ( * 200260 0 )
NEW met1 ( 610650 189550 ) ( 929890 * )
NEW met1 ( 605590 18190 ) M1M2_PR
NEW met1 ( 610650 18190 ) M1M2_PR
NEW met1 ( 610650 189550 ) M1M2_PR
NEW met1 ( 929890 189550 ) M1M2_PR ;
- wbs_dat_o[31] ( PIN wbs_dat_o[31] ) ( mprj wbs_dat_o[31] ) + USE SIGNAL
+ ROUTED met2 ( 893550 19890 ) ( * 189890 )
NEW met2 ( 623530 1700 0 ) ( * 19890 )
NEW met1 ( 623530 19890 ) ( 893550 * )
NEW met2 ( 951510 189890 ) ( * 200260 0 )
NEW met1 ( 893550 189890 ) ( 951510 * )
NEW met1 ( 893550 19890 ) M1M2_PR
NEW met1 ( 893550 189890 ) M1M2_PR
NEW met1 ( 623530 19890 ) M1M2_PR
NEW met1 ( 951510 189890 ) M1M2_PR ;
- wbs_dat_o[3] ( PIN wbs_dat_o[3] ) ( mprj wbs_dat_o[3] ) + USE SIGNAL
+ ROUTED met2 ( 121210 1700 0 ) ( * 19890 )
NEW met2 ( 300150 19890 ) ( * 191250 )
NEW met1 ( 121210 19890 ) ( 300150 * )
NEW met2 ( 345690 191250 ) ( * 200260 0 )
NEW met1 ( 300150 191250 ) ( 345690 * )
NEW met1 ( 121210 19890 ) M1M2_PR
NEW met1 ( 300150 19890 ) M1M2_PR
NEW met1 ( 300150 191250 ) M1M2_PR
NEW met1 ( 345690 191250 ) M1M2_PR ;
- wbs_dat_o[4] ( PIN wbs_dat_o[4] ) ( mprj wbs_dat_o[4] ) + USE SIGNAL
+ ROUTED met2 ( 374210 190570 ) ( * 200260 0 )
NEW met2 ( 307050 16150 ) ( * 190570 )
NEW met2 ( 144670 1700 0 ) ( * 16490 )
NEW met1 ( 144670 16490 ) ( 227700 * )
NEW met1 ( 227700 16150 ) ( * 16490 )
NEW met1 ( 227700 16150 ) ( 307050 * )
NEW met1 ( 307050 190570 ) ( 374210 * )
NEW met1 ( 307050 16150 ) M1M2_PR
NEW met1 ( 307050 190570 ) M1M2_PR
NEW met1 ( 374210 190570 ) M1M2_PR
NEW met1 ( 144670 16490 ) M1M2_PR ;
- wbs_dat_o[5] ( PIN wbs_dat_o[5] ) ( mprj wbs_dat_o[5] ) + USE SIGNAL
+ ROUTED met2 ( 395830 190910 ) ( * 200260 0 )
NEW met2 ( 162150 1700 0 ) ( * 16830 )
NEW met1 ( 162150 16830 ) ( 334650 * )
NEW met1 ( 334650 190910 ) ( 395830 * )
NEW met2 ( 334650 16830 ) ( * 190910 )
NEW met1 ( 395830 190910 ) M1M2_PR
NEW met1 ( 162150 16830 ) M1M2_PR
NEW met1 ( 334650 16830 ) M1M2_PR
NEW met1 ( 334650 190910 ) M1M2_PR ;
- wbs_dat_o[6] ( PIN wbs_dat_o[6] ) ( mprj wbs_dat_o[6] ) + USE SIGNAL
+ ROUTED met2 ( 180090 1700 0 ) ( * 17170 )
NEW met1 ( 180090 17170 ) ( 185610 * )
NEW met2 ( 416990 190230 ) ( * 200260 0 )
NEW met2 ( 185610 17170 ) ( * 190230 )
NEW met1 ( 185610 190230 ) ( 416990 * )
NEW met1 ( 180090 17170 ) M1M2_PR
NEW met1 ( 185610 17170 ) M1M2_PR
NEW met1 ( 185610 190230 ) M1M2_PR
NEW met1 ( 416990 190230 ) M1M2_PR ;
- wbs_dat_o[7] ( PIN wbs_dat_o[7] ) ( mprj wbs_dat_o[7] ) + USE SIGNAL
+ ROUTED met2 ( 198030 1700 0 ) ( * 34500 )
NEW met2 ( 198030 34500 ) ( 199870 * )
NEW met2 ( 199870 34500 ) ( * 189890 )
NEW met2 ( 438610 189890 ) ( * 200260 0 )
NEW met1 ( 199870 189890 ) ( 438610 * )
NEW met1 ( 199870 189890 ) M1M2_PR
NEW met1 ( 438610 189890 ) M1M2_PR ;
- wbs_dat_o[8] ( PIN wbs_dat_o[8] ) ( mprj wbs_dat_o[8] ) + USE SIGNAL
+ ROUTED met2 ( 215510 1700 0 ) ( * 20570 )
NEW met2 ( 396750 20570 ) ( * 190570 )
NEW met1 ( 215510 20570 ) ( 396750 * )
NEW met2 ( 459770 190570 ) ( * 200260 0 )
NEW met1 ( 396750 190570 ) ( 459770 * )
NEW met1 ( 215510 20570 ) M1M2_PR
NEW met1 ( 396750 20570 ) M1M2_PR
NEW met1 ( 396750 190570 ) M1M2_PR
NEW met1 ( 459770 190570 ) M1M2_PR ;
- wbs_dat_o[9] ( PIN wbs_dat_o[9] ) ( mprj wbs_dat_o[9] ) + USE SIGNAL
+ ROUTED met2 ( 480930 189550 ) ( * 200260 0 )
NEW met1 ( 234370 189550 ) ( 480930 * )
NEW met2 ( 233450 1700 0 ) ( * 34500 )
NEW met2 ( 233450 34500 ) ( 234370 * )
NEW met2 ( 234370 34500 ) ( * 189550 )
NEW met1 ( 480930 189550 ) M1M2_PR
NEW met1 ( 234370 189550 ) M1M2_PR ;
- wbs_sel_i[0] ( PIN wbs_sel_i[0] ) ( mprj wbs_sel_i[0] ) + USE SIGNAL
+ ROUTED met2 ( 55890 1700 0 ) ( * 19550 )
NEW met1 ( 55890 19550 ) ( 258750 * )
NEW met1 ( 258750 189210 ) ( 267490 * )
NEW met2 ( 267490 189210 ) ( * 200260 0 )
NEW met2 ( 258750 19550 ) ( * 189210 )
NEW met1 ( 55890 19550 ) M1M2_PR
NEW met1 ( 258750 19550 ) M1M2_PR
NEW met1 ( 258750 189210 ) M1M2_PR
NEW met1 ( 267490 189210 ) M1M2_PR ;
- wbs_sel_i[1] ( PIN wbs_sel_i[1] ) ( mprj wbs_sel_i[1] ) + USE SIGNAL
+ ROUTED met1 ( 290030 189210 ) ( 296010 * )
NEW met2 ( 296010 189210 ) ( * 200260 0 )
NEW met2 ( 290030 18530 ) ( * 189210 )
NEW met2 ( 79810 1700 0 ) ( * 18530 )
NEW met1 ( 79810 18530 ) ( 290030 * )
NEW met1 ( 290030 18530 ) M1M2_PR
NEW met1 ( 290030 189210 ) M1M2_PR
NEW met1 ( 296010 189210 ) M1M2_PR
NEW met1 ( 79810 18530 ) M1M2_PR ;
- wbs_sel_i[2] ( PIN wbs_sel_i[2] ) ( mprj wbs_sel_i[2] ) + USE SIGNAL
+ ROUTED met2 ( 103270 1700 0 ) ( * 17170 )
NEW met1 ( 103270 17170 ) ( 131100 * )
NEW met1 ( 131100 17170 ) ( * 17510 )
NEW met1 ( 131100 17510 ) ( 324530 * )
NEW met2 ( 324530 17510 ) ( * 200260 0 )
NEW met1 ( 103270 17170 ) M1M2_PR
NEW met1 ( 324530 17510 ) M1M2_PR ;
- wbs_sel_i[3] ( PIN wbs_sel_i[3] ) ( mprj wbs_sel_i[3] ) + USE SIGNAL
+ ROUTED met2 ( 126730 1700 0 ) ( * 17850 )
NEW met1 ( 126730 17850 ) ( 348450 * )
NEW met1 ( 348450 188530 ) ( 353050 * )
NEW met2 ( 353050 188530 ) ( * 200260 0 )
NEW met2 ( 348450 17850 ) ( * 188530 )
NEW met1 ( 126730 17850 ) M1M2_PR
NEW met1 ( 348450 17850 ) M1M2_PR
NEW met1 ( 348450 188530 ) M1M2_PR
NEW met1 ( 353050 188530 ) M1M2_PR ;
- wbs_stb_i ( PIN wbs_stb_i ) ( mprj wbs_stb_i ) + USE SIGNAL
+ ROUTED met2 ( 26450 1700 0 ) ( * 17510 )
NEW met1 ( 26450 17510 ) ( 31510 * )
NEW met2 ( 31510 17510 ) ( * 162010 )
NEW met1 ( 31510 162010 ) ( 231610 * )
NEW met2 ( 231610 162010 ) ( * 200260 0 )
NEW met1 ( 26450 17510 ) M1M2_PR
NEW met1 ( 31510 17510 ) M1M2_PR
NEW met1 ( 31510 162010 ) M1M2_PR
NEW met1 ( 231610 162010 ) M1M2_PR ;
- wbs_we_i ( PIN wbs_we_i ) ( mprj wbs_we_i ) + USE SIGNAL
+ ROUTED met2 ( 32430 1700 0 ) ( * 18870 )
NEW met1 ( 32430 18870 ) ( 234830 * )
NEW met2 ( 234830 18870 ) ( * 131100 )
NEW met2 ( 234830 131100 ) ( 238970 * )
NEW met2 ( 238970 131100 ) ( * 200260 0 )
NEW met1 ( 32430 18870 ) M1M2_PR
NEW met1 ( 234830 18870 ) M1M2_PR ;
- zero_ ( PIN la_oenb[9] ) ( PIN la_oenb[99] ) ( PIN la_oenb[98] ) ( PIN la_oenb[97] ) ( PIN la_oenb[96] ) ( PIN la_oenb[95] ) ( PIN la_oenb[94] )
( PIN la_oenb[93] ) ( PIN la_oenb[92] ) ( PIN la_oenb[91] ) ( PIN la_oenb[90] ) ( PIN la_oenb[8] ) ( PIN la_oenb[89] ) ( PIN la_oenb[88] ) ( PIN la_oenb[87] )
( PIN la_oenb[86] ) ( PIN la_oenb[85] ) ( PIN la_oenb[84] ) ( PIN la_oenb[83] ) ( PIN la_oenb[82] ) ( PIN la_oenb[81] ) ( PIN la_oenb[80] ) ( PIN la_oenb[7] )
( PIN la_oenb[79] ) ( PIN la_oenb[78] ) ( PIN la_oenb[77] ) ( PIN la_oenb[76] ) ( PIN la_oenb[75] ) ( PIN la_oenb[74] ) ( PIN la_oenb[73] ) ( PIN la_oenb[72] )
( PIN la_oenb[71] ) ( PIN la_oenb[70] ) ( PIN la_oenb[6] ) ( PIN la_oenb[69] ) ( PIN la_oenb[68] ) ( PIN la_oenb[67] ) ( PIN la_oenb[66] ) ( PIN la_oenb[65] )
( PIN la_oenb[64] ) ( PIN la_oenb[63] ) ( PIN la_oenb[62] ) ( PIN la_oenb[61] ) ( PIN la_oenb[60] ) ( PIN la_oenb[5] ) ( PIN la_oenb[59] ) ( PIN la_oenb[58] )
( PIN la_oenb[57] ) ( PIN la_oenb[56] ) ( PIN la_oenb[55] ) ( PIN la_oenb[54] ) ( PIN la_oenb[53] ) ( PIN la_oenb[52] ) ( PIN la_oenb[51] ) ( PIN la_oenb[50] )
( PIN la_oenb[4] ) ( PIN la_oenb[49] ) ( PIN la_oenb[48] ) ( PIN la_oenb[47] ) ( PIN la_oenb[46] ) ( PIN la_oenb[45] ) ( PIN la_oenb[44] ) ( PIN la_oenb[43] )
( PIN la_oenb[42] ) ( PIN la_oenb[41] ) ( PIN la_oenb[40] ) ( PIN la_oenb[3] ) ( PIN la_oenb[39] ) ( PIN la_oenb[38] ) ( PIN la_oenb[37] ) ( PIN la_oenb[36] )
( PIN la_oenb[35] ) ( PIN la_oenb[34] ) ( PIN la_oenb[33] ) ( PIN la_oenb[32] ) ( PIN la_oenb[31] ) ( PIN la_oenb[30] ) ( PIN la_oenb[2] ) ( PIN la_oenb[29] )
( PIN la_oenb[28] ) ( PIN la_oenb[27] ) ( PIN la_oenb[26] ) ( PIN la_oenb[25] ) ( PIN la_oenb[24] ) ( PIN la_oenb[23] ) ( PIN la_oenb[22] ) ( PIN la_oenb[21] )
( PIN la_oenb[20] ) ( PIN la_oenb[1] ) ( PIN la_oenb[19] ) ( PIN la_oenb[18] ) ( PIN la_oenb[17] ) ( PIN la_oenb[16] ) ( PIN la_oenb[15] ) ( PIN la_oenb[14] )
( PIN la_oenb[13] ) ( PIN la_oenb[12] ) ( PIN la_oenb[127] ) ( PIN la_oenb[126] ) ( PIN la_oenb[125] ) ( PIN la_oenb[124] ) ( PIN la_oenb[123] ) ( PIN la_oenb[122] )
( PIN la_oenb[121] ) ( PIN la_oenb[120] ) ( PIN la_oenb[11] ) ( PIN la_oenb[119] ) ( PIN la_oenb[118] ) ( PIN la_oenb[117] ) ( PIN la_oenb[116] ) ( PIN la_oenb[115] )
( PIN la_oenb[114] ) ( PIN la_oenb[113] ) ( PIN la_oenb[112] ) ( PIN la_oenb[111] ) ( PIN la_oenb[110] ) ( PIN la_oenb[10] ) ( PIN la_oenb[109] ) ( PIN la_oenb[108] )
( PIN la_oenb[107] ) ( PIN la_oenb[106] ) ( PIN la_oenb[105] ) ( PIN la_oenb[104] ) ( PIN la_oenb[103] ) ( PIN la_oenb[102] ) ( PIN la_oenb[101] ) ( PIN la_oenb[100] )
( PIN la_oenb[0] ) ( PIN la_data_out[9] ) ( PIN la_data_out[99] ) ( PIN la_data_out[98] ) ( PIN la_data_out[97] ) ( PIN la_data_out[96] ) ( PIN la_data_out[95] ) ( PIN la_data_out[94] )
( PIN la_data_out[93] ) ( PIN la_data_out[92] ) ( PIN la_data_out[91] ) ( PIN la_data_out[90] ) ( PIN la_data_out[8] ) ( PIN la_data_out[89] ) ( PIN la_data_out[88] ) ( PIN la_data_out[87] )
( PIN la_data_out[86] ) ( PIN la_data_out[85] ) ( PIN la_data_out[84] ) ( PIN la_data_out[83] ) ( PIN la_data_out[82] ) ( PIN la_data_out[81] ) ( PIN la_data_out[80] ) ( PIN la_data_out[7] )
( PIN la_data_out[79] ) ( PIN la_data_out[78] ) ( PIN la_data_out[77] ) ( PIN la_data_out[76] ) ( PIN la_data_out[75] ) ( PIN la_data_out[74] ) ( PIN la_data_out[73] ) ( PIN la_data_out[72] )
( PIN la_data_out[71] ) ( PIN la_data_out[70] ) ( PIN la_data_out[6] ) ( PIN la_data_out[69] ) ( PIN la_data_out[68] ) ( PIN la_data_out[67] ) ( PIN la_data_out[66] ) ( PIN la_data_out[65] )
( PIN la_data_out[64] ) ( PIN la_data_out[63] ) ( PIN la_data_out[62] ) ( PIN la_data_out[61] ) ( PIN la_data_out[60] ) ( PIN la_data_out[5] ) ( PIN la_data_out[59] ) ( PIN la_data_out[58] )
( PIN la_data_out[57] ) ( PIN la_data_out[56] ) ( PIN la_data_out[55] ) ( PIN la_data_out[54] ) ( PIN la_data_out[53] ) ( PIN la_data_out[52] ) ( PIN la_data_out[51] ) ( PIN la_data_out[50] )
( PIN la_data_out[4] ) ( PIN la_data_out[49] ) ( PIN la_data_out[48] ) ( PIN la_data_out[47] ) ( PIN la_data_out[46] ) ( PIN la_data_out[45] ) ( PIN la_data_out[44] ) ( PIN la_data_out[43] )
( PIN la_data_out[42] ) ( PIN la_data_out[41] ) ( PIN la_data_out[40] ) ( PIN la_data_out[3] ) ( PIN la_data_out[39] ) ( PIN la_data_out[38] ) ( PIN la_data_out[37] ) ( PIN la_data_out[36] )
( PIN la_data_out[35] ) ( PIN la_data_out[34] ) ( PIN la_data_out[33] ) ( PIN la_data_out[32] ) ( PIN la_data_out[31] ) ( PIN la_data_out[30] ) ( PIN la_data_out[2] ) ( PIN la_data_out[29] )
( PIN la_data_out[28] ) ( PIN la_data_out[27] ) ( PIN la_data_out[26] ) ( PIN la_data_out[25] ) ( PIN la_data_out[24] ) ( PIN la_data_out[23] ) ( PIN la_data_out[22] ) ( PIN la_data_out[21] )
( PIN la_data_out[20] ) ( PIN la_data_out[1] ) ( PIN la_data_out[19] ) ( PIN la_data_out[18] ) ( PIN la_data_out[17] ) ( PIN la_data_out[16] ) ( PIN la_data_out[15] ) ( PIN la_data_out[14] )
( PIN la_data_out[13] ) ( PIN la_data_out[12] ) ( PIN la_data_out[127] ) ( PIN la_data_out[126] ) ( PIN la_data_out[125] ) ( PIN la_data_out[124] ) ( PIN la_data_out[123] ) ( PIN la_data_out[122] )
( PIN la_data_out[121] ) ( PIN la_data_out[120] ) ( PIN la_data_out[11] ) ( PIN la_data_out[119] ) ( PIN la_data_out[118] ) ( PIN la_data_out[117] ) ( PIN la_data_out[116] ) ( PIN la_data_out[115] )
( PIN la_data_out[114] ) ( PIN la_data_out[113] ) ( PIN la_data_out[112] ) ( PIN la_data_out[111] ) ( PIN la_data_out[110] ) ( PIN la_data_out[10] ) ( PIN la_data_out[109] ) ( PIN la_data_out[108] )
( PIN la_data_out[107] ) ( PIN la_data_out[106] ) ( PIN la_data_out[105] ) ( PIN la_data_out[104] ) ( PIN la_data_out[103] ) ( PIN la_data_out[102] ) ( PIN la_data_out[101] ) ( PIN la_data_out[100] )
( PIN la_data_out[0] ) ( sram1 csb1 ) ( sram1 clk1 ) ( sram1 addr1[8] ) ( sram1 addr1[7] ) ( sram1 addr1[6] ) ( sram1 addr1[5] ) ( sram1 addr1[4] )
( sram1 addr1[3] ) ( sram1 addr1[2] ) ( sram1 addr1[1] ) ( sram1 addr1[0] ) ( sram csb1 ) ( sram clk1 ) ( sram addr1[8] ) ( sram addr1[7] )
( sram addr1[6] ) ( sram addr1[5] ) ( sram addr1[4] ) ( sram addr1[3] ) ( sram addr1[2] ) ( sram addr1[1] ) ( sram addr1[0] ) + USE GROUND ;
END NETS
END DESIGN