qf105: initial netlists, configs
diff --git a/openlane/mkLanaiCPU/config.tcl b/openlane/mkLanaiCPU/config.tcl
new file mode 100755
index 0000000..c26727d
--- /dev/null
+++ b/openlane/mkLanaiCPU/config.tcl
@@ -0,0 +1,123 @@
+# SPDX-FileCopyrightText: 2020 Efabless Corporation
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+#      http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+# SPDX-License-Identifier: Apache-2.0
+
+set ::env(PDK) "sky130A"
+set ::env(STD_CELL_LIBRARY) "sky130_fd_sc_hd"
+
+set script_dir [file dirname [file normalize [info script]]]
+
+set ::env(DESIGN_NAME) mkLanaiCPU
+
+set ::env(VERILOG_FILES) "\
+	$::env(CARAVEL_ROOT)/verilog/rtl/defines.v \
+	$script_dir/../../verilog/rtl/mkLanaiCPU.v"
+
+set ::env(CLOCK_PORT) "CLK"
+set ::env(CLOCK_NET) "CLK"
+set ::env(CLOCK_PERIOD) "25"
+set ::env(CLOCK_BUFFER_FANOUT) "8"
+
+set ::env(CTS_CLK_BUFFER_LIST) "sky130_fd_sc_hd__clkbuf_4 sky130_fd_sc_hd__clkbuf_8"
+set ::env(CTS_SINK_CLUSTERING_SIZE) "16"
+
+set ::env(FP_SIZING) relative
+set ::env(FP_CORE_UTIL) "25"
+set ::env(FP_PIN_ORDER_CFG) $script_dir/pin_order.cfg
+set ::env(FP_PDN_CORE_RING) 0
+
+set ::env(SYNTH_MAX_FANOUT) 4
+set ::env(SYNTH_STRATEGY) "AREA 0"
+
+set ::env(PL_BASIC_PLACEMENT) 0
+set ::env(PL_TARGET_DENSITY) 0.27
+set ::env(PL_TIME_DRIVEN) 1
+#set ::env(PL_ROUTABILITY_DRIVEN) 1
+set ::env(PL_RESIZER_DESIGN_OPTIMIZATIONS) "1"
+
+set ::env(GLB_RESIZER_TIMING_OPTIMIZATIONS) "1"
+set ::env(DIODE_INSERTION_STRATEGY) 4
+set ::env(GLB_RT_MAX_DIODE_INS_ITERS) 10
+
+set ::env(RT_MAX_LAYER) {met4}
+set ::env(DESIGN_IS_CORE) 0
+set ::env(USE_ARC_ANTENNA_CHECK) 1
+
+set ::env(VDD_NETS) [list {VPWR}]
+set ::env(GND_NETS) [list {VGND}]
+
+set ::env(ROUTING_CORES) 12
+
+# If you're going to use multiple power domains, then disable cvc run.
+set ::env(RUN_CVC) 1
+
+#set ::env(PL_RESIZER_HOLD_SLACK_MARGIN) 0.8
+#set ::env(GLB_RESIZER_HOLD_SLACK_MARGIN) 0.8
+#set ::env(PL_RESIZER_SETUP_SLACK_MARGIN) 0.8
+#set ::env(GLB_RESIZER_SETUP_SLACK_MARGIN) 0.8
+
+# cargocult
+#
+#
+set ::env(FP_CORE_UTIL) "24"
+set ::env(PL_TIME_DRIVEN) 1
+set ::env(PL_TARGET_DENSITY) "0.26"
+
+# helps in anteena fix
+set ::env(USE_ARC_ANTENNA_CHECK) "0"
+
+
+set ::env(GLB_RT_MAX_DIODE_INS_ITERS) 10
+set ::env(DIODE_INSERTION_STRATEGY) 4
+
+## CTS
+set ::env(CTS_CLK_BUFFER_LIST) "sky130_fd_sc_hd__clkbuf_4 sky130_fd_sc_hd__clkbuf_8 sky130_fd_sc_hd__clkbuf_16"
+set ::env(CTS_SINK_CLUSTERING_MAX_DIAMETER) 50
+set ::env(CTS_SINK_CLUSTERING_SIZE) 20
+
+## Placement
+set ::env(PL_RESIZER_DESIGN_OPTIMIZATIONS) 1
+set ::env(PL_RESIZER_TIMING_OPTIMIZATIONS) 0
+
+set ::env(PL_RESIZER_MAX_SLEW_MARGIN) 2
+set ::env(PL_RESIZER_MAX_CAP_MARGIN) 2
+
+## Routing
+set ::env(GLB_RT_ADJUSTMENT) 0
+set ::env(GLB_RT_L2_ADJUSTMENT) 0.21
+set ::env(GLB_RT_L3_ADJUSTMENT) 0.21
+set ::env(GLB_RT_L4_ADJUSTMENT) 0.1
+set ::env(GLB_RT_L5_ADJUSTMENT) 0.1
+set ::env(GLB_RT_L6_ADJUSTMENT) 0.1
+set ::env(GLB_RT_ALLOW_CONGESTION) 0
+set ::env(GLB_RT_OVERFLOW_ITERS) 200
+
+#set ::env(GLB_RT_MINLAYER) 2
+#set ::env(GLB_RT_MAXLAYER) 6
+
+
+set ::env(QUIT_ON_TIMING_VIOLATIONS) "1"
+set ::env(QUIT_ON_MAGIC_DRC) "1"
+set ::env(QUIT_ON_LVS_ERROR) "1"
+set ::env(QUIT_ON_SLEW_VIOLATIONS) "0"
+
+set ::env(GLB_RESIZER_TIMING_OPTIMIZATIONS) "0"
+set ::env(PL_RESIZER_BUFFER_INPUT_PORTS) "0"
+set ::env(PL_RESIZER_BUFFER_OUTPUT_PORTS) "1"
+set ::env(PL_RESIZER_MAX_WIRE_LENGTH) "2000"
+set ::env(PL_RESIZER_MAX_SLEW_MARGIN) "2.0"
+set ::env(PL_RESIZER_MAX_CAP_MARGIN) "5"
+
+## FANOUT Reduced to take care of long routes
+set ::env(SYNTH_MAX_FANOUT) "2"
diff --git a/openlane/mkLanaiCPU/pin_order.cfg b/openlane/mkLanaiCPU/pin_order.cfg
new file mode 100644
index 0000000..438dd45
--- /dev/null
+++ b/openlane/mkLanaiCPU/pin_order.cfg
@@ -0,0 +1,14 @@
+#BUS_SORT
+
+#S
+CPU
+RST_N
+
+#W
+.*dmem.*
+
+#N
+.*imem.*
+
+#E
+.*sysmem.*
diff --git a/openlane/mkQF100Fabric/config.tcl b/openlane/mkQF100Fabric/config.tcl
new file mode 100755
index 0000000..dff6faa
--- /dev/null
+++ b/openlane/mkQF100Fabric/config.tcl
@@ -0,0 +1,63 @@
+# SPDX-FileCopyrightText: 2020 Efabless Corporation
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+#      http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+# SPDX-License-Identifier: Apache-2.0
+
+set ::env(PDK) "sky130A"
+set ::env(STD_CELL_LIBRARY) "sky130_fd_sc_hd"
+
+set script_dir [file dirname [file normalize [info script]]]
+
+set ::env(DESIGN_NAME) mkQF100Fabric
+
+set ::env(VERILOG_FILES) "\
+	$::env(CARAVEL_ROOT)/verilog/rtl/defines.v \
+	$script_dir/../../verilog/rtl/mkQF100Fabric.v"
+
+set ::env(DESIGN_IS_CORE) 0
+
+set ::env(CLOCK_PORT) "CLK"
+set ::env(CLOCK_NET) "CLK"
+set ::env(CLOCK_PERIOD) "20"
+
+set ::env(FP_SIZING) absolute
+set ::env(DIE_AREA) "0 0 500 200"
+
+#set ::env(FP_SIZING) relative
+set ::env(FP_CORE_UTIL) "30"
+
+set ::env(FP_PIN_ORDER_CFG) $script_dir/pin_order.cfg
+
+set ::env(PL_BASIC_PLACEMENT) 0
+set ::env(PL_TARGET_DENSITY) 0.35
+#set ::env(PL_ROUTABILITY_DRIVEN) 1
+#set ::env(PL_SKIP_INITIAL_PLACEMENT) 1
+set ::env(SYNTH_STRATEGY) "DELAY 0"
+
+# Maximum layer used for routing is metal 4.
+# This is because this macro will be inserted in a top level (user_project_wrapper) 
+# where the PDN is planned on metal 5. So, to avoid having shorts between routes
+# in this macro and the top level metal 5 stripes, we have to restrict routes to metal4.  
+# 
+# set ::env(GLB_RT_MAXLAYER) 5
+
+set ::env(RT_MAX_LAYER) {met4}
+
+# You can draw more power domains if you need to 
+set ::env(VDD_NETS) [list {VPWR}]
+set ::env(GND_NETS) [list {VGND}]
+
+set ::env(DIODE_INSERTION_STRATEGY) 2 
+#set ::env(GLB_RT_MAX_DIODE_INS_ITERS) 2
+# If you're going to use multiple power domains, then disable cvc run.
+set ::env(RUN_CVC) 1
diff --git a/openlane/mkQF100Fabric/pin_order.cfg b/openlane/mkQF100Fabric/pin_order.cfg
new file mode 100644
index 0000000..87f9639
--- /dev/null
+++ b/openlane/mkQF100Fabric/pin_order.cfg
@@ -0,0 +1,23 @@
+#BUS_SORT
+
+#S
+CLK
+RST_N
+cpu_cyc_.*
+cpu_stb_.*
+cpu_sel_.*
+cpu_ack_.*
+cpu_err_.*
+cpu_rty_.*
+cpu_adr_.*
+cpu_dat_.*
+
+#N
+spi_cyc_.*
+spi_stb_.*
+spi_sel_.*
+spi_ack_.*
+spi_err_.*
+spi_rty_.*
+spi_adr_.*
+spi_dat_.*
diff --git a/openlane/mkQF100Memory/config.tcl b/openlane/mkQF100Memory/config.tcl
new file mode 100755
index 0000000..044ed66
--- /dev/null
+++ b/openlane/mkQF100Memory/config.tcl
@@ -0,0 +1,63 @@
+# SPDX-FileCopyrightText: 2020 Efabless Corporation
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+#      http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+# SPDX-License-Identifier: Apache-2.0
+
+set ::env(PDK) "sky130A"
+set ::env(STD_CELL_LIBRARY) "sky130_fd_sc_hd"
+
+set script_dir [file dirname [file normalize [info script]]]
+
+set ::env(DESIGN_NAME) mkQF100Memory
+
+set ::env(VERILOG_FILES) "\
+	$::env(CARAVEL_ROOT)/verilog/rtl/defines.v \
+	$script_dir/../../verilog/rtl/mkQF100Memory.v"
+
+set ::env(DESIGN_IS_CORE) 0
+
+set ::env(CLOCK_PORT) "CLK"
+set ::env(CLOCK_NET) "CLK"
+set ::env(CLOCK_PERIOD) "30"
+
+#set ::env(FP_SIZING) absolute
+#set ::env(DIE_AREA) "0 0 600 300"
+
+set ::env(FP_SIZING) relative
+set ::env(FP_CORE_UTIL) "30"
+
+set ::env(FP_PIN_ORDER_CFG) $script_dir/pin_order.cfg
+
+set ::env(PL_BASIC_PLACEMENT) 0
+set ::env(PL_TARGET_DENSITY) 0.35
+set ::env(PL_ROUTABILITY_DRIVEN) 1
+#set ::env(PL_SKIP_INITIAL_PLACEMENT) 1
+#set ::env(SYNTH_STRATEGY) "DELAY 0"
+
+# Maximum layer used for routing is metal 4.
+# This is because this macro will be inserted in a top level (user_project_wrapper) 
+# where the PDN is planned on metal 5. So, to avoid having shorts between routes
+# in this macro and the top level metal 5 stripes, we have to restrict routes to metal4.  
+# 
+# set ::env(GLB_RT_MAXLAYER) 5
+
+set ::env(RT_MAX_LAYER) {met4}
+
+# You can draw more power domains if you need to 
+set ::env(VDD_NETS) [list {VPWR}]
+set ::env(GND_NETS) [list {VGND}]
+
+set ::env(DIODE_INSERTION_STRATEGY) 4 
+set ::env(GLB_RT_MAX_DIODE_INS_ITERS) 10
+# If you're going to use multiple power domains, then disable cvc run.
+set ::env(RUN_CVC) 1
diff --git a/openlane/mkQF100Memory/pin_order.cfg b/openlane/mkQF100Memory/pin_order.cfg
new file mode 100644
index 0000000..d080142
--- /dev/null
+++ b/openlane/mkQF100Memory/pin_order.cfg
@@ -0,0 +1,6 @@
+#BUS_SORT
+
+#S
+CLK
+RST_N
+.*memory.*
diff --git a/openlane/mkQF100SPI/config.tcl b/openlane/mkQF100SPI/config.tcl
new file mode 100755
index 0000000..588dbed
--- /dev/null
+++ b/openlane/mkQF100SPI/config.tcl
@@ -0,0 +1,60 @@
+# SPDX-FileCopyrightText: 2020 Efabless Corporation
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+#      http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+# SPDX-License-Identifier: Apache-2.0
+
+set ::env(PDK) "sky130A"
+set ::env(STD_CELL_LIBRARY) "sky130_fd_sc_hd"
+
+set script_dir [file dirname [file normalize [info script]]]
+
+set ::env(DESIGN_NAME) mkQF100SPI
+
+set ::env(VERILOG_FILES) "\
+	$::env(CARAVEL_ROOT)/verilog/rtl/defines.v \
+	$script_dir/../../verilog/rtl/mkQF100SPI.v"
+
+set ::env(DESIGN_IS_CORE) 0
+
+set ::env(CLOCK_PORT) "CLK"
+set ::env(CLOCK_NET) "CLK"
+set ::env(CLOCK_PERIOD) "10"
+
+set ::env(FP_SIZING) relateive
+set ::env(FP_CORE_UTIL) "50"
+
+set ::env(FP_PIN_ORDER_CFG) $script_dir/pin_order.cfg
+
+set ::env(PL_BASIC_PLACEMENT) 0
+set ::env(PL_TARGET_DENSITY) 0.55
+#set ::env(PL_ROUTABILITY_DRIVEN) 1
+#set ::env(PL_SKIP_INITIAL_PLACEMENT) 1
+#set ::env(SYNTH_STRATEGY) "DELAY 1"
+
+# Maximum layer used for routing is metal 4.
+# This is because this macro will be inserted in a top level (user_project_wrapper) 
+# where the PDN is planned on metal 5. So, to avoid having shorts between routes
+# in this macro and the top level metal 5 stripes, we have to restrict routes to metal4.  
+# 
+# set ::env(GLB_RT_MAXLAYER) 5
+
+set ::env(RT_MAX_LAYER) {met4}
+
+# You can draw more power domains if you need to 
+set ::env(VDD_NETS) [list {VPWR}]
+set ::env(GND_NETS) [list {VGND}]
+
+#set ::env(DIODE_INSERTION_STRATEGY) 2 
+#set ::env(GLB_RT_MAX_DIODE_INS_ITERS) 2
+# If you're going to use multiple power domains, then disable cvc run.
+set ::env(RUN_CVC) 1
diff --git a/openlane/mkQF100SPI/pin_order.cfg b/openlane/mkQF100SPI/pin_order.cfg
new file mode 100644
index 0000000..891f368
--- /dev/null
+++ b/openlane/mkQF100SPI/pin_order.cfg
@@ -0,0 +1,16 @@
+#BUS_SORT
+
+#S
+CLK
+RST_N
+slave_cyc_.*
+slave_stb_.*
+slave_sel_.*
+slave_ack_.*
+slave_err_.*
+slave_rty_.*
+slave_adr_.*
+slave_dat_.*
+
+#E
+spiMaster_.*
diff --git a/openlane/user_project_wrapper/config.tcl b/openlane/user_project_wrapper/config.tcl
index f720e39..4b136f7 100755
--- a/openlane/user_project_wrapper/config.tcl
+++ b/openlane/user_project_wrapper/config.tcl
@@ -35,18 +35,20 @@
 ## Source Verilog Files
 set ::env(VERILOG_FILES) "\
 	$::env(CARAVEL_ROOT)/verilog/rtl/defines.v \
-	$script_dir/../../verilog/rtl/user_project_wrapper.v"
+	$script_dir/../../verilog/rtl/ResetInverter.v \
+	$script_dir/../../verilog/rtl/user_project_wrapper.v \
+	$script_dir/../../verilog/rtl/mkQF105.v"
 
 ## Clock configurations
-set ::env(CLOCK_PORT) "user_clock2"
-set ::env(CLOCK_NET) "mprj.clk"
+set ::env(CLOCK_PORT) "wb_clk_i"
+set ::env(CLOCK_NET) "wb_clk_i"
 
 set ::env(CLOCK_PERIOD) "10"
 
 ## Internal Macros
 ### Macro PDN Connections
-set ::env(FP_PDN_MACRO_HOOKS) "\
-	mprj vccd1 vssd1"
+#set ::env(FP_PDN_MACRO_HOOKS) "\
+#	mprj vccd1 vssd1"
 
 ### Macro Placement
 set ::env(MACRO_PLACEMENT_CFG) $script_dir/macro.cfg
@@ -54,13 +56,22 @@
 ### Black-box verilog and views
 set ::env(VERILOG_FILES_BLACKBOX) "\
 	$::env(CARAVEL_ROOT)/verilog/rtl/defines.v \
-	$script_dir/../../verilog/rtl/user_proj_example.v"
+	$script_dir/../../verilog/rtl/mkLanaiCPU.v \
+	$script_dir/../../verilog/rtl/mkQF100Fabric.v \
+	$script_dir/../../verilog/rtl/mkQF100Memory.v \
+	$script_dir/../../verilog/rtl/mkQF100SPI.v"
 
 set ::env(EXTRA_LEFS) "\
-	$script_dir/../../lef/user_proj_example.lef"
+	$script_dir/../../lef/mkLanaiCPU.lef \
+	$script_dir/../../lef/mkQF100Fabric.lef \
+	$script_dir/../../lef/mkQF100Memory.lef \
+	$script_dir/../../lef/mkQF100SPI.lef"
 
 set ::env(EXTRA_GDS_FILES) "\
-	$script_dir/../../gds/user_proj_example.gds"
+	$script_dir/../../gds/mkLanaiCPU.gds \
+	$script_dir/../../gds/mkQF100Fabric.gds \
+	$script_dir/../../gds/mkQF100Memory.gds \
+	$script_dir/../../gds/mkQF100SPI.gds"
 
 # set ::env(GLB_RT_MAXLAYER) 5
 set ::env(RT_MAX_LAYER) {met4}
@@ -69,18 +80,24 @@
 # any issue with pdn connections will be flagged with LVS so it is not a critical check.
 set ::env(FP_PDN_CHECK_NODES) 0
 
+set ::env(PL_TARGET_DENSITY) "0.1"
+
 # The following is because there are no std cells in the example wrapper project.
-set ::env(SYNTH_TOP_LEVEL) 1
+#set ::env(SYNTH_TOP_LEVEL) 1
 set ::env(PL_RANDOM_GLB_PLACEMENT) 1
 
-set ::env(PL_RESIZER_DESIGN_OPTIMIZATIONS) 0
-set ::env(PL_RESIZER_TIMING_OPTIMIZATIONS) 0
-set ::env(PL_RESIZER_BUFFER_INPUT_PORTS) 0
-set ::env(PL_RESIZER_BUFFER_OUTPUT_PORTS) 0
+#set ::env(PL_RESIZER_DESIGN_OPTIMIZATIONS) 0
+#set ::env(PL_RESIZER_TIMING_OPTIMIZATIONS) 0
+#set ::env(PL_RESIZER_BUFFER_INPUT_PORTS) 0
+#set ::env(PL_RESIZER_BUFFER_OUTPUT_PORTS) 0
 
-set ::env(FP_PDN_ENABLE_RAILS) 0
+#set ::env(FP_PDN_ENABLE_RAILS) 0
 
-set ::env(DIODE_INSERTION_STRATEGY) 0
-set ::env(FILL_INSERTION) 0
-set ::env(TAP_DECAP_INSERTION) 0
-set ::env(CLOCK_TREE_SYNTH) 0
+set ::env(DIODE_INSERTION_STRATEGY) 4
+#set ::env(FILL_INSERTION) 0
+#set ::env(TAP_DECAP_INSERTION) 0
+#set ::env(CLOCK_TREE_SYNTH) 0
+
+set ::env(CLOCK_PORT)   "wb_clk_i"
+set ::env(CLOCK_NET)    "wb_clk_i"
+set ::env(CLOCK_PERIOD) "30"
diff --git a/openlane/user_project_wrapper/macro.cfg b/openlane/user_project_wrapper/macro.cfg
index a7365ab..edd53fe 100644
--- a/openlane/user_project_wrapper/macro.cfg
+++ b/openlane/user_project_wrapper/macro.cfg
@@ -1 +1,4 @@
-mprj 1175 1690 N
+qf105.res_cpu 500 500 N     # 962x973
+qf105.res_mem 500 1800 N    # 348x359
+qf105.res_fabric 1800 500 N # 500x200
+qf105.res_spi 1800 800 N    # 146x157
diff --git a/verilog/rtl/mkLanaiCPU.v b/verilog/rtl/mkLanaiCPU.v
new file mode 100644
index 0000000..c654b3e
--- /dev/null
+++ b/verilog/rtl/mkLanaiCPU.v
@@ -0,0 +1,9846 @@
+/* Generated by Yosys 0.15 (git sha1 yosys-0.15, gcc 10.3.0 -fPIC -Os) */
+
+(* hdlname = "\\mkALU" *)
+(* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:34.1-348.10" *)
+module mkALU(CLK, RST_N, run_op, EN_run, run, RDY_run);
+  reg \$auto$verilog_backend.cc:2083:dump_module$2159  = 0;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:331.3-347.6" *)
+  reg _00_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:110.3-306.6" *)
+  reg [32:0] _01_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:307.3-330.6" *)
+  reg [31:0] _02_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:331.3-347.6" *)
+  reg _03_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:110.3-306.6" *)
+  reg [32:0] _04_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:307.3-330.6" *)
+  reg [31:0] _05_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:103.20-103.37" *)
+  wire [32:0] _06_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:104.20-104.37" *)
+  wire [32:0] _07_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:92.7-92.24" *)
+  wire [32:0] _08_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:94.7-94.24" *)
+  wire [32:0] _09_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:319.41-319.69" *)
+  wire [31:0] _10_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:344.6-344.25" *)
+  wire _11_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:78.9-78.43" *)
+  wire _12_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:83.8-83.27" *)
+  wire _13_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:87.9-87.28" *)
+  wire _14_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:97.7-97.31" *)
+  wire _15_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:344.6-344.49" *)
+  wire _16_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:344.6-344.62" *)
+  wire _17_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:344.6-345.67" *)
+  wire _18_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:84.9-86.70" *)
+  wire _19_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:87.9-88.52" *)
+  wire _20_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:87.9-90.70" *)
+  wire _21_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:344.29-344.49" *)
+  wire _22_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:85.9-86.70" *)
+  wire _23_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:89.9-90.70" *)
+  wire _24_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:106.20-106.33" *)
+  wire [31:0] _25_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:320.41-320.69" *)
+  wire [31:0] _26_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:327.8-327.48" *)
+  wire [31:0] _27_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:83.7-90.70" *)
+  wire _28_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:99.7-102.33" *)
+  wire [63:0] _29_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:321.41-321.69" *)
+  wire [31:0] _30_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:41.10-41.13" *)
+  input CLK;
+  wire CLK;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:46.10-46.16" *)
+  input EN_run;
+  wire EN_run;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:57.7-57.64" *)
+  reg IF_run_op_BITS_5_TO_3_EQ_0_THEN_0_CONCAT_run_o_ETC___d148;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:68.8-68.65" *)
+  wire IF_run_op_BITS_5_TO_3_EQ_0_THEN_run_op_BIT_69__ETC___d161;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:48.10-48.17" *)
+  output RDY_run;
+  wire RDY_run;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:42.10-42.15" *)
+  input RST_N;
+  wire RST_N;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:55.16-55.73" *)
+  reg [32:0] SEL_ARR_IF_run_op_BIT_2_5_THEN_SEXT_run_op_BIT_ETC___d127;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:59.17-59.74" *)
+  wire [32:0] _0_CONCAT_run_op_BITS_69_TO_38_PLUS_0_CONCAT_IN_ETC___d16;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:60.3-60.60" *)
+  wire [32:0] _0_CONCAT_run_op_BITS_69_TO_38_PLUS_0_CONCAT_ru_ETC___d10;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:56.16-56.41" *)
+  reg [31:0] _theResult___result__h415;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:47.19-47.22" *)
+  output [63:0] run;
+  wire [63:0] run;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:45.19-45.25" *)
+  input [69:0] run_op;
+  wire [69:0] run_op;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:67.17-67.41" *)
+  wire [31:0] run_op_BITS_69_TO_38__q1;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:69.8-69.51" *)
+  wire run_op_BIT_69_49_EQ_run_op_BIT_37_50___d151;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:58.17-58.25" *)
+  wire [63:0] x__h1751;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:61.3-61.10" *)
+  wire [32:0] x__h202;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:62.3-62.10" *)
+  wire [32:0] x__h362;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:63.3-63.10" *)
+  wire [32:0] x__h364;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:67.43-67.50" *)
+  wire [31:0] x__h372;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:64.3-64.10" *)
+  wire [32:0] y__h205;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:65.3-65.10" *)
+  wire [32:0] y__h363;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:66.3-66.10" *)
+  wire [32:0] y__h365;
+  assign _06_ = x__h364 + (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:103.20-103.37" *) y__h205;
+  assign _07_ = x__h364 + (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:104.20-104.37" *) y__h365;
+  assign _08_ = x__h362 + (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:92.7-92.24" *) y__h363;
+  assign _09_ = x__h202 + (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:94.7-94.24" *) y__h363;
+  assign _10_ = run_op[69:38] & (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:319.41-319.69" *) run_op[37:6];
+  assign _11_ = run_op[5:3] == (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:344.6-344.25" *) 3'h5;
+  assign _12_ = _theResult___result__h415 == (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:78.9-78.43" *) 32'd0;
+  assign _13_ = run_op[5:3] == (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:83.8-83.27" *) 3'h0;
+  assign _14_ = run_op[5:3] == (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:87.9-87.28" *) 3'h1;
+  assign _15_ = run_op[69] == (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:97.7-97.31" *) run_op[37];
+  assign _16_ = _11_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:344.6-344.49" *) _22_;
+  assign _17_ = _16_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:344.6-344.62" *) run_op[2];
+  assign _18_ = _17_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:344.6-345.67" *) SEL_ARR_IF_run_op_BIT_2_5_THEN_SEXT_run_op_BIT_ETC___d127[32];
+  assign _19_ = run_op_BIT_69_49_EQ_run_op_BIT_37_50___d151 && (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:84.9-86.70" *) _23_;
+  assign _20_ = _14_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:87.9-88.52" *) run_op_BIT_69_49_EQ_run_op_BIT_37_50___d151;
+  assign _21_ = _20_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:87.9-90.70" *) _24_;
+  assign _22_ = run_op[11:6] != (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:344.29-344.49" *) 6'h00;
+  assign _23_ = run_op[69] != (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:85.9-86.70" *) _0_CONCAT_run_op_BITS_69_TO_38_PLUS_0_CONCAT_ru_ETC___d10[31];
+  assign _24_ = run_op[69] != (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:89.9-90.70" *) _0_CONCAT_run_op_BITS_69_TO_38_PLUS_0_CONCAT_IN_ETC___d16[31];
+  assign _25_ = ~ (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:106.20-106.33" *) run_op[37:6];
+  assign _26_ = run_op[69:38] | (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:320.41-320.69" *) run_op[37:6];
+  assign _27_ = run_op[0] ? (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:327.8-327.48" *) run_op[69:38] : run_op[37:6];
+  assign _28_ = _13_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:83.7-90.70" *) _19_ : _21_;
+  assign _29_ = run_op[2] ? (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:99.7-102.33" *) { run_op_BITS_69_TO_38__q1[31], run_op_BITS_69_TO_38__q1[31], run_op_BITS_69_TO_38__q1[31], run_op_BITS_69_TO_38__q1[31], run_op_BITS_69_TO_38__q1[31], run_op_BITS_69_TO_38__q1[31], run_op_BITS_69_TO_38__q1[31], run_op_BITS_69_TO_38__q1[31], run_op_BITS_69_TO_38__q1[31], run_op_BITS_69_TO_38__q1[31], run_op_BITS_69_TO_38__q1[31], run_op_BITS_69_TO_38__q1[31], run_op_BITS_69_TO_38__q1[31], run_op_BITS_69_TO_38__q1[31], run_op_BITS_69_TO_38__q1[31], run_op_BITS_69_TO_38__q1[31], run_op_BITS_69_TO_38__q1[31], run_op_BITS_69_TO_38__q1[31], run_op_BITS_69_TO_38__q1[31], run_op_BITS_69_TO_38__q1[31], run_op_BITS_69_TO_38__q1[31], run_op_BITS_69_TO_38__q1[31], run_op_BITS_69_TO_38__q1[31], run_op_BITS_69_TO_38__q1[31], run_op_BITS_69_TO_38__q1[31], run_op_BITS_69_TO_38__q1[31], run_op_BITS_69_TO_38__q1[31], run_op_BITS_69_TO_38__q1[31], run_op_BITS_69_TO_38__q1[31], run_op_BITS_69_TO_38__q1[31], run_op_BITS_69_TO_38__q1[31], run_op_BITS_69_TO_38__q1[31], run_op_BITS_69_TO_38__q1 } : { 32'h00000000, run_op[69:38] };
+  assign _30_ = run_op[69:38] ^ (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:321.41-321.69" *) run_op[37:6];
+  always @* begin
+    if (\$auto$verilog_backend.cc:2083:dump_module$2159 ) begin end
+    _01_ = _04_;
+    (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:112.5-305.12" *)
+    casez (run_op[11:6])
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:0.0-0.0" */
+      6'h00:
+          _04_ = x__h1751[32:0];
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:0.0-0.0" */
+      6'h01:
+          _04_ = { x__h1751[31:0], 1'h0 };
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:0.0-0.0" */
+      6'h02:
+          _04_ = { x__h1751[30:0], 2'h0 };
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:0.0-0.0" */
+      6'h03:
+          _04_ = { x__h1751[29:0], 3'h0 };
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:0.0-0.0" */
+      6'h04:
+          _04_ = { x__h1751[28:0], 4'h0 };
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:0.0-0.0" */
+      6'h05:
+          _04_ = { x__h1751[27:0], 5'h00 };
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:0.0-0.0" */
+      6'h06:
+          _04_ = { x__h1751[26:0], 6'h00 };
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:0.0-0.0" */
+      6'h07:
+          _04_ = { x__h1751[25:0], 7'h00 };
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:0.0-0.0" */
+      6'h08:
+          _04_ = { x__h1751[24:0], 8'h00 };
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:0.0-0.0" */
+      6'h09:
+          _04_ = { x__h1751[23:0], 9'h000 };
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:0.0-0.0" */
+      6'h0a:
+          _04_ = { x__h1751[22:0], 10'h000 };
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:0.0-0.0" */
+      6'h0b:
+          _04_ = { x__h1751[21:0], 11'h000 };
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:0.0-0.0" */
+      6'h0c:
+          _04_ = { x__h1751[20:0], 12'h000 };
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:0.0-0.0" */
+      6'h0d:
+          _04_ = { x__h1751[19:0], 13'h0000 };
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:0.0-0.0" */
+      6'h0e:
+          _04_ = { x__h1751[18:0], 14'h0000 };
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:0.0-0.0" */
+      6'h0f:
+          _04_ = { x__h1751[17:0], 15'h0000 };
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:0.0-0.0" */
+      6'h10:
+          _04_ = { x__h1751[16:0], 16'h0000 };
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:0.0-0.0" */
+      6'h11:
+          _04_ = { x__h1751[15:0], 17'h00000 };
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:0.0-0.0" */
+      6'h12:
+          _04_ = { x__h1751[14:0], 18'h00000 };
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:0.0-0.0" */
+      6'h13:
+          _04_ = { x__h1751[13:0], 19'h00000 };
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:0.0-0.0" */
+      6'h14:
+          _04_ = { x__h1751[12:0], 20'h00000 };
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:0.0-0.0" */
+      6'h15:
+          _04_ = { x__h1751[11:0], 21'h000000 };
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:0.0-0.0" */
+      6'h16:
+          _04_ = { x__h1751[10:0], 22'h000000 };
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:0.0-0.0" */
+      6'h17:
+          _04_ = { x__h1751[9:0], 23'h000000 };
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:0.0-0.0" */
+      6'h18:
+          _04_ = { x__h1751[8:0], 24'h000000 };
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:0.0-0.0" */
+      6'h19:
+          _04_ = { x__h1751[7:0], 25'h0000000 };
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:0.0-0.0" */
+      6'h1a:
+          _04_ = { x__h1751[6:0], 26'h0000000 };
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:0.0-0.0" */
+      6'h1b:
+          _04_ = { x__h1751[5:0], 27'h0000000 };
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:0.0-0.0" */
+      6'h1c:
+          _04_ = { x__h1751[4:0], 28'h0000000 };
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:0.0-0.0" */
+      6'h1d:
+          _04_ = { x__h1751[3:0], 29'h00000000 };
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:0.0-0.0" */
+      6'h1e:
+          _04_ = { x__h1751[2:0], 30'h00000000 };
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:0.0-0.0" */
+      6'h1f:
+          _04_ = { x__h1751[1:0], 31'h00000000 };
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:0.0-0.0" */
+      6'h20:
+          _04_ = { 1'h0, x__h1751[63:32] };
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:0.0-0.0" */
+      6'h21:
+          _04_ = x__h1751[63:31];
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:0.0-0.0" */
+      6'h22:
+          _04_ = x__h1751[62:30];
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:0.0-0.0" */
+      6'h23:
+          _04_ = x__h1751[61:29];
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:0.0-0.0" */
+      6'h24:
+          _04_ = x__h1751[60:28];
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:0.0-0.0" */
+      6'h25:
+          _04_ = x__h1751[59:27];
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:0.0-0.0" */
+      6'h26:
+          _04_ = x__h1751[58:26];
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:0.0-0.0" */
+      6'h27:
+          _04_ = x__h1751[57:25];
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:0.0-0.0" */
+      6'h28:
+          _04_ = x__h1751[56:24];
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:0.0-0.0" */
+      6'h29:
+          _04_ = x__h1751[55:23];
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:0.0-0.0" */
+      6'h2a:
+          _04_ = x__h1751[54:22];
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:0.0-0.0" */
+      6'h2b:
+          _04_ = x__h1751[53:21];
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:0.0-0.0" */
+      6'h2c:
+          _04_ = x__h1751[52:20];
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:0.0-0.0" */
+      6'h2d:
+          _04_ = x__h1751[51:19];
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:0.0-0.0" */
+      6'h2e:
+          _04_ = x__h1751[50:18];
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:0.0-0.0" */
+      6'h2f:
+          _04_ = x__h1751[49:17];
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:0.0-0.0" */
+      6'h30:
+          _04_ = x__h1751[48:16];
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:0.0-0.0" */
+      6'h31:
+          _04_ = x__h1751[47:15];
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:0.0-0.0" */
+      6'h32:
+          _04_ = x__h1751[46:14];
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:0.0-0.0" */
+      6'h33:
+          _04_ = x__h1751[45:13];
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:0.0-0.0" */
+      6'h34:
+          _04_ = x__h1751[44:12];
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:0.0-0.0" */
+      6'h35:
+          _04_ = x__h1751[43:11];
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:0.0-0.0" */
+      6'h36:
+          _04_ = x__h1751[42:10];
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:0.0-0.0" */
+      6'h37:
+          _04_ = x__h1751[41:9];
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:0.0-0.0" */
+      6'h38:
+          _04_ = x__h1751[40:8];
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:0.0-0.0" */
+      6'h39:
+          _04_ = x__h1751[39:7];
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:0.0-0.0" */
+      6'h3a:
+          _04_ = x__h1751[38:6];
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:0.0-0.0" */
+      6'h3b:
+          _04_ = x__h1751[37:5];
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:0.0-0.0" */
+      6'h3c:
+          _04_ = x__h1751[36:4];
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:0.0-0.0" */
+      6'h3d:
+          _04_ = x__h1751[35:3];
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:0.0-0.0" */
+      6'h3e:
+          _04_ = x__h1751[34:2];
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:0.0-0.0" */
+      6'h3f:
+          _04_ = x__h1751[33:1];
+      default:
+          _04_ = SEL_ARR_IF_run_op_BIT_2_5_THEN_SEXT_run_op_BIT_ETC___d127;
+    endcase
+  end
+  always @* begin
+      SEL_ARR_IF_run_op_BIT_2_5_THEN_SEXT_run_op_BIT_ETC___d127 <= _01_;
+  end
+  always @* begin
+    if (\$auto$verilog_backend.cc:2083:dump_module$2159 ) begin end
+    _02_ = _05_;
+    (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:312.5-329.12" *)
+    casez (run_op[5:3])
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:0.0-0.0" */
+      3'h0:
+          _05_ = _0_CONCAT_run_op_BITS_69_TO_38_PLUS_0_CONCAT_ru_ETC___d10[31:0];
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:0.0-0.0" */
+      3'h1:
+          _05_ = _0_CONCAT_run_op_BITS_69_TO_38_PLUS_0_CONCAT_IN_ETC___d16[31:0];
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:0.0-0.0" */
+      3'h2:
+          _05_ = _10_;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:0.0-0.0" */
+      3'h3:
+          _05_ = _26_;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:0.0-0.0" */
+      3'h4:
+          _05_ = _30_;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:0.0-0.0" */
+      3'h5:
+          _05_ = SEL_ARR_IF_run_op_BIT_2_5_THEN_SEXT_run_op_BIT_ETC___d127[31:0];
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:0.0-0.0" */
+      3'h6:
+          _05_ = _27_;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:0.0-0.0" */
+      3'h7:
+          _05_ = 32'd0;
+      default:
+          _05_ = _theResult___result__h415;
+    endcase
+  end
+  always @* begin
+      _theResult___result__h415 <= _02_;
+  end
+  always @* begin
+    if (\$auto$verilog_backend.cc:2083:dump_module$2159 ) begin end
+    _00_ = _03_;
+    (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:336.5-346.12" *)
+    casez (run_op[5:3])
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:0.0-0.0" */
+      3'h0:
+          _03_ = _0_CONCAT_run_op_BITS_69_TO_38_PLUS_0_CONCAT_ru_ETC___d10[32];
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:0.0-0.0" */
+      3'h1:
+          _03_ = _0_CONCAT_run_op_BITS_69_TO_38_PLUS_0_CONCAT_IN_ETC___d16[32];
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_ALU/mkALU.v:0.0-0.0" */
+      default:
+          _03_ = _18_;
+    endcase
+  end
+  always @* begin
+      IF_run_op_BITS_5_TO_3_EQ_0_THEN_0_CONCAT_run_o_ETC___d148 <= _00_;
+  end
+  assign run = { _theResult___result__h415, 28'h0000000, IF_run_op_BITS_5_TO_3_EQ_0_THEN_0_CONCAT_run_o_ETC___d148, IF_run_op_BITS_5_TO_3_EQ_0_THEN_run_op_BIT_69__ETC___d161, _theResult___result__h415[31], _12_ };
+  assign RDY_run = 1'h1;
+  assign IF_run_op_BITS_5_TO_3_EQ_0_THEN_run_op_BIT_69__ETC___d161 = _28_;
+  assign _0_CONCAT_run_op_BITS_69_TO_38_PLUS_0_CONCAT_IN_ETC___d16 = _08_;
+  assign _0_CONCAT_run_op_BITS_69_TO_38_PLUS_0_CONCAT_ru_ETC___d10 = _09_;
+  assign run_op_BITS_69_TO_38__q1 = run_op[69:38];
+  assign run_op_BIT_69_49_EQ_run_op_BIT_37_50___d151 = _15_;
+  assign x__h1751 = _29_;
+  assign x__h202 = _06_;
+  assign x__h362 = _07_;
+  assign x__h364 = { 1'h0, run_op[69:38] };
+  assign x__h372 = _25_;
+  assign y__h205 = { 1'h0, run_op[37:6] };
+  assign y__h363 = { 32'h00000000, run_op[1] };
+  assign y__h365 = { 1'h0, x__h372 };
+endmodule
+
+(* hdlname = "\\mkCPURegisterFile" *)
+(* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:51.1-1329.10" *)
+module mkCPURegisterFile(CLK, RST_N, fetchRead_read_ix, fetchRead_read, RDY_fetchRead_read, computeSource1_read_ix, computeSource1_read, RDY_computeSource1_read, computeSource2_read_ix, computeSource2_read, RDY_computeSource2_read, computeStatusSource_read, RDY_computeStatusSource_read, computeWrite_write_sw, computeWrite_write_rd, EN_computeWrite_write, RDY_computeWrite_write, memoryWrite_write_rd, memoryWrite_write_value, EN_memoryWrite_write, RDY_memoryWrite_write
+);
+  reg \$auto$verilog_backend.cc:2083:dump_module$2160  = 0;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:378.3-440.6" *)
+  reg [31:0] _000_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:444.3-506.6" *)
+  reg [31:0] _001_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:312.3-374.6" *)
+  reg [31:0] _002_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1305.3-1317.6" *)
+  reg [31:0] _003_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1305.3-1317.6" *)
+  reg [31:0] _004_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:378.3-440.6" *)
+  reg [31:0] _005_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:444.3-506.6" *)
+  reg [31:0] _006_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:312.3-374.6" *)
+  reg [31:0] _007_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1003.31-1003.66" *)
+  wire _008_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1008.7-1008.61" *)
+  wire _009_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1012.31-1012.66" *)
+  wire _010_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1017.7-1017.61" *)
+  wire _011_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1021.31-1021.66" *)
+  wire _012_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1026.7-1026.61" *)
+  wire _013_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1030.31-1030.66" *)
+  wire _014_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1307.9-1307.22" *)
+  wire _015_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:774.7-774.60" *)
+  wire _016_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:778.31-778.65" *)
+  wire _017_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:783.7-783.60" *)
+  wire _018_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:787.31-787.65" *)
+  wire _019_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:792.7-792.60" *)
+  wire _020_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:796.31-796.65" *)
+  wire _021_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:801.7-801.60" *)
+  wire _022_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:805.31-805.65" *)
+  wire _023_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:810.7-810.60" *)
+  wire _024_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:814.31-814.65" *)
+  wire _025_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:819.7-819.60" *)
+  wire _026_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:823.31-823.65" *)
+  wire _027_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:828.7-828.60" *)
+  wire _028_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:832.31-832.65" *)
+  wire _029_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:837.7-837.61" *)
+  wire _030_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:841.31-841.66" *)
+  wire _031_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:846.7-846.61" *)
+  wire _032_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:850.31-850.66" *)
+  wire _033_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:855.7-855.61" *)
+  wire _034_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:859.31-859.66" *)
+  wire _035_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:864.7-864.61" *)
+  wire _036_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:868.31-868.66" *)
+  wire _037_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:873.7-873.61" *)
+  wire _038_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:877.31-877.66" *)
+  wire _039_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:882.7-882.61" *)
+  wire _040_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:886.31-886.66" *)
+  wire _041_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:891.7-891.61" *)
+  wire _042_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:895.31-895.66" *)
+  wire _043_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:900.7-900.61" *)
+  wire _044_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:904.31-904.66" *)
+  wire _045_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:909.7-909.61" *)
+  wire _046_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:913.31-913.66" *)
+  wire _047_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:918.7-918.61" *)
+  wire _048_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:922.31-922.66" *)
+  wire _049_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:927.7-927.61" *)
+  wire _050_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:931.31-931.66" *)
+  wire _051_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:936.7-936.61" *)
+  wire _052_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:940.31-940.66" *)
+  wire _053_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:945.7-945.61" *)
+  wire _054_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:949.31-949.66" *)
+  wire _055_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:954.7-954.61" *)
+  wire _056_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:958.31-958.66" *)
+  wire _057_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:963.7-963.61" *)
+  wire _058_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:967.31-967.66" *)
+  wire _059_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:972.7-972.61" *)
+  wire _060_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:976.31-976.66" *)
+  wire _061_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:981.7-981.61" *)
+  wire _062_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:985.31-985.66" *)
+  wire _063_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:990.7-990.61" *)
+  wire _064_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:994.31-994.66" *)
+  wire _065_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:999.7-999.61" *)
+  wire _066_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1003.7-1003.66" *)
+  wire _067_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1007.7-1008.61" *)
+  wire _068_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1012.7-1012.66" *)
+  wire _069_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1016.7-1017.61" *)
+  wire _070_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1021.7-1021.66" *)
+  wire _071_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1025.7-1026.61" *)
+  wire _072_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1030.7-1030.66" *)
+  wire _073_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1035.7-1035.57" *)
+  wire _074_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1045.29-1045.69" *)
+  wire _075_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1049.22-1049.72" *)
+  wire _076_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1058.7-1058.49" *)
+  wire _077_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1067.7-1067.49" *)
+  wire _078_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1076.7-1076.49" *)
+  wire _079_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1085.7-1085.49" *)
+  wire _080_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1094.7-1094.49" *)
+  wire _081_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1103.7-1103.49" *)
+  wire _082_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1112.7-1112.49" *)
+  wire _083_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1121.7-1121.49" *)
+  wire _084_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1130.7-1130.49" *)
+  wire _085_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1139.7-1139.49" *)
+  wire _086_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1148.7-1148.49" *)
+  wire _087_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1157.7-1157.49" *)
+  wire _088_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1166.7-1166.49" *)
+  wire _089_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1175.7-1175.49" *)
+  wire _090_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1184.7-1184.49" *)
+  wire _091_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1193.7-1193.49" *)
+  wire _092_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1202.7-1202.49" *)
+  wire _093_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1211.7-1211.49" *)
+  wire _094_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1220.7-1220.49" *)
+  wire _095_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1229.7-1229.49" *)
+  wire _096_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1237.27-1237.65" *)
+  wire _097_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1246.7-1246.49" *)
+  wire _098_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1255.7-1255.49" *)
+  wire _099_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1263.29-1263.69" *)
+  wire _100_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1271.29-1271.69" *)
+  wire _101_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1279.29-1279.69" *)
+  wire _102_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1288.7-1288.49" *)
+  wire _103_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1297.7-1297.49" *)
+  wire _104_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:773.7-774.60" *)
+  wire _105_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:778.7-778.65" *)
+  wire _106_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:782.7-783.60" *)
+  wire _107_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:787.7-787.65" *)
+  wire _108_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:791.7-792.60" *)
+  wire _109_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:796.7-796.65" *)
+  wire _110_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:800.7-801.60" *)
+  wire _111_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:805.7-805.65" *)
+  wire _112_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:809.7-810.60" *)
+  wire _113_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:814.7-814.65" *)
+  wire _114_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:818.7-819.60" *)
+  wire _115_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:823.7-823.65" *)
+  wire _116_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:827.7-828.60" *)
+  wire _117_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:832.7-832.65" *)
+  wire _118_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:836.7-837.61" *)
+  wire _119_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:841.7-841.66" *)
+  wire _120_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:845.7-846.61" *)
+  wire _121_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:850.7-850.66" *)
+  wire _122_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:854.7-855.61" *)
+  wire _123_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:859.7-859.66" *)
+  wire _124_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:863.7-864.61" *)
+  wire _125_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:868.7-868.66" *)
+  wire _126_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:872.7-873.61" *)
+  wire _127_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:877.7-877.66" *)
+  wire _128_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:881.7-882.61" *)
+  wire _129_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:886.7-886.66" *)
+  wire _130_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:890.7-891.61" *)
+  wire _131_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:895.7-895.66" *)
+  wire _132_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:899.7-900.61" *)
+  wire _133_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:904.7-904.66" *)
+  wire _134_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:908.7-909.61" *)
+  wire _135_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:913.7-913.66" *)
+  wire _136_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:917.7-918.61" *)
+  wire _137_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:922.7-922.66" *)
+  wire _138_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:926.7-927.61" *)
+  wire _139_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:931.7-931.66" *)
+  wire _140_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:935.7-936.61" *)
+  wire _141_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:940.7-940.66" *)
+  wire _142_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:944.7-945.61" *)
+  wire _143_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:949.7-949.66" *)
+  wire _144_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:953.7-954.61" *)
+  wire _145_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:958.7-958.66" *)
+  wire _146_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:962.7-963.61" *)
+  wire _147_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:967.7-967.66" *)
+  wire _148_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:971.7-972.61" *)
+  wire _149_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:976.7-976.66" *)
+  wire _150_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:980.7-981.61" *)
+  wire _151_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:985.7-985.66" *)
+  wire _152_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:989.7-990.61" *)
+  wire _153_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:994.7-994.66" *)
+  wire _154_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:998.7-999.61" *)
+  wire _155_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1045.50-1045.69" *)
+  wire _156_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1058.29-1058.49" *)
+  wire _157_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1067.29-1067.49" *)
+  wire _158_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1076.29-1076.49" *)
+  wire _159_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1085.29-1085.49" *)
+  wire _160_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1094.29-1094.49" *)
+  wire _161_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1103.29-1103.49" *)
+  wire _162_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1112.29-1112.49" *)
+  wire _163_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1121.29-1121.49" *)
+  wire _164_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1130.29-1130.49" *)
+  wire _165_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1139.29-1139.49" *)
+  wire _166_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1148.29-1148.49" *)
+  wire _167_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1157.29-1157.49" *)
+  wire _168_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1166.29-1166.49" *)
+  wire _169_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1175.29-1175.49" *)
+  wire _170_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1184.29-1184.49" *)
+  wire _171_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1193.29-1193.49" *)
+  wire _172_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1202.29-1202.49" *)
+  wire _173_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1211.29-1211.49" *)
+  wire _174_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1220.29-1220.49" *)
+  wire _175_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1229.29-1229.49" *)
+  wire _176_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1237.48-1237.65" *)
+  wire _177_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1246.29-1246.49" *)
+  wire _178_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1255.29-1255.49" *)
+  wire _179_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1263.50-1263.69" *)
+  wire _180_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1271.50-1271.69" *)
+  wire _181_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1279.50-1279.69" *)
+  wire _182_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1288.29-1288.49" *)
+  wire _183_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1297.29-1297.49" *)
+  wire _184_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1045.7-1045.69" *)
+  wire _185_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1057.7-1058.49" *)
+  wire _186_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1066.7-1067.49" *)
+  wire _187_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1075.7-1076.49" *)
+  wire _188_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1084.7-1085.49" *)
+  wire _189_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1093.7-1094.49" *)
+  wire _190_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1102.7-1103.49" *)
+  wire _191_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1111.7-1112.49" *)
+  wire _192_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1120.7-1121.49" *)
+  wire _193_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1129.7-1130.49" *)
+  wire _194_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1138.7-1139.49" *)
+  wire _195_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1147.7-1148.49" *)
+  wire _196_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1156.7-1157.49" *)
+  wire _197_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1165.7-1166.49" *)
+  wire _198_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1174.7-1175.49" *)
+  wire _199_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1183.7-1184.49" *)
+  wire _200_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1192.7-1193.49" *)
+  wire _201_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1201.7-1202.49" *)
+  wire _202_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1210.7-1211.49" *)
+  wire _203_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1219.7-1220.49" *)
+  wire _204_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1228.7-1229.49" *)
+  wire _205_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1237.7-1237.65" *)
+  wire _206_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1245.7-1246.49" *)
+  wire _207_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1254.7-1255.49" *)
+  wire _208_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1263.7-1263.69" *)
+  wire _209_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1271.7-1271.69" *)
+  wire _210_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1279.7-1279.69" *)
+  wire _211_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1287.7-1288.49" *)
+  wire _212_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1296.7-1297.49" *)
+  wire _213_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1041.7-1043.34" *)
+  wire [31:0] _214_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1053.7-1055.34" *)
+  wire [31:0] _215_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1062.7-1064.34" *)
+  wire [31:0] _216_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1071.7-1073.34" *)
+  wire [31:0] _217_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1080.7-1082.34" *)
+  wire [31:0] _218_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1089.7-1091.34" *)
+  wire [31:0] _219_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1098.7-1100.34" *)
+  wire [31:0] _220_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1107.7-1109.34" *)
+  wire [31:0] _221_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1116.7-1118.34" *)
+  wire [31:0] _222_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1125.7-1127.34" *)
+  wire [31:0] _223_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1134.7-1136.34" *)
+  wire [31:0] _224_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1143.7-1145.34" *)
+  wire [31:0] _225_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1152.7-1154.34" *)
+  wire [31:0] _226_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1161.7-1163.34" *)
+  wire [31:0] _227_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1170.7-1172.34" *)
+  wire [31:0] _228_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1179.7-1181.34" *)
+  wire [31:0] _229_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1188.7-1190.34" *)
+  wire [31:0] _230_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1197.7-1199.34" *)
+  wire [31:0] _231_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1206.7-1208.34" *)
+  wire [31:0] _232_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1215.7-1217.34" *)
+  wire [31:0] _233_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1224.7-1226.34" *)
+  wire [31:0] _234_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1233.7-1235.34" *)
+  wire [31:0] _235_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1241.7-1243.34" *)
+  wire [31:0] _236_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1250.7-1252.34" *)
+  wire [31:0] _237_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1259.7-1261.34" *)
+  wire [31:0] _238_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1267.7-1269.34" *)
+  wire [31:0] _239_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1275.7-1277.34" *)
+  wire [31:0] _240_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1283.7-1285.34" *)
+  wire [31:0] _241_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1292.7-1294.34" *)
+  wire [31:0] _242_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:249.8-249.25" *)
+  wire CAN_FIRE_RL_foo_1;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:250.8-250.26" *)
+  wire CAN_FIRE_RL_foo_11;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:251.8-251.26" *)
+  wire CAN_FIRE_RL_foo_13;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:252.8-252.26" *)
+  wire CAN_FIRE_RL_foo_15;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:253.8-253.26" *)
+  wire CAN_FIRE_RL_foo_17;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:254.8-254.26" *)
+  wire CAN_FIRE_RL_foo_19;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:255.8-255.26" *)
+  wire CAN_FIRE_RL_foo_21;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:256.8-256.26" *)
+  wire CAN_FIRE_RL_foo_23;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:257.8-257.26" *)
+  wire CAN_FIRE_RL_foo_25;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:258.8-258.26" *)
+  wire CAN_FIRE_RL_foo_27;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:259.8-259.26" *)
+  wire CAN_FIRE_RL_foo_29;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:260.8-260.25" *)
+  wire CAN_FIRE_RL_foo_3;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:261.8-261.26" *)
+  wire CAN_FIRE_RL_foo_31;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:262.8-262.26" *)
+  wire CAN_FIRE_RL_foo_33;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:263.8-263.26" *)
+  wire CAN_FIRE_RL_foo_35;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:264.8-264.26" *)
+  wire CAN_FIRE_RL_foo_37;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:265.8-265.26" *)
+  wire CAN_FIRE_RL_foo_39;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:266.8-266.26" *)
+  wire CAN_FIRE_RL_foo_41;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:267.8-267.26" *)
+  wire CAN_FIRE_RL_foo_43;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:268.8-268.26" *)
+  wire CAN_FIRE_RL_foo_45;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:269.8-269.26" *)
+  wire CAN_FIRE_RL_foo_47;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:270.8-270.26" *)
+  wire CAN_FIRE_RL_foo_49;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:271.8-271.25" *)
+  wire CAN_FIRE_RL_foo_5;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:272.8-272.26" *)
+  wire CAN_FIRE_RL_foo_51;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:273.8-273.26" *)
+  wire CAN_FIRE_RL_foo_53;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:274.8-274.26" *)
+  wire CAN_FIRE_RL_foo_55;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:275.8-275.26" *)
+  wire CAN_FIRE_RL_foo_57;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:276.8-276.25" *)
+  wire CAN_FIRE_RL_foo_7;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:277.8-277.25" *)
+  wire CAN_FIRE_RL_foo_9;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:78.10-78.13" *)
+  input CLK;
+  wire CLK;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:103.10-103.31" *)
+  input EN_computeWrite_write;
+  wire EN_computeWrite_write;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:109.10-109.30" *)
+  input EN_memoryWrite_write;
+  wire EN_memoryWrite_write;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:89.10-89.33" *)
+  output RDY_computeSource1_read;
+  wire RDY_computeSource1_read;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:94.10-94.33" *)
+  output RDY_computeSource2_read;
+  wire RDY_computeSource2_read;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:98.10-98.38" *)
+  output RDY_computeStatusSource_read;
+  wire RDY_computeStatusSource_read;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:104.10-104.32" *)
+  output RDY_computeWrite_write;
+  wire RDY_computeWrite_write;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:84.10-84.28" *)
+  output RDY_fetchRead_read;
+  wire RDY_fetchRead_read;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:110.10-110.31" *)
+  output RDY_memoryWrite_write;
+  wire RDY_memoryWrite_write;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:79.10-79.15" *)
+  input RST_N;
+  wire RST_N;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:278.8-278.24" *)
+  wire WILL_FIRE_RL_foo;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:279.8-279.27" *)
+  wire WILL_FIRE_RL_foo_10;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:280.8-280.27" *)
+  wire WILL_FIRE_RL_foo_12;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:281.8-281.27" *)
+  wire WILL_FIRE_RL_foo_14;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:282.8-282.27" *)
+  wire WILL_FIRE_RL_foo_16;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:283.8-283.27" *)
+  wire WILL_FIRE_RL_foo_18;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:284.8-284.26" *)
+  wire WILL_FIRE_RL_foo_2;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:285.8-285.27" *)
+  wire WILL_FIRE_RL_foo_20;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:286.8-286.27" *)
+  wire WILL_FIRE_RL_foo_22;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:287.8-287.27" *)
+  wire WILL_FIRE_RL_foo_24;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:288.8-288.27" *)
+  wire WILL_FIRE_RL_foo_26;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:289.8-289.27" *)
+  wire WILL_FIRE_RL_foo_28;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:290.8-290.27" *)
+  wire WILL_FIRE_RL_foo_30;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:291.8-291.27" *)
+  wire WILL_FIRE_RL_foo_32;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:292.8-292.27" *)
+  wire WILL_FIRE_RL_foo_34;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:293.8-293.27" *)
+  wire WILL_FIRE_RL_foo_36;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:294.8-294.27" *)
+  wire WILL_FIRE_RL_foo_38;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:295.8-295.26" *)
+  wire WILL_FIRE_RL_foo_4;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:296.8-296.27" *)
+  wire WILL_FIRE_RL_foo_40;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:297.8-297.27" *)
+  wire WILL_FIRE_RL_foo_42;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:298.8-298.27" *)
+  wire WILL_FIRE_RL_foo_44;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:299.8-299.27" *)
+  wire WILL_FIRE_RL_foo_46;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:300.8-300.27" *)
+  wire WILL_FIRE_RL_foo_48;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:301.8-301.27" *)
+  wire WILL_FIRE_RL_foo_50;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:302.8-302.27" *)
+  wire WILL_FIRE_RL_foo_52;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:303.8-303.27" *)
+  wire WILL_FIRE_RL_foo_54;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:304.8-304.27" *)
+  wire WILL_FIRE_RL_foo_56;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:305.8-305.26" *)
+  wire WILL_FIRE_RL_foo_6;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:306.8-306.26" *)
+  wire WILL_FIRE_RL_foo_8;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:88.19-88.38" *)
+  output [31:0] computeSource1_read;
+  reg [31:0] computeSource1_read;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:87.18-87.40" *)
+  input [4:0] computeSource1_read_ix;
+  wire [4:0] computeSource1_read_ix;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:93.19-93.38" *)
+  output [31:0] computeSource2_read;
+  reg [31:0] computeSource2_read;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:92.18-92.40" *)
+  input [4:0] computeSource2_read_ix;
+  wire [4:0] computeSource2_read_ix;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:97.19-97.43" *)
+  output [31:0] computeStatusSource_read;
+  wire [31:0] computeStatusSource_read;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:102.19-102.40" *)
+  input [37:0] computeWrite_write_rd;
+  wire [37:0] computeWrite_write_rd;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:309.17-309.55" *)
+  wire [36:0] computeWrite_write_rd_BITS_36_TO_0__q1;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:101.19-101.40" *)
+  input [32:0] computeWrite_write_sw;
+  wire [32:0] computeWrite_write_sw;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:83.19-83.33" *)
+  output [31:0] fetchRead_read;
+  reg [31:0] fetchRead_read;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:82.18-82.35" *)
+  input [4:0] fetchRead_read_ix;
+  wire [4:0] fetchRead_read_ix;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:107.18-107.38" *)
+  input [4:0] memoryWrite_write_rd;
+  wire [4:0] memoryWrite_write_rd;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:108.19-108.42" *)
+  input [31:0] memoryWrite_write_value;
+  wire [31:0] memoryWrite_write_value;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:138.8-138.25" *)
+  wire \regs_10$EN__write ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:137.17-137.30" *)
+  wire [31:0] \regs_10$_read ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:137.32-137.48" *)
+  wire [31:0] \regs_10$_write_1 ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:142.8-142.25" *)
+  wire \regs_11$EN__write ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:141.17-141.30" *)
+  wire [31:0] \regs_11$_read ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:141.32-141.48" *)
+  wire [31:0] \regs_11$_write_1 ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:146.8-146.25" *)
+  wire \regs_12$EN__write ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:145.17-145.30" *)
+  wire [31:0] \regs_12$_read ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:145.32-145.48" *)
+  wire [31:0] \regs_12$_write_1 ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:150.8-150.25" *)
+  wire \regs_13$EN__write ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:149.17-149.30" *)
+  wire [31:0] \regs_13$_read ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:149.32-149.48" *)
+  wire [31:0] \regs_13$_write_1 ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:154.8-154.25" *)
+  wire \regs_14$EN__write ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:153.17-153.30" *)
+  wire [31:0] \regs_14$_read ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:153.32-153.48" *)
+  wire [31:0] \regs_14$_write_1 ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:158.8-158.25" *)
+  wire \regs_15$EN__write ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:157.17-157.30" *)
+  wire [31:0] \regs_15$_read ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:157.32-157.48" *)
+  wire [31:0] \regs_15$_write_1 ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:162.8-162.25" *)
+  wire \regs_16$EN__write ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:161.17-161.30" *)
+  wire [31:0] \regs_16$_read ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:161.32-161.48" *)
+  wire [31:0] \regs_16$_write_1 ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:166.8-166.25" *)
+  wire \regs_17$EN__write ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:165.17-165.30" *)
+  wire [31:0] \regs_17$_read ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:165.32-165.48" *)
+  wire [31:0] \regs_17$_write_1 ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:170.8-170.25" *)
+  wire \regs_18$EN__write ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:169.17-169.30" *)
+  wire [31:0] \regs_18$_read ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:169.32-169.48" *)
+  wire [31:0] \regs_18$_write_1 ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:174.8-174.25" *)
+  wire \regs_19$EN__write ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:173.17-173.30" *)
+  wire [31:0] \regs_19$_read ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:173.32-173.48" *)
+  wire [31:0] \regs_19$_write_1 ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:178.8-178.25" *)
+  wire \regs_20$EN__write ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:177.17-177.30" *)
+  wire [31:0] \regs_20$_read ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:177.32-177.48" *)
+  wire [31:0] \regs_20$_write_1 ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:182.8-182.25" *)
+  wire \regs_21$EN__write ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:181.17-181.30" *)
+  wire [31:0] \regs_21$_read ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:181.32-181.48" *)
+  wire [31:0] \regs_21$_write_1 ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:186.8-186.25" *)
+  wire \regs_22$EN__write ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:185.17-185.30" *)
+  wire [31:0] \regs_22$_read ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:185.32-185.48" *)
+  wire [31:0] \regs_22$_write_1 ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:190.8-190.25" *)
+  wire \regs_23$EN__write ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:189.17-189.30" *)
+  wire [31:0] \regs_23$_read ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:189.32-189.48" *)
+  wire [31:0] \regs_23$_write_1 ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:194.8-194.25" *)
+  wire \regs_24$EN__write ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:193.17-193.30" *)
+  wire [31:0] \regs_24$_read ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:193.32-193.48" *)
+  wire [31:0] \regs_24$_write_1 ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:198.8-198.25" *)
+  wire \regs_25$EN__write ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:197.17-197.30" *)
+  wire [31:0] \regs_25$_read ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:197.32-197.48" *)
+  wire [31:0] \regs_25$_write_1 ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:202.8-202.25" *)
+  wire \regs_26$EN__write ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:201.17-201.30" *)
+  wire [31:0] \regs_26$_read ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:201.32-201.48" *)
+  wire [31:0] \regs_26$_write_1 ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:206.8-206.25" *)
+  wire \regs_27$EN__write ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:205.17-205.30" *)
+  wire [31:0] \regs_27$_read ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:205.32-205.48" *)
+  wire [31:0] \regs_27$_write_1 ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:210.8-210.25" *)
+  wire \regs_28$EN__write ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:209.17-209.30" *)
+  wire [31:0] \regs_28$_read ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:209.32-209.48" *)
+  wire [31:0] \regs_28$_write_1 ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:214.8-214.25" *)
+  wire \regs_29$EN__write ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:213.17-213.30" *)
+  wire [31:0] \regs_29$_read ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:213.32-213.48" *)
+  wire [31:0] \regs_29$_write_1 ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:218.8-218.24" *)
+  wire \regs_3$EN__write ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:217.17-217.29" *)
+  wire [31:0] \regs_3$_read ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:217.31-217.46" *)
+  wire [31:0] \regs_3$_write_1 ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:222.8-222.25" *)
+  wire \regs_30$EN__write ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:221.17-221.30" *)
+  wire [31:0] \regs_30$_read ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:221.32-221.48" *)
+  wire [31:0] \regs_30$_write_1 ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:226.8-226.25" *)
+  wire \regs_31$EN__write ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:225.17-225.30" *)
+  wire [31:0] \regs_31$_read ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:225.32-225.48" *)
+  wire [31:0] \regs_31$_write_1 ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:127.16-127.22" *)
+  reg [31:0] regs_4;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:128.17-128.28" *)
+  wire [31:0] \regs_4$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:129.8-129.17" *)
+  wire \regs_4$EN ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:230.8-230.24" *)
+  wire \regs_5$EN__write ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:229.17-229.29" *)
+  wire [31:0] \regs_5$_read ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:229.31-229.46" *)
+  wire [31:0] \regs_5$_write_1 ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:234.8-234.24" *)
+  wire \regs_6$EN__write ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:233.17-233.29" *)
+  wire [31:0] \regs_6$_read ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:233.31-233.46" *)
+  wire [31:0] \regs_6$_write_1 ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:238.8-238.24" *)
+  wire \regs_7$EN__write ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:237.17-237.29" *)
+  wire [31:0] \regs_7$_read ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:237.31-237.46" *)
+  wire [31:0] \regs_7$_write_1 ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:242.8-242.24" *)
+  wire \regs_8$EN__write ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:241.17-241.29" *)
+  wire [31:0] \regs_8$_read ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:241.31-241.46" *)
+  wire [31:0] \regs_8$_write_1 ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:246.8-246.24" *)
+  wire \regs_9$EN__write ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:245.17-245.29" *)
+  wire [31:0] \regs_9$_read ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:245.31-245.46" *)
+  wire [31:0] \regs_9$_write_1 ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:132.16-132.22" *)
+  reg [31:0] status;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:133.17-133.28" *)
+  wire [31:0] \status$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:134.8-134.17" *)
+  wire \status$EN ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:123.17-123.37" *)
+  wire [36:0] \writeReqCompute$wget ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:124.8-124.28" *)
+  wire \writeReqCompute$whas ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:123.39-123.58" *)
+  wire [36:0] \writeReqMemory$wget ;
+  assign _008_ = \writeReqMemory$wget [36:32] == (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1003.31-1003.66" *) 5'h1c;
+  assign _009_ = computeWrite_write_rd_BITS_36_TO_0__q1[36:32] == (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1008.7-1008.61" *) 5'h1d;
+  assign _010_ = \writeReqMemory$wget [36:32] == (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1012.31-1012.66" *) 5'h1d;
+  assign _011_ = computeWrite_write_rd_BITS_36_TO_0__q1[36:32] == (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1017.7-1017.61" *) 5'h1e;
+  assign _012_ = \writeReqMemory$wget [36:32] == (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1021.31-1021.66" *) 5'h1e;
+  assign _013_ = computeWrite_write_rd_BITS_36_TO_0__q1[36:32] == (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1026.7-1026.61" *) 5'h1f;
+  assign _014_ = \writeReqMemory$wget [36:32] == (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1030.31-1030.66" *) 5'h1f;
+  assign _015_ = RST_N == (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1307.9-1307.22" *) 1'h0;
+  assign _016_ = computeWrite_write_rd_BITS_36_TO_0__q1[36:32] == (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:774.7-774.60" *) 5'h03;
+  assign _017_ = \writeReqMemory$wget [36:32] == (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:778.31-778.65" *) 5'h03;
+  assign _018_ = computeWrite_write_rd_BITS_36_TO_0__q1[36:32] == (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:783.7-783.60" *) 5'h04;
+  assign _019_ = \writeReqMemory$wget [36:32] == (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:787.31-787.65" *) 5'h04;
+  assign _020_ = computeWrite_write_rd_BITS_36_TO_0__q1[36:32] == (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:792.7-792.60" *) 5'h05;
+  assign _021_ = \writeReqMemory$wget [36:32] == (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:796.31-796.65" *) 5'h05;
+  assign _022_ = computeWrite_write_rd_BITS_36_TO_0__q1[36:32] == (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:801.7-801.60" *) 5'h06;
+  assign _023_ = \writeReqMemory$wget [36:32] == (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:805.31-805.65" *) 5'h06;
+  assign _024_ = computeWrite_write_rd_BITS_36_TO_0__q1[36:32] == (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:810.7-810.60" *) 5'h07;
+  assign _025_ = \writeReqMemory$wget [36:32] == (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:814.31-814.65" *) 5'h07;
+  assign _026_ = computeWrite_write_rd_BITS_36_TO_0__q1[36:32] == (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:819.7-819.60" *) 5'h08;
+  assign _027_ = \writeReqMemory$wget [36:32] == (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:823.31-823.65" *) 5'h08;
+  assign _028_ = computeWrite_write_rd_BITS_36_TO_0__q1[36:32] == (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:828.7-828.60" *) 5'h09;
+  assign _029_ = \writeReqMemory$wget [36:32] == (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:832.31-832.65" *) 5'h09;
+  assign _030_ = computeWrite_write_rd_BITS_36_TO_0__q1[36:32] == (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:837.7-837.61" *) 5'h0a;
+  assign _031_ = \writeReqMemory$wget [36:32] == (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:841.31-841.66" *) 5'h0a;
+  assign _032_ = computeWrite_write_rd_BITS_36_TO_0__q1[36:32] == (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:846.7-846.61" *) 5'h0b;
+  assign _033_ = \writeReqMemory$wget [36:32] == (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:850.31-850.66" *) 5'h0b;
+  assign _034_ = computeWrite_write_rd_BITS_36_TO_0__q1[36:32] == (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:855.7-855.61" *) 5'h0c;
+  assign _035_ = \writeReqMemory$wget [36:32] == (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:859.31-859.66" *) 5'h0c;
+  assign _036_ = computeWrite_write_rd_BITS_36_TO_0__q1[36:32] == (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:864.7-864.61" *) 5'h0d;
+  assign _037_ = \writeReqMemory$wget [36:32] == (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:868.31-868.66" *) 5'h0d;
+  assign _038_ = computeWrite_write_rd_BITS_36_TO_0__q1[36:32] == (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:873.7-873.61" *) 5'h0e;
+  assign _039_ = \writeReqMemory$wget [36:32] == (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:877.31-877.66" *) 5'h0e;
+  assign _040_ = computeWrite_write_rd_BITS_36_TO_0__q1[36:32] == (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:882.7-882.61" *) 5'h0f;
+  assign _041_ = \writeReqMemory$wget [36:32] == (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:886.31-886.66" *) 5'h0f;
+  assign _042_ = computeWrite_write_rd_BITS_36_TO_0__q1[36:32] == (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:891.7-891.61" *) 5'h10;
+  assign _043_ = \writeReqMemory$wget [36:32] == (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:895.31-895.66" *) 5'h10;
+  assign _044_ = computeWrite_write_rd_BITS_36_TO_0__q1[36:32] == (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:900.7-900.61" *) 5'h11;
+  assign _045_ = \writeReqMemory$wget [36:32] == (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:904.31-904.66" *) 5'h11;
+  assign _046_ = computeWrite_write_rd_BITS_36_TO_0__q1[36:32] == (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:909.7-909.61" *) 5'h12;
+  assign _047_ = \writeReqMemory$wget [36:32] == (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:913.31-913.66" *) 5'h12;
+  assign _048_ = computeWrite_write_rd_BITS_36_TO_0__q1[36:32] == (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:918.7-918.61" *) 5'h13;
+  assign _049_ = \writeReqMemory$wget [36:32] == (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:922.31-922.66" *) 5'h13;
+  assign _050_ = computeWrite_write_rd_BITS_36_TO_0__q1[36:32] == (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:927.7-927.61" *) 5'h14;
+  assign _051_ = \writeReqMemory$wget [36:32] == (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:931.31-931.66" *) 5'h14;
+  assign _052_ = computeWrite_write_rd_BITS_36_TO_0__q1[36:32] == (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:936.7-936.61" *) 5'h15;
+  assign _053_ = \writeReqMemory$wget [36:32] == (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:940.31-940.66" *) 5'h15;
+  assign _054_ = computeWrite_write_rd_BITS_36_TO_0__q1[36:32] == (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:945.7-945.61" *) 5'h16;
+  assign _055_ = \writeReqMemory$wget [36:32] == (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:949.31-949.66" *) 5'h16;
+  assign _056_ = computeWrite_write_rd_BITS_36_TO_0__q1[36:32] == (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:954.7-954.61" *) 5'h17;
+  assign _057_ = \writeReqMemory$wget [36:32] == (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:958.31-958.66" *) 5'h17;
+  assign _058_ = computeWrite_write_rd_BITS_36_TO_0__q1[36:32] == (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:963.7-963.61" *) 5'h18;
+  assign _059_ = \writeReqMemory$wget [36:32] == (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:967.31-967.66" *) 5'h18;
+  assign _060_ = computeWrite_write_rd_BITS_36_TO_0__q1[36:32] == (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:972.7-972.61" *) 5'h19;
+  assign _061_ = \writeReqMemory$wget [36:32] == (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:976.31-976.66" *) 5'h19;
+  assign _062_ = computeWrite_write_rd_BITS_36_TO_0__q1[36:32] == (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:981.7-981.61" *) 5'h1a;
+  assign _063_ = \writeReqMemory$wget [36:32] == (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:985.31-985.66" *) 5'h1a;
+  assign _064_ = computeWrite_write_rd_BITS_36_TO_0__q1[36:32] == (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:990.7-990.61" *) 5'h1b;
+  assign _065_ = \writeReqMemory$wget [36:32] == (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:994.31-994.66" *) 5'h1b;
+  assign _066_ = computeWrite_write_rd_BITS_36_TO_0__q1[36:32] == (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:999.7-999.61" *) 5'h1c;
+  assign _067_ = EN_memoryWrite_write && (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1003.7-1003.66" *) _008_;
+  assign _068_ = \writeReqCompute$whas  && (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1007.7-1008.61" *) _009_;
+  assign _069_ = EN_memoryWrite_write && (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1012.7-1012.66" *) _010_;
+  assign _070_ = \writeReqCompute$whas  && (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1016.7-1017.61" *) _011_;
+  assign _071_ = EN_memoryWrite_write && (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1021.7-1021.66" *) _012_;
+  assign _072_ = \writeReqCompute$whas  && (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1025.7-1026.61" *) _013_;
+  assign _073_ = EN_memoryWrite_write && (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1030.7-1030.66" *) _014_;
+  assign _074_ = EN_computeWrite_write && (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1035.7-1035.57" *) computeWrite_write_rd[37];
+  assign _075_ = CAN_FIRE_RL_foo_3 && (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1045.29-1045.69" *) _156_;
+  assign _076_ = EN_computeWrite_write && (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1049.22-1049.72" *) computeWrite_write_sw[32];
+  assign _077_ = CAN_FIRE_RL_foo_15 && (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1058.7-1058.49" *) _157_;
+  assign _078_ = CAN_FIRE_RL_foo_17 && (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1067.7-1067.49" *) _158_;
+  assign _079_ = CAN_FIRE_RL_foo_19 && (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1076.7-1076.49" *) _159_;
+  assign _080_ = CAN_FIRE_RL_foo_21 && (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1085.7-1085.49" *) _160_;
+  assign _081_ = CAN_FIRE_RL_foo_23 && (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1094.7-1094.49" *) _161_;
+  assign _082_ = CAN_FIRE_RL_foo_25 && (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1103.7-1103.49" *) _162_;
+  assign _083_ = CAN_FIRE_RL_foo_27 && (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1112.7-1112.49" *) _163_;
+  assign _084_ = CAN_FIRE_RL_foo_29 && (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1121.7-1121.49" *) _164_;
+  assign _085_ = CAN_FIRE_RL_foo_31 && (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1130.7-1130.49" *) _165_;
+  assign _086_ = CAN_FIRE_RL_foo_33 && (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1139.7-1139.49" *) _166_;
+  assign _087_ = CAN_FIRE_RL_foo_35 && (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1148.7-1148.49" *) _167_;
+  assign _088_ = CAN_FIRE_RL_foo_37 && (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1157.7-1157.49" *) _168_;
+  assign _089_ = CAN_FIRE_RL_foo_39 && (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1166.7-1166.49" *) _169_;
+  assign _090_ = CAN_FIRE_RL_foo_41 && (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1175.7-1175.49" *) _170_;
+  assign _091_ = CAN_FIRE_RL_foo_43 && (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1184.7-1184.49" *) _171_;
+  assign _092_ = CAN_FIRE_RL_foo_45 && (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1193.7-1193.49" *) _172_;
+  assign _093_ = CAN_FIRE_RL_foo_47 && (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1202.7-1202.49" *) _173_;
+  assign _094_ = CAN_FIRE_RL_foo_49 && (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1211.7-1211.49" *) _174_;
+  assign _095_ = CAN_FIRE_RL_foo_51 && (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1220.7-1220.49" *) _175_;
+  assign _096_ = CAN_FIRE_RL_foo_53 && (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1229.7-1229.49" *) _176_;
+  assign _097_ = CAN_FIRE_RL_foo_1 && (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1237.27-1237.65" *) _177_;
+  assign _098_ = CAN_FIRE_RL_foo_55 && (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1246.7-1246.49" *) _178_;
+  assign _099_ = CAN_FIRE_RL_foo_57 && (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1255.7-1255.49" *) _179_;
+  assign _100_ = CAN_FIRE_RL_foo_5 && (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1263.29-1263.69" *) _180_;
+  assign _101_ = CAN_FIRE_RL_foo_7 && (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1271.29-1271.69" *) _181_;
+  assign _102_ = CAN_FIRE_RL_foo_9 && (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1279.29-1279.69" *) _182_;
+  assign _103_ = CAN_FIRE_RL_foo_11 && (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1288.7-1288.49" *) _183_;
+  assign _104_ = CAN_FIRE_RL_foo_13 && (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1297.7-1297.49" *) _184_;
+  assign _105_ = \writeReqCompute$whas  && (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:773.7-774.60" *) _016_;
+  assign _106_ = EN_memoryWrite_write && (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:778.7-778.65" *) _017_;
+  assign _107_ = \writeReqCompute$whas  && (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:782.7-783.60" *) _018_;
+  assign _108_ = EN_memoryWrite_write && (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:787.7-787.65" *) _019_;
+  assign _109_ = \writeReqCompute$whas  && (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:791.7-792.60" *) _020_;
+  assign _110_ = EN_memoryWrite_write && (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:796.7-796.65" *) _021_;
+  assign _111_ = \writeReqCompute$whas  && (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:800.7-801.60" *) _022_;
+  assign _112_ = EN_memoryWrite_write && (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:805.7-805.65" *) _023_;
+  assign _113_ = \writeReqCompute$whas  && (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:809.7-810.60" *) _024_;
+  assign _114_ = EN_memoryWrite_write && (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:814.7-814.65" *) _025_;
+  assign _115_ = \writeReqCompute$whas  && (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:818.7-819.60" *) _026_;
+  assign _116_ = EN_memoryWrite_write && (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:823.7-823.65" *) _027_;
+  assign _117_ = \writeReqCompute$whas  && (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:827.7-828.60" *) _028_;
+  assign _118_ = EN_memoryWrite_write && (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:832.7-832.65" *) _029_;
+  assign _119_ = \writeReqCompute$whas  && (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:836.7-837.61" *) _030_;
+  assign _120_ = EN_memoryWrite_write && (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:841.7-841.66" *) _031_;
+  assign _121_ = \writeReqCompute$whas  && (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:845.7-846.61" *) _032_;
+  assign _122_ = EN_memoryWrite_write && (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:850.7-850.66" *) _033_;
+  assign _123_ = \writeReqCompute$whas  && (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:854.7-855.61" *) _034_;
+  assign _124_ = EN_memoryWrite_write && (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:859.7-859.66" *) _035_;
+  assign _125_ = \writeReqCompute$whas  && (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:863.7-864.61" *) _036_;
+  assign _126_ = EN_memoryWrite_write && (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:868.7-868.66" *) _037_;
+  assign _127_ = \writeReqCompute$whas  && (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:872.7-873.61" *) _038_;
+  assign _128_ = EN_memoryWrite_write && (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:877.7-877.66" *) _039_;
+  assign _129_ = \writeReqCompute$whas  && (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:881.7-882.61" *) _040_;
+  assign _130_ = EN_memoryWrite_write && (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:886.7-886.66" *) _041_;
+  assign _131_ = \writeReqCompute$whas  && (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:890.7-891.61" *) _042_;
+  assign _132_ = EN_memoryWrite_write && (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:895.7-895.66" *) _043_;
+  assign _133_ = \writeReqCompute$whas  && (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:899.7-900.61" *) _044_;
+  assign _134_ = EN_memoryWrite_write && (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:904.7-904.66" *) _045_;
+  assign _135_ = \writeReqCompute$whas  && (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:908.7-909.61" *) _046_;
+  assign _136_ = EN_memoryWrite_write && (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:913.7-913.66" *) _047_;
+  assign _137_ = \writeReqCompute$whas  && (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:917.7-918.61" *) _048_;
+  assign _138_ = EN_memoryWrite_write && (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:922.7-922.66" *) _049_;
+  assign _139_ = \writeReqCompute$whas  && (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:926.7-927.61" *) _050_;
+  assign _140_ = EN_memoryWrite_write && (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:931.7-931.66" *) _051_;
+  assign _141_ = \writeReqCompute$whas  && (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:935.7-936.61" *) _052_;
+  assign _142_ = EN_memoryWrite_write && (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:940.7-940.66" *) _053_;
+  assign _143_ = \writeReqCompute$whas  && (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:944.7-945.61" *) _054_;
+  assign _144_ = EN_memoryWrite_write && (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:949.7-949.66" *) _055_;
+  assign _145_ = \writeReqCompute$whas  && (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:953.7-954.61" *) _056_;
+  assign _146_ = EN_memoryWrite_write && (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:958.7-958.66" *) _057_;
+  assign _147_ = \writeReqCompute$whas  && (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:962.7-963.61" *) _058_;
+  assign _148_ = EN_memoryWrite_write && (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:967.7-967.66" *) _059_;
+  assign _149_ = \writeReqCompute$whas  && (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:971.7-972.61" *) _060_;
+  assign _150_ = EN_memoryWrite_write && (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:976.7-976.66" *) _061_;
+  assign _151_ = \writeReqCompute$whas  && (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:980.7-981.61" *) _062_;
+  assign _152_ = EN_memoryWrite_write && (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:985.7-985.66" *) _063_;
+  assign _153_ = \writeReqCompute$whas  && (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:989.7-990.61" *) _064_;
+  assign _154_ = EN_memoryWrite_write && (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:994.7-994.66" *) _065_;
+  assign _155_ = \writeReqCompute$whas  && (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:998.7-999.61" *) _066_;
+  assign _156_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1045.50-1045.69" *) WILL_FIRE_RL_foo_2;
+  assign _157_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1058.29-1058.49" *) WILL_FIRE_RL_foo_14;
+  assign _158_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1067.29-1067.49" *) WILL_FIRE_RL_foo_16;
+  assign _159_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1076.29-1076.49" *) WILL_FIRE_RL_foo_18;
+  assign _160_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1085.29-1085.49" *) WILL_FIRE_RL_foo_20;
+  assign _161_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1094.29-1094.49" *) WILL_FIRE_RL_foo_22;
+  assign _162_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1103.29-1103.49" *) WILL_FIRE_RL_foo_24;
+  assign _163_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1112.29-1112.49" *) WILL_FIRE_RL_foo_26;
+  assign _164_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1121.29-1121.49" *) WILL_FIRE_RL_foo_28;
+  assign _165_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1130.29-1130.49" *) WILL_FIRE_RL_foo_30;
+  assign _166_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1139.29-1139.49" *) WILL_FIRE_RL_foo_32;
+  assign _167_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1148.29-1148.49" *) WILL_FIRE_RL_foo_34;
+  assign _168_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1157.29-1157.49" *) WILL_FIRE_RL_foo_36;
+  assign _169_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1166.29-1166.49" *) WILL_FIRE_RL_foo_38;
+  assign _170_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1175.29-1175.49" *) WILL_FIRE_RL_foo_40;
+  assign _171_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1184.29-1184.49" *) WILL_FIRE_RL_foo_42;
+  assign _172_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1193.29-1193.49" *) WILL_FIRE_RL_foo_44;
+  assign _173_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1202.29-1202.49" *) WILL_FIRE_RL_foo_46;
+  assign _174_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1211.29-1211.49" *) WILL_FIRE_RL_foo_48;
+  assign _175_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1220.29-1220.49" *) WILL_FIRE_RL_foo_50;
+  assign _176_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1229.29-1229.49" *) WILL_FIRE_RL_foo_52;
+  assign _177_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1237.48-1237.65" *) WILL_FIRE_RL_foo;
+  assign _178_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1246.29-1246.49" *) WILL_FIRE_RL_foo_54;
+  assign _179_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1255.29-1255.49" *) WILL_FIRE_RL_foo_56;
+  assign _180_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1263.50-1263.69" *) WILL_FIRE_RL_foo_4;
+  assign _181_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1271.50-1271.69" *) WILL_FIRE_RL_foo_6;
+  assign _182_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1279.50-1279.69" *) WILL_FIRE_RL_foo_8;
+  assign _183_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1288.29-1288.49" *) WILL_FIRE_RL_foo_10;
+  assign _184_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1297.29-1297.49" *) WILL_FIRE_RL_foo_12;
+  assign _185_ = WILL_FIRE_RL_foo_2 || (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1045.7-1045.69" *) _075_;
+  assign _186_ = WILL_FIRE_RL_foo_14 || (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1057.7-1058.49" *) _077_;
+  assign _187_ = WILL_FIRE_RL_foo_16 || (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1066.7-1067.49" *) _078_;
+  assign _188_ = WILL_FIRE_RL_foo_18 || (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1075.7-1076.49" *) _079_;
+  assign _189_ = WILL_FIRE_RL_foo_20 || (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1084.7-1085.49" *) _080_;
+  assign _190_ = WILL_FIRE_RL_foo_22 || (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1093.7-1094.49" *) _081_;
+  assign _191_ = WILL_FIRE_RL_foo_24 || (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1102.7-1103.49" *) _082_;
+  assign _192_ = WILL_FIRE_RL_foo_26 || (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1111.7-1112.49" *) _083_;
+  assign _193_ = WILL_FIRE_RL_foo_28 || (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1120.7-1121.49" *) _084_;
+  assign _194_ = WILL_FIRE_RL_foo_30 || (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1129.7-1130.49" *) _085_;
+  assign _195_ = WILL_FIRE_RL_foo_32 || (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1138.7-1139.49" *) _086_;
+  assign _196_ = WILL_FIRE_RL_foo_34 || (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1147.7-1148.49" *) _087_;
+  assign _197_ = WILL_FIRE_RL_foo_36 || (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1156.7-1157.49" *) _088_;
+  assign _198_ = WILL_FIRE_RL_foo_38 || (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1165.7-1166.49" *) _089_;
+  assign _199_ = WILL_FIRE_RL_foo_40 || (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1174.7-1175.49" *) _090_;
+  assign _200_ = WILL_FIRE_RL_foo_42 || (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1183.7-1184.49" *) _091_;
+  assign _201_ = WILL_FIRE_RL_foo_44 || (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1192.7-1193.49" *) _092_;
+  assign _202_ = WILL_FIRE_RL_foo_46 || (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1201.7-1202.49" *) _093_;
+  assign _203_ = WILL_FIRE_RL_foo_48 || (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1210.7-1211.49" *) _094_;
+  assign _204_ = WILL_FIRE_RL_foo_50 || (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1219.7-1220.49" *) _095_;
+  assign _205_ = WILL_FIRE_RL_foo_52 || (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1228.7-1229.49" *) _096_;
+  assign _206_ = WILL_FIRE_RL_foo || (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1237.7-1237.65" *) _097_;
+  assign _207_ = WILL_FIRE_RL_foo_54 || (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1245.7-1246.49" *) _098_;
+  assign _208_ = WILL_FIRE_RL_foo_56 || (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1254.7-1255.49" *) _099_;
+  assign _209_ = WILL_FIRE_RL_foo_4 || (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1263.7-1263.69" *) _100_;
+  assign _210_ = WILL_FIRE_RL_foo_6 || (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1271.7-1271.69" *) _101_;
+  assign _211_ = WILL_FIRE_RL_foo_8 || (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1279.7-1279.69" *) _102_;
+  assign _212_ = WILL_FIRE_RL_foo_10 || (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1287.7-1288.49" *) _103_;
+  assign _213_ = WILL_FIRE_RL_foo_12 || (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1296.7-1297.49" *) _104_;
+  assign _214_ = WILL_FIRE_RL_foo_2 ? (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1041.7-1043.34" *) \writeReqCompute$wget [31:0] : \writeReqMemory$wget [31:0];
+  assign _215_ = WILL_FIRE_RL_foo_14 ? (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1053.7-1055.34" *) \writeReqCompute$wget [31:0] : \writeReqMemory$wget [31:0];
+  assign _216_ = WILL_FIRE_RL_foo_16 ? (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1062.7-1064.34" *) \writeReqCompute$wget [31:0] : \writeReqMemory$wget [31:0];
+  assign _217_ = WILL_FIRE_RL_foo_18 ? (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1071.7-1073.34" *) \writeReqCompute$wget [31:0] : \writeReqMemory$wget [31:0];
+  assign _218_ = WILL_FIRE_RL_foo_20 ? (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1080.7-1082.34" *) \writeReqCompute$wget [31:0] : \writeReqMemory$wget [31:0];
+  assign _219_ = WILL_FIRE_RL_foo_22 ? (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1089.7-1091.34" *) \writeReqCompute$wget [31:0] : \writeReqMemory$wget [31:0];
+  assign _220_ = WILL_FIRE_RL_foo_24 ? (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1098.7-1100.34" *) \writeReqCompute$wget [31:0] : \writeReqMemory$wget [31:0];
+  assign _221_ = WILL_FIRE_RL_foo_26 ? (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1107.7-1109.34" *) \writeReqCompute$wget [31:0] : \writeReqMemory$wget [31:0];
+  assign _222_ = WILL_FIRE_RL_foo_28 ? (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1116.7-1118.34" *) \writeReqCompute$wget [31:0] : \writeReqMemory$wget [31:0];
+  assign _223_ = WILL_FIRE_RL_foo_30 ? (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1125.7-1127.34" *) \writeReqCompute$wget [31:0] : \writeReqMemory$wget [31:0];
+  assign _224_ = WILL_FIRE_RL_foo_32 ? (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1134.7-1136.34" *) \writeReqCompute$wget [31:0] : \writeReqMemory$wget [31:0];
+  assign _225_ = WILL_FIRE_RL_foo_34 ? (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1143.7-1145.34" *) \writeReqCompute$wget [31:0] : \writeReqMemory$wget [31:0];
+  assign _226_ = WILL_FIRE_RL_foo_36 ? (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1152.7-1154.34" *) \writeReqCompute$wget [31:0] : \writeReqMemory$wget [31:0];
+  assign _227_ = WILL_FIRE_RL_foo_38 ? (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1161.7-1163.34" *) \writeReqCompute$wget [31:0] : \writeReqMemory$wget [31:0];
+  assign _228_ = WILL_FIRE_RL_foo_40 ? (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1170.7-1172.34" *) \writeReqCompute$wget [31:0] : \writeReqMemory$wget [31:0];
+  assign _229_ = WILL_FIRE_RL_foo_42 ? (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1179.7-1181.34" *) \writeReqCompute$wget [31:0] : \writeReqMemory$wget [31:0];
+  assign _230_ = WILL_FIRE_RL_foo_44 ? (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1188.7-1190.34" *) \writeReqCompute$wget [31:0] : \writeReqMemory$wget [31:0];
+  assign _231_ = WILL_FIRE_RL_foo_46 ? (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1197.7-1199.34" *) \writeReqCompute$wget [31:0] : \writeReqMemory$wget [31:0];
+  assign _232_ = WILL_FIRE_RL_foo_48 ? (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1206.7-1208.34" *) \writeReqCompute$wget [31:0] : \writeReqMemory$wget [31:0];
+  assign _233_ = WILL_FIRE_RL_foo_50 ? (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1215.7-1217.34" *) \writeReqCompute$wget [31:0] : \writeReqMemory$wget [31:0];
+  assign _234_ = WILL_FIRE_RL_foo_52 ? (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1224.7-1226.34" *) \writeReqCompute$wget [31:0] : \writeReqMemory$wget [31:0];
+  assign _235_ = WILL_FIRE_RL_foo ? (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1233.7-1235.34" *) \writeReqCompute$wget [31:0] : \writeReqMemory$wget [31:0];
+  assign _236_ = WILL_FIRE_RL_foo_54 ? (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1241.7-1243.34" *) \writeReqCompute$wget [31:0] : \writeReqMemory$wget [31:0];
+  assign _237_ = WILL_FIRE_RL_foo_56 ? (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1250.7-1252.34" *) \writeReqCompute$wget [31:0] : \writeReqMemory$wget [31:0];
+  assign _238_ = WILL_FIRE_RL_foo_4 ? (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1259.7-1261.34" *) \writeReqCompute$wget [31:0] : \writeReqMemory$wget [31:0];
+  assign _239_ = WILL_FIRE_RL_foo_6 ? (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1267.7-1269.34" *) \writeReqCompute$wget [31:0] : \writeReqMemory$wget [31:0];
+  assign _240_ = WILL_FIRE_RL_foo_8 ? (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1275.7-1277.34" *) \writeReqCompute$wget [31:0] : \writeReqMemory$wget [31:0];
+  assign _241_ = WILL_FIRE_RL_foo_10 ? (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1283.7-1285.34" *) \writeReqCompute$wget [31:0] : \writeReqMemory$wget [31:0];
+  assign _242_ = WILL_FIRE_RL_foo_12 ? (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1292.7-1294.34" *) \writeReqCompute$wget [31:0] : \writeReqMemory$wget [31:0];
+  (* module_not_derived = 32'd1 *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:520.11-526.18" *)
+  mkRFReg regs_10 (
+    .CLK(CLK),
+    .EN__write(\regs_10$EN__write ),
+    .RST_N(RST_N),
+    ._read(\regs_10$_read ),
+    ._write_1(\regs_10$_write_1 )
+  );
+  (* module_not_derived = 32'd1 *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:529.11-535.18" *)
+  mkRFReg regs_11 (
+    .CLK(CLK),
+    .EN__write(\regs_11$EN__write ),
+    .RST_N(RST_N),
+    ._read(\regs_11$_read ),
+    ._write_1(\regs_11$_write_1 )
+  );
+  (* module_not_derived = 32'd1 *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:538.11-544.18" *)
+  mkRFReg regs_12 (
+    .CLK(CLK),
+    .EN__write(\regs_12$EN__write ),
+    .RST_N(RST_N),
+    ._read(\regs_12$_read ),
+    ._write_1(\regs_12$_write_1 )
+  );
+  (* module_not_derived = 32'd1 *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:547.11-553.18" *)
+  mkRFReg regs_13 (
+    .CLK(CLK),
+    .EN__write(\regs_13$EN__write ),
+    .RST_N(RST_N),
+    ._read(\regs_13$_read ),
+    ._write_1(\regs_13$_write_1 )
+  );
+  (* module_not_derived = 32'd1 *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:556.11-562.18" *)
+  mkRFReg regs_14 (
+    .CLK(CLK),
+    .EN__write(\regs_14$EN__write ),
+    .RST_N(RST_N),
+    ._read(\regs_14$_read ),
+    ._write_1(\regs_14$_write_1 )
+  );
+  (* module_not_derived = 32'd1 *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:565.11-571.18" *)
+  mkRFReg regs_15 (
+    .CLK(CLK),
+    .EN__write(\regs_15$EN__write ),
+    .RST_N(RST_N),
+    ._read(\regs_15$_read ),
+    ._write_1(\regs_15$_write_1 )
+  );
+  (* module_not_derived = 32'd1 *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:574.11-580.18" *)
+  mkRFReg regs_16 (
+    .CLK(CLK),
+    .EN__write(\regs_16$EN__write ),
+    .RST_N(RST_N),
+    ._read(\regs_16$_read ),
+    ._write_1(\regs_16$_write_1 )
+  );
+  (* module_not_derived = 32'd1 *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:583.11-589.18" *)
+  mkRFReg regs_17 (
+    .CLK(CLK),
+    .EN__write(\regs_17$EN__write ),
+    .RST_N(RST_N),
+    ._read(\regs_17$_read ),
+    ._write_1(\regs_17$_write_1 )
+  );
+  (* module_not_derived = 32'd1 *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:592.11-598.18" *)
+  mkRFReg regs_18 (
+    .CLK(CLK),
+    .EN__write(\regs_18$EN__write ),
+    .RST_N(RST_N),
+    ._read(\regs_18$_read ),
+    ._write_1(\regs_18$_write_1 )
+  );
+  (* module_not_derived = 32'd1 *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:601.11-607.18" *)
+  mkRFReg regs_19 (
+    .CLK(CLK),
+    .EN__write(\regs_19$EN__write ),
+    .RST_N(RST_N),
+    ._read(\regs_19$_read ),
+    ._write_1(\regs_19$_write_1 )
+  );
+  (* module_not_derived = 32'd1 *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:610.11-616.18" *)
+  mkRFReg regs_20 (
+    .CLK(CLK),
+    .EN__write(\regs_20$EN__write ),
+    .RST_N(RST_N),
+    ._read(\regs_20$_read ),
+    ._write_1(\regs_20$_write_1 )
+  );
+  (* module_not_derived = 32'd1 *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:619.11-625.18" *)
+  mkRFReg regs_21 (
+    .CLK(CLK),
+    .EN__write(\regs_21$EN__write ),
+    .RST_N(RST_N),
+    ._read(\regs_21$_read ),
+    ._write_1(\regs_21$_write_1 )
+  );
+  (* module_not_derived = 32'd1 *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:628.11-634.18" *)
+  mkRFReg regs_22 (
+    .CLK(CLK),
+    .EN__write(\regs_22$EN__write ),
+    .RST_N(RST_N),
+    ._read(\regs_22$_read ),
+    ._write_1(\regs_22$_write_1 )
+  );
+  (* module_not_derived = 32'd1 *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:637.11-643.18" *)
+  mkRFReg regs_23 (
+    .CLK(CLK),
+    .EN__write(\regs_23$EN__write ),
+    .RST_N(RST_N),
+    ._read(\regs_23$_read ),
+    ._write_1(\regs_23$_write_1 )
+  );
+  (* module_not_derived = 32'd1 *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:646.11-652.18" *)
+  mkRFReg regs_24 (
+    .CLK(CLK),
+    .EN__write(\regs_24$EN__write ),
+    .RST_N(RST_N),
+    ._read(\regs_24$_read ),
+    ._write_1(\regs_24$_write_1 )
+  );
+  (* module_not_derived = 32'd1 *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:655.11-661.18" *)
+  mkRFReg regs_25 (
+    .CLK(CLK),
+    .EN__write(\regs_25$EN__write ),
+    .RST_N(RST_N),
+    ._read(\regs_25$_read ),
+    ._write_1(\regs_25$_write_1 )
+  );
+  (* module_not_derived = 32'd1 *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:664.11-670.18" *)
+  mkRFReg regs_26 (
+    .CLK(CLK),
+    .EN__write(\regs_26$EN__write ),
+    .RST_N(RST_N),
+    ._read(\regs_26$_read ),
+    ._write_1(\regs_26$_write_1 )
+  );
+  (* module_not_derived = 32'd1 *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:673.11-679.18" *)
+  mkRFReg regs_27 (
+    .CLK(CLK),
+    .EN__write(\regs_27$EN__write ),
+    .RST_N(RST_N),
+    ._read(\regs_27$_read ),
+    ._write_1(\regs_27$_write_1 )
+  );
+  (* module_not_derived = 32'd1 *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:682.11-688.18" *)
+  mkRFReg regs_28 (
+    .CLK(CLK),
+    .EN__write(\regs_28$EN__write ),
+    .RST_N(RST_N),
+    ._read(\regs_28$_read ),
+    ._write_1(\regs_28$_write_1 )
+  );
+  (* module_not_derived = 32'd1 *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:691.11-697.18" *)
+  mkRFReg regs_29 (
+    .CLK(CLK),
+    .EN__write(\regs_29$EN__write ),
+    .RST_N(RST_N),
+    ._read(\regs_29$_read ),
+    ._write_1(\regs_29$_write_1 )
+  );
+  (* module_not_derived = 32'd1 *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:700.11-706.17" *)
+  mkRFReg regs_3 (
+    .CLK(CLK),
+    .EN__write(\regs_3$EN__write ),
+    .RST_N(RST_N),
+    ._read(\regs_3$_read ),
+    ._write_1(\regs_3$_write_1 )
+  );
+  (* module_not_derived = 32'd1 *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:709.11-715.18" *)
+  mkRFReg regs_30 (
+    .CLK(CLK),
+    .EN__write(\regs_30$EN__write ),
+    .RST_N(RST_N),
+    ._read(\regs_30$_read ),
+    ._write_1(\regs_30$_write_1 )
+  );
+  (* module_not_derived = 32'd1 *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:718.11-724.18" *)
+  mkRFReg regs_31 (
+    .CLK(CLK),
+    .EN__write(\regs_31$EN__write ),
+    .RST_N(RST_N),
+    ._read(\regs_31$_read ),
+    ._write_1(\regs_31$_write_1 )
+  );
+  (* module_not_derived = 32'd1 *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:727.11-733.17" *)
+  mkRFReg regs_5 (
+    .CLK(CLK),
+    .EN__write(\regs_5$EN__write ),
+    .RST_N(RST_N),
+    ._read(\regs_5$_read ),
+    ._write_1(\regs_5$_write_1 )
+  );
+  (* module_not_derived = 32'd1 *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:736.11-742.17" *)
+  mkRFReg regs_6 (
+    .CLK(CLK),
+    .EN__write(\regs_6$EN__write ),
+    .RST_N(RST_N),
+    ._read(\regs_6$_read ),
+    ._write_1(\regs_6$_write_1 )
+  );
+  (* module_not_derived = 32'd1 *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:745.11-751.17" *)
+  mkRFReg regs_7 (
+    .CLK(CLK),
+    .EN__write(\regs_7$EN__write ),
+    .RST_N(RST_N),
+    ._read(\regs_7$_read ),
+    ._write_1(\regs_7$_write_1 )
+  );
+  (* module_not_derived = 32'd1 *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:754.11-760.17" *)
+  mkRFReg regs_8 (
+    .CLK(CLK),
+    .EN__write(\regs_8$EN__write ),
+    .RST_N(RST_N),
+    ._read(\regs_8$_read ),
+    ._write_1(\regs_8$_write_1 )
+  );
+  (* module_not_derived = 32'd1 *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:763.11-769.17" *)
+  mkRFReg regs_9 (
+    .CLK(CLK),
+    .EN__write(\regs_9$EN__write ),
+    .RST_N(RST_N),
+    ._read(\regs_9$_read ),
+    ._write_1(\regs_9$_write_1 )
+  );
+  always @* begin
+    if (\$auto$verilog_backend.cc:2083:dump_module$2160 ) begin end
+    _003_ = regs_4;
+    _004_ = status;
+    (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1307.5-1316.10" *)
+    casez (_015_)
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1307.9-1307.22" */
+      1'h1:
+        begin
+          _003_ = 32'd16384;
+          _004_ = 32'd0;
+        end
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1312.5-1312.9" */
+      default:
+        begin
+          (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1314.9-1314.47" *)
+          casez (\regs_4$EN )
+            /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1314.13-1314.22" */
+            1'h1:
+                _003_ = \regs_4$D_IN ;
+            default:
+                /* empty */;
+          endcase
+          (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1315.2-1315.40" *)
+          casez (\status$EN )
+            /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:1315.6-1315.15" */
+            1'h1:
+                _004_ = \status$D_IN ;
+            default:
+                /* empty */;
+          endcase
+        end
+    endcase
+  end
+  always @(posedge CLK) begin
+      regs_4 <= _003_;
+      status <= _004_;
+  end
+  always @* begin
+    if (\$auto$verilog_backend.cc:2083:dump_module$2160 ) begin end
+    _002_ = _007_;
+    (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:340.5-373.12" *)
+    casez (fetchRead_read_ix)
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:0.0-0.0" */
+      5'h00:
+          _007_ = 32'd0;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:0.0-0.0" */
+      5'h01:
+          _007_ = 32'd4294967295;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:0.0-0.0" */
+      5'h02:
+          _007_ = 32'd3735928559;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:0.0-0.0" */
+      5'h03:
+          _007_ = \regs_3$_read ;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:0.0-0.0" */
+      5'h04:
+          _007_ = regs_4;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:0.0-0.0" */
+      5'h05:
+          _007_ = \regs_5$_read ;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:0.0-0.0" */
+      5'h06:
+          _007_ = \regs_6$_read ;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:0.0-0.0" */
+      5'h07:
+          _007_ = \regs_7$_read ;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:0.0-0.0" */
+      5'h08:
+          _007_ = \regs_8$_read ;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:0.0-0.0" */
+      5'h09:
+          _007_ = \regs_9$_read ;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:0.0-0.0" */
+      5'h0a:
+          _007_ = \regs_10$_read ;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:0.0-0.0" */
+      5'h0b:
+          _007_ = \regs_11$_read ;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:0.0-0.0" */
+      5'h0c:
+          _007_ = \regs_12$_read ;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:0.0-0.0" */
+      5'h0d:
+          _007_ = \regs_13$_read ;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:0.0-0.0" */
+      5'h0e:
+          _007_ = \regs_14$_read ;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:0.0-0.0" */
+      5'h0f:
+          _007_ = \regs_15$_read ;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:0.0-0.0" */
+      5'h10:
+          _007_ = \regs_16$_read ;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:0.0-0.0" */
+      5'h11:
+          _007_ = \regs_17$_read ;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:0.0-0.0" */
+      5'h12:
+          _007_ = \regs_18$_read ;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:0.0-0.0" */
+      5'h13:
+          _007_ = \regs_19$_read ;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:0.0-0.0" */
+      5'h14:
+          _007_ = \regs_20$_read ;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:0.0-0.0" */
+      5'h15:
+          _007_ = \regs_21$_read ;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:0.0-0.0" */
+      5'h16:
+          _007_ = \regs_22$_read ;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:0.0-0.0" */
+      5'h17:
+          _007_ = \regs_23$_read ;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:0.0-0.0" */
+      5'h18:
+          _007_ = \regs_24$_read ;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:0.0-0.0" */
+      5'h19:
+          _007_ = \regs_25$_read ;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:0.0-0.0" */
+      5'h1a:
+          _007_ = \regs_26$_read ;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:0.0-0.0" */
+      5'h1b:
+          _007_ = \regs_27$_read ;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:0.0-0.0" */
+      5'h1c:
+          _007_ = \regs_28$_read ;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:0.0-0.0" */
+      5'h1d:
+          _007_ = \regs_29$_read ;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:0.0-0.0" */
+      5'h1e:
+          _007_ = \regs_30$_read ;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:0.0-0.0" */
+      5'h1f:
+          _007_ = \regs_31$_read ;
+      default:
+          _007_ = fetchRead_read;
+    endcase
+  end
+  always @* begin
+      fetchRead_read <= _002_;
+  end
+  always @* begin
+    if (\$auto$verilog_backend.cc:2083:dump_module$2160 ) begin end
+    _000_ = _005_;
+    (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:406.5-439.12" *)
+    casez (computeSource1_read_ix)
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:0.0-0.0" */
+      5'h00:
+          _005_ = 32'd0;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:0.0-0.0" */
+      5'h01:
+          _005_ = 32'd4294967295;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:0.0-0.0" */
+      5'h02:
+          _005_ = 32'd3735928559;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:0.0-0.0" */
+      5'h03:
+          _005_ = \regs_3$_read ;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:0.0-0.0" */
+      5'h04:
+          _005_ = regs_4;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:0.0-0.0" */
+      5'h05:
+          _005_ = \regs_5$_read ;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:0.0-0.0" */
+      5'h06:
+          _005_ = \regs_6$_read ;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:0.0-0.0" */
+      5'h07:
+          _005_ = \regs_7$_read ;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:0.0-0.0" */
+      5'h08:
+          _005_ = \regs_8$_read ;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:0.0-0.0" */
+      5'h09:
+          _005_ = \regs_9$_read ;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:0.0-0.0" */
+      5'h0a:
+          _005_ = \regs_10$_read ;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:0.0-0.0" */
+      5'h0b:
+          _005_ = \regs_11$_read ;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:0.0-0.0" */
+      5'h0c:
+          _005_ = \regs_12$_read ;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:0.0-0.0" */
+      5'h0d:
+          _005_ = \regs_13$_read ;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:0.0-0.0" */
+      5'h0e:
+          _005_ = \regs_14$_read ;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:0.0-0.0" */
+      5'h0f:
+          _005_ = \regs_15$_read ;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:0.0-0.0" */
+      5'h10:
+          _005_ = \regs_16$_read ;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:0.0-0.0" */
+      5'h11:
+          _005_ = \regs_17$_read ;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:0.0-0.0" */
+      5'h12:
+          _005_ = \regs_18$_read ;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:0.0-0.0" */
+      5'h13:
+          _005_ = \regs_19$_read ;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:0.0-0.0" */
+      5'h14:
+          _005_ = \regs_20$_read ;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:0.0-0.0" */
+      5'h15:
+          _005_ = \regs_21$_read ;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:0.0-0.0" */
+      5'h16:
+          _005_ = \regs_22$_read ;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:0.0-0.0" */
+      5'h17:
+          _005_ = \regs_23$_read ;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:0.0-0.0" */
+      5'h18:
+          _005_ = \regs_24$_read ;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:0.0-0.0" */
+      5'h19:
+          _005_ = \regs_25$_read ;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:0.0-0.0" */
+      5'h1a:
+          _005_ = \regs_26$_read ;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:0.0-0.0" */
+      5'h1b:
+          _005_ = \regs_27$_read ;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:0.0-0.0" */
+      5'h1c:
+          _005_ = \regs_28$_read ;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:0.0-0.0" */
+      5'h1d:
+          _005_ = \regs_29$_read ;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:0.0-0.0" */
+      5'h1e:
+          _005_ = \regs_30$_read ;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:0.0-0.0" */
+      5'h1f:
+          _005_ = \regs_31$_read ;
+      default:
+          _005_ = computeSource1_read;
+    endcase
+  end
+  always @* begin
+      computeSource1_read <= _000_;
+  end
+  always @* begin
+    if (\$auto$verilog_backend.cc:2083:dump_module$2160 ) begin end
+    _001_ = _006_;
+    (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:472.5-505.12" *)
+    casez (computeSource2_read_ix)
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:0.0-0.0" */
+      5'h00:
+          _006_ = 32'd0;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:0.0-0.0" */
+      5'h01:
+          _006_ = 32'd4294967295;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:0.0-0.0" */
+      5'h02:
+          _006_ = 32'd3735928559;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:0.0-0.0" */
+      5'h03:
+          _006_ = \regs_3$_read ;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:0.0-0.0" */
+      5'h04:
+          _006_ = regs_4;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:0.0-0.0" */
+      5'h05:
+          _006_ = \regs_5$_read ;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:0.0-0.0" */
+      5'h06:
+          _006_ = \regs_6$_read ;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:0.0-0.0" */
+      5'h07:
+          _006_ = \regs_7$_read ;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:0.0-0.0" */
+      5'h08:
+          _006_ = \regs_8$_read ;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:0.0-0.0" */
+      5'h09:
+          _006_ = \regs_9$_read ;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:0.0-0.0" */
+      5'h0a:
+          _006_ = \regs_10$_read ;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:0.0-0.0" */
+      5'h0b:
+          _006_ = \regs_11$_read ;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:0.0-0.0" */
+      5'h0c:
+          _006_ = \regs_12$_read ;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:0.0-0.0" */
+      5'h0d:
+          _006_ = \regs_13$_read ;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:0.0-0.0" */
+      5'h0e:
+          _006_ = \regs_14$_read ;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:0.0-0.0" */
+      5'h0f:
+          _006_ = \regs_15$_read ;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:0.0-0.0" */
+      5'h10:
+          _006_ = \regs_16$_read ;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:0.0-0.0" */
+      5'h11:
+          _006_ = \regs_17$_read ;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:0.0-0.0" */
+      5'h12:
+          _006_ = \regs_18$_read ;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:0.0-0.0" */
+      5'h13:
+          _006_ = \regs_19$_read ;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:0.0-0.0" */
+      5'h14:
+          _006_ = \regs_20$_read ;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:0.0-0.0" */
+      5'h15:
+          _006_ = \regs_21$_read ;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:0.0-0.0" */
+      5'h16:
+          _006_ = \regs_22$_read ;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:0.0-0.0" */
+      5'h17:
+          _006_ = \regs_23$_read ;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:0.0-0.0" */
+      5'h18:
+          _006_ = \regs_24$_read ;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:0.0-0.0" */
+      5'h19:
+          _006_ = \regs_25$_read ;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:0.0-0.0" */
+      5'h1a:
+          _006_ = \regs_26$_read ;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:0.0-0.0" */
+      5'h1b:
+          _006_ = \regs_27$_read ;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:0.0-0.0" */
+      5'h1c:
+          _006_ = \regs_28$_read ;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:0.0-0.0" */
+      5'h1d:
+          _006_ = \regs_29$_read ;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:0.0-0.0" */
+      5'h1e:
+          _006_ = \regs_30$_read ;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkCPURegisterFile.v:0.0-0.0" */
+      5'h1f:
+          _006_ = \regs_31$_read ;
+      default:
+          _006_ = computeSource2_read;
+    endcase
+  end
+  always @* begin
+      computeSource2_read <= _001_;
+  end
+  assign RDY_fetchRead_read = 1'h1;
+  assign RDY_computeSource1_read = 1'h1;
+  assign RDY_computeSource2_read = 1'h1;
+  assign computeStatusSource_read = { 28'h0000000, status[3:0] };
+  assign RDY_computeStatusSource_read = 1'h1;
+  assign RDY_computeWrite_write = 1'h1;
+  assign RDY_memoryWrite_write = 1'h1;
+  assign WILL_FIRE_RL_foo = _105_;
+  assign CAN_FIRE_RL_foo_1 = _106_;
+  assign WILL_FIRE_RL_foo_2 = _107_;
+  assign CAN_FIRE_RL_foo_3 = _108_;
+  assign WILL_FIRE_RL_foo_4 = _109_;
+  assign CAN_FIRE_RL_foo_5 = _110_;
+  assign WILL_FIRE_RL_foo_6 = _111_;
+  assign CAN_FIRE_RL_foo_7 = _112_;
+  assign WILL_FIRE_RL_foo_8 = _113_;
+  assign CAN_FIRE_RL_foo_9 = _114_;
+  assign WILL_FIRE_RL_foo_10 = _115_;
+  assign CAN_FIRE_RL_foo_11 = _116_;
+  assign WILL_FIRE_RL_foo_12 = _117_;
+  assign CAN_FIRE_RL_foo_13 = _118_;
+  assign WILL_FIRE_RL_foo_14 = _119_;
+  assign CAN_FIRE_RL_foo_15 = _120_;
+  assign WILL_FIRE_RL_foo_16 = _121_;
+  assign CAN_FIRE_RL_foo_17 = _122_;
+  assign WILL_FIRE_RL_foo_18 = _123_;
+  assign CAN_FIRE_RL_foo_19 = _124_;
+  assign WILL_FIRE_RL_foo_20 = _125_;
+  assign CAN_FIRE_RL_foo_21 = _126_;
+  assign WILL_FIRE_RL_foo_22 = _127_;
+  assign CAN_FIRE_RL_foo_23 = _128_;
+  assign WILL_FIRE_RL_foo_24 = _129_;
+  assign CAN_FIRE_RL_foo_25 = _130_;
+  assign WILL_FIRE_RL_foo_26 = _131_;
+  assign CAN_FIRE_RL_foo_27 = _132_;
+  assign WILL_FIRE_RL_foo_28 = _133_;
+  assign CAN_FIRE_RL_foo_29 = _134_;
+  assign WILL_FIRE_RL_foo_30 = _135_;
+  assign CAN_FIRE_RL_foo_31 = _136_;
+  assign WILL_FIRE_RL_foo_32 = _137_;
+  assign CAN_FIRE_RL_foo_33 = _138_;
+  assign WILL_FIRE_RL_foo_34 = _139_;
+  assign CAN_FIRE_RL_foo_35 = _140_;
+  assign WILL_FIRE_RL_foo_36 = _141_;
+  assign CAN_FIRE_RL_foo_37 = _142_;
+  assign WILL_FIRE_RL_foo_38 = _143_;
+  assign CAN_FIRE_RL_foo_39 = _144_;
+  assign WILL_FIRE_RL_foo_40 = _145_;
+  assign CAN_FIRE_RL_foo_41 = _146_;
+  assign WILL_FIRE_RL_foo_42 = _147_;
+  assign CAN_FIRE_RL_foo_43 = _148_;
+  assign WILL_FIRE_RL_foo_44 = _149_;
+  assign CAN_FIRE_RL_foo_45 = _150_;
+  assign WILL_FIRE_RL_foo_46 = _151_;
+  assign CAN_FIRE_RL_foo_47 = _152_;
+  assign WILL_FIRE_RL_foo_48 = _153_;
+  assign CAN_FIRE_RL_foo_49 = _154_;
+  assign WILL_FIRE_RL_foo_50 = _155_;
+  assign CAN_FIRE_RL_foo_51 = _067_;
+  assign WILL_FIRE_RL_foo_52 = _068_;
+  assign CAN_FIRE_RL_foo_53 = _069_;
+  assign WILL_FIRE_RL_foo_54 = _070_;
+  assign CAN_FIRE_RL_foo_55 = _071_;
+  assign WILL_FIRE_RL_foo_56 = _072_;
+  assign CAN_FIRE_RL_foo_57 = _073_;
+  assign \writeReqCompute$wget  = computeWrite_write_rd[36:0];
+  assign \writeReqCompute$whas  = _074_;
+  assign \writeReqMemory$wget  = { memoryWrite_write_rd, memoryWrite_write_value };
+  assign \regs_4$D_IN  = _214_;
+  assign \regs_4$EN  = _185_;
+  assign \status$D_IN  = { 28'h0000000, computeWrite_write_sw[3:0] };
+  assign \status$EN  = _076_;
+  assign \regs_10$_write_1  = _215_;
+  assign \regs_10$EN__write  = _186_;
+  assign \regs_11$_write_1  = _216_;
+  assign \regs_11$EN__write  = _187_;
+  assign \regs_12$_write_1  = _217_;
+  assign \regs_12$EN__write  = _188_;
+  assign \regs_13$_write_1  = _218_;
+  assign \regs_13$EN__write  = _189_;
+  assign \regs_14$_write_1  = _219_;
+  assign \regs_14$EN__write  = _190_;
+  assign \regs_15$_write_1  = _220_;
+  assign \regs_15$EN__write  = _191_;
+  assign \regs_16$_write_1  = _221_;
+  assign \regs_16$EN__write  = _192_;
+  assign \regs_17$_write_1  = _222_;
+  assign \regs_17$EN__write  = _193_;
+  assign \regs_18$_write_1  = _223_;
+  assign \regs_18$EN__write  = _194_;
+  assign \regs_19$_write_1  = _224_;
+  assign \regs_19$EN__write  = _195_;
+  assign \regs_20$_write_1  = _225_;
+  assign \regs_20$EN__write  = _196_;
+  assign \regs_21$_write_1  = _226_;
+  assign \regs_21$EN__write  = _197_;
+  assign \regs_22$_write_1  = _227_;
+  assign \regs_22$EN__write  = _198_;
+  assign \regs_23$_write_1  = _228_;
+  assign \regs_23$EN__write  = _199_;
+  assign \regs_24$_write_1  = _229_;
+  assign \regs_24$EN__write  = _200_;
+  assign \regs_25$_write_1  = _230_;
+  assign \regs_25$EN__write  = _201_;
+  assign \regs_26$_write_1  = _231_;
+  assign \regs_26$EN__write  = _202_;
+  assign \regs_27$_write_1  = _232_;
+  assign \regs_27$EN__write  = _203_;
+  assign \regs_28$_write_1  = _233_;
+  assign \regs_28$EN__write  = _204_;
+  assign \regs_29$_write_1  = _234_;
+  assign \regs_29$EN__write  = _205_;
+  assign \regs_3$_write_1  = _235_;
+  assign \regs_3$EN__write  = _206_;
+  assign \regs_30$_write_1  = _236_;
+  assign \regs_30$EN__write  = _207_;
+  assign \regs_31$_write_1  = _237_;
+  assign \regs_31$EN__write  = _208_;
+  assign \regs_5$_write_1  = _238_;
+  assign \regs_5$EN__write  = _209_;
+  assign \regs_6$_write_1  = _239_;
+  assign \regs_6$EN__write  = _210_;
+  assign \regs_7$_write_1  = _240_;
+  assign \regs_7$EN__write  = _211_;
+  assign \regs_8$_write_1  = _241_;
+  assign \regs_8$EN__write  = _212_;
+  assign \regs_9$_write_1  = _242_;
+  assign \regs_9$EN__write  = _213_;
+  assign computeWrite_write_rd_BITS_36_TO_0__q1 = computeWrite_write_rd[36:0];
+endmodule
+
+(* hdlname = "\\mkLanaiCPU" *)
+(* top =  1  *)
+(* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:70.1-3305.10" *)
+module mkLanaiCPU(
+`ifdef USE_POWER_PINS
+    VPWR,
+    VGND,
+`endif
+    CLK, RST_N, EN_dmem_client_request_get, dmem_client_request_get, RDY_dmem_client_request_get, dmem_client_response_put, EN_dmem_client_response_put, RDY_dmem_client_response_put, EN_imem_client_request_get, imem_client_request_get, RDY_imem_client_request_get, imem_client_response_put, EN_imem_client_response_put, RDY_imem_client_response_put, sysmem_client_cyc_o, sysmem_client_stb_o, sysmem_client_adr_o, sysmem_client_dat_o, sysmem_client_sel_o, sysmem_client_we_o, sysmem_client_ack_i
+, sysmem_client_err_i, sysmem_client_rty_i, sysmem_client_dat_i, readPC, RDY_readPC);
+`ifdef USE_POWER_PINS
+    inout VPWR;
+    inout VGND;
+`endif
+  reg \$auto$verilog_backend.cc:2083:dump_module$2161  = 0;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2602.3-2664.6" *)
+  reg _0000_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2390.3-2417.6" *)
+  reg [7:0] _0001_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2418.3-2480.6" *)
+  reg _0002_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2665.3-2696.6" *)
+  reg [31:0] _0003_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2519.3-2540.6" *)
+  reg [7:0] _0004_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2553.3-2568.6" *)
+  reg [2:0] _0005_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2541.3-2552.6" *)
+  reg [2:0] _0006_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2569.3-2601.6" *)
+  reg [31:0] _0007_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2377.3-2389.6" *)
+  reg [7:0] _0008_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2314.3-2376.6" *)
+  reg _0009_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2506.3-2518.6" *)
+  reg [7:0] _0010_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2495.3-2505.6" *)
+  reg [2:0] _0011_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2481.3-2494.6" *)
+  reg [31:0] _0012_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2700.3-2792.6" *)
+  reg [64:0] _0013_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2700.3-2792.6" *)
+  reg [5:0] _0014_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2700.3-2792.6" *)
+  reg [83:0] _0015_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2700.3-2792.6" *)
+  reg [31:0] _0016_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2700.3-2792.6" *)
+  reg [31:0] _0017_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2700.3-2792.6" *)
+  reg [31:0] _0018_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2700.3-2792.6" *)
+  reg [31:0] _0019_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2700.3-2792.6" *)
+  reg [31:0] _0020_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2700.3-2792.6" *)
+  reg [33:0] _0021_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2700.3-2792.6" *)
+  reg [64:0] _0022_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2700.3-2792.6" *)
+  reg [64:0] _0023_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2700.3-2792.6" *)
+  reg [64:0] _0024_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2700.3-2792.6" *)
+  reg [83:0] _0025_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2700.3-2792.6" *)
+  reg [32:0] _0026_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2700.3-2792.6" *)
+  reg [31:0] _0027_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2700.3-2792.6" *)
+  reg [64:0] _0028_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2700.3-2792.6" *)
+  reg [33:0] _0029_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2700.3-2792.6" *)
+  reg _0030_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2700.3-2792.6" *)
+  reg [100:0] _0031_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2700.3-2792.6" *)
+  reg [69:0] _0032_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2700.3-2792.6" *)
+  reg [33:0] _0033_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2700.3-2792.6" *)
+  reg [70:0] _0034_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2602.3-2664.6" *)
+  reg _0035_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2390.3-2417.6" *)
+  reg [7:0] _0036_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2418.3-2480.6" *)
+  reg _0037_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2665.3-2696.6" *)
+  reg [31:0] _0038_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2519.3-2540.6" *)
+  reg [7:0] _0039_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2553.3-2568.6" *)
+  reg [2:0] _0040_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2541.3-2552.6" *)
+  reg [2:0] _0041_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2569.3-2601.6" *)
+  reg [31:0] _0042_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2377.3-2389.6" *)
+  reg [7:0] _0043_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2314.3-2376.6" *)
+  reg _0044_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2506.3-2518.6" *)
+  reg [7:0] _0045_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2495.3-2505.6" *)
+  reg [2:0] _0046_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2481.3-2494.6" *)
+  reg [31:0] _0047_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1026.7-1026.42" *)
+  wire [31:0] _0048_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1034.43-1034.76" *)
+  wire [31:0] _0049_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1045.42-1045.74" *)
+  wire [31:0] _0050_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1054.37-1054.64" *)
+  wire [31:0] _0051_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1060.29-1060.48" *)
+  wire [31:0] _0052_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2094.7-2095.65" *)
+  wire [31:0] _0053_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2097.7-2099.41" *)
+  wire [31:0] _0054_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2241.26-2241.69" *)
+  wire [31:0] _0055_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2281.22-2281.77" *)
+  wire [31:0] _0056_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2284.22-2284.49" *)
+  wire [31:0] _0057_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2289.21-2289.54" *)
+  wire [31:0] _0058_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1057.7-1057.37" *)
+  wire _0059_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1131.4-1131.34" *)
+  wire _0060_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1132.4-1132.35" *)
+  wire _0061_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1135.6-1135.36" *)
+  wire _0062_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1137.8-1137.37" *)
+  wire _0063_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1141.5-1141.32" *)
+  wire _0064_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1143.9-1143.39" *)
+  wire _0065_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1149.9-1149.43" *)
+  wire _0066_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1150.10-1150.59" *)
+  wire _0067_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1190.9-1190.38" *)
+  wire _0068_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1192.5-1192.35" *)
+  wire _0069_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1194.6-1194.36" *)
+  wire _0070_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1195.6-1195.35" *)
+  wire _0071_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1201.8-1201.38" *)
+  wire _0072_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1203.11-1203.41" *)
+  wire _0073_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1204.4-1204.32" *)
+  wire _0074_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1206.7-1206.37" *)
+  wire _0075_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1207.7-1207.36" *)
+  wire _0076_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1280.11-1280.56" *)
+  wire _0077_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1313.7-1313.50" *)
+  wire _0078_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1314.7-1314.50" *)
+  wire _0079_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1323.8-1323.37" *)
+  wire _0080_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1325.11-1325.41" *)
+  wire _0081_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1329.8-1329.37" *)
+  wire _0082_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1330.8-1330.38" *)
+  wire _0083_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1334.8-1334.38" *)
+  wire _0084_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1336.11-1336.41" *)
+  wire _0085_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1337.4-1337.32" *)
+  wire _0086_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1341.8-1341.37" *)
+  wire _0087_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1343.11-1343.41" *)
+  wire _0088_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1345.7-1345.37" *)
+  wire _0089_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1346.7-1346.35" *)
+  wire _0090_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1350.8-1350.38" *)
+  wire _0091_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1351.30-1351.59" *)
+  wire _0092_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1355.7-1356.24" *)
+  wire _0093_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1359.9-1359.39" *)
+  wire _0094_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1365.7-1365.35" *)
+  wire _0095_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1367.7-1367.36" *)
+  wire _0096_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1369.7-1369.58" *)
+  wire _0097_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1371.7-1371.31" *)
+  wire _0098_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1381.11-1381.57" *)
+  wire _0099_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1388.9-1388.35" *)
+  wire _0100_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1388.39-1388.65" *)
+  wire _0101_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1401.7-1401.37" *)
+  wire _0102_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1402.7-1402.35" *)
+  wire _0103_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1403.7-1403.35" *)
+  wire _0104_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1408.7-1408.37" *)
+  wire _0105_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1409.7-1409.35" *)
+  wire _0106_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1410.7-1410.35" *)
+  wire _0107_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1415.7-1415.37" *)
+  wire _0108_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1416.7-1416.35" *)
+  wire _0109_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1521.3-1521.28" *)
+  wire _0110_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1522.5-1522.34" *)
+  wire _0111_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1522.3-1530.7" *)
+  wire _0112_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1524.8-1524.38" *)
+  wire _0113_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1526.11-1526.41" *)
+  wire _0114_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1527.4-1527.32" *)
+  wire _0115_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1535.9-1535.38" *)
+  wire _0116_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1537.5-1537.35" *)
+  wire _0117_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1539.6-1539.36" *)
+  wire _0118_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1540.6-1540.35" *)
+  wire _0119_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1546.7-1546.37" *)
+  wire _0120_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1552.7-1552.41" *)
+  wire _0121_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1557.7-1557.37" *)
+  wire _0122_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1563.7-1563.41" *)
+  wire _0123_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1569.7-1569.37" *)
+  wire _0124_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1575.7-1575.41" *)
+  wire _0125_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1581.7-1581.37" *)
+  wire _0126_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1587.7-1587.41" *)
+  wire _0127_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1593.7-1593.37" *)
+  wire _0128_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1599.7-1599.41" *)
+  wire _0129_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1605.7-1605.37" *)
+  wire _0130_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1611.7-1611.41" *)
+  wire _0131_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1617.7-1617.37" *)
+  wire _0132_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1623.7-1623.41" *)
+  wire _0133_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1629.7-1629.37" *)
+  wire _0134_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1635.7-1635.41" *)
+  wire _0135_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1641.7-1641.37" *)
+  wire _0136_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1647.7-1647.41" *)
+  wire _0137_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1653.7-1653.37" *)
+  wire _0138_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1654.8-1654.57" *)
+  wire _0139_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1661.7-1661.37" *)
+  wire _0140_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1663.8-1663.57" *)
+  wire _0141_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1669.7-1669.37" *)
+  wire _0142_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1670.7-1670.56" *)
+  wire _0143_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1675.7-1675.37" *)
+  wire _0144_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1677.7-1677.56" *)
+  wire _0145_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1682.7-1682.37" *)
+  wire _0146_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1684.7-1684.56" *)
+  wire _0147_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1689.7-1689.37" *)
+  wire _0148_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1691.7-1691.56" *)
+  wire _0149_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1696.7-1696.37" *)
+  wire _0150_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1698.7-1698.56" *)
+  wire _0151_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1703.7-1703.37" *)
+  wire _0152_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1705.7-1705.56" *)
+  wire _0153_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1710.7-1710.37" *)
+  wire _0154_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1712.7-1712.56" *)
+  wire _0155_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1717.7-1717.37" *)
+  wire _0156_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1719.7-1719.56" *)
+  wire _0157_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1724.7-1724.37" *)
+  wire _0158_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1726.7-1726.56" *)
+  wire _0159_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1731.7-1731.37" *)
+  wire _0160_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1733.7-1733.57" *)
+  wire _0161_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1738.7-1738.37" *)
+  wire _0162_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1740.7-1740.57" *)
+  wire _0163_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1745.7-1745.37" *)
+  wire _0164_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1747.7-1747.57" *)
+  wire _0165_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1752.7-1752.37" *)
+  wire _0166_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1754.7-1754.57" *)
+  wire _0167_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1759.7-1759.37" *)
+  wire _0168_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1761.7-1761.57" *)
+  wire _0169_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1766.7-1766.37" *)
+  wire _0170_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1827.7-1827.37" *)
+  wire _0171_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1828.7-1828.35" *)
+  wire _0172_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1833.7-1833.37" *)
+  wire _0173_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1834.7-1834.35" *)
+  wire _0174_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1835.7-1835.34" *)
+  wire _0175_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1840.7-1840.37" *)
+  wire _0176_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1841.7-1841.35" *)
+  wire _0177_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1842.7-1842.34" *)
+  wire _0178_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1847.7-1847.37" *)
+  wire _0179_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1848.7-1848.35" *)
+  wire _0180_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1849.7-1849.34" *)
+  wire _0181_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1854.7-1854.37" *)
+  wire _0182_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1855.7-1855.35" *)
+  wire _0183_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1856.7-1856.34" *)
+  wire _0184_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1861.7-1861.37" *)
+  wire _0185_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1862.7-1862.35" *)
+  wire _0186_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1863.7-1863.34" *)
+  wire _0187_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1868.7-1868.37" *)
+  wire _0188_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1869.7-1869.35" *)
+  wire _0189_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1870.7-1870.34" *)
+  wire _0190_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1875.7-1875.37" *)
+  wire _0191_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1876.7-1876.35" *)
+  wire _0192_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1877.7-1877.34" *)
+  wire _0193_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1882.7-1882.37" *)
+  wire _0194_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1883.7-1883.35" *)
+  wire _0195_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1884.7-1884.34" *)
+  wire _0196_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1889.7-1889.37" *)
+  wire _0197_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1890.7-1890.35" *)
+  wire _0198_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1891.7-1891.34" *)
+  wire _0199_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1896.7-1896.37" *)
+  wire _0200_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1897.7-1897.35" *)
+  wire _0201_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1898.7-1898.34" *)
+  wire _0202_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1903.7-1903.37" *)
+  wire _0203_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1904.7-1904.35" *)
+  wire _0204_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1905.7-1905.35" *)
+  wire _0205_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1910.7-1910.37" *)
+  wire _0206_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1911.7-1911.35" *)
+  wire _0207_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1912.7-1912.35" *)
+  wire _0208_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1917.7-1917.37" *)
+  wire _0209_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1918.7-1918.35" *)
+  wire _0210_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1919.7-1919.35" *)
+  wire _0211_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1924.7-1924.37" *)
+  wire _0212_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1925.7-1925.35" *)
+  wire _0213_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1926.7-1926.35" *)
+  wire _0214_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1931.7-1931.37" *)
+  wire _0215_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1932.7-1932.35" *)
+  wire _0216_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1933.7-1933.35" *)
+  wire _0217_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1938.7-1938.37" *)
+  wire _0218_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1939.7-1939.35" *)
+  wire _0219_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1940.7-1940.35" *)
+  wire _0220_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1945.7-1945.37" *)
+  wire _0221_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1946.7-1946.35" *)
+  wire _0222_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1947.7-1947.35" *)
+  wire _0223_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1952.7-1952.37" *)
+  wire _0224_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1953.7-1953.35" *)
+  wire _0225_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1954.7-1954.35" *)
+  wire _0226_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1959.7-1959.37" *)
+  wire _0227_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1960.7-1960.35" *)
+  wire _0228_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1961.7-1961.35" *)
+  wire _0229_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1966.7-1966.37" *)
+  wire _0230_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1967.7-1967.35" *)
+  wire _0231_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1968.7-1968.35" *)
+  wire _0232_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1973.7-1973.37" *)
+  wire _0233_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1974.7-1974.35" *)
+  wire _0234_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1975.7-1975.35" *)
+  wire _0235_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1980.7-1980.37" *)
+  wire _0236_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1981.7-1981.35" *)
+  wire _0237_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1982.7-1982.35" *)
+  wire _0238_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1987.7-1987.37" *)
+  wire _0239_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1988.7-1988.35" *)
+  wire _0240_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1989.7-1989.35" *)
+  wire _0241_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1994.7-1994.37" *)
+  wire _0242_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1995.7-1995.35" *)
+  wire _0243_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1996.7-1996.35" *)
+  wire _0244_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2001.7-2001.37" *)
+  wire _0245_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2002.7-2002.35" *)
+  wire _0246_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2003.7-2003.35" *)
+  wire _0247_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2008.7-2008.37" *)
+  wire _0248_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2009.7-2009.35" *)
+  wire _0249_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2010.7-2010.35" *)
+  wire _0250_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2015.7-2015.37" *)
+  wire _0251_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2016.7-2016.35" *)
+  wire _0252_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2017.7-2017.35" *)
+  wire _0253_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2022.7-2022.37" *)
+  wire _0254_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2023.7-2023.35" *)
+  wire _0255_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2024.7-2024.35" *)
+  wire _0256_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2029.7-2029.37" *)
+  wire _0257_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2030.7-2030.35" *)
+  wire _0258_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2031.7-2031.35" *)
+  wire _0259_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2038.8-2038.54" *)
+  wire _0260_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2039.8-2039.53" *)
+  wire _0261_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2040.8-2040.53" *)
+  wire _0262_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2041.8-2041.54" *)
+  wire _0263_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2042.8-2042.54" *)
+  wire _0264_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2043.9-2043.53" *)
+  wire _0265_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2044.9-2044.54" *)
+  wire _0266_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2052.8-2052.54" *)
+  wire _0267_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2053.8-2053.53" *)
+  wire _0268_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2054.8-2054.53" *)
+  wire _0269_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2055.8-2055.54" *)
+  wire _0270_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2056.8-2056.54" *)
+  wire _0271_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2058.9-2058.53" *)
+  wire _0272_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2059.9-2059.54" *)
+  wire _0273_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2108.7-2108.37" *)
+  wire _0274_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2114.7-2114.37" *)
+  wire _0275_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2115.7-2115.36" *)
+  wire _0276_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2116.7-2116.37" *)
+  wire _0277_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2117.7-2117.37" *)
+  wire _0278_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2118.29-2118.57" *)
+  wire _0279_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2124.9-2124.38" *)
+  wire _0280_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2126.5-2126.35" *)
+  wire _0281_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2128.7-2128.56" *)
+  wire _0282_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2137.5-2137.34" *)
+  wire _0283_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2139.8-2139.38" *)
+  wire _0284_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2141.11-2141.41" *)
+  wire _0285_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2142.4-2142.32" *)
+  wire _0286_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2150.7-2150.47" *)
+  wire _0287_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2153.9-2153.38" *)
+  wire _0288_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2155.5-2155.35" *)
+  wire _0289_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2156.6-2156.55" *)
+  wire _0290_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2159.6-2159.36" *)
+  wire _0291_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2160.7-2160.35" *)
+  wire _0292_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2161.7-2161.36" *)
+  wire _0293_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2163.7-2163.37" *)
+  wire _0294_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2165.8-2165.57" *)
+  wire _0295_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2169.7-2169.37" *)
+  wire _0296_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2171.8-2171.57" *)
+  wire _0297_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2175.7-2175.37" *)
+  wire _0298_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2177.8-2177.57" *)
+  wire _0299_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2181.7-2181.37" *)
+  wire _0300_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2183.8-2183.57" *)
+  wire _0301_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2187.7-2187.37" *)
+  wire _0302_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2189.8-2189.57" *)
+  wire _0303_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2193.7-2193.37" *)
+  wire _0304_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2195.8-2195.57" *)
+  wire _0305_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2199.7-2199.37" *)
+  wire _0306_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2201.8-2201.57" *)
+  wire _0307_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2205.7-2205.37" *)
+  wire _0308_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2207.8-2207.57" *)
+  wire _0309_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2249.8-2249.64" *)
+  wire _0310_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2251.11-2251.38" *)
+  wire _0311_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2256.8-2257.41" *)
+  wire _0312_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2259.11-2260.8" *)
+  wire _0313_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2273.11-2273.41" *)
+  wire _0314_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2278.11-2278.40" *)
+  wire _0315_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2501.7-2501.56" *)
+  wire _0316_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2582.7-2582.53" *)
+  wire _0317_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2584.10-2584.56" *)
+  wire _0318_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2590.6-2590.52" *)
+  wire _0319_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2591.6-2591.50" *)
+  wire _0320_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2678.7-2678.37" *)
+  wire _0321_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2680.10-2680.40" *)
+  wire _0322_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2685.6-2685.36" *)
+  wire _0323_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2686.6-2686.34" *)
+  wire _0324_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2702.9-2702.22" *)
+  wire _0325_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:586.9-586.35" *)
+  wire _0326_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:588.9-588.35" *)
+  wire _0327_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:722.7-722.40" *)
+  wire _0328_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:741.11-741.41" *)
+  wire _0329_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:786.42-786.62" *)
+  wire _0330_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:797.42-797.68" *)
+  wire _0331_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:798.7-798.31" *)
+  wire _0332_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:801.7-801.40" *)
+  wire _0333_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:834.7-834.40" *)
+  wire _0334_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:836.7-836.40" *)
+  wire _0335_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:905.6-905.35" *)
+  wire _0336_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:907.9-907.39" *)
+  wire _0337_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:909.12-909.42" *)
+  wire _0338_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:912.8-912.38" *)
+  wire _0339_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:913.8-913.36" *)
+  wire _0340_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:915.11-915.41" *)
+  wire _0341_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:916.11-916.40" *)
+  wire _0342_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:922.6-922.36" *)
+  wire _0343_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:923.6-923.35" *)
+  wire _0344_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:945.8-945.34" *)
+  wire _0345_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:945.38-945.64" *)
+  wire _0346_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:946.8-946.34" *)
+  wire _0347_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:949.9-949.35" *)
+  wire _0348_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:973.9-973.35" *)
+  wire _0349_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:693.7-693.47" *)
+  wire _0350_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1003.7-1004.53" *)
+  wire _0351_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1028.7-1030.52" *)
+  wire _0352_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1028.7-1031.64" *)
+  wire _0353_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1036.7-1038.52" *)
+  wire _0354_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1036.7-1039.65" *)
+  wire _0355_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1036.7-1040.65" *)
+  wire _0356_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1036.7-1042.66" *)
+  wire _0357_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1047.7-1049.52" *)
+  wire _0358_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1047.7-1050.65" *)
+  wire _0359_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1047.7-1051.64" *)
+  wire _0360_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1056.7-1057.37" *)
+  wire _0361_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1131.4-1132.35" *)
+  wire _0362_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1136.7-1138.39" *)
+  wire _0363_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1140.4-1142.36" *)
+  wire _0364_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1143.9-1144.36" *)
+  wire _0365_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1143.9-1145.38" *)
+  wire _0366_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1143.9-1146.38" *)
+  wire _0367_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1143.9-1147.38" *)
+  wire _0368_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1143.9-1148.38" *)
+  wire _0369_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1143.9-1149.43" *)
+  wire _0370_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1143.9-1152.58" *)
+  wire _0371_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1151.3-1152.57" *)
+  wire _0372_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1154.7-1155.65" *)
+  wire _0373_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1167.9-1168.67" *)
+  wire _0374_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1178.7-1181.67" *)
+  wire _0375_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1180.8-1181.66" *)
+  wire _0376_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1183.7-1183.60" *)
+  wire _0377_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1183.7-1184.40" *)
+  wire _0378_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1185.7-1185.67" *)
+  wire _0379_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1185.7-1186.40" *)
+  wire _0380_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1192.5-1192.56" *)
+  wire _0381_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1194.6-1195.35" *)
+  wire _0382_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1194.6-1196.22" *)
+  wire _0383_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1201.8-1201.59" *)
+  wire _0384_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1203.11-1204.32" *)
+  wire _0385_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1206.7-1207.36" *)
+  wire _0386_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1211.8-1212.52" *)
+  wire _0387_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1215.11-1216.50" *)
+  wire _0388_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1215.11-1217.49" *)
+  wire _0389_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1215.11-1218.49" *)
+  wire _0390_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1215.11-1219.50" *)
+  wire _0391_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1215.11-1223.51" *)
+  wire _0392_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1221.5-1222.49" *)
+  wire _0393_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1221.5-1223.50" *)
+  wire _0394_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1228.8-1229.52" *)
+  wire _0395_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1232.11-1233.50" *)
+  wire _0396_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1232.11-1234.49" *)
+  wire _0397_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1232.11-1235.49" *)
+  wire _0398_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1232.11-1236.50" *)
+  wire _0399_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1232.11-1240.51" *)
+  wire _0400_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1238.5-1239.49" *)
+  wire _0401_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1238.5-1240.50" *)
+  wire _0402_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1245.8-1246.52" *)
+  wire _0403_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1249.11-1250.50" *)
+  wire _0404_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1249.11-1251.49" *)
+  wire _0405_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1249.11-1252.49" *)
+  wire _0406_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1249.11-1253.50" *)
+  wire _0407_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1249.11-1257.51" *)
+  wire _0408_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1255.5-1256.49" *)
+  wire _0409_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1255.5-1257.50" *)
+  wire _0410_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1262.8-1263.52" *)
+  wire _0411_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1269.8-1270.52" *)
+  wire _0412_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1276.8-1277.52" *)
+  wire _0413_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1285.8-1286.52" *)
+  wire _0414_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1292.8-1293.52" *)
+  wire _0415_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1296.11-1297.49" *)
+  wire _0416_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1296.11-1298.49" *)
+  wire _0417_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1296.11-1299.50" *)
+  wire _0418_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1296.11-1303.51" *)
+  wire _0419_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1301.5-1302.49" *)
+  wire _0420_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1301.5-1303.50" *)
+  wire _0421_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1304.7-1305.53" *)
+  wire _0422_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1304.7-1306.52" *)
+  wire _0423_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1304.7-1307.52" *)
+  wire _0424_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1304.7-1308.53" *)
+  wire _0425_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1304.7-1312.54" *)
+  wire _0426_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1310.8-1311.52" *)
+  wire _0427_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1310.8-1312.53" *)
+  wire _0428_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1330.8-1330.59" *)
+  wire _0429_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1336.11-1337.32" *)
+  wire _0430_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1345.7-1346.35" *)
+  wire _0431_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1350.8-1351.60" *)
+  wire _0432_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1359.9-1359.59" *)
+  wire _0433_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1387.8-1388.66" *)
+  wire _0434_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1393.7-1394.65" *)
+  wire _0435_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1393.7-1395.65" *)
+  wire _0436_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1393.7-1396.65" *)
+  wire _0437_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1398.7-1401.37" *)
+  wire _0438_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1398.7-1402.35" *)
+  wire _0439_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1398.7-1403.35" *)
+  wire _0440_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1399.8-1400.66" *)
+  wire _0441_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1405.7-1408.37" *)
+  wire _0442_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1405.7-1409.35" *)
+  wire _0443_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1405.7-1410.35" *)
+  wire _0444_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1406.8-1407.66" *)
+  wire _0445_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1415.37" *)
+  wire _0446_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1416.35" *)
+  wire _0447_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1417.34" *)
+  wire _0448_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1418.34" *)
+  wire _0449_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1419.34" *)
+  wire _0450_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1420.34" *)
+  wire _0451_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1421.34" *)
+  wire _0452_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1422.34" *)
+  wire _0453_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1423.34" *)
+  wire _0454_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1424.34" *)
+  wire _0455_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1425.34" *)
+  wire _0456_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1426.34" *)
+  wire _0457_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1427.35" *)
+  wire _0458_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1428.35" *)
+  wire _0459_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1429.35" *)
+  wire _0460_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1430.35" *)
+  wire _0461_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1431.35" *)
+  wire _0462_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1432.35" *)
+  wire _0463_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1433.35" *)
+  wire _0464_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1434.35" *)
+  wire _0465_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1435.35" *)
+  wire _0466_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1436.35" *)
+  wire _0467_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1437.35" *)
+  wire _0468_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1438.35" *)
+  wire _0469_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1439.35" *)
+  wire _0470_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1440.35" *)
+  wire _0471_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1441.35" *)
+  wire _0472_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1442.35" *)
+  wire _0473_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1443.35" *)
+  wire _0474_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1444.35" *)
+  wire _0475_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1445.35" *)
+  wire _0476_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1446.35" *)
+  wire _0477_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1447.35" *)
+  wire _0478_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1413.8-1414.66" *)
+  wire _0479_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1449.7-1452.22" *)
+  wire _0480_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1450.8-1451.66" *)
+  wire _0481_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1454.7-1457.22" *)
+  wire _0482_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1454.7-1458.65" *)
+  wire _0483_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1455.8-1456.66" *)
+  wire _0484_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1460.7-1463.22" *)
+  wire _0485_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1460.7-1464.65" *)
+  wire _0486_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1460.7-1465.26" *)
+  wire _0487_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1461.8-1462.66" *)
+  wire _0488_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1467.7-1470.22" *)
+  wire _0489_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1467.7-1471.65" *)
+  wire _0490_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1467.7-1472.27" *)
+  wire _0491_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1468.8-1469.66" *)
+  wire _0492_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1474.7-1477.22" *)
+  wire _0493_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1474.7-1478.65" *)
+  wire _0494_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1474.7-1479.26" *)
+  wire _0495_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1475.8-1476.66" *)
+  wire _0496_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1481.7-1484.22" *)
+  wire _0497_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1481.7-1485.65" *)
+  wire _0498_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1481.7-1486.27" *)
+  wire _0499_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1482.8-1483.66" *)
+  wire _0500_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1488.7-1491.22" *)
+  wire _0501_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1488.7-1492.65" *)
+  wire _0502_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1488.7-1493.26" *)
+  wire _0503_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1489.8-1490.66" *)
+  wire _0504_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1495.7-1498.22" *)
+  wire _0505_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1495.7-1499.65" *)
+  wire _0506_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1495.7-1500.27" *)
+  wire _0507_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1496.8-1497.66" *)
+  wire _0508_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1502.7-1505.22" *)
+  wire _0509_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1502.7-1506.65" *)
+  wire _0510_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1502.7-1507.26" *)
+  wire _0511_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1503.8-1504.66" *)
+  wire _0512_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1509.7-1512.22" *)
+  wire _0513_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1509.7-1513.65" *)
+  wire _0514_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1509.7-1514.27" *)
+  wire _0515_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1510.8-1511.66" *)
+  wire _0516_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1516.7-1519.65" *)
+  wire _0517_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1516.7-1530.8" *)
+  wire _0518_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1517.8-1518.66" *)
+  wire _0519_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1526.11-1527.32" *)
+  wire _0520_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1532.7-1541.25" *)
+  wire _0521_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1533.8-1534.66" *)
+  wire _0522_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1537.5-1537.56" *)
+  wire _0523_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1539.6-1540.35" *)
+  wire _0524_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1539.6-1541.23" *)
+  wire _0525_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1543.7-1546.37" *)
+  wire _0526_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1543.7-1547.34" *)
+  wire _0527_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1543.7-1548.36" *)
+  wire _0528_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1543.7-1549.36" *)
+  wire _0529_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1543.7-1550.36" *)
+  wire _0530_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1543.7-1551.36" *)
+  wire _0531_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1543.7-1552.41" *)
+  wire _0532_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1544.8-1545.66" *)
+  wire _0533_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1554.7-1557.37" *)
+  wire _0534_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1554.7-1558.34" *)
+  wire _0535_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1554.7-1559.36" *)
+  wire _0536_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1554.7-1560.36" *)
+  wire _0537_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1554.7-1561.36" *)
+  wire _0538_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1554.7-1562.36" *)
+  wire _0539_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1554.7-1563.41" *)
+  wire _0540_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1554.7-1564.37" *)
+  wire _0541_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1555.8-1556.66" *)
+  wire _0542_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1566.7-1569.37" *)
+  wire _0543_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1566.7-1570.34" *)
+  wire _0544_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1566.7-1571.36" *)
+  wire _0545_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1566.7-1572.36" *)
+  wire _0546_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1566.7-1573.36" *)
+  wire _0547_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1566.7-1574.36" *)
+  wire _0548_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1566.7-1575.41" *)
+  wire _0549_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1566.7-1576.38" *)
+  wire _0550_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1567.8-1568.66" *)
+  wire _0551_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1578.7-1581.37" *)
+  wire _0552_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1578.7-1582.34" *)
+  wire _0553_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1578.7-1583.36" *)
+  wire _0554_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1578.7-1584.36" *)
+  wire _0555_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1578.7-1585.36" *)
+  wire _0556_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1578.7-1586.36" *)
+  wire _0557_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1578.7-1587.41" *)
+  wire _0558_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1578.7-1588.37" *)
+  wire _0559_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1579.8-1580.66" *)
+  wire _0560_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1590.7-1593.37" *)
+  wire _0561_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1590.7-1594.34" *)
+  wire _0562_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1590.7-1595.36" *)
+  wire _0563_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1590.7-1596.36" *)
+  wire _0564_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1590.7-1597.36" *)
+  wire _0565_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1590.7-1598.36" *)
+  wire _0566_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1590.7-1599.41" *)
+  wire _0567_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1590.7-1600.38" *)
+  wire _0568_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1591.8-1592.66" *)
+  wire _0569_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1602.7-1605.37" *)
+  wire _0570_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1602.7-1606.34" *)
+  wire _0571_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1602.7-1607.36" *)
+  wire _0572_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1602.7-1608.36" *)
+  wire _0573_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1602.7-1609.36" *)
+  wire _0574_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1602.7-1610.36" *)
+  wire _0575_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1602.7-1611.41" *)
+  wire _0576_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1602.7-1612.37" *)
+  wire _0577_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1603.8-1604.66" *)
+  wire _0578_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1614.7-1617.37" *)
+  wire _0579_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1614.7-1618.34" *)
+  wire _0580_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1614.7-1619.36" *)
+  wire _0581_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1614.7-1620.36" *)
+  wire _0582_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1614.7-1621.36" *)
+  wire _0583_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1614.7-1622.36" *)
+  wire _0584_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1614.7-1623.41" *)
+  wire _0585_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1614.7-1624.38" *)
+  wire _0586_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1615.8-1616.66" *)
+  wire _0587_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1626.7-1629.37" *)
+  wire _0588_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1626.7-1630.34" *)
+  wire _0589_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1626.7-1631.36" *)
+  wire _0590_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1626.7-1632.36" *)
+  wire _0591_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1626.7-1633.36" *)
+  wire _0592_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1626.7-1634.36" *)
+  wire _0593_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1626.7-1635.41" *)
+  wire _0594_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1626.7-1636.37" *)
+  wire _0595_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1627.8-1628.66" *)
+  wire _0596_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1638.7-1641.37" *)
+  wire _0597_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1638.7-1642.34" *)
+  wire _0598_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1638.7-1643.36" *)
+  wire _0599_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1638.7-1644.36" *)
+  wire _0600_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1638.7-1645.36" *)
+  wire _0601_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1638.7-1646.36" *)
+  wire _0602_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1638.7-1647.41" *)
+  wire _0603_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1638.7-1648.38" *)
+  wire _0604_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1639.8-1640.66" *)
+  wire _0605_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1650.7-1653.37" *)
+  wire _0606_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1650.7-1656.63" *)
+  wire _0607_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1651.8-1652.66" *)
+  wire _0608_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1655.8-1656.62" *)
+  wire _0609_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1658.7-1661.37" *)
+  wire _0610_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1658.7-1662.56" *)
+  wire _0611_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1658.7-1664.66" *)
+  wire _0612_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1659.8-1660.66" *)
+  wire _0613_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1666.7-1669.37" *)
+  wire _0614_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1666.7-1670.56" *)
+  wire _0615_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1667.8-1668.66" *)
+  wire _0616_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1672.7-1675.37" *)
+  wire _0617_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1672.7-1676.64" *)
+  wire _0618_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1672.7-1677.56" *)
+  wire _0619_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1673.8-1674.66" *)
+  wire _0620_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1679.7-1682.37" *)
+  wire _0621_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1679.7-1683.64" *)
+  wire _0622_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1679.7-1684.56" *)
+  wire _0623_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1680.8-1681.66" *)
+  wire _0624_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1686.7-1689.37" *)
+  wire _0625_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1686.7-1690.64" *)
+  wire _0626_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1686.7-1691.56" *)
+  wire _0627_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1687.8-1688.66" *)
+  wire _0628_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1693.7-1696.37" *)
+  wire _0629_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1693.7-1697.64" *)
+  wire _0630_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1693.7-1698.56" *)
+  wire _0631_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1694.8-1695.66" *)
+  wire _0632_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1700.7-1703.37" *)
+  wire _0633_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1700.7-1704.64" *)
+  wire _0634_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1700.7-1705.56" *)
+  wire _0635_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1701.8-1702.66" *)
+  wire _0636_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1707.7-1710.37" *)
+  wire _0637_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1707.7-1711.64" *)
+  wire _0638_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1707.7-1712.56" *)
+  wire _0639_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1708.8-1709.66" *)
+  wire _0640_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1714.7-1717.37" *)
+  wire _0641_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1714.7-1718.64" *)
+  wire _0642_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1714.7-1719.56" *)
+  wire _0643_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1715.8-1716.66" *)
+  wire _0644_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1721.7-1726.56" *)
+  wire _0645_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1721.7-1724.37" *)
+  wire _0646_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1721.7-1725.64" *)
+  wire _0647_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1722.8-1723.66" *)
+  wire _0648_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1728.7-1731.37" *)
+  wire _0649_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1728.7-1732.64" *)
+  wire _0650_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1728.7-1733.57" *)
+  wire _0651_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1729.8-1730.66" *)
+  wire _0652_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1735.7-1738.37" *)
+  wire _0653_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1735.7-1739.64" *)
+  wire _0654_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1735.7-1740.57" *)
+  wire _0655_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1736.8-1737.66" *)
+  wire _0656_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1742.7-1745.37" *)
+  wire _0657_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1742.7-1746.64" *)
+  wire _0658_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1742.7-1747.57" *)
+  wire _0659_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1743.8-1744.66" *)
+  wire _0660_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1749.7-1752.37" *)
+  wire _0661_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1749.7-1753.64" *)
+  wire _0662_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1749.7-1754.57" *)
+  wire _0663_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1750.8-1751.66" *)
+  wire _0664_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1756.7-1759.37" *)
+  wire _0665_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1756.7-1760.64" *)
+  wire _0666_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1756.7-1761.57" *)
+  wire _0667_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1757.8-1758.66" *)
+  wire _0668_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1763.7-1766.37" *)
+  wire _0669_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1763.7-1767.56" *)
+  wire _0670_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1763.7-1768.64" *)
+  wire _0671_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1763.7-1769.56" *)
+  wire _0672_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1763.7-1770.56" *)
+  wire _0673_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1763.7-1771.56" *)
+  wire _0674_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1763.7-1772.56" *)
+  wire _0675_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1763.7-1773.56" *)
+  wire _0676_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1763.7-1774.56" *)
+  wire _0677_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1763.7-1775.56" *)
+  wire _0678_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1763.7-1776.56" *)
+  wire _0679_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1763.7-1777.56" *)
+  wire _0680_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1763.7-1778.57" *)
+  wire _0681_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1763.7-1779.57" *)
+  wire _0682_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1763.7-1780.57" *)
+  wire _0683_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1763.7-1781.57" *)
+  wire _0684_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1763.7-1782.57" *)
+  wire _0685_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1764.8-1765.66" *)
+  wire _0686_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1784.7-1787.64" *)
+  wire _0687_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1785.8-1786.66" *)
+  wire _0688_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1789.7-1792.64" *)
+  wire _0689_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1790.8-1791.66" *)
+  wire _0690_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1794.7-1797.64" *)
+  wire _0691_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1795.8-1796.66" *)
+  wire _0692_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1799.7-1802.64" *)
+  wire _0693_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1800.8-1801.66" *)
+  wire _0694_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1804.7-1807.64" *)
+  wire _0695_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1805.8-1806.66" *)
+  wire _0696_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1809.7-1812.64" *)
+  wire _0697_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1810.8-1811.66" *)
+  wire _0698_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1814.7-1817.64" *)
+  wire _0699_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1815.8-1816.66" *)
+  wire _0700_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1819.7-1822.64" *)
+  wire _0701_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1820.8-1821.66" *)
+  wire _0702_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1824.7-1827.37" *)
+  wire _0703_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1824.7-1828.35" *)
+  wire _0704_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1825.8-1826.66" *)
+  wire _0705_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1830.7-1833.37" *)
+  wire _0706_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1830.7-1834.35" *)
+  wire _0707_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1830.7-1835.34" *)
+  wire _0708_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1831.8-1832.66" *)
+  wire _0709_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1837.7-1840.37" *)
+  wire _0710_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1837.7-1841.35" *)
+  wire _0711_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1837.7-1842.34" *)
+  wire _0712_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1838.8-1839.66" *)
+  wire _0713_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1844.7-1847.37" *)
+  wire _0714_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1844.7-1848.35" *)
+  wire _0715_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1844.7-1849.34" *)
+  wire _0716_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1845.8-1846.66" *)
+  wire _0717_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1851.7-1854.37" *)
+  wire _0718_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1851.7-1855.35" *)
+  wire _0719_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1851.7-1856.34" *)
+  wire _0720_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1852.8-1853.66" *)
+  wire _0721_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1858.7-1861.37" *)
+  wire _0722_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1858.7-1862.35" *)
+  wire _0723_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1858.7-1863.34" *)
+  wire _0724_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1859.8-1860.66" *)
+  wire _0725_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1865.7-1868.37" *)
+  wire _0726_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1865.7-1869.35" *)
+  wire _0727_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1865.7-1870.34" *)
+  wire _0728_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1866.8-1867.66" *)
+  wire _0729_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1872.7-1875.37" *)
+  wire _0730_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1872.7-1876.35" *)
+  wire _0731_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1872.7-1877.34" *)
+  wire _0732_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1873.8-1874.66" *)
+  wire _0733_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1879.7-1882.37" *)
+  wire _0734_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1879.7-1883.35" *)
+  wire _0735_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1879.7-1884.34" *)
+  wire _0736_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1880.8-1881.66" *)
+  wire _0737_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1886.7-1889.37" *)
+  wire _0738_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1886.7-1890.35" *)
+  wire _0739_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1886.7-1891.34" *)
+  wire _0740_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1887.8-1888.66" *)
+  wire _0741_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1893.7-1896.37" *)
+  wire _0742_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1893.7-1897.35" *)
+  wire _0743_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1893.7-1898.34" *)
+  wire _0744_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1894.8-1895.66" *)
+  wire _0745_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1900.7-1903.37" *)
+  wire _0746_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1900.7-1904.35" *)
+  wire _0747_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1900.7-1905.35" *)
+  wire _0748_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1901.8-1902.66" *)
+  wire _0749_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1907.7-1910.37" *)
+  wire _0750_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1907.7-1911.35" *)
+  wire _0751_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1907.7-1912.35" *)
+  wire _0752_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1908.8-1909.66" *)
+  wire _0753_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1914.7-1917.37" *)
+  wire _0754_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1914.7-1918.35" *)
+  wire _0755_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1914.7-1919.35" *)
+  wire _0756_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1915.8-1916.66" *)
+  wire _0757_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1921.7-1924.37" *)
+  wire _0758_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1921.7-1925.35" *)
+  wire _0759_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1921.7-1926.35" *)
+  wire _0760_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1922.8-1923.66" *)
+  wire _0761_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1928.7-1931.37" *)
+  wire _0762_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1928.7-1932.35" *)
+  wire _0763_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1928.7-1933.35" *)
+  wire _0764_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1929.8-1930.66" *)
+  wire _0765_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1935.7-1938.37" *)
+  wire _0766_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1935.7-1939.35" *)
+  wire _0767_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1935.7-1940.35" *)
+  wire _0768_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1936.8-1937.66" *)
+  wire _0769_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1942.7-1945.37" *)
+  wire _0770_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1942.7-1946.35" *)
+  wire _0771_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1942.7-1947.35" *)
+  wire _0772_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1943.8-1944.66" *)
+  wire _0773_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1949.7-1952.37" *)
+  wire _0774_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1949.7-1953.35" *)
+  wire _0775_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1949.7-1954.35" *)
+  wire _0776_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1950.8-1951.66" *)
+  wire _0777_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1956.7-1959.37" *)
+  wire _0778_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1956.7-1960.35" *)
+  wire _0779_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1956.7-1961.35" *)
+  wire _0780_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1957.8-1958.66" *)
+  wire _0781_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1963.7-1966.37" *)
+  wire _0782_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1963.7-1967.35" *)
+  wire _0783_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1963.7-1968.35" *)
+  wire _0784_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1964.8-1965.66" *)
+  wire _0785_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1970.7-1973.37" *)
+  wire _0786_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1970.7-1974.35" *)
+  wire _0787_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1970.7-1975.35" *)
+  wire _0788_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1971.8-1972.66" *)
+  wire _0789_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1977.7-1980.37" *)
+  wire _0790_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1977.7-1981.35" *)
+  wire _0791_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1977.7-1982.35" *)
+  wire _0792_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1978.8-1979.66" *)
+  wire _0793_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1984.7-1987.37" *)
+  wire _0794_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1984.7-1988.35" *)
+  wire _0795_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1984.7-1989.35" *)
+  wire _0796_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1985.8-1986.66" *)
+  wire _0797_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1991.7-1994.37" *)
+  wire _0798_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1991.7-1995.35" *)
+  wire _0799_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1991.7-1996.35" *)
+  wire _0800_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1992.8-1993.66" *)
+  wire _0801_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1998.7-2001.37" *)
+  wire _0802_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1998.7-2002.35" *)
+  wire _0803_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1998.7-2003.35" *)
+  wire _0804_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1999.8-2000.66" *)
+  wire _0805_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2005.7-2008.37" *)
+  wire _0806_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2005.7-2009.35" *)
+  wire _0807_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2005.7-2010.35" *)
+  wire _0808_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2006.8-2007.66" *)
+  wire _0809_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2012.7-2015.37" *)
+  wire _0810_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2012.7-2016.35" *)
+  wire _0811_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2012.7-2017.35" *)
+  wire _0812_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2013.8-2014.66" *)
+  wire _0813_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2019.7-2022.37" *)
+  wire _0814_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2019.7-2023.35" *)
+  wire _0815_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2019.7-2024.35" *)
+  wire _0816_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2020.8-2021.66" *)
+  wire _0817_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2026.7-2029.37" *)
+  wire _0818_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2026.7-2030.35" *)
+  wire _0819_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2026.7-2031.35" *)
+  wire _0820_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2027.8-2028.66" *)
+  wire _0821_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2033.8-2034.52" *)
+  wire _0822_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2033.7-2044.56" *)
+  wire _0823_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2033.7-2045.39" *)
+  wire _0824_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2042.8-2044.55" *)
+  wire _0825_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2047.8-2048.52" *)
+  wire _0826_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2047.7-2059.56" *)
+  wire _0827_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2047.7-2060.39" *)
+  wire _0828_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2056.8-2059.55" *)
+  wire _0829_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2062.8-2063.52" *)
+  wire _0830_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2062.7-2066.52" *)
+  wire _0831_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2062.7-2067.52" *)
+  wire _0832_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2062.7-2068.53" *)
+  wire _0833_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2062.7-2072.54" *)
+  wire _0834_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2070.8-2071.52" *)
+  wire _0835_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2070.8-2072.53" *)
+  wire _0836_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2104.7-2106.66" *)
+  wire _0837_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2110.7-2112.66" *)
+  wire _0838_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2117.7-2118.58" *)
+  wire _0839_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2120.7-2122.66" *)
+  wire _0840_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2123.7-2134.27" *)
+  wire _0841_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2127.6-2129.65" *)
+  wire _0842_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2130.6-2134.25" *)
+  wire _0843_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2141.11-2142.32" *)
+  wire _0844_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2157.6-2158.60" *)
+  wire _0845_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2159.6-2161.57" *)
+  wire _0846_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2161.7-2161.56" *)
+  wire _0847_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2163.7-2164.56" *)
+  wire _0848_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2163.7-2166.66" *)
+  wire _0849_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2163.7-2167.37" *)
+  wire _0850_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2169.7-2170.56" *)
+  wire _0851_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2169.7-2172.66" *)
+  wire _0852_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2169.7-2173.38" *)
+  wire _0853_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2175.7-2176.56" *)
+  wire _0854_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2175.7-2178.66" *)
+  wire _0855_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2175.7-2179.37" *)
+  wire _0856_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2181.7-2182.56" *)
+  wire _0857_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2181.7-2184.66" *)
+  wire _0858_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2181.7-2185.38" *)
+  wire _0859_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2187.7-2188.56" *)
+  wire _0860_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2187.7-2190.66" *)
+  wire _0861_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2187.7-2191.37" *)
+  wire _0862_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2193.7-2194.56" *)
+  wire _0863_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2193.7-2196.66" *)
+  wire _0864_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2193.7-2197.38" *)
+  wire _0865_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2199.7-2200.56" *)
+  wire _0866_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2199.7-2202.66" *)
+  wire _0867_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2199.7-2203.37" *)
+  wire _0868_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2205.7-2206.56" *)
+  wire _0869_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2205.7-2208.66" *)
+  wire _0870_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2205.7-2209.38" *)
+  wire _0871_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2219.7-2221.65" *)
+  wire _0872_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2219.7-2222.65" *)
+  wire _0873_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2219.7-2223.64" *)
+  wire _0874_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2225.7-2227.65" *)
+  wire _0875_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2225.7-2228.65" *)
+  wire _0876_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2229.7-2230.52" *)
+  wire _0877_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2229.7-2231.52" *)
+  wire _0878_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2229.7-2232.53" *)
+  wire _0879_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2229.7-2236.54" *)
+  wire _0880_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2234.8-2235.52" *)
+  wire _0881_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2234.8-2236.53" *)
+  wire _0882_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2248.8-2249.64" *)
+  wire _0883_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2255.8-2257.41" *)
+  wire _0884_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2291.8-2292.52" *)
+  wire _0885_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2295.11-2296.50" *)
+  wire _0886_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2295.11-2297.49" *)
+  wire _0887_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2295.11-2298.49" *)
+  wire _0888_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2295.11-2299.50" *)
+  wire _0889_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2295.11-2303.51" *)
+  wire _0890_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2301.5-2302.49" *)
+  wire _0891_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2301.5-2303.50" *)
+  wire _0892_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2323.8-2324.39" *)
+  wire _0893_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2351.8-2354.40" *)
+  wire _0894_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2357.8-2360.41" *)
+  wire _0895_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2363.8-2368.40" *)
+  wire _0896_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2370.6-2372.38" *)
+  wire _0897_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2370.6-2374.39" *)
+  wire _0898_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2423.8-2424.39" *)
+  wire _0899_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2455.8-2456.38" *)
+  wire _0900_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2457.8-2458.39" *)
+  wire _0901_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2461.8-2462.39" *)
+  wire _0902_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2463.8-2464.38" *)
+  wire _0903_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2467.8-2468.38" *)
+  wire _0904_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2467.8-2469.39" *)
+  wire _0905_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2470.8-2471.39" *)
+  wire _0906_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2470.8-2472.39" *)
+  wire _0907_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2475.6-2476.37" *)
+  wire _0908_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2477.6-2478.36" *)
+  wire _0909_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2584.10-2585.43" *)
+  wire _0910_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2590.6-2591.50" *)
+  wire _0911_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2607.8-2608.39" *)
+  wire _0912_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2639.8-2640.38" *)
+  wire _0913_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2641.8-2642.39" *)
+  wire _0914_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2645.8-2646.39" *)
+  wire _0915_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2647.8-2648.38" *)
+  wire _0916_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2651.8-2652.38" *)
+  wire _0917_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2651.8-2653.39" *)
+  wire _0918_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2654.8-2655.39" *)
+  wire _0919_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2654.8-2656.39" *)
+  wire _0920_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2659.6-2660.37" *)
+  wire _0921_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2661.6-2662.36" *)
+  wire _0922_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2680.10-2680.61" *)
+  wire _0923_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2685.6-2686.34" *)
+  wire _0924_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:591.7-593.63" *)
+  wire _0925_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:591.7-591.48" *)
+  wire _0926_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:591.7-592.63" *)
+  wire _0927_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:597.7-599.40" *)
+  wire _0928_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:605.7-605.57" *)
+  wire _0929_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:605.7-606.44" *)
+  wire _0930_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:610.7-611.48" *)
+  wire _0931_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:610.7-612.28" *)
+  wire _0932_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:616.7-617.44" *)
+  wire _0933_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:621.7-622.44" *)
+  wire _0934_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:649.7-650.44" *)
+  wire _0935_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:649.7-651.44" *)
+  wire _0936_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:690.7-690.66" *)
+  wire _0937_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:690.7-692.40" *)
+  wire _0938_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:690.7-693.47" *)
+  wire _0939_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:690.7-694.35" *)
+  wire _0940_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:698.7-698.59" *)
+  wire _0941_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:698.7-699.63" *)
+  wire _0942_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:698.7-700.28" *)
+  wire _0943_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:698.7-701.64" *)
+  wire _0944_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:705.7-705.56" *)
+  wire _0945_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:705.7-706.64" *)
+  wire _0946_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:710.7-710.63" *)
+  wire _0947_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:710.7-711.36" *)
+  wire _0948_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:715.7-715.67" *)
+  wire _0949_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:715.7-716.40" *)
+  wire _0950_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:718.7-718.60" *)
+  wire _0951_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:718.7-719.40" *)
+  wire _0952_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:721.7-721.67" *)
+  wire _0953_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:721.7-722.40" *)
+  wire _0954_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:758.7-762.66" *)
+  wire _0955_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:759.8-760.52" *)
+  wire _0956_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:781.7-782.29" *)
+  wire _0957_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:792.7-792.67" *)
+  wire _0958_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:792.7-793.40" *)
+  wire _0959_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:794.7-794.60" *)
+  wire _0960_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:794.7-795.40" *)
+  wire _0961_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:797.7-798.31" *)
+  wire _0962_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:797.7-797.68" *)
+  wire _0963_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:800.7-800.63" *)
+  wire _0964_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:800.7-801.40" *)
+  wire _0965_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:805.8-805.51" *)
+  wire _0966_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:805.8-806.28" *)
+  wire _0967_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:815.7-815.61" *)
+  wire _0968_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:817.7-818.65" *)
+  wire _0969_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:826.7-827.50" *)
+  wire _0970_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:833.7-833.67" *)
+  wire _0971_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:833.7-834.40" *)
+  wire _0972_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:835.7-835.60" *)
+  wire _0973_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:835.7-836.40" *)
+  wire _0974_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:846.7-847.49" *)
+  wire _0975_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:872.7-875.67" *)
+  wire _0976_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:874.8-875.66" *)
+  wire _0977_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:909.12-910.22" *)
+  wire _0978_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:912.8-913.36" *)
+  wire _0979_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:915.11-916.40" *)
+  wire _0980_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:922.6-923.35" *)
+  wire _0981_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:924.9-924.45" *)
+  wire _0982_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:926.12-926.49" *)
+  wire _0983_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:928.8-928.45" *)
+  wire _0984_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:944.7-946.35" *)
+  wire _0985_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:962.7-963.53" *)
+  wire _0986_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:981.7-982.39" *)
+  wire _0987_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:981.7-983.40" *)
+  wire _0988_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:981.7-984.44" *)
+  wire _0989_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:990.7-991.39" *)
+  wire _0990_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:990.7-992.40" *)
+  wire _0991_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:990.7-993.44" *)
+  wire _0992_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1004.7-1004.53" *)
+  wire _0993_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1039.7-1039.65" *)
+  wire _0994_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1040.7-1040.65" *)
+  wire _0995_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1050.7-1050.65" *)
+  wire _0996_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1179.8-1179.38" *)
+  wire _0997_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1180.8-1180.66" *)
+  wire _0998_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1181.8-1181.66" *)
+  wire _0999_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1192.39-1192.56" *)
+  wire _1000_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1201.42-1201.59" *)
+  wire _1001_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1211.8-1211.51" *)
+  wire _1002_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1212.8-1212.52" *)
+  wire _1003_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1228.8-1228.51" *)
+  wire _1004_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1229.8-1229.52" *)
+  wire _1005_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1245.8-1245.51" *)
+  wire _1006_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1246.8-1246.52" *)
+  wire _1007_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1262.8-1262.51" *)
+  wire _1008_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1263.8-1263.52" *)
+  wire _1009_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1269.8-1269.51" *)
+  wire _1010_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1270.8-1270.52" *)
+  wire _1011_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1276.8-1276.51" *)
+  wire _1012_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1277.8-1277.52" *)
+  wire _1013_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1285.8-1285.51" *)
+  wire _1014_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1286.8-1286.52" *)
+  wire _1015_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1292.8-1292.51" *)
+  wire _1016_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1293.8-1293.52" *)
+  wire _1017_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1330.42-1330.59" *)
+  wire _1018_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1351.9-1351.26" *)
+  wire _1019_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1389.9-1389.44" *)
+  wire _1020_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1390.9-1390.26" *)
+  wire _1021_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1391.9-1391.44" *)
+  wire _1022_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1393.7-1393.65" *)
+  wire _1023_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1394.7-1394.65" *)
+  wire _1024_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1395.7-1395.65" *)
+  wire _1025_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1396.7-1396.65" *)
+  wire _1026_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1398.8-1398.38" *)
+  wire _1027_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1399.8-1399.66" *)
+  wire _1028_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1400.8-1400.66" *)
+  wire _1029_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1405.8-1405.38" *)
+  wire _1030_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1406.8-1406.66" *)
+  wire _1031_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1407.8-1407.66" *)
+  wire _1032_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.8-1412.38" *)
+  wire _1033_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1413.8-1413.66" *)
+  wire _1034_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1414.8-1414.66" *)
+  wire _1035_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1449.8-1449.38" *)
+  wire _1036_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1450.8-1450.66" *)
+  wire _1037_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1451.8-1451.66" *)
+  wire _1038_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1454.8-1454.38" *)
+  wire _1039_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1455.8-1455.66" *)
+  wire _1040_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1456.8-1456.66" *)
+  wire _1041_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1460.8-1460.38" *)
+  wire _1042_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1461.8-1461.66" *)
+  wire _1043_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1462.8-1462.66" *)
+  wire _1044_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1467.8-1467.38" *)
+  wire _1045_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1468.8-1468.66" *)
+  wire _1046_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1469.8-1469.66" *)
+  wire _1047_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1472.7-1472.27" *)
+  wire _1048_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1474.8-1474.38" *)
+  wire _1049_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1475.8-1475.66" *)
+  wire _1050_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1476.8-1476.66" *)
+  wire _1051_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1481.8-1481.38" *)
+  wire _1052_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1482.8-1482.66" *)
+  wire _1053_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1483.8-1483.66" *)
+  wire _1054_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1486.7-1486.27" *)
+  wire _1055_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1488.8-1488.38" *)
+  wire _1056_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1489.8-1489.66" *)
+  wire _1057_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1490.8-1490.66" *)
+  wire _1058_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1495.8-1495.38" *)
+  wire _1059_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1496.8-1496.66" *)
+  wire _1060_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1497.8-1497.66" *)
+  wire _1061_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1500.7-1500.27" *)
+  wire _1062_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1502.8-1502.38" *)
+  wire _1063_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1503.8-1503.66" *)
+  wire _1064_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1504.8-1504.66" *)
+  wire _1065_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1509.8-1509.38" *)
+  wire _1066_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1510.8-1510.66" *)
+  wire _1067_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1511.8-1511.66" *)
+  wire _1068_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1514.7-1514.27" *)
+  wire _1069_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1516.8-1516.38" *)
+  wire _1070_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1517.8-1517.66" *)
+  wire _1071_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1518.8-1518.66" *)
+  wire _1072_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1532.8-1532.38" *)
+  wire _1073_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1533.8-1533.66" *)
+  wire _1074_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1534.8-1534.66" *)
+  wire _1075_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1536.3-1536.20" *)
+  wire _1076_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1537.39-1537.56" *)
+  wire _1077_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1538.6-1538.23" *)
+  wire _1078_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1541.6-1541.23" *)
+  wire _1079_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1543.8-1543.38" *)
+  wire _1080_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1544.8-1544.66" *)
+  wire _1081_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1545.8-1545.66" *)
+  wire _1082_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1554.8-1554.38" *)
+  wire _1083_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1555.8-1555.66" *)
+  wire _1084_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1556.8-1556.66" *)
+  wire _1085_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1566.8-1566.38" *)
+  wire _1086_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1567.8-1567.66" *)
+  wire _1087_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1568.8-1568.66" *)
+  wire _1088_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1576.7-1576.38" *)
+  wire _1089_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1578.8-1578.38" *)
+  wire _1090_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1579.8-1579.66" *)
+  wire _1091_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1580.8-1580.66" *)
+  wire _1092_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1590.8-1590.38" *)
+  wire _1093_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1591.8-1591.66" *)
+  wire _1094_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1592.8-1592.66" *)
+  wire _1095_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1600.7-1600.38" *)
+  wire _1096_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1602.8-1602.38" *)
+  wire _1097_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1603.8-1603.66" *)
+  wire _1098_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1604.8-1604.66" *)
+  wire _1099_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1614.8-1614.38" *)
+  wire _1100_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1615.8-1615.66" *)
+  wire _1101_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1616.8-1616.66" *)
+  wire _1102_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1624.7-1624.38" *)
+  wire _1103_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1626.8-1626.38" *)
+  wire _1104_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1627.8-1627.66" *)
+  wire _1105_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1628.8-1628.66" *)
+  wire _1106_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1638.8-1638.38" *)
+  wire _1107_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1639.8-1639.66" *)
+  wire _1108_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1640.8-1640.66" *)
+  wire _1109_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1648.7-1648.38" *)
+  wire _1110_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1650.8-1650.38" *)
+  wire _1111_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1651.8-1651.66" *)
+  wire _1112_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1652.8-1652.66" *)
+  wire _1113_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1658.8-1658.38" *)
+  wire _1114_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1659.8-1659.66" *)
+  wire _1115_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1660.8-1660.66" *)
+  wire _1116_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1666.8-1666.38" *)
+  wire _1117_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1667.8-1667.66" *)
+  wire _1118_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1668.8-1668.66" *)
+  wire _1119_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1672.8-1672.38" *)
+  wire _1120_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1673.8-1673.66" *)
+  wire _1121_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1674.8-1674.66" *)
+  wire _1122_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1679.8-1679.38" *)
+  wire _1123_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1680.8-1680.66" *)
+  wire _1124_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1681.8-1681.66" *)
+  wire _1125_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1686.8-1686.38" *)
+  wire _1126_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1687.8-1687.66" *)
+  wire _1127_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1688.8-1688.66" *)
+  wire _1128_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1693.8-1693.38" *)
+  wire _1129_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1694.8-1694.66" *)
+  wire _1130_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1695.8-1695.66" *)
+  wire _1131_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1700.8-1700.38" *)
+  wire _1132_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1701.8-1701.66" *)
+  wire _1133_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1702.8-1702.66" *)
+  wire _1134_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1707.8-1707.38" *)
+  wire _1135_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1708.8-1708.66" *)
+  wire _1136_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1709.8-1709.66" *)
+  wire _1137_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1714.8-1714.38" *)
+  wire _1138_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1715.8-1715.66" *)
+  wire _1139_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1716.8-1716.66" *)
+  wire _1140_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1721.8-1721.38" *)
+  wire _1141_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1722.8-1722.66" *)
+  wire _1142_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1723.8-1723.66" *)
+  wire _1143_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1728.8-1728.38" *)
+  wire _1144_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1729.8-1729.66" *)
+  wire _1145_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1730.8-1730.66" *)
+  wire _1146_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1735.8-1735.38" *)
+  wire _1147_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1736.8-1736.66" *)
+  wire _1148_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1737.8-1737.66" *)
+  wire _1149_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1742.8-1742.38" *)
+  wire _1150_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1743.8-1743.66" *)
+  wire _1151_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1744.8-1744.66" *)
+  wire _1152_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1749.8-1749.38" *)
+  wire _1153_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1750.8-1750.66" *)
+  wire _1154_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1751.8-1751.66" *)
+  wire _1155_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1756.8-1756.38" *)
+  wire _1156_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1757.8-1757.66" *)
+  wire _1157_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1758.8-1758.66" *)
+  wire _1158_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1763.8-1763.38" *)
+  wire _1159_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1764.8-1764.66" *)
+  wire _1160_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1765.8-1765.66" *)
+  wire _1161_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1784.8-1784.38" *)
+  wire _1162_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1785.8-1785.66" *)
+  wire _1163_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1786.8-1786.66" *)
+  wire _1164_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1789.8-1789.38" *)
+  wire _1165_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1790.8-1790.66" *)
+  wire _1166_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1791.8-1791.66" *)
+  wire _1167_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1794.8-1794.38" *)
+  wire _1168_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1795.8-1795.66" *)
+  wire _1169_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1796.8-1796.66" *)
+  wire _1170_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1799.8-1799.38" *)
+  wire _1171_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1800.8-1800.66" *)
+  wire _1172_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1801.8-1801.66" *)
+  wire _1173_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1804.8-1804.38" *)
+  wire _1174_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1805.8-1805.66" *)
+  wire _1175_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1806.8-1806.66" *)
+  wire _1176_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1809.8-1809.38" *)
+  wire _1177_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1810.8-1810.66" *)
+  wire _1178_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1811.8-1811.66" *)
+  wire _1179_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1814.8-1814.38" *)
+  wire _1180_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1815.8-1815.66" *)
+  wire _1181_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1816.8-1816.66" *)
+  wire _1182_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1819.8-1819.38" *)
+  wire _1183_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1820.8-1820.66" *)
+  wire _1184_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1821.8-1821.66" *)
+  wire _1185_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1824.8-1824.38" *)
+  wire _1186_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1825.8-1825.66" *)
+  wire _1187_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1826.8-1826.66" *)
+  wire _1188_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1830.8-1830.38" *)
+  wire _1189_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1831.8-1831.66" *)
+  wire _1190_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1832.8-1832.66" *)
+  wire _1191_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1837.8-1837.38" *)
+  wire _1192_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1838.8-1838.66" *)
+  wire _1193_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1839.8-1839.66" *)
+  wire _1194_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1844.8-1844.38" *)
+  wire _1195_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1845.8-1845.66" *)
+  wire _1196_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1846.8-1846.66" *)
+  wire _1197_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1851.8-1851.38" *)
+  wire _1198_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1852.8-1852.66" *)
+  wire _1199_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1853.8-1853.66" *)
+  wire _1200_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1858.8-1858.38" *)
+  wire _1201_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1859.8-1859.66" *)
+  wire _1202_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1860.8-1860.66" *)
+  wire _1203_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1865.8-1865.38" *)
+  wire _1204_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1866.8-1866.66" *)
+  wire _1205_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1867.8-1867.66" *)
+  wire _1206_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1872.8-1872.38" *)
+  wire _1207_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1873.8-1873.66" *)
+  wire _1208_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1874.8-1874.66" *)
+  wire _1209_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1879.8-1879.38" *)
+  wire _1210_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1880.8-1880.66" *)
+  wire _1211_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1881.8-1881.66" *)
+  wire _1212_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1886.8-1886.38" *)
+  wire _1213_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1887.8-1887.66" *)
+  wire _1214_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1888.8-1888.66" *)
+  wire _1215_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1893.8-1893.38" *)
+  wire _1216_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1894.8-1894.66" *)
+  wire _1217_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1895.8-1895.66" *)
+  wire _1218_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1900.8-1900.38" *)
+  wire _1219_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1901.8-1901.66" *)
+  wire _1220_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1902.8-1902.66" *)
+  wire _1221_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1907.8-1907.38" *)
+  wire _1222_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1908.8-1908.66" *)
+  wire _1223_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1909.8-1909.66" *)
+  wire _1224_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1914.8-1914.38" *)
+  wire _1225_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1915.8-1915.66" *)
+  wire _1226_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1916.8-1916.66" *)
+  wire _1227_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1921.8-1921.38" *)
+  wire _1228_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1922.8-1922.66" *)
+  wire _1229_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1923.8-1923.66" *)
+  wire _1230_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1928.8-1928.38" *)
+  wire _1231_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1929.8-1929.66" *)
+  wire _1232_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1930.8-1930.66" *)
+  wire _1233_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1935.8-1935.38" *)
+  wire _1234_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1936.8-1936.66" *)
+  wire _1235_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1937.8-1937.66" *)
+  wire _1236_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1942.8-1942.38" *)
+  wire _1237_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1943.8-1943.66" *)
+  wire _1238_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1944.8-1944.66" *)
+  wire _1239_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1949.8-1949.38" *)
+  wire _1240_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1950.8-1950.66" *)
+  wire _1241_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1951.8-1951.66" *)
+  wire _1242_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1956.8-1956.38" *)
+  wire _1243_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1957.8-1957.66" *)
+  wire _1244_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1958.8-1958.66" *)
+  wire _1245_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1963.8-1963.38" *)
+  wire _1246_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1964.8-1964.66" *)
+  wire _1247_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1965.8-1965.66" *)
+  wire _1248_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1970.8-1970.38" *)
+  wire _1249_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1971.8-1971.66" *)
+  wire _1250_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1972.8-1972.66" *)
+  wire _1251_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1977.8-1977.38" *)
+  wire _1252_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1978.8-1978.66" *)
+  wire _1253_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1979.8-1979.66" *)
+  wire _1254_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1984.8-1984.38" *)
+  wire _1255_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1985.8-1985.66" *)
+  wire _1256_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1986.8-1986.66" *)
+  wire _1257_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1991.8-1991.38" *)
+  wire _1258_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1992.8-1992.66" *)
+  wire _1259_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1993.8-1993.66" *)
+  wire _1260_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1998.8-1998.38" *)
+  wire _1261_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1999.8-1999.66" *)
+  wire _1262_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2000.8-2000.66" *)
+  wire _1263_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2005.8-2005.38" *)
+  wire _1264_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2006.8-2006.66" *)
+  wire _1265_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2007.8-2007.66" *)
+  wire _1266_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2012.8-2012.38" *)
+  wire _1267_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2013.8-2013.66" *)
+  wire _1268_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2014.8-2014.66" *)
+  wire _1269_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2019.8-2019.38" *)
+  wire _1270_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2020.8-2020.66" *)
+  wire _1271_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2021.8-2021.66" *)
+  wire _1272_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2026.8-2026.38" *)
+  wire _1273_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2027.8-2027.66" *)
+  wire _1274_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2028.8-2028.66" *)
+  wire _1275_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2033.8-2033.51" *)
+  wire _1276_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2034.8-2034.52" *)
+  wire _1277_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2037.8-2037.41" *)
+  wire _1278_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2047.8-2047.51" *)
+  wire _1279_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2048.8-2048.52" *)
+  wire _1280_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2051.8-2051.41" *)
+  wire _1281_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2057.9-2057.42" *)
+  wire _1282_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2062.8-2062.51" *)
+  wire _1283_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2063.8-2063.52" *)
+  wire _1284_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2107.7-2107.24" *)
+  wire _1285_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2113.7-2113.24" *)
+  wire _1286_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2118.8-2118.25" *)
+  wire _1287_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2123.7-2123.23" *)
+  wire _1288_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2125.3-2125.20" *)
+  wire _1289_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2134.7-2134.24" *)
+  wire _1290_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2146.7-2146.39" *)
+  wire _1291_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2173.7-2173.38" *)
+  wire _1292_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2185.7-2185.38" *)
+  wire _1293_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2197.7-2197.38" *)
+  wire _1294_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2209.7-2209.38" *)
+  wire _1295_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2221.7-2221.65" *)
+  wire _1296_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2222.7-2222.65" *)
+  wire _1297_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2227.7-2227.65" *)
+  wire _1298_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2228.7-2228.65" *)
+  wire _1299_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2291.8-2291.51" *)
+  wire _1300_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2292.8-2292.52" *)
+  wire _1301_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2319.8-2319.39" *)
+  wire _1302_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2324.8-2324.39" *)
+  wire _1303_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2330.8-2330.39" *)
+  wire _1304_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2336.8-2336.39" *)
+  wire _1305_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2342.8-2342.39" *)
+  wire _1306_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2348.8-2348.39" *)
+  wire _1307_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2351.9-2351.40" *)
+  wire _1308_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2352.9-2352.40" *)
+  wire _1309_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2357.9-2357.40" *)
+  wire _1310_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2360.9-2360.40" *)
+  wire _1311_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2363.9-2363.40" *)
+  wire _1312_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2364.9-2364.40" *)
+  wire _1313_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2370.6-2370.37" *)
+  wire _1314_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2371.7-2371.38" *)
+  wire _1315_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2374.7-2374.38" *)
+  wire _1316_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2424.8-2424.39" *)
+  wire _1317_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2427.8-2427.39" *)
+  wire _1318_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2431.8-2431.39" *)
+  wire _1319_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2437.8-2437.39" *)
+  wire _1320_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2443.8-2443.39" *)
+  wire _1321_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2449.8-2449.39" *)
+  wire _1322_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2457.8-2457.39" *)
+  wire _1323_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2458.8-2458.39" *)
+  wire _1324_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2462.8-2462.39" *)
+  wire _1325_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2463.8-2463.39" *)
+  wire _1326_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2469.8-2469.39" *)
+  wire _1327_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2470.8-2470.39" *)
+  wire _1328_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2471.8-2471.39" *)
+  wire _1329_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2472.8-2472.39" *)
+  wire _1330_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2476.6-2476.37" *)
+  wire _1331_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2477.6-2477.37" *)
+  wire _1332_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2585.10-2585.43" *)
+  wire _1333_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2608.8-2608.39" *)
+  wire _1334_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2611.8-2611.39" *)
+  wire _1335_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2615.8-2615.39" *)
+  wire _1336_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2621.8-2621.39" *)
+  wire _1337_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2627.8-2627.39" *)
+  wire _1338_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2633.8-2633.39" *)
+  wire _1339_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2641.8-2641.39" *)
+  wire _1340_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2642.8-2642.39" *)
+  wire _1341_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2646.8-2646.39" *)
+  wire _1342_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2647.8-2647.39" *)
+  wire _1343_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2653.8-2653.39" *)
+  wire _1344_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2654.8-2654.39" *)
+  wire _1345_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2655.8-2655.39" *)
+  wire _1346_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2656.8-2656.39" *)
+  wire _1347_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2660.6-2660.37" *)
+  wire _1348_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2661.6-2661.37" *)
+  wire _1349_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2680.44-2680.61" *)
+  wire _1350_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:591.27-591.48" *)
+  wire _1351_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:598.8-598.31" *)
+  wire _1352_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:599.8-599.39" *)
+  wire _1353_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:606.7-606.44" *)
+  wire _1354_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:612.7-612.28" *)
+  wire _1355_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:626.8-626.52" *)
+  wire _1356_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:627.8-627.46" *)
+  wire _1357_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:633.8-633.52" *)
+  wire _1358_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:634.8-634.46" *)
+  wire _1359_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:642.8-642.52" *)
+  wire _1360_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:643.8-643.46" *)
+  wire _1361_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:691.8-691.31" *)
+  wire _1362_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:692.8-692.39" *)
+  wire _1363_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:694.7-694.35" *)
+  wire _1364_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:698.27-698.59" *)
+  wire _1365_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:700.7-700.28" *)
+  wire _1366_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:701.7-701.64" *)
+  wire _1367_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:705.27-705.56" *)
+  wire _1368_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:711.7-711.36" *)
+  wire _1369_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:759.8-759.51" *)
+  wire _1370_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:760.8-760.52" *)
+  wire _1371_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:805.31-805.51" *)
+  wire _1372_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:806.8-806.28" *)
+  wire _1373_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:873.8-873.38" *)
+  wire _1374_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:874.8-874.66" *)
+  wire _1375_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:875.8-875.66" *)
+  wire _1376_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:910.5-910.22" *)
+  wire _1377_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:926.12-926.29" *)
+  wire _1378_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:928.28-928.45" *)
+  wire _1379_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:963.7-963.53" *)
+  wire _1380_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:982.7-982.39" *)
+  wire _1381_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:991.7-991.39" *)
+  wire _1382_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:996.9-996.53" *)
+  wire _1383_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1029.8-1030.51" *)
+  wire _1384_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1037.8-1038.51" *)
+  wire _1385_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1041.8-1042.65" *)
+  wire _1386_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1048.8-1049.51" *)
+  wire _1387_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1105.7-1105.56" *)
+  wire _1388_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1137.8-1138.38" *)
+  wire _1389_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1141.5-1142.35" *)
+  wire _1390_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1150.10-1152.57" *)
+  wire _1391_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1179.8-1181.66" *)
+  wire _1392_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1183.7-1186.40" *)
+  wire _1393_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1211.8-1213.65" *)
+  wire _1394_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1211.8-1214.65" *)
+  wire _1395_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1220.5-1223.50" *)
+  wire _1396_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1228.8-1230.65" *)
+  wire _1397_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1228.8-1231.65" *)
+  wire _1398_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1237.5-1240.50" *)
+  wire _1399_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1245.8-1247.65" *)
+  wire _1400_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1245.8-1248.65" *)
+  wire _1401_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1254.5-1257.50" *)
+  wire _1402_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1262.8-1264.65" *)
+  wire _1403_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1262.8-1265.65" *)
+  wire _1404_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1269.8-1271.65" *)
+  wire _1405_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1269.8-1272.65" *)
+  wire _1406_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1276.8-1278.65" *)
+  wire _1407_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1276.8-1279.65" *)
+  wire _1408_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1285.8-1287.65" *)
+  wire _1409_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1285.8-1288.65" *)
+  wire _1410_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1292.8-1294.65" *)
+  wire _1411_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1292.8-1295.65" *)
+  wire _1412_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1300.5-1303.50" *)
+  wire _1413_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1304.7-1313.50" *)
+  wire _1414_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1304.7-1314.50" *)
+  wire _1415_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1309.8-1312.53" *)
+  wire _1416_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1329.8-1330.59" *)
+  wire _1417_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1351.9-1351.59" *)
+  wire _1418_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1388.9-1388.65" *)
+  wire _1419_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1390.9-1390.56" *)
+  wire _1420_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1390.9-1391.44" *)
+  wire _1421_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1398.8-1400.66" *)
+  wire _1422_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1405.8-1407.66" *)
+  wire _1423_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.8-1414.66" *)
+  wire _1424_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1449.8-1451.66" *)
+  wire _1425_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1454.8-1456.66" *)
+  wire _1426_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1460.8-1462.66" *)
+  wire _1427_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1467.8-1469.66" *)
+  wire _1428_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1474.8-1476.66" *)
+  wire _1429_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1481.8-1483.66" *)
+  wire _1430_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1488.8-1490.66" *)
+  wire _1431_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1495.8-1497.66" *)
+  wire _1432_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1502.8-1504.66" *)
+  wire _1433_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1509.8-1511.66" *)
+  wire _1434_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1516.8-1518.66" *)
+  wire _1435_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1532.8-1534.66" *)
+  wire _1436_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1543.8-1545.66" *)
+  wire _1437_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1554.8-1556.66" *)
+  wire _1438_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1566.8-1568.66" *)
+  wire _1439_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1578.8-1580.66" *)
+  wire _1440_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1590.8-1592.66" *)
+  wire _1441_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1602.8-1604.66" *)
+  wire _1442_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1614.8-1616.66" *)
+  wire _1443_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1626.8-1628.66" *)
+  wire _1444_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1638.8-1640.66" *)
+  wire _1445_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1650.8-1652.66" *)
+  wire _1446_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1654.8-1656.62" *)
+  wire _1447_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1658.8-1660.66" *)
+  wire _1448_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1663.8-1664.65" *)
+  wire _1449_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1666.8-1668.66" *)
+  wire _1450_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1672.8-1674.66" *)
+  wire _1451_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1679.8-1681.66" *)
+  wire _1452_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1686.8-1688.66" *)
+  wire _1453_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1693.8-1695.66" *)
+  wire _1454_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1700.8-1702.66" *)
+  wire _1455_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1707.8-1709.66" *)
+  wire _1456_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1714.8-1716.66" *)
+  wire _1457_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1721.8-1723.66" *)
+  wire _1458_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1728.8-1730.66" *)
+  wire _1459_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1735.8-1737.66" *)
+  wire _1460_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1742.8-1744.66" *)
+  wire _1461_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1749.8-1751.66" *)
+  wire _1462_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1756.8-1758.66" *)
+  wire _1463_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1763.8-1765.66" *)
+  wire _1464_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1784.8-1786.66" *)
+  wire _1465_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1789.8-1791.66" *)
+  wire _1466_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1794.8-1796.66" *)
+  wire _1467_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1799.8-1801.66" *)
+  wire _1468_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1804.8-1806.66" *)
+  wire _1469_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1809.8-1811.66" *)
+  wire _1470_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1814.8-1816.66" *)
+  wire _1471_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1819.8-1821.66" *)
+  wire _1472_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1824.8-1826.66" *)
+  wire _1473_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1830.8-1832.66" *)
+  wire _1474_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1837.8-1839.66" *)
+  wire _1475_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1844.8-1846.66" *)
+  wire _1476_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1851.8-1853.66" *)
+  wire _1477_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1858.8-1860.66" *)
+  wire _1478_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1865.8-1867.66" *)
+  wire _1479_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1872.8-1874.66" *)
+  wire _1480_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1879.8-1881.66" *)
+  wire _1481_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1886.8-1888.66" *)
+  wire _1482_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1893.8-1895.66" *)
+  wire _1483_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1900.8-1902.66" *)
+  wire _1484_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1907.8-1909.66" *)
+  wire _1485_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1914.8-1916.66" *)
+  wire _1486_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1921.8-1923.66" *)
+  wire _1487_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1928.8-1930.66" *)
+  wire _1488_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1935.8-1937.66" *)
+  wire _1489_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1942.8-1944.66" *)
+  wire _1490_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1949.8-1951.66" *)
+  wire _1491_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1956.8-1958.66" *)
+  wire _1492_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1963.8-1965.66" *)
+  wire _1493_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1970.8-1972.66" *)
+  wire _1494_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1977.8-1979.66" *)
+  wire _1495_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1984.8-1986.66" *)
+  wire _1496_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1991.8-1993.66" *)
+  wire _1497_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1998.8-2000.66" *)
+  wire _1498_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2005.8-2007.66" *)
+  wire _1499_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2012.8-2014.66" *)
+  wire _1500_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2019.8-2021.66" *)
+  wire _1501_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2026.8-2028.66" *)
+  wire _1502_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2033.8-2035.65" *)
+  wire _1503_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2033.8-2036.65" *)
+  wire _1504_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2037.8-2038.54" *)
+  wire _1505_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2037.8-2039.53" *)
+  wire _1506_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2037.8-2040.53" *)
+  wire _1507_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2037.8-2041.54" *)
+  wire _1508_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2037.8-2044.55" *)
+  wire _1509_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2043.9-2044.54" *)
+  wire _1510_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2047.8-2049.65" *)
+  wire _1511_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2047.8-2050.65" *)
+  wire _1512_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2051.8-2052.54" *)
+  wire _1513_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2051.8-2053.53" *)
+  wire _1514_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2051.8-2054.53" *)
+  wire _1515_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2051.8-2055.54" *)
+  wire _1516_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2051.8-2059.55" *)
+  wire _1517_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2057.9-2058.53" *)
+  wire _1518_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2057.9-2059.54" *)
+  wire _1519_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2062.8-2064.65" *)
+  wire _1520_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2062.8-2065.65" *)
+  wire _1521_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2069.8-2072.53" *)
+  wire _1522_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2077.7-2078.34" *)
+  wire _1523_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2080.7-2081.34" *)
+  wire _1524_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2083.7-2083.70" *)
+  wire _1525_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2085.7-2086.34" *)
+  wire _1526_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2088.7-2088.70" *)
+  wire _1527_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2104.7-2107.24" *)
+  wire _1528_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2104.7-2108.37" *)
+  wire _1529_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2105.8-2106.65" *)
+  wire _1530_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2110.7-2113.24" *)
+  wire _1531_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2110.7-2114.37" *)
+  wire _1532_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2110.7-2115.36" *)
+  wire _1533_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2110.7-2116.37" *)
+  wire _1534_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2110.7-2118.58" *)
+  wire _1535_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2111.8-2112.65" *)
+  wire _1536_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2118.8-2118.57" *)
+  wire _1537_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2120.7-2134.27" *)
+  wire _1538_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2120.7-2145.8" *)
+  wire _1539_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2120.7-2146.39" *)
+  wire _1540_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2121.8-2122.65" *)
+  wire _1541_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2128.7-2129.64" *)
+  wire _1542_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2130.7-2131.35" *)
+  wire _1543_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2132.7-2133.36" *)
+  wire _1544_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2132.7-2134.24" *)
+  wire _1545_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2152.7-2161.59" *)
+  wire _1546_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2156.6-2158.60" *)
+  wire _1547_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2160.7-2161.56" *)
+  wire _1548_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2165.8-2166.65" *)
+  wire _1549_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2171.8-2172.65" *)
+  wire _1550_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2177.8-2178.65" *)
+  wire _1551_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2183.8-2184.65" *)
+  wire _1552_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2189.8-2190.65" *)
+  wire _1553_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2195.8-2196.65" *)
+  wire _1554_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2201.8-2202.65" *)
+  wire _1555_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2207.8-2208.65" *)
+  wire _1556_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2219.8-2220.51" *)
+  wire _1557_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2225.8-2226.51" *)
+  wire _1558_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2225.7-2236.54" *)
+  wire _1559_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2233.8-2236.53" *)
+  wire _1560_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2243.8-2244.51" *)
+  wire _1561_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2291.8-2293.65" *)
+  wire _1562_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2291.8-2294.65" *)
+  wire _1563_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2300.5-2303.50" *)
+  wire _1564_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2319.8-2320.38" *)
+  wire _1565_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2351.9-2352.40" *)
+  wire _1566_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2353.9-2354.39" *)
+  wire _1567_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2357.9-2358.39" *)
+  wire _1568_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2359.9-2360.40" *)
+  wire _1569_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2363.9-2364.40" *)
+  wire _1570_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2363.9-2365.39" *)
+  wire _1571_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2366.9-2367.39" *)
+  wire _1572_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2366.9-2368.39" *)
+  wire _1573_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2371.7-2372.37" *)
+  wire _1574_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2373.7-2374.38" *)
+  wire _1575_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2427.8-2428.38" *)
+  wire _1576_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2455.8-2458.39" *)
+  wire _1577_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2461.8-2464.38" *)
+  wire _1578_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2467.8-2472.39" *)
+  wire _1579_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2474.6-2476.37" *)
+  wire _1580_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2474.6-2478.36" *)
+  wire _1581_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2611.8-2612.38" *)
+  wire _1582_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2639.8-2642.39" *)
+  wire _1583_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2645.8-2648.38" *)
+  wire _1584_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2651.8-2656.39" *)
+  wire _1585_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2658.6-2660.37" *)
+  wire _1586_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2658.6-2662.36" *)
+  wire _1587_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:598.8-598.68" *)
+  wire _1588_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:598.8-599.39" *)
+  wire _1589_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:626.8-627.46" *)
+  wire _1590_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:633.8-634.46" *)
+  wire _1591_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:642.8-643.46" *)
+  wire _1592_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:691.8-691.68" *)
+  wire _1593_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:691.8-692.39" *)
+  wire _1594_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:759.8-761.65" *)
+  wire _1595_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:759.8-762.65" *)
+  wire _1596_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:786.7-786.62" *)
+  wire _1597_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:792.7-795.40" *)
+  wire _1598_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:810.7-810.49" *)
+  wire _1599_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:810.7-811.26" *)
+  wire _1600_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:813.7-813.70" *)
+  wire _1601_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:833.7-836.40" *)
+  wire _1602_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:873.8-875.66" *)
+  wire _1603_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:894.7-894.70" *)
+  wire _1604_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:937.7-938.34" *)
+  wire _1605_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:945.8-945.64" *)
+  wire _1606_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:945.8-946.34" *)
+  wire _1607_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2239.7-2239.40" *)
+  wire _1608_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:605.29-605.57" *)
+  wire _1609_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:611.7-611.48" *)
+  wire _1610_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1136.7-1136.34" *)
+  wire _1611_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1140.4-1140.31" *)
+  wire _1612_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1144.9-1144.36" *)
+  wire _1613_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1145.9-1145.38" *)
+  wire _1614_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1146.9-1146.38" *)
+  wire _1615_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1147.9-1147.38" *)
+  wire _1616_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1148.9-1148.38" *)
+  wire _1617_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1151.3-1151.52" *)
+  wire _1618_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1184.7-1184.40" *)
+  wire _1619_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1186.7-1186.40" *)
+  wire _1620_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1216.4-1216.50" *)
+  wire _1621_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1217.4-1217.49" *)
+  wire _1622_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1218.4-1218.49" *)
+  wire _1623_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1219.4-1219.50" *)
+  wire _1624_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1220.5-1220.51" *)
+  wire _1625_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1222.5-1222.49" *)
+  wire _1626_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1223.5-1223.50" *)
+  wire _1627_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1233.4-1233.50" *)
+  wire _1628_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1234.4-1234.49" *)
+  wire _1629_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1235.4-1235.49" *)
+  wire _1630_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1236.4-1236.50" *)
+  wire _1631_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1237.5-1237.51" *)
+  wire _1632_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1239.5-1239.49" *)
+  wire _1633_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1240.5-1240.50" *)
+  wire _1634_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1250.4-1250.50" *)
+  wire _1635_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1251.4-1251.49" *)
+  wire _1636_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1252.4-1252.49" *)
+  wire _1637_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1253.4-1253.50" *)
+  wire _1638_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1254.5-1254.51" *)
+  wire _1639_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1256.5-1256.49" *)
+  wire _1640_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1257.5-1257.50" *)
+  wire _1641_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1296.11-1296.57" *)
+  wire _1642_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1297.4-1297.49" *)
+  wire _1643_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1298.4-1298.49" *)
+  wire _1644_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1299.4-1299.50" *)
+  wire _1645_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1300.5-1300.51" *)
+  wire _1646_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1302.5-1302.49" *)
+  wire _1647_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1303.5-1303.50" *)
+  wire _1648_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1305.7-1305.53" *)
+  wire _1649_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1306.7-1306.52" *)
+  wire _1650_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1307.7-1307.52" *)
+  wire _1651_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1308.7-1308.53" *)
+  wire _1652_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1309.8-1309.54" *)
+  wire _1653_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1311.8-1311.52" *)
+  wire _1654_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1312.8-1312.53" *)
+  wire _1655_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1390.30-1390.56" *)
+  wire _1656_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1417.7-1417.34" *)
+  wire _1657_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1418.7-1418.34" *)
+  wire _1658_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1419.7-1419.34" *)
+  wire _1659_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1420.7-1420.34" *)
+  wire _1660_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1421.7-1421.34" *)
+  wire _1661_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1422.7-1422.34" *)
+  wire _1662_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1423.7-1423.34" *)
+  wire _1663_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1424.7-1424.34" *)
+  wire _1664_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1425.7-1425.34" *)
+  wire _1665_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1426.7-1426.34" *)
+  wire _1666_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1427.7-1427.35" *)
+  wire _1667_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1428.7-1428.35" *)
+  wire _1668_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1429.7-1429.35" *)
+  wire _1669_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1430.7-1430.35" *)
+  wire _1670_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1431.7-1431.35" *)
+  wire _1671_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1432.7-1432.35" *)
+  wire _1672_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1433.7-1433.35" *)
+  wire _1673_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1434.7-1434.35" *)
+  wire _1674_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1435.7-1435.35" *)
+  wire _1675_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1436.7-1436.35" *)
+  wire _1676_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1437.7-1437.35" *)
+  wire _1677_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1438.7-1438.35" *)
+  wire _1678_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1439.7-1439.35" *)
+  wire _1679_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1440.7-1440.35" *)
+  wire _1680_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1441.7-1441.35" *)
+  wire _1681_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1442.7-1442.35" *)
+  wire _1682_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1443.7-1443.35" *)
+  wire _1683_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1444.7-1444.35" *)
+  wire _1684_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1445.7-1445.35" *)
+  wire _1685_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1446.7-1446.35" *)
+  wire _1686_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1447.7-1447.35" *)
+  wire _1687_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1547.7-1547.34" *)
+  wire _1688_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1548.7-1548.36" *)
+  wire _1689_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1549.7-1549.36" *)
+  wire _1690_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1550.7-1550.36" *)
+  wire _1691_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1551.7-1551.36" *)
+  wire _1692_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1558.7-1558.34" *)
+  wire _1693_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1559.7-1559.36" *)
+  wire _1694_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1560.7-1560.36" *)
+  wire _1695_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1561.7-1561.36" *)
+  wire _1696_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1562.7-1562.36" *)
+  wire _1697_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1570.7-1570.34" *)
+  wire _1698_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1571.7-1571.36" *)
+  wire _1699_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1572.7-1572.36" *)
+  wire _1700_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1573.7-1573.36" *)
+  wire _1701_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1574.7-1574.36" *)
+  wire _1702_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1582.7-1582.34" *)
+  wire _1703_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1583.7-1583.36" *)
+  wire _1704_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1584.7-1584.36" *)
+  wire _1705_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1585.7-1585.36" *)
+  wire _1706_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1586.7-1586.36" *)
+  wire _1707_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1594.7-1594.34" *)
+  wire _1708_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1595.7-1595.36" *)
+  wire _1709_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1596.7-1596.36" *)
+  wire _1710_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1597.7-1597.36" *)
+  wire _1711_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1598.7-1598.36" *)
+  wire _1712_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1606.7-1606.34" *)
+  wire _1713_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1607.7-1607.36" *)
+  wire _1714_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1608.7-1608.36" *)
+  wire _1715_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1609.7-1609.36" *)
+  wire _1716_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1610.7-1610.36" *)
+  wire _1717_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1618.7-1618.34" *)
+  wire _1718_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1619.7-1619.36" *)
+  wire _1719_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1620.7-1620.36" *)
+  wire _1720_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1621.7-1621.36" *)
+  wire _1721_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1622.7-1622.36" *)
+  wire _1722_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1630.7-1630.34" *)
+  wire _1723_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1631.7-1631.36" *)
+  wire _1724_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1632.7-1632.36" *)
+  wire _1725_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1633.7-1633.36" *)
+  wire _1726_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1634.7-1634.36" *)
+  wire _1727_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1642.7-1642.34" *)
+  wire _1728_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1643.7-1643.36" *)
+  wire _1729_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1644.7-1644.36" *)
+  wire _1730_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1645.7-1645.36" *)
+  wire _1731_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1646.7-1646.36" *)
+  wire _1732_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1655.8-1655.57" *)
+  wire _1733_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1662.7-1662.56" *)
+  wire _1734_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1767.7-1767.56" *)
+  wire _1735_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1769.7-1769.56" *)
+  wire _1736_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1770.7-1770.56" *)
+  wire _1737_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1771.7-1771.56" *)
+  wire _1738_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1772.7-1772.56" *)
+  wire _1739_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1773.7-1773.56" *)
+  wire _1740_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1774.7-1774.56" *)
+  wire _1741_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1775.7-1775.56" *)
+  wire _1742_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1776.7-1776.56" *)
+  wire _1743_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1777.7-1777.56" *)
+  wire _1744_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1778.7-1778.57" *)
+  wire _1745_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1779.7-1779.57" *)
+  wire _1746_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1780.7-1780.57" *)
+  wire _1747_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1781.7-1781.57" *)
+  wire _1748_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1782.7-1782.57" *)
+  wire _1749_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2066.7-2066.52" *)
+  wire _1750_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2067.7-2067.52" *)
+  wire _1751_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2068.7-2068.53" *)
+  wire _1752_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2069.8-2069.54" *)
+  wire _1753_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2071.8-2071.52" *)
+  wire _1754_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2072.8-2072.53" *)
+  wire _1755_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2127.6-2127.55" *)
+  wire _1756_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2130.7-2130.37" *)
+  wire _1757_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2131.7-2131.35" *)
+  wire _1758_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2132.7-2132.37" *)
+  wire _1759_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2133.7-2133.36" *)
+  wire _1760_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2136.3-2136.28" *)
+  wire _1761_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2137.3-2145.7" *)
+  wire _1762_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2157.6-2157.55" *)
+  wire _1763_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2164.7-2164.56" *)
+  wire _1764_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2170.7-2170.56" *)
+  wire _1765_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2176.7-2176.56" *)
+  wire _1766_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2182.7-2182.56" *)
+  wire _1767_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2188.7-2188.56" *)
+  wire _1768_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2194.7-2194.56" *)
+  wire _1769_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2200.7-2200.56" *)
+  wire _1770_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2206.7-2206.56" *)
+  wire _1771_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2229.7-2229.53" *)
+  wire _1772_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2230.7-2230.52" *)
+  wire _1773_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2231.7-2231.52" *)
+  wire _1774_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2232.7-2232.53" *)
+  wire _1775_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2233.8-2233.54" *)
+  wire _1776_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2235.8-2235.52" *)
+  wire _1777_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2236.8-2236.53" *)
+  wire _1778_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2296.4-2296.50" *)
+  wire _1779_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2297.4-2297.49" *)
+  wire _1780_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2298.4-2298.49" *)
+  wire _1781_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2299.4-2299.50" *)
+  wire _1782_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2300.5-2300.51" *)
+  wire _1783_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2302.5-2302.49" *)
+  wire _1784_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2303.5-2303.50" *)
+  wire _1785_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:598.35-598.68" *)
+  wire _1786_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:691.35-691.68" *)
+  wire _1787_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:710.7-710.27" *)
+  wire _1788_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:716.7-716.40" *)
+  wire _1789_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:719.7-719.40" *)
+  wire _1790_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:793.7-793.40" *)
+  wire _1791_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:795.7-795.40" *)
+  wire _1792_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2101.7-2102.42" *)
+  wire [31:0] _1793_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2309.21-2309.64" *)
+  wire [31:0] _1794_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1006.7-1008.48" *)
+  wire [33:0] _1795_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1130.9-1133.20" *)
+  wire _1796_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1134.9-1142.36" *)
+  wire _1797_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1135.5-1139.37" *)
+  wire _1798_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1163.9-1165.62" *)
+  wire [36:0] _1799_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1174.7-1176.20" *)
+  wire [31:0] _1800_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1190.8-1196.23" *)
+  wire _1801_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1190.7-1199.63" *)
+  wire [31:0] _1802_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1192.4-1196.22" *)
+  wire _1803_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1201.7-1209.15" *)
+  wire [31:0] _1804_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1203.10-1209.14" *)
+  wire [31:0] _1805_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1206.6-1209.13" *)
+  wire [31:0] _1806_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1211.7-1226.13" *)
+  wire [2:0] _1807_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1215.10-1225.40" *)
+  wire [2:0] _1808_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1228.7-1243.13" *)
+  wire [4:0] _1809_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1232.10-1242.40" *)
+  wire [4:0] _1810_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1245.7-1260.13" *)
+  wire [4:0] _1811_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1249.10-1259.40" *)
+  wire [4:0] _1812_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1262.7-1267.14" *)
+  wire [31:0] _1813_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1269.7-1274.13" *)
+  wire [4:0] _1814_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1276.7-1283.13" *)
+  wire [4:0] _1815_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1280.10-1282.40" *)
+  wire [4:0] _1816_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1285.7-1290.13" *)
+  wire [4:0] _1817_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1292.7-1318.13" *)
+  wire [2:0] _1818_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1296.10-1317.58" *)
+  wire [2:0] _1819_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1304.6-1316.61" *)
+  wire [2:0] _1820_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1323.7-1327.64" *)
+  wire [31:0] _1821_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1325.10-1327.63" *)
+  wire [31:0] _1822_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1329.7-1332.66" *)
+  wire [4:0] _1823_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1334.7-1339.19" *)
+  wire [31:0] _1824_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1336.10-1339.18" *)
+  wire [31:0] _1825_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1341.7-1348.48" *)
+  wire [36:0] _1826_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1343.10-1348.47" *)
+  wire [36:0] _1827_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1345.6-1348.46" *)
+  wire [36:0] _1828_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1350.7-1353.27" *)
+  wire [4:0] _1829_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1358.7-1360.25" *)
+  wire _1830_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1373.7-1385.59" *)
+  wire [31:0] _1831_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1381.10-1385.58" *)
+  wire [31:0] _1832_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1387.7-1391.44" *)
+  wire _1833_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1520.8-1530.7" *)
+  wire _1834_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1522.4-1529.26" *)
+  wire [4:0] _1835_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1524.7-1529.25" *)
+  wire [4:0] _1836_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1526.10-1529.24" *)
+  wire [4:0] _1837_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1535.8-1541.24" *)
+  wire _1838_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1537.4-1541.23" *)
+  wire _1839_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2090.7-2090.54" *)
+  wire [31:0] _1840_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2092.7-2092.54" *)
+  wire [31:0] _1841_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2102.8-2102.41" *)
+  wire [31:0] _1842_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2124.8-2134.26" *)
+  wire _1843_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2126.4-2134.25" *)
+  wire _1844_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2135.8-2145.7" *)
+  wire _1845_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2137.4-2144.26" *)
+  wire [4:0] _1846_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2139.7-2144.25" *)
+  wire [4:0] _1847_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2141.10-2144.24" *)
+  wire [4:0] _1848_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2153.8-2161.58" *)
+  wire _1849_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2155.4-2161.57" *)
+  wire _1850_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2211.7-2213.42" *)
+  wire [31:0] _1851_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2215.7-2217.14" *)
+  wire [31:0] _1852_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2240.26-2240.66" *)
+  wire [31:0] _1853_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2243.7-2246.22" *)
+  wire [31:0] _1854_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2248.7-2253.28" *)
+  wire [31:0] _1855_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2251.10-2253.27" *)
+  wire [31:0] _1856_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2255.7-2262.28" *)
+  wire [31:0] _1857_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2259.10-2262.27" *)
+  wire [31:0] _1858_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2264.7-2266.55" *)
+  wire [31:0] _1859_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2268.7-2270.54" *)
+  wire [31:0] _1860_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2272.7-2274.39" *)
+  wire [31:0] _1861_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2273.10-2273.65" *)
+  wire [31:0] _1862_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2276.7-2280.64" *)
+  wire [31:0] _1863_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2278.10-2280.63" *)
+  wire [31:0] _1864_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2286.7-2288.67" *)
+  wire [31:0] _1865_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2291.7-2306.14" *)
+  wire [15:0] _1866_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2295.10-2305.39" *)
+  wire [15:0] _1867_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2311.7-2313.21" *)
+  wire [31:0] _1868_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2501.6-2503.12" *)
+  wire [2:0] _1869_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2582.6-2599.47" *)
+  wire [31:0] _1870_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2584.9-2599.46" *)
+  wire [31:0] _1871_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2590.5-2599.45" *)
+  wire [31:0] _1872_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2678.6-2694.32" *)
+  wire [31:0] _1873_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2680.9-2694.31" *)
+  wire [31:0] _1874_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2685.5-2694.30" *)
+  wire [31:0] _1875_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:603.7-603.62" *)
+  wire [31:0] _1876_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:626.7-629.49" *)
+  wire [31:0] _1877_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:633.7-638.11" *)
+  wire [31:0] _1878_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:636.10-638.10" *)
+  wire [31:0] _1879_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:642.7-645.47" *)
+  wire [3:0] _1880_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:740.7-746.38" *)
+  wire [31:0] _1881_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:741.10-745.60" *)
+  wire [31:0] _1882_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:788.7-790.48" *)
+  wire [36:0] _1883_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:805.7-808.22" *)
+  wire [32:0] _1884_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:822.7-824.36" *)
+  wire [64:0] _1885_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:829.7-831.48" *)
+  wire [64:0] _1886_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:838.7-840.61" *)
+  wire [64:0] _1887_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:842.7-844.35" *)
+  wire [64:0] _1888_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:849.7-851.47" *)
+  wire [64:0] _1889_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:853.7-855.29" *)
+  wire [32:0] _1890_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:858.7-860.41" *)
+  wire [32:0] _1891_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:864.7-866.25" *)
+  wire [64:0] _1892_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:868.7-870.37" *)
+  wire [64:0] _1893_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:877.7-879.21" *)
+  wire [83:0] _1894_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:890.7-892.33" *)
+  wire [83:0] _1895_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:896.7-898.20" *)
+  wire [100:0] _1896_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:903.9-918.14" *)
+  wire [1:0] _1897_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:905.5-918.13" *)
+  wire [1:0] _1898_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:906.8-906.38" *)
+  wire [1:0] _1899_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:907.8-918.12" *)
+  wire [1:0] _1900_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:909.11-918.11" *)
+  wire [1:0] _1901_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:911.7-911.37" *)
+  wire [1:0] _1902_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:912.7-918.10" *)
+  wire [1:0] _1903_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:915.10-918.9" *)
+  wire [1:0] _1904_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:917.6-917.36" *)
+  wire [1:0] _1905_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:920.9-931.12" *)
+  wire [1:0] _1906_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:922.5-931.11" *)
+  wire [1:0] _1907_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:924.8-930.15" *)
+  wire [1:0] _1908_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:926.11-930.14" *)
+  wire [1:0] _1909_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:928.7-930.13" *)
+  wire [1:0] _1910_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:933.7-935.32" *)
+  wire [100:0] _1911_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:940.7-942.27" *)
+  wire [70:0] _1912_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:954.7-956.39" *)
+  wire [70:0] _1913_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:958.7-960.38" *)
+  wire [33:0] _1914_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:967.7-969.50" *)
+  wire [33:0] _1915_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:977.7-979.36" *)
+  wire [69:0] _1916_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:986.7-988.48" *)
+  wire [69:0] _1917_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:999.7-1001.36" *)
+  wire [33:0] _1918_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:420.7-420.61" *)
+  reg CASE_compute_q_rv_BITS_53_TO_51_CONCAT_compute_ETC__q9;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:413.15-413.69" *)
+  reg [7:0] CASE_compute_q_rv_BITS_61_TO_59_0b0_0b0_0b1_0b_ETC__q1;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:421.7-421.61" *)
+  reg CASE_compute_q_rv_BITS_78_TO_76_CONCAT_compute_ETC__q2;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:410.16-410.71" *)
+  reg [31:0] CASE_compute_q_rv_BITS_82_TO_80_0b100_compute__ETC__q10;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:414.8-414.61" *)
+  reg [7:0] CASE_fetch_fetched_rvport1__read_BITS_10_TO_8_ETC__q4;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:417.15-417.68" *)
+  reg [2:0] CASE_fetch_fetched_rvport1__read_BITS_10_TO_8_ETC__q7;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:418.8-418.61" *)
+  reg [2:0] CASE_fetch_fetched_rvport1__read_BITS_30_TO_2_ETC__q6;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:411.9-411.62" *)
+  reg [31:0] CASE_fetch_fetched_rvport1__read_BITS_31_TO_2_ETC__q8;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:108.10-108.13" *)
+  input CLK;
+  wire CLK;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:112.10-112.36" *)
+  input EN_dmem_client_request_get;
+  wire EN_dmem_client_request_get;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:118.10-118.37" *)
+  input EN_dmem_client_response_put;
+  wire EN_dmem_client_response_put;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:122.10-122.36" *)
+  input EN_imem_client_request_get;
+  wire EN_imem_client_request_get;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:128.10-128.37" *)
+  input EN_imem_client_response_put;
+  wire EN_imem_client_response_put;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:424.17-424.75" *)
+  wire [31:0] IF_IF_compute_q_rv_port0__read__54_BITS_82_TO__ETC___d1251;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:425.3-425.61" *)
+  wire [31:0] IF_NOT_compute_q_rv_port0__read__54_BITS_82_TO_ETC___d1221;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:469.16-469.73" *)
+  wire [2:0] IF_NOT_fetch_mispredictMemoryF_rv_port1__read__ETC___d222;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:462.16-462.73" *)
+  wire [4:0] IF_NOT_fetch_mispredictMemoryF_rv_port1__read__ETC___d225;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:463.9-463.66" *)
+  wire [4:0] IF_NOT_fetch_mispredictMemoryF_rv_port1__read__ETC___d228;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:426.3-426.60" *)
+  wire [31:0] IF_NOT_fetch_mispredictMemoryF_rv_port1__read__ETC___d309;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:464.9-464.66" *)
+  wire [4:0] IF_NOT_fetch_mispredictMemoryF_rv_port1__read__ETC___d310;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:465.9-465.66" *)
+  wire [4:0] IF_NOT_fetch_mispredictMemoryF_rv_port1__read__ETC___d315;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:466.9-466.66" *)
+  wire [4:0] IF_NOT_fetch_mispredictMemoryF_rv_port1__read__ETC___d316;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:470.9-470.66" *)
+  wire [2:0] IF_NOT_fetch_mispredictMemoryF_rv_port1__read__ETC___d349;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:415.8-415.65" *)
+  reg [7:0] IF_compute_q_rv_port0__read__54_BITS_61_TO_57__ETC___d516;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:459.17-459.74" *)
+  wire [10:0] IF_compute_q_rv_port0__read__54_BITS_61_TO_59__ETC___d525;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:422.7-422.64" *)
+  reg IF_compute_q_rv_port0__read__54_BITS_78_TO_76__ETC___d451;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:427.3-427.61" *)
+  wire [31:0] IF_compute_q_rv_port0__read__54_BITS_82_TO_80__ETC___d1223;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:467.9-467.66" *)
+  wire [4:0] IF_compute_q_rv_port0__read__54_BITS_82_TO_80__ETC___d582;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:428.3-428.61" *)
+  wire [31:0] IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d1198;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:423.17-423.75" *)
+  wire [36:0] IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d1212;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:468.9-468.66" *)
+  wire [4:0] IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d385;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:471.8-471.65" *)
+  wire IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:472.8-472.66" *)
+  wire IF_compute_q_rv_port0__read__54_BIT_82_62_THEN_ETC___d1136;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:416.8-416.65" *)
+  reg [7:0] IF_fetch_fetched_rv_port1__read__27_BITS_10_TO_ETC___d272;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:460.3-460.60" *)
+  wire [10:0] IF_fetch_fetched_rv_port1__read__27_BITS_10_TO_ETC___d281;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:419.8-419.65" *)
+  reg [2:0] IF_fetch_fetched_rv_port1__read__27_BITS_10_TO_ETC___d341;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:473.8-473.65" *)
+  wire IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d144;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:474.8-474.65" *)
+  wire IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d148;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:475.8-475.65" *)
+  wire IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d154;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:476.8-476.65" *)
+  wire IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d156;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:429.3-429.60" *)
+  wire [31:0] IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d308;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:477.8-477.64" *)
+  wire IF_memory_q_rv_port0__read__5_BIT_100_6_AND_me_ETC___d61;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:405.8-405.47" *)
+  wire \MUX_compute_regFromMemory$wset_1__SEL_1 ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:406.8-406.47" *)
+  wire \MUX_compute_regFromMemory$wset_1__SEL_2 ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:403.17-403.56" *)
+  wire [36:0] \MUX_compute_regFromMemory$wset_1__VAL_1 ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:404.3-404.42" *)
+  wire [36:0] \MUX_compute_regFromMemory$wset_1__VAL_2 ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:407.8-407.60" *)
+  wire \MUX_fetch_mispredictMemoryF_rv$port0__write_1__SEL_1 ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:401.17-401.69" *)
+  wire [64:0] \MUX_fetch_mispredictMemoryF_rv$port0__write_1__VAL_1 ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:402.3-402.55" *)
+  wire [64:0] \MUX_fetch_mispredictMemoryF_rv$port0__write_1__VAL_2 ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:478.8-478.65" *)
+  wire NOT_IF_fetch_mispredictMemoryF_rv_port1__read__ETC___d174;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:479.8-479.66" *)
+  wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d1004;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:480.8-480.66" *)
+  wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d1009;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:481.8-481.66" *)
+  wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d1074;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:482.8-482.66" *)
+  wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d1077;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:483.8-483.66" *)
+  wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d1138;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:484.8-484.66" *)
+  wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d1143;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:485.8-485.66" *)
+  wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d1147;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:486.8-486.66" *)
+  wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d1151;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:487.8-487.66" *)
+  wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d1155;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:488.8-488.66" *)
+  wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d1159;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:489.8-489.66" *)
+  wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d1163;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:490.8-490.66" *)
+  wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d1167;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:491.8-491.66" *)
+  wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d1171;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:492.8-492.66" *)
+  wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d1183;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:493.8-493.65" *)
+  wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d580;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:494.8-494.65" *)
+  wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d605;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:495.8-495.65" *)
+  wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d611;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:496.8-496.65" *)
+  wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d616;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:497.8-497.65" *)
+  wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d621;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:498.8-498.65" *)
+  wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d626;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:499.8-499.65" *)
+  wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d631;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:500.8-500.65" *)
+  wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d636;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:501.8-501.65" *)
+  wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d641;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:502.8-502.65" *)
+  wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d646;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:503.8-503.65" *)
+  wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d708;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:504.8-504.65" *)
+  wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d712;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:505.8-505.65" *)
+  wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d716;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:506.8-506.65" *)
+  wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d721;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:507.8-507.65" *)
+  wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d726;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:508.8-508.65" *)
+  wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d731;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:509.8-509.65" *)
+  wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d736;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:510.8-510.65" *)
+  wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d741;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:511.8-511.65" *)
+  wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d746;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:512.8-512.65" *)
+  wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d751;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:513.8-513.65" *)
+  wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d756;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:514.8-514.65" *)
+  wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d761;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:515.8-515.65" *)
+  wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d766;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:516.8-516.65" *)
+  wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d771;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:517.8-517.65" *)
+  wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d776;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:518.8-518.65" *)
+  wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d781;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:519.8-519.65" *)
+  wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d813;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:520.8-520.65" *)
+  wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d818;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:521.8-521.65" *)
+  wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d823;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:522.8-522.65" *)
+  wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d828;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:523.8-523.65" *)
+  wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d833;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:524.8-524.65" *)
+  wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d838;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:525.8-525.65" *)
+  wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d843;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:526.8-526.65" *)
+  wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d848;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:527.8-527.65" *)
+  wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d853;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:528.8-528.65" *)
+  wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d854;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:529.8-529.65" *)
+  wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d859;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:530.8-530.65" *)
+  wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d864;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:531.8-531.65" *)
+  wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d869;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:532.8-532.65" *)
+  wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d874;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:533.8-533.65" *)
+  wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d879;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:534.8-534.65" *)
+  wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d884;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:535.8-535.65" *)
+  wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d889;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:536.8-536.65" *)
+  wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d894;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:537.8-537.65" *)
+  wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d899;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:538.8-538.65" *)
+  wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d904;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:539.8-539.65" *)
+  wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d909;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:540.8-540.65" *)
+  wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d914;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:541.8-541.65" *)
+  wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d919;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:542.8-542.65" *)
+  wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d924;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:543.8-543.65" *)
+  wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d929;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:544.8-544.65" *)
+  wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d934;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:545.8-545.65" *)
+  wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d939;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:546.8-546.65" *)
+  wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d944;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:547.8-547.65" *)
+  wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d949;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:548.8-548.65" *)
+  wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d954;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:549.8-549.65" *)
+  wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d959;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:550.8-550.65" *)
+  wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d964;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:551.8-551.65" *)
+  wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d969;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:552.8-552.65" *)
+  wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d974;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:553.8-553.65" *)
+  wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d979;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:554.8-554.65" *)
+  wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d984;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:555.8-555.65" *)
+  wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d989;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:556.8-556.65" *)
+  wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d994;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:557.8-557.65" *)
+  wire NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d999;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:558.8-558.65" *)
+  wire NOT_fetch_mispredictMemoryF_rv_port1__read__35_ETC___d238;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:559.8-559.65" *)
+  wire NOT_fetch_mispredictMemoryF_rv_port1__read__35_ETC___d249;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:560.8-560.65" *)
+  wire NOT_fetch_mispredictMemoryF_rv_port1__read__35_ETC___d319;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:114.10-114.37" *)
+  output RDY_dmem_client_request_get;
+  wire RDY_dmem_client_request_get;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:119.10-119.38" *)
+  output RDY_dmem_client_response_put;
+  wire RDY_dmem_client_response_put;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:124.10-124.37" *)
+  output RDY_imem_client_request_get;
+  wire RDY_imem_client_request_get;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:129.10-129.38" *)
+  output RDY_imem_client_response_put;
+  wire RDY_imem_client_response_put;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:157.10-157.20" *)
+  output RDY_readPC;
+  wire RDY_readPC;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:109.10-109.15" *)
+  input RST_N;
+  wire RST_N;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:430.3-430.61" *)
+  wire [31:0] SEXT_compute_q_rv_port0__read__54_BITS_66_TO_5_ETC___d1107;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:395.8-395.41" *)
+  wire WILL_FIRE_RL_memory_sysmemRequest;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:396.8-396.42" *)
+  wire WILL_FIRE_RL_memory_sysmemResponse;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:397.8-397.39" *)
+  wire WILL_FIRE_RL_mkConnectionGetPut;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:398.8-398.41" *)
+  wire WILL_FIRE_RL_mkConnectionGetPut_1;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:561.8-561.36" *)
+  wire \_dor1memory_busyResp$EN_wset ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:562.8-562.46" *)
+  wire \_dor1memory_responseRegProbe$EN__write ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:563.8-563.39" *)
+  wire \_dor1memory_startPCLoad$EN_wset ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:564.8-564.38" *)
+  wire \_dor1memory_stopPCLoad$EN_wset ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:565.8-565.47" *)
+  wire \_dor1memory_waitRead_rv$EN_port1__write ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:412.9-412.39" *)
+  reg [31:0] _theResult_____4_fst_b__h15183;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:431.3-431.34" *)
+  wire [31:0] _theResult_____4_fst_ea__h16285;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:432.3-432.34" *)
+  wire [31:0] _theResult_____4_fst_ea__h16376;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:433.3-433.16" *)
+  wire [31:0] added__h15834;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:434.3-434.16" *)
+  wire [31:0] added__h15864;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:435.3-435.18" *)
+  wire [31:0] aluOp_b__h15174;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:379.8-379.27" *)
+  wire \compute_alu1$EN_run ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:378.17-378.33" *)
+  wire [63:0] \compute_alu1$run ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:377.17-377.36" *)
+  wire [69:0] \compute_alu1$run_op ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:186.8-186.31" *)
+  wire \compute_busyProbe$PROBE ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:187.8-187.37" *)
+  wire \compute_busyProbe$PROBE_VALID ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:188.8-188.34" *)
+  wire \compute_busyPutProbe$PROBE ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:189.8-189.40" *)
+  wire \compute_busyPutProbe$PROBE_VALID ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:268.16-268.37" *)
+  reg [64:0] compute_computedPC_rv;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:269.17-269.43" *)
+  wire [64:0] \compute_computedPC_rv$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:270.8-270.32" *)
+  wire \compute_computedPC_rv$EN ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:190.8-190.27" *)
+  wire \compute_fullQ$PROBE ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:191.8-191.33" *)
+  wire \compute_fullQ$PROBE_VALID ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:176.17-176.41" *)
+  wire [31:0] \compute_instrProbe$PROBE ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:192.8-192.38" *)
+  wire \compute_instrProbe$PROBE_VALID ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:273.15-273.41" *)
+  reg [5:0] compute_memoryRegisterLoad;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:274.16-274.47" *)
+  wire [5:0] \compute_memoryRegisterLoad$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:275.8-275.37" *)
+  wire \compute_memoryRegisterLoad$EN ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:566.8-566.66" *)
+  wire compute_memoryRegisterLoad_57_BIT_5_58_AND_com_ETC___d1215;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:567.8-567.66" *)
+  wire compute_memoryRegisterLoad_57_BIT_5_58_AND_com_ETC___d1259;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:568.8-568.65" *)
+  wire compute_memoryRegisterLoad_57_BIT_5_58_AND_com_ETC___d497;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:177.3-177.24" *)
+  wire [31:0] \compute_pcProbe$PROBE ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:193.8-193.35" *)
+  wire \compute_pcProbe$PROBE_VALID ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:278.16-278.28" *)
+  reg [83:0] compute_q_rv;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:279.17-279.34" *)
+  wire [83:0] \compute_q_rv$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:280.8-280.23" *)
+  wire \compute_q_rv$EN ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:247.8-247.36" *)
+  wire \compute_q_rv$EN_port0__write ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:217.17-217.41" *)
+  wire [83:0] \compute_q_rv$port1__read ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:218.3-218.30" *)
+  wire [83:0] \compute_q_rv$port1__write_1 ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:219.3-219.27" *)
+  wire [83:0] \compute_q_rv$port2__read ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:461.16-461.46" *)
+  wire [9:0] compute_q_rv_BITS_60_TO_51__q5;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:458.17-458.47" *)
+  wire [15:0] compute_q_rv_BITS_66_TO_51__q3;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:569.8-569.65" *)
+  wire compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:570.8-570.66" *)
+  wire compute_q_rv_port0__read__54_BIT_3_89_OR_compu_ETC___d1180;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:571.8-571.65" *)
+  wire compute_q_rv_port0__read__54_BIT_82_62_AND_NOT_ETC___d817;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:572.8-572.65" *)
+  wire compute_q_rv_port0__read__54_BIT_82_62_AND_NOT_ETC___d822;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:573.8-573.65" *)
+  wire compute_q_rv_port0__read__54_BIT_82_62_AND_NOT_ETC___d827;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:574.8-574.65" *)
+  wire compute_q_rv_port0__read__54_BIT_82_62_AND_NOT_ETC___d832;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:575.8-575.65" *)
+  wire compute_q_rv_port0__read__54_BIT_82_62_AND_NOT_ETC___d837;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:576.8-576.65" *)
+  wire compute_q_rv_port0__read__54_BIT_82_62_AND_NOT_ETC___d842;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:577.8-577.65" *)
+  wire compute_q_rv_port0__read__54_BIT_82_62_AND_NOT_ETC___d847;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:578.8-578.65" *)
+  wire compute_q_rv_port0__read__54_BIT_82_62_AND_NOT_ETC___d852;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:236.17-236.43" *)
+  wire [36:0] \compute_regFromMemory$wget ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:248.8-248.34" *)
+  wire \compute_regFromMemory$whas ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:436.3-436.18" *)
+  wire [31:0] coshift__h15137;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:437.3-437.14" *)
+  wire [31:0] data__h6648;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:113.19-113.42" *)
+  output [99:0] dmem_client_request_get;
+  wire [99:0] dmem_client_request_get;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:117.19-117.43" *)
+  input [31:0] dmem_client_response_put;
+  wire [31:0] dmem_client_response_put;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:283.16-283.43" *)
+  reg [31:0] fetch_cMispredictErrorCount;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:284.17-284.49" *)
+  wire [31:0] \fetch_cMispredictErrorCount$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:285.8-285.38" *)
+  wire \fetch_cMispredictErrorCount$EN ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:288.16-288.41" *)
+  reg [31:0] fetch_cMispredictLagCount;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:289.17-289.47" *)
+  wire [31:0] \fetch_cMispredictLagCount$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:290.8-290.36" *)
+  wire \fetch_cMispredictLagCount$EN ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:293.16-293.40" *)
+  reg [31:0] fetch_cMispredictOkCount;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:294.17-294.46" *)
+  wire [31:0] \fetch_cMispredictOkCount$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:295.8-295.35" *)
+  wire \fetch_cMispredictOkCount$EN ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:298.16-298.35" *)
+  reg [31:0] fetch_cPredictCount;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:299.17-299.41" *)
+  wire [31:0] \fetch_cPredictCount$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:300.8-300.30" *)
+  wire \fetch_cPredictCount$EN ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:303.16-303.27" *)
+  reg [31:0] fetch_cycle;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:304.17-304.33" *)
+  wire [31:0] \fetch_cycle$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:305.8-305.22" *)
+  wire \fetch_cycle$EN ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:308.16-308.44" *)
+  reg [33:0] fetch_delaySysmemResponse_rv;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:309.17-309.50" *)
+  wire [33:0] \fetch_delaySysmemResponse_rv$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:310.8-310.39" *)
+  wire \fetch_delaySysmemResponse_rv$EN ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:249.8-249.26" *)
+  wire \fetch_fetchPC$whas ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:178.3-178.25" *)
+  wire [31:0] \fetch_fetchProbe$PROBE ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:194.8-194.36" *)
+  wire \fetch_fetchProbe$PROBE_VALID ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:313.16-313.32" *)
+  reg [64:0] fetch_fetched_rv;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:314.17-314.38" *)
+  wire [64:0] \fetch_fetched_rv$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:315.8-315.27" *)
+  wire \fetch_fetched_rv$EN ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:227.17-227.48" *)
+  wire [64:0] \fetch_fetched_rv$port0__write_1 ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:228.3-228.31" *)
+  wire [64:0] \fetch_fetched_rv$port1__read ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:229.3-229.31" *)
+  wire [64:0] \fetch_fetched_rv$port2__read ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:179.3-179.23" *)
+  wire [31:0] \fetch_getProbe$PROBE ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:195.8-195.34" *)
+  wire \fetch_getProbe$PROBE_VALID ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:318.16-318.43" *)
+  reg [64:0] fetch_mispredictComputeF_rv;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:319.17-319.49" *)
+  wire [64:0] \fetch_mispredictComputeF_rv$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:320.8-320.38" *)
+  wire \fetch_mispredictComputeF_rv$EN ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:250.8-250.51" *)
+  wire \fetch_mispredictComputeF_rv$EN_port0__write ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:251.8-251.51" *)
+  wire \fetch_mispredictComputeF_rv$EN_port1__write ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:230.3-230.45" *)
+  wire [64:0] \fetch_mispredictComputeF_rv$port0__write_1 ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:231.3-231.42" *)
+  wire [64:0] \fetch_mispredictComputeF_rv$port1__read ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:232.3-232.42" *)
+  wire [64:0] \fetch_mispredictComputeF_rv$port2__read ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:180.3-180.35" *)
+  wire [31:0] \fetch_mispredictErrorProbe$PROBE ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:196.8-196.46" *)
+  wire \fetch_mispredictErrorProbe$PROBE_VALID ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:323.16-323.42" *)
+  reg [64:0] fetch_mispredictMemoryF_rv;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:324.17-324.48" *)
+  wire [64:0] \fetch_mispredictMemoryF_rv$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:325.8-325.37" *)
+  wire \fetch_mispredictMemoryF_rv$EN ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:252.8-252.50" *)
+  wire \fetch_mispredictMemoryF_rv$EN_port0__write ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:253.8-253.50" *)
+  wire \fetch_mispredictMemoryF_rv$EN_port1__write ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:233.3-233.44" *)
+  wire [64:0] \fetch_mispredictMemoryF_rv$port0__write_1 ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:234.3-234.41" *)
+  wire [64:0] \fetch_mispredictMemoryF_rv$port1__read ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:235.3-235.41" *)
+  wire [64:0] \fetch_mispredictMemoryF_rv$port2__read ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:579.8-579.65" *)
+  wire fetch_mispredictMemoryF_rv_port1__read__35_BIT_ETC___d165;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:580.8-580.65" *)
+  wire fetch_mispredictMemoryF_rv_port1__read__35_BIT_ETC___d218;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:328.16-328.28" *)
+  reg [83:0] fetch_out_rv;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:329.17-329.34" *)
+  wire [83:0] \fetch_out_rv$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:330.8-330.23" *)
+  wire \fetch_out_rv$EN ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:181.3-181.22" *)
+  wire [31:0] \fetch_pcProbe$PROBE ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:197.8-197.33" *)
+  wire \fetch_pcProbe$PROBE_VALID ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:333.16-333.36" *)
+  reg [32:0] fetch_pcRequested_rv;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:334.17-334.42" *)
+  wire [32:0] \fetch_pcRequested_rv$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:335.8-335.31" *)
+  wire \fetch_pcRequested_rv$EN ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:243.17-243.49" *)
+  wire [32:0] \fetch_pcRequested_rv$port1__read ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:244.3-244.38" *)
+  wire [32:0] \fetch_pcRequested_rv$port1__write_1 ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:245.3-245.35" *)
+  wire [32:0] \fetch_pcRequested_rv$port2__read ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:182.3-182.23" *)
+  wire [31:0] \fetch_putProbe$PROBE ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:198.8-198.34" *)
+  wire \fetch_putProbe$PROBE_VALID ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:338.16-338.28" *)
+  reg [31:0] fetch_wantPC;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:339.17-339.34" *)
+  wire [31:0] \fetch_wantPC$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:340.8-340.23" *)
+  wire \fetch_wantPC$EN ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:183.3-183.24" *)
+  wire [31:0] \fetch_wantProbe$PROBE ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:199.8-199.35" *)
+  wire \fetch_wantProbe$PROBE_VALID ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:123.19-123.42" *)
+  output [31:0] imem_client_request_get;
+  wire [31:0] imem_client_request_get;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:127.19-127.43" *)
+  input [31:0] imem_client_response_put;
+  wire [31:0] imem_client_response_put;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:438.3-438.14" *)
+  wire [31:0] imm__h14821;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:200.8-200.33" *)
+  wire \memory_busyPutProbe$PROBE ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:201.8-201.39" *)
+  wire \memory_busyPutProbe$PROBE_VALID ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:254.8-254.27" *)
+  wire \memory_busyReq$whas ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:202.8-202.33" *)
+  wire \memory_busyReqProbe$PROBE ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:203.8-203.39" *)
+  wire \memory_busyReqProbe$PROBE_VALID ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:255.8-255.28" *)
+  wire \memory_busyResp$whas ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:204.8-204.34" *)
+  wire \memory_busyRespProbe$PROBE ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:205.8-205.40" *)
+  wire \memory_busyRespProbe$PROBE_VALID ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:343.16-343.36" *)
+  reg [64:0] memory_computedPC_rv;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:344.17-344.42" *)
+  wire [64:0] \memory_computedPC_rv$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:345.8-345.31" *)
+  wire \memory_computedPC_rv$EN ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:348.16-348.45" *)
+  reg [33:0] memory_delaySysmemResponse_rv;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:349.17-349.51" *)
+  wire [33:0] \memory_delaySysmemResponse_rv$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:350.8-350.40" *)
+  wire \memory_delaySysmemResponse_rv$EN ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:256.8-256.53" *)
+  wire \memory_delaySysmemResponse_rv$EN_port1__write ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:237.17-237.58" *)
+  wire [33:0] \memory_delaySysmemResponse_rv$port1__read ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:238.3-238.47" *)
+  wire [33:0] \memory_delaySysmemResponse_rv$port1__write_1 ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:239.3-239.44" *)
+  wire [33:0] \memory_delaySysmemResponse_rv$port2__read ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:184.3-184.23" *)
+  wire [31:0] \memory_eaProbe$PROBE ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:206.8-206.34" *)
+  wire \memory_eaProbe$PROBE_VALID ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:207.8-207.26" *)
+  wire \memory_fullQ$PROBE ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:208.8-208.32" *)
+  wire \memory_fullQ$PROBE_VALID ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:209.8-209.33" *)
+  wire \memory_fullWaitRead$PROBE ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:210.8-210.39" *)
+  wire \memory_fullWaitRead$PROBE_VALID ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:353.7-353.27" *)
+  reg memory_pendingPCLoad;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:354.8-354.33" *)
+  wire \memory_pendingPCLoad$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:354.35-354.58" *)
+  wire \memory_pendingPCLoad$EN ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:357.17-357.28" *)
+  reg [100:0] memory_q_rv;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:358.18-358.34" *)
+  wire [100:0] \memory_q_rv$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:359.8-359.22" *)
+  wire \memory_q_rv$EN ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:257.8-257.35" *)
+  wire \memory_q_rv$EN_port0__write ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:214.18-214.41" *)
+  wire [100:0] \memory_q_rv$port1__read ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:215.4-215.30" *)
+  wire [100:0] \memory_q_rv$port1__write_1 ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:216.4-216.27" *)
+  wire [100:0] \memory_q_rv$port2__read ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:581.8-581.64" *)
+  wire memory_q_rv_port0__read__5_BITS_99_TO_68_6_ULT_ETC___d67;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:185.16-185.45" *)
+  wire [4:0] \memory_responseRegProbe$PROBE ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:211.8-211.43" *)
+  wire \memory_responseRegProbe$PROBE_VALID ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:258.8-258.31" *)
+  wire \memory_startPCLoad$whas ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:259.8-259.30" *)
+  wire \memory_stopPCLoad$whas ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:362.16-362.43" *)
+  reg [69:0] memory_sysmemMaster_fReq_rv;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:363.17-363.49" *)
+  wire [69:0] \memory_sysmemMaster_fReq_rv$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:364.8-364.38" *)
+  wire \memory_sysmemMaster_fReq_rv$EN ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:260.8-260.51" *)
+  wire \memory_sysmemMaster_fReq_rv$EN_port1__write ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:223.17-223.59" *)
+  wire [69:0] \memory_sysmemMaster_fReq_rv$port0__write_1 ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:224.3-224.42" *)
+  wire [69:0] \memory_sysmemMaster_fReq_rv$port1__read ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:225.3-225.42" *)
+  wire [69:0] \memory_sysmemMaster_fReq_rv$port2__read ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:367.16-367.43" *)
+  reg [33:0] memory_sysmemMaster_fRes_rv;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:368.17-368.49" *)
+  wire [33:0] \memory_sysmemMaster_fRes_rv$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:369.8-369.38" *)
+  wire \memory_sysmemMaster_fRes_rv$EN ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:261.8-261.51" *)
+  wire \memory_sysmemMaster_fRes_rv$EN_port0__write ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:262.8-262.51" *)
+  wire \memory_sysmemMaster_fRes_rv$EN_port1__write ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:240.3-240.45" *)
+  wire [33:0] \memory_sysmemMaster_fRes_rv$port0__write_1 ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:241.3-241.42" *)
+  wire [33:0] \memory_sysmemMaster_fRes_rv$port1__read ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:242.3-242.42" *)
+  wire [33:0] \memory_sysmemMaster_fRes_rv$port2__read ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:246.3-246.36" *)
+  wire [32:0] \memory_sysmemMaster_incoming$wget ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:263.8-263.41" *)
+  wire \memory_sysmemMaster_incoming$whas ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:226.3-226.36" *)
+  wire [69:0] \memory_sysmemMaster_outgoing$wget ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:372.16-372.34" *)
+  reg [70:0] memory_waitRead_rv;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:373.17-373.40" *)
+  wire [70:0] \memory_waitRead_rv$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:374.8-374.29" *)
+  wire \memory_waitRead_rv$EN ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:264.8-264.42" *)
+  wire \memory_waitRead_rv$EN_port0__write ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:265.8-265.42" *)
+  wire \memory_waitRead_rv$EN_port1__write ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:220.17-220.47" *)
+  wire [70:0] \memory_waitRead_rv$port1__read ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:221.3-221.36" *)
+  wire [70:0] \memory_waitRead_rv$port1__write_1 ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:222.3-222.33" *)
+  wire [70:0] \memory_waitRead_rv$port2__read ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:439.3-439.16" *)
+  wire [31:0] newPC__h14613;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:440.3-440.16" *)
+  wire [31:0] nextPC__h7366;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:441.3-441.16" *)
+  wire [31:0] nextPC__h7940;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:156.19-156.25" *)
+  output [31:0] readPC;
+  wire [31:0] readPC;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:392.8-392.32" *)
+  wire \rf$EN_computeWrite_write ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:392.34-392.57" *)
+  wire \rf$EN_memoryWrite_write ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:384.17-384.39" *)
+  wire [31:0] \rf$computeSource1_read ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:388.16-388.41" *)
+  wire [4:0] \rf$computeSource1_read_ix ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:385.3-385.25" *)
+  wire [31:0] \rf$computeSource2_read ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:389.9-389.34" *)
+  wire [4:0] \rf$computeSource2_read_ix ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:386.3-386.30" *)
+  wire [31:0] \rf$computeStatusSource_read ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:382.17-382.41" *)
+  wire [37:0] \rf$computeWrite_write_rd ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:383.17-383.41" *)
+  wire [32:0] \rf$computeWrite_write_sw ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:390.9-390.29" *)
+  wire [4:0] \rf$fetchRead_read_ix ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:391.9-391.32" *)
+  wire [4:0] \rf$memoryWrite_write_rd ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:387.3-387.29" *)
+  wire [31:0] \rf$memoryWrite_write_value ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:442.3-442.15" *)
+  wire [31:0] rs1v__h13670;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:443.3-443.15" *)
+  wire [31:0] rs2v__h13671;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:150.10-150.29" *)
+  input sysmem_client_ack_i;
+  wire sysmem_client_ack_i;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:138.19-138.38" *)
+  output [31:0] sysmem_client_adr_o;
+  wire [31:0] sysmem_client_adr_o;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:132.10-132.29" *)
+  output sysmem_client_cyc_o;
+  wire sysmem_client_cyc_o;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:153.19-153.38" *)
+  input [31:0] sysmem_client_dat_i;
+  wire [31:0] sysmem_client_dat_i;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:141.19-141.38" *)
+  output [31:0] sysmem_client_dat_o;
+  wire [31:0] sysmem_client_dat_o;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:151.10-151.29" *)
+  input sysmem_client_err_i;
+  wire sysmem_client_err_i;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:152.10-152.29" *)
+  input sysmem_client_rty_i;
+  wire sysmem_client_rty_i;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:144.18-144.37" *)
+  output [3:0] sysmem_client_sel_o;
+  wire [3:0] sysmem_client_sel_o;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:135.10-135.29" *)
+  output sysmem_client_stb_o;
+  wire sysmem_client_stb_o;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:147.10-147.28" *)
+  output sysmem_client_we_o;
+  wire sysmem_client_we_o;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:444.3-444.15" *)
+  wire [31:0] value__h7412;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:445.3-445.15" *)
+  wire [31:0] value__h7456;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:446.3-446.12" *)
+  wire [31:0] x__h15266;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:447.3-447.12" *)
+  wire [31:0] x__h16019;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:448.3-448.12" *)
+  wire [31:0] x__h16098;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:457.17-457.26" *)
+  wire [22:0] x__h16104;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:449.3-449.12" *)
+  wire [31:0] x__h16140;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:450.3-450.12" *)
+  wire [31:0] x__h16257;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:451.3-451.12" *)
+  wire [31:0] x__h16750;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:452.3-452.11" *)
+  wire [31:0] x__h6948;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:458.49-458.57" *)
+  wire [15:0] x__h8530;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:453.3-453.16" *)
+  wire [31:0] x__read__h818;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:454.3-454.15" *)
+  wire [31:0] x_wget__h807;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:455.3-455.11" *)
+  wire [31:0] y__h7716;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:456.3-456.23" *)
+  wire [31:0] y_avValue_snd__h7932;
+  assign _0048_ = fetch_cMispredictErrorCount + (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1026.7-1026.42" *) 32'd1;
+  assign _0049_ = fetch_cMispredictLagCount + (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1034.43-1034.76" *) 32'd1;
+  assign _0050_ = fetch_cMispredictOkCount + (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1045.42-1045.74" *) 32'd1;
+  assign _0051_ = fetch_cPredictCount + (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1054.37-1054.64" *) 32'd1;
+  assign _0052_ = fetch_cycle + (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1060.29-1060.48" *) 32'd1;
+  assign _0053_ = rs1v__h13670 + (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2094.7-2095.65" *) SEXT_compute_q_rv_port0__read__54_BITS_66_TO_5_ETC___d1107;
+  assign _0054_ = rs1v__h13670 + (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2097.7-2099.41" *) { compute_q_rv_BITS_60_TO_51__q5[9], compute_q_rv_BITS_60_TO_51__q5[9], compute_q_rv_BITS_60_TO_51__q5[9], compute_q_rv_BITS_60_TO_51__q5[9], compute_q_rv_BITS_60_TO_51__q5[9], compute_q_rv_BITS_60_TO_51__q5[9], compute_q_rv_BITS_60_TO_51__q5[9], compute_q_rv_BITS_60_TO_51__q5[9], compute_q_rv_BITS_60_TO_51__q5[9], compute_q_rv_BITS_60_TO_51__q5[9], compute_q_rv_BITS_60_TO_51__q5[9], compute_q_rv_BITS_60_TO_51__q5[9], compute_q_rv_BITS_60_TO_51__q5[9], compute_q_rv_BITS_60_TO_51__q5[9], compute_q_rv_BITS_60_TO_51__q5[9], compute_q_rv_BITS_60_TO_51__q5[9], compute_q_rv_BITS_60_TO_51__q5[9], compute_q_rv_BITS_60_TO_51__q5[9], compute_q_rv_BITS_60_TO_51__q5[9], compute_q_rv_BITS_60_TO_51__q5[9], compute_q_rv_BITS_60_TO_51__q5[9], compute_q_rv_BITS_60_TO_51__q5[9], compute_q_rv_BITS_60_TO_51__q5 };
+  assign _0055_ = \fetch_fetched_rv$port1__read [63:32] + (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2241.26-2241.69" *) 32'd4;
+  assign _0056_ = { x__h16104[22], x__h16104[22], x__h16104[22], x__h16104[22], x__h16104[22], x__h16104[22], x__h16104[22], x__h16104[22], x__h16104[22], x__h16104 } + (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2281.22-2281.77" *) compute_q_rv[50:19];
+  assign _0057_ = compute_q_rv[50:19] + (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2284.22-2284.49" *) 32'd8;
+  assign _0058_ = memory_waitRead_rv[63:32] + (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2289.21-2289.54" *) 32'd8;
+  assign _0059_ = nextPC__h7940 == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1057.7-1057.37" *) nextPC__h7366;
+  assign _0060_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1131.4-1131.34" *) 4'hc;
+  assign _0061_ = compute_q_rv[61:57] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1132.4-1132.35" *) 5'h1f;
+  assign _0062_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1135.6-1135.36" *) 4'hc;
+  assign _0063_ = compute_q_rv[61:59] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1137.8-1137.37" *) 3'h2;
+  assign _0064_ = compute_q_rv[81:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1141.5-1141.32" *) 3'h2;
+  assign _0065_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1143.9-1143.39" *) 4'hc;
+  assign _0066_ = compute_q_rv[61:54] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1149.9-1149.43" *) 8'he0;
+  assign _0067_ = { compute_q_rv[53:51], compute_q_rv[67] } == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1150.10-1150.59" *) 4'h0;
+  assign _0068_ = compute_q_rv[82:80] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1190.9-1190.38" *) 3'h4;
+  assign _0069_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1192.5-1192.35" *) 4'hf;
+  assign _0070_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1194.6-1194.36" *) 4'hf;
+  assign _0071_ = compute_q_rv[68:66] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1195.6-1195.35" *) 3'h6;
+  assign _0072_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1201.8-1201.38" *) 4'hf;
+  assign _0073_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1203.11-1203.41" *) 4'hf;
+  assign _0074_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1204.4-1204.32" *) 2'h2;
+  assign _0075_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1206.7-1206.37" *) 4'hf;
+  assign _0076_ = compute_q_rv[68:66] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1207.7-1207.36" *) 3'h6;
+  assign _0077_ = \fetch_fetched_rv$port1__read [31:29] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1280.11-1280.56" *) 3'h4;
+  assign _0078_ = \fetch_fetched_rv$port1__read [30:28] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1313.7-1313.50" *) 3'h0;
+  assign _0079_ = \fetch_fetched_rv$port1__read [30:28] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1314.7-1314.50" *) 3'h1;
+  assign _0080_ = compute_q_rv[82:80] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1323.8-1323.37" *) 3'h4;
+  assign _0081_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1325.11-1325.41" *) 4'he;
+  assign _0082_ = compute_q_rv[82:80] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1329.8-1329.37" *) 3'h4;
+  assign _0083_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1330.8-1330.38" *) 4'hf;
+  assign _0084_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1334.8-1334.38" *) 4'he;
+  assign _0085_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1336.11-1336.41" *) 4'hf;
+  assign _0086_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1337.4-1337.32" *) 2'h2;
+  assign _0087_ = compute_q_rv[82:80] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1341.8-1341.37" *) 3'h4;
+  assign _0088_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1343.11-1343.41" *) 4'he;
+  assign _0089_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1345.7-1345.37" *) 4'hf;
+  assign _0090_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1346.7-1346.35" *) 2'h2;
+  assign _0091_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1350.8-1350.38" *) 4'hf;
+  assign _0092_ = compute_q_rv[68:66] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1351.30-1351.59" *) 3'h6;
+  assign _0093_ = IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d385 == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1355.7-1356.24" *) compute_q_rv[8:4];
+  assign _0094_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1359.9-1359.39" *) 4'hc;
+  assign _0095_ = value__h7412 == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1365.7-1365.35" *) fetch_wantPC;
+  assign _0096_ = value__h7456 == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1367.7-1367.36" *) nextPC__h7366;
+  assign _0097_ = value__h7456 == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1369.7-1369.58" *) \fetch_fetched_rv$port1__read [63:32];
+  assign _0098_ = value__h7456 == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1371.7-1371.31" *) y__h7716;
+  assign _0099_ = \fetch_fetched_rv$port1__read [31:28] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1381.11-1381.57" *) 4'hc;
+  assign _0100_ = memory_q_rv[35:34] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1388.9-1388.35" *) 2'h0;
+  assign _0101_ = memory_q_rv[35:34] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1388.39-1388.65" *) 2'h2;
+  assign _0102_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1401.7-1401.37" *) 4'hf;
+  assign _0103_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1402.7-1402.35" *) 2'h2;
+  assign _0104_ = compute_q_rv[78:74] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1403.7-1403.35" *) 5'h1d;
+  assign _0105_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1408.7-1408.37" *) 4'hf;
+  assign _0106_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1409.7-1409.35" *) 2'h2;
+  assign _0107_ = compute_q_rv[78:74] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1410.7-1410.35" *) 5'h1e;
+  assign _0108_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1415.7-1415.37" *) 4'hf;
+  assign _0109_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1416.7-1416.35" *) 2'h2;
+  assign _0110_ = compute_q_rv[8:4] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1521.3-1521.28" *) 5'h02;
+  assign _0111_ = compute_q_rv[82:80] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1522.5-1522.34" *) 3'h4;
+  assign _0112_ = _1835_ == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1522.3-1530.7" *) 5'h02;
+  assign _0113_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1524.8-1524.38" *) 4'he;
+  assign _0114_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1526.11-1526.41" *) 4'hf;
+  assign _0115_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1527.4-1527.32" *) 2'h2;
+  assign _0116_ = compute_q_rv[82:80] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1535.9-1535.38" *) 3'h4;
+  assign _0117_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1537.5-1537.35" *) 4'hf;
+  assign _0118_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1539.6-1539.36" *) 4'hf;
+  assign _0119_ = compute_q_rv[68:66] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1540.6-1540.35" *) 3'h6;
+  assign _0120_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1546.7-1546.37" *) 4'hc;
+  assign _0121_ = compute_q_rv[61:54] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1552.7-1552.41" *) 8'he0;
+  assign _0122_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1557.7-1557.37" *) 4'hc;
+  assign _0123_ = compute_q_rv[61:54] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1563.7-1563.41" *) 8'he0;
+  assign _0124_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1569.7-1569.37" *) 4'hc;
+  assign _0125_ = compute_q_rv[61:54] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1575.7-1575.41" *) 8'he0;
+  assign _0126_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1581.7-1581.37" *) 4'hc;
+  assign _0127_ = compute_q_rv[61:54] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1587.7-1587.41" *) 8'he0;
+  assign _0128_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1593.7-1593.37" *) 4'hc;
+  assign _0129_ = compute_q_rv[61:54] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1599.7-1599.41" *) 8'he0;
+  assign _0130_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1605.7-1605.37" *) 4'hc;
+  assign _0131_ = compute_q_rv[61:54] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1611.7-1611.41" *) 8'he0;
+  assign _0132_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1617.7-1617.37" *) 4'hc;
+  assign _0133_ = compute_q_rv[61:54] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1623.7-1623.41" *) 8'he0;
+  assign _0134_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1629.7-1629.37" *) 4'hc;
+  assign _0135_ = compute_q_rv[61:54] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1635.7-1635.41" *) 8'he0;
+  assign _0136_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1641.7-1641.37" *) 4'hc;
+  assign _0137_ = compute_q_rv[61:54] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1647.7-1647.41" *) 8'he0;
+  assign _0138_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1653.7-1653.37" *) 4'he;
+  assign _0139_ = { compute_q_rv[78:76], compute_q_rv[51] } == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1654.8-1654.57" *) 4'h0;
+  assign _0140_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1661.7-1661.37" *) 4'he;
+  assign _0141_ = { compute_q_rv[78:76], compute_q_rv[51] } == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1663.8-1663.57" *) 4'h1;
+  assign _0142_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1669.7-1669.37" *) 4'he;
+  assign _0143_ = { compute_q_rv[78:76], compute_q_rv[51] } == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1670.7-1670.56" *) 4'h1;
+  assign _0144_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1675.7-1675.37" *) 4'he;
+  assign _0145_ = { compute_q_rv[78:76], compute_q_rv[51] } == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1677.7-1677.56" *) 4'h2;
+  assign _0146_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1682.7-1682.37" *) 4'he;
+  assign _0147_ = { compute_q_rv[78:76], compute_q_rv[51] } == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1684.7-1684.56" *) 4'h3;
+  assign _0148_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1689.7-1689.37" *) 4'he;
+  assign _0149_ = { compute_q_rv[78:76], compute_q_rv[51] } == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1691.7-1691.56" *) 4'h4;
+  assign _0150_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1696.7-1696.37" *) 4'he;
+  assign _0151_ = { compute_q_rv[78:76], compute_q_rv[51] } == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1698.7-1698.56" *) 4'h5;
+  assign _0152_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1703.7-1703.37" *) 4'he;
+  assign _0153_ = { compute_q_rv[78:76], compute_q_rv[51] } == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1705.7-1705.56" *) 4'h6;
+  assign _0154_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1710.7-1710.37" *) 4'he;
+  assign _0155_ = { compute_q_rv[78:76], compute_q_rv[51] } == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1712.7-1712.56" *) 4'h7;
+  assign _0156_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1717.7-1717.37" *) 4'he;
+  assign _0157_ = { compute_q_rv[78:76], compute_q_rv[51] } == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1719.7-1719.56" *) 4'h8;
+  assign _0158_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1724.7-1724.37" *) 4'he;
+  assign _0159_ = { compute_q_rv[78:76], compute_q_rv[51] } == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1726.7-1726.56" *) 4'h9;
+  assign _0160_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1731.7-1731.37" *) 4'he;
+  assign _0161_ = { compute_q_rv[78:76], compute_q_rv[51] } == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1733.7-1733.57" *) 4'ha;
+  assign _0162_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1738.7-1738.37" *) 4'he;
+  assign _0163_ = { compute_q_rv[78:76], compute_q_rv[51] } == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1740.7-1740.57" *) 4'hb;
+  assign _0164_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1745.7-1745.37" *) 4'he;
+  assign _0165_ = { compute_q_rv[78:76], compute_q_rv[51] } == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1747.7-1747.57" *) 4'hc;
+  assign _0166_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1752.7-1752.37" *) 4'he;
+  assign _0167_ = { compute_q_rv[78:76], compute_q_rv[51] } == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1754.7-1754.57" *) 4'hd;
+  assign _0168_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1759.7-1759.37" *) 4'he;
+  assign _0169_ = { compute_q_rv[78:76], compute_q_rv[51] } == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1761.7-1761.57" *) 4'he;
+  assign _0170_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1766.7-1766.37" *) 4'he;
+  assign _0171_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1827.7-1827.37" *) 4'hf;
+  assign _0172_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1828.7-1828.35" *) 2'h2;
+  assign _0173_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1833.7-1833.37" *) 4'hf;
+  assign _0174_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1834.7-1834.35" *) 2'h2;
+  assign _0175_ = compute_q_rv[78:74] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1835.7-1835.34" *) 5'h00;
+  assign _0176_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1840.7-1840.37" *) 4'hf;
+  assign _0177_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1841.7-1841.35" *) 2'h2;
+  assign _0178_ = compute_q_rv[78:74] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1842.7-1842.34" *) 5'h01;
+  assign _0179_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1847.7-1847.37" *) 4'hf;
+  assign _0180_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1848.7-1848.35" *) 2'h2;
+  assign _0181_ = compute_q_rv[78:74] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1849.7-1849.34" *) 5'h02;
+  assign _0182_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1854.7-1854.37" *) 4'hf;
+  assign _0183_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1855.7-1855.35" *) 2'h2;
+  assign _0184_ = compute_q_rv[78:74] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1856.7-1856.34" *) 5'h03;
+  assign _0185_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1861.7-1861.37" *) 4'hf;
+  assign _0186_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1862.7-1862.35" *) 2'h2;
+  assign _0187_ = compute_q_rv[78:74] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1863.7-1863.34" *) 5'h04;
+  assign _0188_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1868.7-1868.37" *) 4'hf;
+  assign _0189_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1869.7-1869.35" *) 2'h2;
+  assign _0190_ = compute_q_rv[78:74] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1870.7-1870.34" *) 5'h05;
+  assign _0191_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1875.7-1875.37" *) 4'hf;
+  assign _0192_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1876.7-1876.35" *) 2'h2;
+  assign _0193_ = compute_q_rv[78:74] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1877.7-1877.34" *) 5'h06;
+  assign _0194_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1882.7-1882.37" *) 4'hf;
+  assign _0195_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1883.7-1883.35" *) 2'h2;
+  assign _0196_ = compute_q_rv[78:74] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1884.7-1884.34" *) 5'h07;
+  assign _0197_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1889.7-1889.37" *) 4'hf;
+  assign _0198_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1890.7-1890.35" *) 2'h2;
+  assign _0199_ = compute_q_rv[78:74] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1891.7-1891.34" *) 5'h08;
+  assign _0200_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1896.7-1896.37" *) 4'hf;
+  assign _0201_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1897.7-1897.35" *) 2'h2;
+  assign _0202_ = compute_q_rv[78:74] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1898.7-1898.34" *) 5'h09;
+  assign _0203_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1903.7-1903.37" *) 4'hf;
+  assign _0204_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1904.7-1904.35" *) 2'h2;
+  assign _0205_ = compute_q_rv[78:74] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1905.7-1905.35" *) 5'h0a;
+  assign _0206_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1910.7-1910.37" *) 4'hf;
+  assign _0207_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1911.7-1911.35" *) 2'h2;
+  assign _0208_ = compute_q_rv[78:74] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1912.7-1912.35" *) 5'h0b;
+  assign _0209_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1917.7-1917.37" *) 4'hf;
+  assign _0210_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1918.7-1918.35" *) 2'h2;
+  assign _0211_ = compute_q_rv[78:74] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1919.7-1919.35" *) 5'h0c;
+  assign _0212_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1924.7-1924.37" *) 4'hf;
+  assign _0213_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1925.7-1925.35" *) 2'h2;
+  assign _0214_ = compute_q_rv[78:74] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1926.7-1926.35" *) 5'h0d;
+  assign _0215_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1931.7-1931.37" *) 4'hf;
+  assign _0216_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1932.7-1932.35" *) 2'h2;
+  assign _0217_ = compute_q_rv[78:74] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1933.7-1933.35" *) 5'h0e;
+  assign _0218_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1938.7-1938.37" *) 4'hf;
+  assign _0219_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1939.7-1939.35" *) 2'h2;
+  assign _0220_ = compute_q_rv[78:74] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1940.7-1940.35" *) 5'h0f;
+  assign _0221_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1945.7-1945.37" *) 4'hf;
+  assign _0222_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1946.7-1946.35" *) 2'h2;
+  assign _0223_ = compute_q_rv[78:74] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1947.7-1947.35" *) 5'h10;
+  assign _0224_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1952.7-1952.37" *) 4'hf;
+  assign _0225_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1953.7-1953.35" *) 2'h2;
+  assign _0226_ = compute_q_rv[78:74] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1954.7-1954.35" *) 5'h11;
+  assign _0227_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1959.7-1959.37" *) 4'hf;
+  assign _0228_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1960.7-1960.35" *) 2'h2;
+  assign _0229_ = compute_q_rv[78:74] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1961.7-1961.35" *) 5'h12;
+  assign _0230_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1966.7-1966.37" *) 4'hf;
+  assign _0231_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1967.7-1967.35" *) 2'h2;
+  assign _0232_ = compute_q_rv[78:74] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1968.7-1968.35" *) 5'h13;
+  assign _0233_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1973.7-1973.37" *) 4'hf;
+  assign _0234_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1974.7-1974.35" *) 2'h2;
+  assign _0235_ = compute_q_rv[78:74] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1975.7-1975.35" *) 5'h14;
+  assign _0236_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1980.7-1980.37" *) 4'hf;
+  assign _0237_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1981.7-1981.35" *) 2'h2;
+  assign _0238_ = compute_q_rv[78:74] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1982.7-1982.35" *) 5'h15;
+  assign _0239_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1987.7-1987.37" *) 4'hf;
+  assign _0240_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1988.7-1988.35" *) 2'h2;
+  assign _0241_ = compute_q_rv[78:74] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1989.7-1989.35" *) 5'h16;
+  assign _0242_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1994.7-1994.37" *) 4'hf;
+  assign _0243_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1995.7-1995.35" *) 2'h2;
+  assign _0244_ = compute_q_rv[78:74] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1996.7-1996.35" *) 5'h17;
+  assign _0245_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2001.7-2001.37" *) 4'hf;
+  assign _0246_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2002.7-2002.35" *) 2'h2;
+  assign _0247_ = compute_q_rv[78:74] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2003.7-2003.35" *) 5'h18;
+  assign _0248_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2008.7-2008.37" *) 4'hf;
+  assign _0249_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2009.7-2009.35" *) 2'h2;
+  assign _0250_ = compute_q_rv[78:74] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2010.7-2010.35" *) 5'h19;
+  assign _0251_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2015.7-2015.37" *) 4'hf;
+  assign _0252_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2016.7-2016.35" *) 2'h2;
+  assign _0253_ = compute_q_rv[78:74] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2017.7-2017.35" *) 5'h1a;
+  assign _0254_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2022.7-2022.37" *) 4'hf;
+  assign _0255_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2023.7-2023.35" *) 2'h2;
+  assign _0256_ = compute_q_rv[78:74] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2024.7-2024.35" *) 5'h1b;
+  assign _0257_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2029.7-2029.37" *) 4'hf;
+  assign _0258_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2030.7-2030.35" *) 2'h2;
+  assign _0259_ = compute_q_rv[78:74] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2031.7-2031.35" *) 5'h1c;
+  assign _0260_ = \fetch_fetched_rv$port1__read [31:28] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2038.8-2038.54" *) 4'hc;
+  assign _0261_ = \fetch_fetched_rv$port1__read [31:29] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2039.8-2039.53" *) 3'h4;
+  assign _0262_ = \fetch_fetched_rv$port1__read [31:29] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2040.8-2040.53" *) 3'h5;
+  assign _0263_ = \fetch_fetched_rv$port1__read [31:28] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2041.8-2041.54" *) 4'he;
+  assign _0264_ = \fetch_fetched_rv$port1__read [31:28] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2042.8-2042.54" *) 4'hf;
+  assign _0265_ = \fetch_fetched_rv$port1__read [17:16] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2043.9-2043.53" *) 2'h2;
+  assign _0266_ = \fetch_fetched_rv$port1__read [17:15] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2044.9-2044.54" *) 3'h6;
+  assign _0267_ = \fetch_fetched_rv$port1__read [31:28] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2052.8-2052.54" *) 4'hc;
+  assign _0268_ = \fetch_fetched_rv$port1__read [31:29] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2053.8-2053.53" *) 3'h4;
+  assign _0269_ = \fetch_fetched_rv$port1__read [31:29] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2054.8-2054.53" *) 3'h5;
+  assign _0270_ = \fetch_fetched_rv$port1__read [31:28] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2055.8-2055.54" *) 4'he;
+  assign _0271_ = \fetch_fetched_rv$port1__read [31:28] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2056.8-2056.54" *) 4'hf;
+  assign _0272_ = \fetch_fetched_rv$port1__read [17:16] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2058.9-2058.53" *) 2'h2;
+  assign _0273_ = \fetch_fetched_rv$port1__read [17:15] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2059.9-2059.54" *) 3'h6;
+  assign _0274_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2108.7-2108.37" *) 4'hc;
+  assign _0275_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2114.7-2114.37" *) 4'hc;
+  assign _0276_ = compute_q_rv[82:80] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2115.7-2115.36" *) 3'h4;
+  assign _0277_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2116.7-2116.37" *) 4'he;
+  assign _0278_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2117.7-2117.37" *) 4'hf;
+  assign _0279_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2118.29-2118.57" *) 2'h2;
+  assign _0280_ = compute_q_rv[82:80] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2124.9-2124.38" *) 3'h4;
+  assign _0281_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2126.5-2126.35" *) 4'he;
+  assign _0282_ = { compute_q_rv[78:76], compute_q_rv[51] } == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2128.7-2128.56" *) 4'h1;
+  assign _0283_ = compute_q_rv[82:80] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2137.5-2137.34" *) 3'h4;
+  assign _0284_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2139.8-2139.38" *) 4'he;
+  assign _0285_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2141.11-2141.41" *) 4'hf;
+  assign _0286_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2142.4-2142.32" *) 2'h2;
+  assign _0287_ = compute_q_rv[18:14] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2150.7-2150.47" *) compute_q_rv[8:4];
+  assign _0288_ = compute_q_rv[82:80] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2153.9-2153.38" *) 3'h4;
+  assign _0289_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2155.5-2155.35" *) 4'he;
+  assign _0290_ = { compute_q_rv[78:76], compute_q_rv[51] } == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2156.6-2156.55" *) 4'h0;
+  assign _0291_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2159.6-2159.36" *) 4'hf;
+  assign _0292_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2160.7-2160.35" *) 2'h2;
+  assign _0293_ = compute_q_rv[68:66] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2161.7-2161.36" *) 3'h6;
+  assign _0294_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2163.7-2163.37" *) 4'he;
+  assign _0295_ = { compute_q_rv[78:76], compute_q_rv[51] } == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2165.8-2165.57" *) 4'h1;
+  assign _0296_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2169.7-2169.37" *) 4'he;
+  assign _0297_ = { compute_q_rv[78:76], compute_q_rv[51] } == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2171.8-2171.57" *) 4'h1;
+  assign _0298_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2175.7-2175.37" *) 4'he;
+  assign _0299_ = { compute_q_rv[78:76], compute_q_rv[51] } == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2177.8-2177.57" *) 4'h1;
+  assign _0300_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2181.7-2181.37" *) 4'he;
+  assign _0301_ = { compute_q_rv[78:76], compute_q_rv[51] } == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2183.8-2183.57" *) 4'h1;
+  assign _0302_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2187.7-2187.37" *) 4'he;
+  assign _0303_ = { compute_q_rv[78:76], compute_q_rv[51] } == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2189.8-2189.57" *) 4'h1;
+  assign _0304_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2193.7-2193.37" *) 4'he;
+  assign _0305_ = { compute_q_rv[78:76], compute_q_rv[51] } == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2195.8-2195.57" *) 4'h1;
+  assign _0306_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2199.7-2199.37" *) 4'he;
+  assign _0307_ = { compute_q_rv[78:76], compute_q_rv[51] } == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2201.8-2201.57" *) 4'h1;
+  assign _0308_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2205.7-2205.37" *) 4'he;
+  assign _0309_ = { compute_q_rv[78:76], compute_q_rv[51] } == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2207.8-2207.57" *) 4'h1;
+  assign _0310_ = compute_q_rv[18:14] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2249.8-2249.64" *) \compute_regFromMemory$wget [36:32];
+  assign _0311_ = compute_q_rv[18:14] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2251.11-2251.38" *) 5'h02;
+  assign _0312_ = IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d385 == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2256.8-2257.41" *) \compute_regFromMemory$wget [36:32];
+  assign _0313_ = IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d385 == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2259.11-2260.8" *) 5'h02;
+  assign _0314_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2273.11-2273.41" *) 4'hc;
+  assign _0315_ = compute_q_rv[82:80] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2278.11-2278.40" *) 3'h4;
+  assign _0316_ = \fetch_fetched_rv$port1__read [10:3] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2501.7-2501.56" *) 8'he0;
+  assign _0317_ = \fetch_fetched_rv$port1__read [31:28] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2582.7-2582.53" *) 4'he;
+  assign _0318_ = \fetch_fetched_rv$port1__read [31:28] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2584.10-2584.56" *) 4'hf;
+  assign _0319_ = \fetch_fetched_rv$port1__read [31:28] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2590.6-2590.52" *) 4'hf;
+  assign _0320_ = \fetch_fetched_rv$port1__read [17:16] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2591.6-2591.50" *) 2'h2;
+  assign _0321_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2678.7-2678.37" *) 4'he;
+  assign _0322_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2680.10-2680.40" *) 4'hf;
+  assign _0323_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2685.6-2685.36" *) 4'hf;
+  assign _0324_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2686.6-2686.34" *) 2'h2;
+  assign _0325_ = RST_N == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2702.9-2702.22" *) 1'h0;
+  assign _0326_ = memory_q_rv[35:34] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:586.9-586.35" *) 2'h1;
+  assign _0327_ = memory_q_rv[35:34] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:588.9-588.35" *) 2'h0;
+  assign _0328_ = memory_waitRead_rv[68:64] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:722.7-722.40" *) 5'h02;
+  assign _0329_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:741.11-741.41" *) 4'hc;
+  assign _0330_ = fetch_cycle == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:786.42-786.62" *) 32'd0;
+  assign _0331_ = memory_q_rv[35:34] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:797.42-797.68" *) 2'h2;
+  assign _0332_ = memory_q_rv[6:2] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:798.7-798.31" *) 5'h02;
+  assign _0333_ = memory_waitRead_rv[68:64] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:801.7-801.40" *) 5'h02;
+  assign _0334_ = memory_waitRead_rv[68:64] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:834.7-834.40" *) 5'h02;
+  assign _0335_ = memory_waitRead_rv[68:64] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:836.7-836.40" *) 5'h02;
+  assign _0336_ = compute_q_rv[82:80] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:905.6-905.35" *) 3'h4;
+  assign _0337_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:907.9-907.39" *) 4'he;
+  assign _0338_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:909.12-909.42" *) 4'hf;
+  assign _0339_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:912.8-912.38" *) 4'hf;
+  assign _0340_ = compute_q_rv[68:67] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:913.8-913.36" *) 2'h2;
+  assign _0341_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:915.11-915.41" *) 4'hf;
+  assign _0342_ = compute_q_rv[68:66] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:916.11-916.40" *) 3'h6;
+  assign _0343_ = compute_q_rv[82:79] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:922.6-922.36" *) 4'hf;
+  assign _0344_ = compute_q_rv[68:66] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:923.6-923.35" *) 3'h6;
+  assign _0345_ = memory_q_rv[35:34] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:945.8-945.34" *) 2'h0;
+  assign _0346_ = memory_q_rv[35:34] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:945.38-945.64" *) 2'h2;
+  assign _0347_ = memory_q_rv[35:34] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:946.8-946.34" *) 2'h1;
+  assign _0348_ = memory_q_rv[35:34] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:949.9-949.35" *) 2'h2;
+  assign _0349_ = memory_q_rv[35:34] == (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:973.9-973.35" *) 2'h1;
+  assign _0350_ = memory_waitRead_rv[31:0] >= (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:693.7-693.47" *) 32'd536870912;
+  assign _0351_ = \memory_sysmemMaster_fRes_rv$port1__read [33] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1003.7-1004.53" *) _0993_;
+  assign _0352_ = WILL_FIRE_RL_mkConnectionGetPut && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1028.7-1030.52" *) _1384_;
+  assign _0353_ = _0352_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1028.7-1031.64" *) NOT_IF_fetch_mispredictMemoryF_rv_port1__read__ETC___d174;
+  assign _0354_ = WILL_FIRE_RL_mkConnectionGetPut && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1036.7-1038.52" *) _1385_;
+  assign _0355_ = _0354_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1036.7-1039.65" *) _0994_;
+  assign _0356_ = _0355_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1036.7-1040.65" *) _0995_;
+  assign _0357_ = _0356_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1036.7-1042.66" *) _1386_;
+  assign _0358_ = WILL_FIRE_RL_mkConnectionGetPut && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1047.7-1049.52" *) _1387_;
+  assign _0359_ = _0358_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1047.7-1050.65" *) _0996_;
+  assign _0360_ = _0359_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1047.7-1051.64" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d148;
+  assign _0361_ = WILL_FIRE_RL_mkConnectionGetPut && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1056.7-1057.37" *) _0059_;
+  assign _0362_ = _0060_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1131.4-1132.35" *) _0061_;
+  assign _0363_ = _1611_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1136.7-1138.39" *) _1389_;
+  assign _0364_ = _1612_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1140.4-1142.36" *) _1390_;
+  assign _0365_ = _0065_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1143.9-1144.36" *) _1613_;
+  assign _0366_ = _0365_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1143.9-1145.38" *) _1614_;
+  assign _0367_ = _0366_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1143.9-1146.38" *) _1615_;
+  assign _0368_ = _0367_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1143.9-1147.38" *) _1616_;
+  assign _0369_ = _0368_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1143.9-1148.38" *) _1617_;
+  assign _0370_ = _0369_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1143.9-1149.43" *) _0066_;
+  assign _0371_ = _0370_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1143.9-1152.58" *) _1391_;
+  assign _0372_ = _1618_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1151.3-1152.57" *) CASE_compute_q_rv_BITS_53_TO_51_CONCAT_compute_ETC__q9;
+  assign _0373_ = WILL_FIRE_RL_mkConnectionGetPut_1 && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1154.7-1155.65" *) NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d1077;
+  assign _0374_ = compute_q_rv[3] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1167.9-1168.67" *) IF_compute_q_rv_port0__read__54_BIT_82_62_THEN_ETC___d1136;
+  assign _0375_ = WILL_FIRE_RL_mkConnectionGetPut_1 && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1178.7-1181.67" *) _1392_;
+  assign _0376_ = _0998_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1180.8-1181.66" *) _0999_;
+  assign _0377_ = EN_dmem_client_response_put && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1183.7-1183.60" *) memory_waitRead_rv[69];
+  assign _0378_ = _0377_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1183.7-1184.40" *) _1619_;
+  assign _0379_ = WILL_FIRE_RL_memory_sysmemResponse && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1185.7-1185.67" *) memory_waitRead_rv[69];
+  assign _0380_ = _0379_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1185.7-1186.40" *) _1620_;
+  assign _0381_ = _0069_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1192.5-1192.56" *) _1000_;
+  assign _0382_ = _0070_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1194.6-1195.35" *) _0071_;
+  assign _0383_ = _0382_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1194.6-1196.22" *) compute_q_rv[65];
+  assign _0384_ = _0072_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1201.8-1201.59" *) _1001_;
+  assign _0385_ = _0073_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1203.11-1204.32" *) _0074_;
+  assign _0386_ = _0075_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1206.7-1207.36" *) _0076_;
+  assign _0387_ = _1002_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1211.8-1212.52" *) _1003_;
+  assign _0388_ = \fetch_fetched_rv$port1__read [31] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1215.11-1216.50" *) _1621_;
+  assign _0389_ = _0388_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1215.11-1217.49" *) _1622_;
+  assign _0390_ = _0389_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1215.11-1218.49" *) _1623_;
+  assign _0391_ = _0390_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1215.11-1219.50" *) _1624_;
+  assign _0392_ = _0391_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1215.11-1223.51" *) _1396_;
+  assign _0393_ = \fetch_fetched_rv$port1__read [17] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1221.5-1222.49" *) _1626_;
+  assign _0394_ = _0393_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1221.5-1223.50" *) _1627_;
+  assign _0395_ = _1004_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1228.8-1229.52" *) _1005_;
+  assign _0396_ = \fetch_fetched_rv$port1__read [31] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1232.11-1233.50" *) _1628_;
+  assign _0397_ = _0396_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1232.11-1234.49" *) _1629_;
+  assign _0398_ = _0397_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1232.11-1235.49" *) _1630_;
+  assign _0399_ = _0398_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1232.11-1236.50" *) _1631_;
+  assign _0400_ = _0399_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1232.11-1240.51" *) _1399_;
+  assign _0401_ = \fetch_fetched_rv$port1__read [17] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1238.5-1239.49" *) _1633_;
+  assign _0402_ = _0401_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1238.5-1240.50" *) _1634_;
+  assign _0403_ = _1006_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1245.8-1246.52" *) _1007_;
+  assign _0404_ = \fetch_fetched_rv$port1__read [31] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1249.11-1250.50" *) _1635_;
+  assign _0405_ = _0404_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1249.11-1251.49" *) _1636_;
+  assign _0406_ = _0405_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1249.11-1252.49" *) _1637_;
+  assign _0407_ = _0406_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1249.11-1253.50" *) _1638_;
+  assign _0408_ = _0407_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1249.11-1257.51" *) _1402_;
+  assign _0409_ = \fetch_fetched_rv$port1__read [17] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1255.5-1256.49" *) _1640_;
+  assign _0410_ = _0409_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1255.5-1257.50" *) _1641_;
+  assign _0411_ = _1008_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1262.8-1263.52" *) _1009_;
+  assign _0412_ = _1010_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1269.8-1270.52" *) _1011_;
+  assign _0413_ = _1012_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1276.8-1277.52" *) _1013_;
+  assign _0414_ = _1014_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1285.8-1286.52" *) _1015_;
+  assign _0415_ = _1016_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1292.8-1293.52" *) _1017_;
+  assign _0416_ = _1642_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1296.11-1297.49" *) _1643_;
+  assign _0417_ = _0416_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1296.11-1298.49" *) _1644_;
+  assign _0418_ = _0417_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1296.11-1299.50" *) _1645_;
+  assign _0419_ = _0418_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1296.11-1303.51" *) _1413_;
+  assign _0420_ = \fetch_fetched_rv$port1__read [17] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1301.5-1302.49" *) _1647_;
+  assign _0421_ = _0420_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1301.5-1303.50" *) _1648_;
+  assign _0422_ = \fetch_fetched_rv$port1__read [31] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1304.7-1305.53" *) _1649_;
+  assign _0423_ = _0422_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1304.7-1306.52" *) _1650_;
+  assign _0424_ = _0423_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1304.7-1307.52" *) _1651_;
+  assign _0425_ = _0424_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1304.7-1308.53" *) _1652_;
+  assign _0426_ = _0425_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1304.7-1312.54" *) _1416_;
+  assign _0427_ = \fetch_fetched_rv$port1__read [17] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1310.8-1311.52" *) _1654_;
+  assign _0428_ = _0427_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1310.8-1312.53" *) _1655_;
+  assign _0429_ = _0083_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1330.8-1330.59" *) _1018_;
+  assign _0430_ = _0085_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1336.11-1337.32" *) _0086_;
+  assign _0431_ = _0089_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1345.7-1346.35" *) _0090_;
+  assign _0432_ = _0091_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1350.8-1351.60" *) _1418_;
+  assign _0433_ = _0094_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1359.9-1359.59" *) compute_q_rv[68];
+  assign _0434_ = memory_q_rv[100] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1387.8-1388.66" *) _1419_;
+  assign _0435_ = _1023_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1393.7-1394.65" *) _1024_;
+  assign _0436_ = _0435_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1393.7-1395.65" *) _1025_;
+  assign _0437_ = _0436_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1393.7-1396.65" *) _1026_;
+  assign _0438_ = _1422_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1398.7-1401.37" *) _0102_;
+  assign _0439_ = _0438_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1398.7-1402.35" *) _0103_;
+  assign _0440_ = _0439_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1398.7-1403.35" *) _0104_;
+  assign _0441_ = _1028_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1399.8-1400.66" *) _1029_;
+  assign _0442_ = _1423_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1405.7-1408.37" *) _0105_;
+  assign _0443_ = _0442_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1405.7-1409.35" *) _0106_;
+  assign _0444_ = _0443_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1405.7-1410.35" *) _0107_;
+  assign _0445_ = _1031_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1406.8-1407.66" *) _1032_;
+  assign _0446_ = _1424_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1415.37" *) _0108_;
+  assign _0447_ = _0446_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1416.35" *) _0109_;
+  assign _0448_ = _0447_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1417.34" *) _1657_;
+  assign _0449_ = _0448_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1418.34" *) _1658_;
+  assign _0450_ = _0449_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1419.34" *) _1659_;
+  assign _0451_ = _0450_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1420.34" *) _1660_;
+  assign _0452_ = _0451_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1421.34" *) _1661_;
+  assign _0453_ = _0452_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1422.34" *) _1662_;
+  assign _0454_ = _0453_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1423.34" *) _1663_;
+  assign _0455_ = _0454_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1424.34" *) _1664_;
+  assign _0456_ = _0455_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1425.34" *) _1665_;
+  assign _0457_ = _0456_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1426.34" *) _1666_;
+  assign _0458_ = _0457_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1427.35" *) _1667_;
+  assign _0459_ = _0458_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1428.35" *) _1668_;
+  assign _0460_ = _0459_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1429.35" *) _1669_;
+  assign _0461_ = _0460_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1430.35" *) _1670_;
+  assign _0462_ = _0461_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1431.35" *) _1671_;
+  assign _0463_ = _0462_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1432.35" *) _1672_;
+  assign _0464_ = _0463_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1433.35" *) _1673_;
+  assign _0465_ = _0464_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1434.35" *) _1674_;
+  assign _0466_ = _0465_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1435.35" *) _1675_;
+  assign _0467_ = _0466_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1436.35" *) _1676_;
+  assign _0468_ = _0467_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1437.35" *) _1677_;
+  assign _0469_ = _0468_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1438.35" *) _1678_;
+  assign _0470_ = _0469_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1439.35" *) _1679_;
+  assign _0471_ = _0470_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1440.35" *) _1680_;
+  assign _0472_ = _0471_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1441.35" *) _1681_;
+  assign _0473_ = _0472_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1442.35" *) _1682_;
+  assign _0474_ = _0473_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1443.35" *) _1683_;
+  assign _0475_ = _0474_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1444.35" *) _1684_;
+  assign _0476_ = _0475_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1445.35" *) _1685_;
+  assign _0477_ = _0476_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1446.35" *) _1686_;
+  assign _0478_ = _0477_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.7-1447.35" *) _1687_;
+  assign _0479_ = _1034_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1413.8-1414.66" *) _1035_;
+  assign _0480_ = _1425_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1449.7-1452.22" *) compute_q_rv[3];
+  assign _0481_ = _1037_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1450.8-1451.66" *) _1038_;
+  assign _0482_ = _1426_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1454.7-1457.22" *) compute_q_rv[3];
+  assign _0483_ = _0482_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1454.7-1458.65" *) IF_compute_q_rv_port0__read__54_BIT_82_62_THEN_ETC___d1136;
+  assign _0484_ = _1040_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1455.8-1456.66" *) _1041_;
+  assign _0485_ = _1427_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1460.7-1463.22" *) compute_q_rv[3];
+  assign _0486_ = _0485_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1460.7-1464.65" *) IF_compute_q_rv_port0__read__54_BIT_82_62_THEN_ETC___d1136;
+  assign _0487_ = _0486_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1460.7-1465.26" *) \compute_alu1$run [0];
+  assign _0488_ = _1043_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1461.8-1462.66" *) _1044_;
+  assign _0489_ = _1428_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1467.7-1470.22" *) compute_q_rv[3];
+  assign _0490_ = _0489_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1467.7-1471.65" *) IF_compute_q_rv_port0__read__54_BIT_82_62_THEN_ETC___d1136;
+  assign _0491_ = _0490_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1467.7-1472.27" *) _1048_;
+  assign _0492_ = _1046_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1468.8-1469.66" *) _1047_;
+  assign _0493_ = _1429_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1474.7-1477.22" *) compute_q_rv[3];
+  assign _0494_ = _0493_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1474.7-1478.65" *) IF_compute_q_rv_port0__read__54_BIT_82_62_THEN_ETC___d1136;
+  assign _0495_ = _0494_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1474.7-1479.26" *) \compute_alu1$run [1];
+  assign _0496_ = _1050_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1475.8-1476.66" *) _1051_;
+  assign _0497_ = _1430_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1481.7-1484.22" *) compute_q_rv[3];
+  assign _0498_ = _0497_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1481.7-1485.65" *) IF_compute_q_rv_port0__read__54_BIT_82_62_THEN_ETC___d1136;
+  assign _0499_ = _0498_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1481.7-1486.27" *) _1055_;
+  assign _0500_ = _1053_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1482.8-1483.66" *) _1054_;
+  assign _0501_ = _1431_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1488.7-1491.22" *) compute_q_rv[3];
+  assign _0502_ = _0501_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1488.7-1492.65" *) IF_compute_q_rv_port0__read__54_BIT_82_62_THEN_ETC___d1136;
+  assign _0503_ = _0502_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1488.7-1493.26" *) \compute_alu1$run [2];
+  assign _0504_ = _1057_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1489.8-1490.66" *) _1058_;
+  assign _0505_ = _1432_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1495.7-1498.22" *) compute_q_rv[3];
+  assign _0506_ = _0505_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1495.7-1499.65" *) IF_compute_q_rv_port0__read__54_BIT_82_62_THEN_ETC___d1136;
+  assign _0507_ = _0506_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1495.7-1500.27" *) _1062_;
+  assign _0508_ = _1060_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1496.8-1497.66" *) _1061_;
+  assign _0509_ = _1433_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1502.7-1505.22" *) compute_q_rv[3];
+  assign _0510_ = _0509_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1502.7-1506.65" *) IF_compute_q_rv_port0__read__54_BIT_82_62_THEN_ETC___d1136;
+  assign _0511_ = _0510_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1502.7-1507.26" *) \compute_alu1$run [3];
+  assign _0512_ = _1064_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1503.8-1504.66" *) _1065_;
+  assign _0513_ = _1434_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1509.7-1512.22" *) compute_q_rv[3];
+  assign _0514_ = _0513_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1509.7-1513.65" *) IF_compute_q_rv_port0__read__54_BIT_82_62_THEN_ETC___d1136;
+  assign _0515_ = _0514_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1509.7-1514.27" *) _1069_;
+  assign _0516_ = _1067_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1510.8-1511.66" *) _1068_;
+  assign _0517_ = _1435_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1516.7-1519.65" *) compute_q_rv_port0__read__54_BIT_3_89_OR_compu_ETC___d1180;
+  assign _0518_ = _0517_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1516.7-1530.8" *) _1834_;
+  assign _0519_ = _1071_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1517.8-1518.66" *) _1072_;
+  assign _0520_ = _0114_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1526.11-1527.32" *) _0115_;
+  assign _0521_ = _1436_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1532.7-1541.25" *) _1838_;
+  assign _0522_ = _1074_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1533.8-1534.66" *) _1075_;
+  assign _0523_ = _0117_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1537.5-1537.56" *) _1077_;
+  assign _0524_ = _0118_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1539.6-1540.35" *) _0119_;
+  assign _0525_ = _0524_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1539.6-1541.23" *) _1079_;
+  assign _0526_ = _1437_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1543.7-1546.37" *) _0120_;
+  assign _0527_ = _0526_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1543.7-1547.34" *) _1688_;
+  assign _0528_ = _0527_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1543.7-1548.36" *) _1689_;
+  assign _0529_ = _0528_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1543.7-1549.36" *) _1690_;
+  assign _0530_ = _0529_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1543.7-1550.36" *) _1691_;
+  assign _0531_ = _0530_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1543.7-1551.36" *) _1692_;
+  assign _0532_ = _0531_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1543.7-1552.41" *) _0121_;
+  assign _0533_ = _1081_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1544.8-1545.66" *) _1082_;
+  assign _0534_ = _1438_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1554.7-1557.37" *) _0122_;
+  assign _0535_ = _0534_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1554.7-1558.34" *) _1693_;
+  assign _0536_ = _0535_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1554.7-1559.36" *) _1694_;
+  assign _0537_ = _0536_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1554.7-1560.36" *) _1695_;
+  assign _0538_ = _0537_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1554.7-1561.36" *) _1696_;
+  assign _0539_ = _0538_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1554.7-1562.36" *) _1697_;
+  assign _0540_ = _0539_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1554.7-1563.41" *) _0123_;
+  assign _0541_ = _0540_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1554.7-1564.37" *) \rf$computeStatusSource_read [0];
+  assign _0542_ = _1084_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1555.8-1556.66" *) _1085_;
+  assign _0543_ = _1439_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1566.7-1569.37" *) _0124_;
+  assign _0544_ = _0543_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1566.7-1570.34" *) _1698_;
+  assign _0545_ = _0544_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1566.7-1571.36" *) _1699_;
+  assign _0546_ = _0545_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1566.7-1572.36" *) _1700_;
+  assign _0547_ = _0546_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1566.7-1573.36" *) _1701_;
+  assign _0548_ = _0547_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1566.7-1574.36" *) _1702_;
+  assign _0549_ = _0548_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1566.7-1575.41" *) _0125_;
+  assign _0550_ = _0549_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1566.7-1576.38" *) _1089_;
+  assign _0551_ = _1087_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1567.8-1568.66" *) _1088_;
+  assign _0552_ = _1440_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1578.7-1581.37" *) _0126_;
+  assign _0553_ = _0552_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1578.7-1582.34" *) _1703_;
+  assign _0554_ = _0553_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1578.7-1583.36" *) _1704_;
+  assign _0555_ = _0554_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1578.7-1584.36" *) _1705_;
+  assign _0556_ = _0555_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1578.7-1585.36" *) _1706_;
+  assign _0557_ = _0556_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1578.7-1586.36" *) _1707_;
+  assign _0558_ = _0557_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1578.7-1587.41" *) _0127_;
+  assign _0559_ = _0558_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1578.7-1588.37" *) \rf$computeStatusSource_read [1];
+  assign _0560_ = _1091_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1579.8-1580.66" *) _1092_;
+  assign _0561_ = _1441_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1590.7-1593.37" *) _0128_;
+  assign _0562_ = _0561_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1590.7-1594.34" *) _1708_;
+  assign _0563_ = _0562_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1590.7-1595.36" *) _1709_;
+  assign _0564_ = _0563_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1590.7-1596.36" *) _1710_;
+  assign _0565_ = _0564_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1590.7-1597.36" *) _1711_;
+  assign _0566_ = _0565_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1590.7-1598.36" *) _1712_;
+  assign _0567_ = _0566_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1590.7-1599.41" *) _0129_;
+  assign _0568_ = _0567_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1590.7-1600.38" *) _1096_;
+  assign _0569_ = _1094_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1591.8-1592.66" *) _1095_;
+  assign _0570_ = _1442_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1602.7-1605.37" *) _0130_;
+  assign _0571_ = _0570_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1602.7-1606.34" *) _1713_;
+  assign _0572_ = _0571_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1602.7-1607.36" *) _1714_;
+  assign _0573_ = _0572_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1602.7-1608.36" *) _1715_;
+  assign _0574_ = _0573_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1602.7-1609.36" *) _1716_;
+  assign _0575_ = _0574_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1602.7-1610.36" *) _1717_;
+  assign _0576_ = _0575_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1602.7-1611.41" *) _0131_;
+  assign _0577_ = _0576_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1602.7-1612.37" *) \rf$computeStatusSource_read [2];
+  assign _0578_ = _1098_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1603.8-1604.66" *) _1099_;
+  assign _0579_ = _1443_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1614.7-1617.37" *) _0132_;
+  assign _0580_ = _0579_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1614.7-1618.34" *) _1718_;
+  assign _0581_ = _0580_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1614.7-1619.36" *) _1719_;
+  assign _0582_ = _0581_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1614.7-1620.36" *) _1720_;
+  assign _0583_ = _0582_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1614.7-1621.36" *) _1721_;
+  assign _0584_ = _0583_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1614.7-1622.36" *) _1722_;
+  assign _0585_ = _0584_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1614.7-1623.41" *) _0133_;
+  assign _0586_ = _0585_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1614.7-1624.38" *) _1103_;
+  assign _0587_ = _1101_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1615.8-1616.66" *) _1102_;
+  assign _0588_ = _1444_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1626.7-1629.37" *) _0134_;
+  assign _0589_ = _0588_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1626.7-1630.34" *) _1723_;
+  assign _0590_ = _0589_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1626.7-1631.36" *) _1724_;
+  assign _0591_ = _0590_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1626.7-1632.36" *) _1725_;
+  assign _0592_ = _0591_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1626.7-1633.36" *) _1726_;
+  assign _0593_ = _0592_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1626.7-1634.36" *) _1727_;
+  assign _0594_ = _0593_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1626.7-1635.41" *) _0135_;
+  assign _0595_ = _0594_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1626.7-1636.37" *) \rf$computeStatusSource_read [3];
+  assign _0596_ = _1105_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1627.8-1628.66" *) _1106_;
+  assign _0597_ = _1445_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1638.7-1641.37" *) _0136_;
+  assign _0598_ = _0597_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1638.7-1642.34" *) _1728_;
+  assign _0599_ = _0598_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1638.7-1643.36" *) _1729_;
+  assign _0600_ = _0599_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1638.7-1644.36" *) _1730_;
+  assign _0601_ = _0600_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1638.7-1645.36" *) _1731_;
+  assign _0602_ = _0601_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1638.7-1646.36" *) _1732_;
+  assign _0603_ = _0602_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1638.7-1647.41" *) _0137_;
+  assign _0604_ = _0603_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1638.7-1648.38" *) _1110_;
+  assign _0605_ = _1108_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1639.8-1640.66" *) _1109_;
+  assign _0606_ = _1446_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1650.7-1653.37" *) _0138_;
+  assign _0607_ = _0606_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1650.7-1656.63" *) _1447_;
+  assign _0608_ = _1112_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1651.8-1652.66" *) _1113_;
+  assign _0609_ = _1733_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1655.8-1656.62" *) CASE_compute_q_rv_BITS_78_TO_76_CONCAT_compute_ETC__q2;
+  assign _0610_ = _1448_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1658.7-1661.37" *) _0140_;
+  assign _0611_ = _0610_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1658.7-1662.56" *) _1734_;
+  assign _0612_ = _0611_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1658.7-1664.66" *) _1449_;
+  assign _0613_ = _1115_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1659.8-1660.66" *) _1116_;
+  assign _0614_ = _1450_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1666.7-1669.37" *) _0142_;
+  assign _0615_ = _0614_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1666.7-1670.56" *) _0143_;
+  assign _0616_ = _1118_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1667.8-1668.66" *) _1119_;
+  assign _0617_ = _1451_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1672.7-1675.37" *) _0144_;
+  assign _0618_ = _0617_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1672.7-1676.64" *) IF_compute_q_rv_port0__read__54_BITS_78_TO_76__ETC___d451;
+  assign _0619_ = _0618_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1672.7-1677.56" *) _0145_;
+  assign _0620_ = _1121_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1673.8-1674.66" *) _1122_;
+  assign _0621_ = _1452_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1679.7-1682.37" *) _0146_;
+  assign _0622_ = _0621_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1679.7-1683.64" *) IF_compute_q_rv_port0__read__54_BITS_78_TO_76__ETC___d451;
+  assign _0623_ = _0622_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1679.7-1684.56" *) _0147_;
+  assign _0624_ = _1124_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1680.8-1681.66" *) _1125_;
+  assign _0625_ = _1453_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1686.7-1689.37" *) _0148_;
+  assign _0626_ = _0625_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1686.7-1690.64" *) IF_compute_q_rv_port0__read__54_BITS_78_TO_76__ETC___d451;
+  assign _0627_ = _0626_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1686.7-1691.56" *) _0149_;
+  assign _0628_ = _1127_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1687.8-1688.66" *) _1128_;
+  assign _0629_ = _1454_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1693.7-1696.37" *) _0150_;
+  assign _0630_ = _0629_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1693.7-1697.64" *) IF_compute_q_rv_port0__read__54_BITS_78_TO_76__ETC___d451;
+  assign _0631_ = _0630_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1693.7-1698.56" *) _0151_;
+  assign _0632_ = _1130_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1694.8-1695.66" *) _1131_;
+  assign _0633_ = _1455_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1700.7-1703.37" *) _0152_;
+  assign _0634_ = _0633_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1700.7-1704.64" *) IF_compute_q_rv_port0__read__54_BITS_78_TO_76__ETC___d451;
+  assign _0635_ = _0634_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1700.7-1705.56" *) _0153_;
+  assign _0636_ = _1133_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1701.8-1702.66" *) _1134_;
+  assign _0637_ = _1456_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1707.7-1710.37" *) _0154_;
+  assign _0638_ = _0637_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1707.7-1711.64" *) IF_compute_q_rv_port0__read__54_BITS_78_TO_76__ETC___d451;
+  assign _0639_ = _0638_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1707.7-1712.56" *) _0155_;
+  assign _0640_ = _1136_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1708.8-1709.66" *) _1137_;
+  assign _0641_ = _1457_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1714.7-1717.37" *) _0156_;
+  assign _0642_ = _0641_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1714.7-1718.64" *) IF_compute_q_rv_port0__read__54_BITS_78_TO_76__ETC___d451;
+  assign _0643_ = _0642_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1714.7-1719.56" *) _0157_;
+  assign _0644_ = _1139_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1715.8-1716.66" *) _1140_;
+  assign _0645_ = _0647_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1721.7-1726.56" *) _0159_;
+  assign _0646_ = _1458_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1721.7-1724.37" *) _0158_;
+  assign _0647_ = _0646_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1721.7-1725.64" *) IF_compute_q_rv_port0__read__54_BITS_78_TO_76__ETC___d451;
+  assign _0648_ = _1142_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1722.8-1723.66" *) _1143_;
+  assign _0649_ = _1459_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1728.7-1731.37" *) _0160_;
+  assign _0650_ = _0649_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1728.7-1732.64" *) IF_compute_q_rv_port0__read__54_BITS_78_TO_76__ETC___d451;
+  assign _0651_ = _0650_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1728.7-1733.57" *) _0161_;
+  assign _0652_ = _1145_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1729.8-1730.66" *) _1146_;
+  assign _0653_ = _1460_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1735.7-1738.37" *) _0162_;
+  assign _0654_ = _0653_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1735.7-1739.64" *) IF_compute_q_rv_port0__read__54_BITS_78_TO_76__ETC___d451;
+  assign _0655_ = _0654_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1735.7-1740.57" *) _0163_;
+  assign _0656_ = _1148_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1736.8-1737.66" *) _1149_;
+  assign _0657_ = _1461_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1742.7-1745.37" *) _0164_;
+  assign _0658_ = _0657_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1742.7-1746.64" *) IF_compute_q_rv_port0__read__54_BITS_78_TO_76__ETC___d451;
+  assign _0659_ = _0658_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1742.7-1747.57" *) _0165_;
+  assign _0660_ = _1151_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1743.8-1744.66" *) _1152_;
+  assign _0661_ = _1462_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1749.7-1752.37" *) _0166_;
+  assign _0662_ = _0661_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1749.7-1753.64" *) IF_compute_q_rv_port0__read__54_BITS_78_TO_76__ETC___d451;
+  assign _0663_ = _0662_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1749.7-1754.57" *) _0167_;
+  assign _0664_ = _1154_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1750.8-1751.66" *) _1155_;
+  assign _0665_ = _1463_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1756.7-1759.37" *) _0168_;
+  assign _0666_ = _0665_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1756.7-1760.64" *) IF_compute_q_rv_port0__read__54_BITS_78_TO_76__ETC___d451;
+  assign _0667_ = _0666_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1756.7-1761.57" *) _0169_;
+  assign _0668_ = _1157_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1757.8-1758.66" *) _1158_;
+  assign _0669_ = _1464_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1763.7-1766.37" *) _0170_;
+  assign _0670_ = _0669_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1763.7-1767.56" *) _1735_;
+  assign _0671_ = _0670_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1763.7-1768.64" *) IF_compute_q_rv_port0__read__54_BITS_78_TO_76__ETC___d451;
+  assign _0672_ = _0671_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1763.7-1769.56" *) _1736_;
+  assign _0673_ = _0672_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1763.7-1770.56" *) _1737_;
+  assign _0674_ = _0673_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1763.7-1771.56" *) _1738_;
+  assign _0675_ = _0674_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1763.7-1772.56" *) _1739_;
+  assign _0676_ = _0675_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1763.7-1773.56" *) _1740_;
+  assign _0677_ = _0676_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1763.7-1774.56" *) _1741_;
+  assign _0678_ = _0677_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1763.7-1775.56" *) _1742_;
+  assign _0679_ = _0678_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1763.7-1776.56" *) _1743_;
+  assign _0680_ = _0679_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1763.7-1777.56" *) _1744_;
+  assign _0681_ = _0680_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1763.7-1778.57" *) _1745_;
+  assign _0682_ = _0681_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1763.7-1779.57" *) _1746_;
+  assign _0683_ = _0682_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1763.7-1780.57" *) _1747_;
+  assign _0684_ = _0683_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1763.7-1781.57" *) _1748_;
+  assign _0685_ = _0684_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1763.7-1782.57" *) _1749_;
+  assign _0686_ = _1160_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1764.8-1765.66" *) _1161_;
+  assign _0687_ = _1465_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1784.7-1787.64" *) compute_q_rv_port0__read__54_BIT_82_62_AND_NOT_ETC___d817;
+  assign _0688_ = _1163_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1785.8-1786.66" *) _1164_;
+  assign _0689_ = _1466_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1789.7-1792.64" *) compute_q_rv_port0__read__54_BIT_82_62_AND_NOT_ETC___d822;
+  assign _0690_ = _1166_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1790.8-1791.66" *) _1167_;
+  assign _0691_ = _1467_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1794.7-1797.64" *) compute_q_rv_port0__read__54_BIT_82_62_AND_NOT_ETC___d827;
+  assign _0692_ = _1169_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1795.8-1796.66" *) _1170_;
+  assign _0693_ = _1468_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1799.7-1802.64" *) compute_q_rv_port0__read__54_BIT_82_62_AND_NOT_ETC___d832;
+  assign _0694_ = _1172_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1800.8-1801.66" *) _1173_;
+  assign _0695_ = _1469_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1804.7-1807.64" *) compute_q_rv_port0__read__54_BIT_82_62_AND_NOT_ETC___d837;
+  assign _0696_ = _1175_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1805.8-1806.66" *) _1176_;
+  assign _0697_ = _1470_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1809.7-1812.64" *) compute_q_rv_port0__read__54_BIT_82_62_AND_NOT_ETC___d842;
+  assign _0698_ = _1178_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1810.8-1811.66" *) _1179_;
+  assign _0699_ = _1471_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1814.7-1817.64" *) compute_q_rv_port0__read__54_BIT_82_62_AND_NOT_ETC___d847;
+  assign _0700_ = _1181_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1815.8-1816.66" *) _1182_;
+  assign _0701_ = _1472_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1819.7-1822.64" *) compute_q_rv_port0__read__54_BIT_82_62_AND_NOT_ETC___d852;
+  assign _0702_ = _1184_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1820.8-1821.66" *) _1185_;
+  assign _0703_ = _1473_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1824.7-1827.37" *) _0171_;
+  assign _0704_ = _0703_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1824.7-1828.35" *) _0172_;
+  assign _0705_ = _1187_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1825.8-1826.66" *) _1188_;
+  assign _0706_ = _1474_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1830.7-1833.37" *) _0173_;
+  assign _0707_ = _0706_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1830.7-1834.35" *) _0174_;
+  assign _0708_ = _0707_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1830.7-1835.34" *) _0175_;
+  assign _0709_ = _1190_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1831.8-1832.66" *) _1191_;
+  assign _0710_ = _1475_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1837.7-1840.37" *) _0176_;
+  assign _0711_ = _0710_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1837.7-1841.35" *) _0177_;
+  assign _0712_ = _0711_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1837.7-1842.34" *) _0178_;
+  assign _0713_ = _1193_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1838.8-1839.66" *) _1194_;
+  assign _0714_ = _1476_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1844.7-1847.37" *) _0179_;
+  assign _0715_ = _0714_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1844.7-1848.35" *) _0180_;
+  assign _0716_ = _0715_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1844.7-1849.34" *) _0181_;
+  assign _0717_ = _1196_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1845.8-1846.66" *) _1197_;
+  assign _0718_ = _1477_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1851.7-1854.37" *) _0182_;
+  assign _0719_ = _0718_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1851.7-1855.35" *) _0183_;
+  assign _0720_ = _0719_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1851.7-1856.34" *) _0184_;
+  assign _0721_ = _1199_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1852.8-1853.66" *) _1200_;
+  assign _0722_ = _1478_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1858.7-1861.37" *) _0185_;
+  assign _0723_ = _0722_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1858.7-1862.35" *) _0186_;
+  assign _0724_ = _0723_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1858.7-1863.34" *) _0187_;
+  assign _0725_ = _1202_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1859.8-1860.66" *) _1203_;
+  assign _0726_ = _1479_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1865.7-1868.37" *) _0188_;
+  assign _0727_ = _0726_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1865.7-1869.35" *) _0189_;
+  assign _0728_ = _0727_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1865.7-1870.34" *) _0190_;
+  assign _0729_ = _1205_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1866.8-1867.66" *) _1206_;
+  assign _0730_ = _1480_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1872.7-1875.37" *) _0191_;
+  assign _0731_ = _0730_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1872.7-1876.35" *) _0192_;
+  assign _0732_ = _0731_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1872.7-1877.34" *) _0193_;
+  assign _0733_ = _1208_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1873.8-1874.66" *) _1209_;
+  assign _0734_ = _1481_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1879.7-1882.37" *) _0194_;
+  assign _0735_ = _0734_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1879.7-1883.35" *) _0195_;
+  assign _0736_ = _0735_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1879.7-1884.34" *) _0196_;
+  assign _0737_ = _1211_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1880.8-1881.66" *) _1212_;
+  assign _0738_ = _1482_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1886.7-1889.37" *) _0197_;
+  assign _0739_ = _0738_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1886.7-1890.35" *) _0198_;
+  assign _0740_ = _0739_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1886.7-1891.34" *) _0199_;
+  assign _0741_ = _1214_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1887.8-1888.66" *) _1215_;
+  assign _0742_ = _1483_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1893.7-1896.37" *) _0200_;
+  assign _0743_ = _0742_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1893.7-1897.35" *) _0201_;
+  assign _0744_ = _0743_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1893.7-1898.34" *) _0202_;
+  assign _0745_ = _1217_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1894.8-1895.66" *) _1218_;
+  assign _0746_ = _1484_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1900.7-1903.37" *) _0203_;
+  assign _0747_ = _0746_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1900.7-1904.35" *) _0204_;
+  assign _0748_ = _0747_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1900.7-1905.35" *) _0205_;
+  assign _0749_ = _1220_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1901.8-1902.66" *) _1221_;
+  assign _0750_ = _1485_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1907.7-1910.37" *) _0206_;
+  assign _0751_ = _0750_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1907.7-1911.35" *) _0207_;
+  assign _0752_ = _0751_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1907.7-1912.35" *) _0208_;
+  assign _0753_ = _1223_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1908.8-1909.66" *) _1224_;
+  assign _0754_ = _1486_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1914.7-1917.37" *) _0209_;
+  assign _0755_ = _0754_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1914.7-1918.35" *) _0210_;
+  assign _0756_ = _0755_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1914.7-1919.35" *) _0211_;
+  assign _0757_ = _1226_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1915.8-1916.66" *) _1227_;
+  assign _0758_ = _1487_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1921.7-1924.37" *) _0212_;
+  assign _0759_ = _0758_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1921.7-1925.35" *) _0213_;
+  assign _0760_ = _0759_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1921.7-1926.35" *) _0214_;
+  assign _0761_ = _1229_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1922.8-1923.66" *) _1230_;
+  assign _0762_ = _1488_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1928.7-1931.37" *) _0215_;
+  assign _0763_ = _0762_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1928.7-1932.35" *) _0216_;
+  assign _0764_ = _0763_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1928.7-1933.35" *) _0217_;
+  assign _0765_ = _1232_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1929.8-1930.66" *) _1233_;
+  assign _0766_ = _1489_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1935.7-1938.37" *) _0218_;
+  assign _0767_ = _0766_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1935.7-1939.35" *) _0219_;
+  assign _0768_ = _0767_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1935.7-1940.35" *) _0220_;
+  assign _0769_ = _1235_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1936.8-1937.66" *) _1236_;
+  assign _0770_ = _1490_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1942.7-1945.37" *) _0221_;
+  assign _0771_ = _0770_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1942.7-1946.35" *) _0222_;
+  assign _0772_ = _0771_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1942.7-1947.35" *) _0223_;
+  assign _0773_ = _1238_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1943.8-1944.66" *) _1239_;
+  assign _0774_ = _1491_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1949.7-1952.37" *) _0224_;
+  assign _0775_ = _0774_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1949.7-1953.35" *) _0225_;
+  assign _0776_ = _0775_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1949.7-1954.35" *) _0226_;
+  assign _0777_ = _1241_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1950.8-1951.66" *) _1242_;
+  assign _0778_ = _1492_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1956.7-1959.37" *) _0227_;
+  assign _0779_ = _0778_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1956.7-1960.35" *) _0228_;
+  assign _0780_ = _0779_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1956.7-1961.35" *) _0229_;
+  assign _0781_ = _1244_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1957.8-1958.66" *) _1245_;
+  assign _0782_ = _1493_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1963.7-1966.37" *) _0230_;
+  assign _0783_ = _0782_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1963.7-1967.35" *) _0231_;
+  assign _0784_ = _0783_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1963.7-1968.35" *) _0232_;
+  assign _0785_ = _1247_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1964.8-1965.66" *) _1248_;
+  assign _0786_ = _1494_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1970.7-1973.37" *) _0233_;
+  assign _0787_ = _0786_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1970.7-1974.35" *) _0234_;
+  assign _0788_ = _0787_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1970.7-1975.35" *) _0235_;
+  assign _0789_ = _1250_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1971.8-1972.66" *) _1251_;
+  assign _0790_ = _1495_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1977.7-1980.37" *) _0236_;
+  assign _0791_ = _0790_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1977.7-1981.35" *) _0237_;
+  assign _0792_ = _0791_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1977.7-1982.35" *) _0238_;
+  assign _0793_ = _1253_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1978.8-1979.66" *) _1254_;
+  assign _0794_ = _1496_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1984.7-1987.37" *) _0239_;
+  assign _0795_ = _0794_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1984.7-1988.35" *) _0240_;
+  assign _0796_ = _0795_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1984.7-1989.35" *) _0241_;
+  assign _0797_ = _1256_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1985.8-1986.66" *) _1257_;
+  assign _0798_ = _1497_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1991.7-1994.37" *) _0242_;
+  assign _0799_ = _0798_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1991.7-1995.35" *) _0243_;
+  assign _0800_ = _0799_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1991.7-1996.35" *) _0244_;
+  assign _0801_ = _1259_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1992.8-1993.66" *) _1260_;
+  assign _0802_ = _1498_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1998.7-2001.37" *) _0245_;
+  assign _0803_ = _0802_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1998.7-2002.35" *) _0246_;
+  assign _0804_ = _0803_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1998.7-2003.35" *) _0247_;
+  assign _0805_ = _1262_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1999.8-2000.66" *) _1263_;
+  assign _0806_ = _1499_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2005.7-2008.37" *) _0248_;
+  assign _0807_ = _0806_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2005.7-2009.35" *) _0249_;
+  assign _0808_ = _0807_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2005.7-2010.35" *) _0250_;
+  assign _0809_ = _1265_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2006.8-2007.66" *) _1266_;
+  assign _0810_ = _1500_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2012.7-2015.37" *) _0251_;
+  assign _0811_ = _0810_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2012.7-2016.35" *) _0252_;
+  assign _0812_ = _0811_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2012.7-2017.35" *) _0253_;
+  assign _0813_ = _1268_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2013.8-2014.66" *) _1269_;
+  assign _0814_ = _1501_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2019.7-2022.37" *) _0254_;
+  assign _0815_ = _0814_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2019.7-2023.35" *) _0255_;
+  assign _0816_ = _0815_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2019.7-2024.35" *) _0256_;
+  assign _0817_ = _1271_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2020.8-2021.66" *) _1272_;
+  assign _0818_ = _1502_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2026.7-2029.37" *) _0257_;
+  assign _0819_ = _0818_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2026.7-2030.35" *) _0258_;
+  assign _0820_ = _0819_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2026.7-2031.35" *) _0259_;
+  assign _0821_ = _1274_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2027.8-2028.66" *) _1275_;
+  assign _0822_ = _1276_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2033.8-2034.52" *) _1277_;
+  assign _0823_ = _1504_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2033.7-2044.56" *) _1509_;
+  assign _0824_ = _0823_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2033.7-2045.39" *) \fetch_fetched_rv$port1__read [17];
+  assign _0825_ = _0264_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2042.8-2044.55" *) _1510_;
+  assign _0826_ = _1279_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2047.8-2048.52" *) _1280_;
+  assign _0827_ = _1512_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2047.7-2059.56" *) _1517_;
+  assign _0828_ = _0827_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2047.7-2060.39" *) \fetch_fetched_rv$port1__read [16];
+  assign _0829_ = _0271_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2056.8-2059.55" *) _1519_;
+  assign _0830_ = _1283_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2062.8-2063.52" *) _1284_;
+  assign _0831_ = _1521_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2062.7-2066.52" *) _1750_;
+  assign _0832_ = _0831_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2062.7-2067.52" *) _1751_;
+  assign _0833_ = _0832_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2062.7-2068.53" *) _1752_;
+  assign _0834_ = _0833_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2062.7-2072.54" *) _1522_;
+  assign _0835_ = \fetch_fetched_rv$port1__read [17] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2070.8-2071.52" *) _1754_;
+  assign _0836_ = _0835_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2070.8-2072.53" *) _1755_;
+  assign _0837_ = compute_memoryRegisterLoad[5] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2104.7-2106.66" *) _1530_;
+  assign _0838_ = compute_memoryRegisterLoad[5] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2110.7-2112.66" *) _1536_;
+  assign _0839_ = _0278_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2117.7-2118.58" *) _1537_;
+  assign _0840_ = compute_memoryRegisterLoad[5] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2120.7-2122.66" *) _1541_;
+  assign _0841_ = _1288_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2123.7-2134.27" *) _1843_;
+  assign _0842_ = _1756_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2127.6-2129.65" *) _1542_;
+  assign _0843_ = _1543_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2130.6-2134.25" *) _1545_;
+  assign _0844_ = _0285_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2141.11-2142.32" *) _0286_;
+  assign _0845_ = _1763_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2157.6-2158.60" *) CASE_compute_q_rv_BITS_78_TO_76_CONCAT_compute_ETC__q2;
+  assign _0846_ = _0291_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2159.6-2161.57" *) _1548_;
+  assign _0847_ = _0293_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2161.7-2161.56" *) compute_q_rv[61];
+  assign _0848_ = _0294_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2163.7-2164.56" *) _1764_;
+  assign _0849_ = _0848_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2163.7-2166.66" *) _1549_;
+  assign _0850_ = _0849_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2163.7-2167.37" *) \rf$computeStatusSource_read [0];
+  assign _0851_ = _0296_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2169.7-2170.56" *) _1765_;
+  assign _0852_ = _0851_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2169.7-2172.66" *) _1550_;
+  assign _0853_ = _0852_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2169.7-2173.38" *) _1292_;
+  assign _0854_ = _0298_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2175.7-2176.56" *) _1766_;
+  assign _0855_ = _0854_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2175.7-2178.66" *) _1551_;
+  assign _0856_ = _0855_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2175.7-2179.37" *) \rf$computeStatusSource_read [1];
+  assign _0857_ = _0300_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2181.7-2182.56" *) _1767_;
+  assign _0858_ = _0857_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2181.7-2184.66" *) _1552_;
+  assign _0859_ = _0858_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2181.7-2185.38" *) _1293_;
+  assign _0860_ = _0302_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2187.7-2188.56" *) _1768_;
+  assign _0861_ = _0860_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2187.7-2190.66" *) _1553_;
+  assign _0862_ = _0861_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2187.7-2191.37" *) \rf$computeStatusSource_read [2];
+  assign _0863_ = _0304_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2193.7-2194.56" *) _1769_;
+  assign _0864_ = _0863_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2193.7-2196.66" *) _1554_;
+  assign _0865_ = _0864_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2193.7-2197.38" *) _1294_;
+  assign _0866_ = _0306_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2199.7-2200.56" *) _1770_;
+  assign _0867_ = _0866_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2199.7-2202.66" *) _1555_;
+  assign _0868_ = _0867_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2199.7-2203.37" *) \rf$computeStatusSource_read [3];
+  assign _0869_ = _0308_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2205.7-2206.56" *) _1771_;
+  assign _0870_ = _0869_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2205.7-2208.66" *) _1556_;
+  assign _0871_ = _0870_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2205.7-2209.38" *) _1295_;
+  assign _0872_ = _1557_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2219.7-2221.65" *) _1296_;
+  assign _0873_ = _0872_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2219.7-2222.65" *) _1297_;
+  assign _0874_ = _0873_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2219.7-2223.64" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d154;
+  assign _0875_ = _1558_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2225.7-2227.65" *) _1298_;
+  assign _0876_ = _0875_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2225.7-2228.65" *) _1299_;
+  assign _0877_ = _1772_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2229.7-2230.52" *) _1773_;
+  assign _0878_ = _0877_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2229.7-2231.52" *) _1774_;
+  assign _0879_ = _0878_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2229.7-2232.53" *) _1775_;
+  assign _0880_ = _0879_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2229.7-2236.54" *) _1560_;
+  assign _0881_ = \fetch_fetched_rv$port1__read [17] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2234.8-2235.52" *) _1777_;
+  assign _0882_ = _0881_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2234.8-2236.53" *) _1778_;
+  assign _0883_ = \compute_regFromMemory$whas  && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2248.8-2249.64" *) _0310_;
+  assign _0884_ = \compute_regFromMemory$whas  && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2255.8-2257.41" *) _0312_;
+  assign _0885_ = _1300_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2291.8-2292.52" *) _1301_;
+  assign _0886_ = \fetch_fetched_rv$port1__read [31] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2295.11-2296.50" *) _1779_;
+  assign _0887_ = _0886_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2295.11-2297.49" *) _1780_;
+  assign _0888_ = _0887_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2295.11-2298.49" *) _1781_;
+  assign _0889_ = _0888_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2295.11-2299.50" *) _1782_;
+  assign _0890_ = _0889_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2295.11-2303.51" *) _1564_;
+  assign _0891_ = \fetch_fetched_rv$port1__read [17] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2301.5-2302.49" *) _1784_;
+  assign _0892_ = _0891_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2301.5-2303.50" *) _1785_;
+  assign _0893_ = \rf$computeStatusSource_read [3] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2323.8-2324.39" *) _1303_;
+  assign _0894_ = _1566_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2351.8-2354.40" *) _1567_;
+  assign _0895_ = _1568_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2357.8-2360.41" *) _1569_;
+  assign _0896_ = _1571_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2363.8-2368.40" *) _1573_;
+  assign _0897_ = _1314_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2370.6-2372.38" *) _1574_;
+  assign _0898_ = _0897_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2370.6-2374.39" *) _1575_;
+  assign _0899_ = \rf$computeStatusSource_read [3] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2423.8-2424.39" *) _1317_;
+  assign _0900_ = \rf$computeStatusSource_read [1] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2455.8-2456.38" *) \rf$computeStatusSource_read [2];
+  assign _0901_ = _1323_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2457.8-2458.39" *) _1324_;
+  assign _0902_ = \rf$computeStatusSource_read [1] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2461.8-2462.39" *) _1325_;
+  assign _0903_ = _1326_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2463.8-2464.38" *) \rf$computeStatusSource_read [2];
+  assign _0904_ = \rf$computeStatusSource_read [1] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2467.8-2468.38" *) \rf$computeStatusSource_read [2];
+  assign _0905_ = _0904_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2467.8-2469.39" *) _1327_;
+  assign _0906_ = _1328_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2470.8-2471.39" *) _1329_;
+  assign _0907_ = _0906_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2470.8-2472.39" *) _1330_;
+  assign _0908_ = \rf$computeStatusSource_read [1] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2475.6-2476.37" *) _1331_;
+  assign _0909_ = _1332_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2477.6-2478.36" *) \rf$computeStatusSource_read [2];
+  assign _0910_ = _0318_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2584.10-2585.43" *) _1333_;
+  assign _0911_ = _0319_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2590.6-2591.50" *) _0320_;
+  assign _0912_ = \rf$computeStatusSource_read [3] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2607.8-2608.39" *) _1334_;
+  assign _0913_ = \rf$computeStatusSource_read [1] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2639.8-2640.38" *) \rf$computeStatusSource_read [2];
+  assign _0914_ = _1340_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2641.8-2642.39" *) _1341_;
+  assign _0915_ = \rf$computeStatusSource_read [1] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2645.8-2646.39" *) _1342_;
+  assign _0916_ = _1343_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2647.8-2648.38" *) \rf$computeStatusSource_read [2];
+  assign _0917_ = \rf$computeStatusSource_read [1] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2651.8-2652.38" *) \rf$computeStatusSource_read [2];
+  assign _0918_ = _0917_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2651.8-2653.39" *) _1344_;
+  assign _0919_ = _1345_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2654.8-2655.39" *) _1346_;
+  assign _0920_ = _0919_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2654.8-2656.39" *) _1347_;
+  assign _0921_ = \rf$computeStatusSource_read [1] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2659.6-2660.37" *) _1348_;
+  assign _0922_ = _1349_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2661.6-2662.36" *) \rf$computeStatusSource_read [2];
+  assign _0923_ = _0322_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2680.10-2680.61" *) _1350_;
+  assign _0924_ = _0323_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2685.6-2686.34" *) _0324_;
+  assign _0925_ = _0927_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:591.7-593.63" *) IF_memory_q_rv_port0__read__5_BIT_100_6_AND_me_ETC___d61;
+  assign _0926_ = memory_q_rv[100] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:591.7-591.48" *) _1351_;
+  assign _0927_ = _0926_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:591.7-592.63" *) memory_q_rv_port0__read__5_BITS_99_TO_68_6_ULT_ETC___d67;
+  assign _0928_ = memory_waitRead_rv[70] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:597.7-599.40" *) _1589_;
+  assign _0929_ = \fetch_fetchPC$whas  && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:605.7-605.57" *) _1609_;
+  assign _0930_ = _0929_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:605.7-606.44" *) _1354_;
+  assign _0931_ = fetch_pcRequested_rv[32] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:610.7-611.48" *) _1610_;
+  assign _0932_ = _0931_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:610.7-612.28" *) _1355_;
+  assign _0933_ = \memory_sysmemMaster_fReq_rv$port1__read [69] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:616.7-617.44" *) \memory_sysmemMaster_outgoing$wget [69];
+  assign _0934_ = \memory_sysmemMaster_fReq_rv$port1__read [69] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:621.7-622.44" *) \memory_sysmemMaster_outgoing$wget [69];
+  assign _0935_ = \memory_sysmemMaster_fReq_rv$port1__read [69] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:649.7-650.44" *) \memory_sysmemMaster_outgoing$wget [69];
+  assign _0936_ = _0935_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:649.7-651.44" *) \memory_sysmemMaster_outgoing$wget [36];
+  assign _0937_ = memory_waitRead_rv[70] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:690.7-690.66" *) memory_delaySysmemResponse_rv[33];
+  assign _0938_ = _0937_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:690.7-692.40" *) _1594_;
+  assign _0939_ = _0938_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:690.7-693.47" *) _0350_;
+  assign _0940_ = _0939_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:690.7-694.35" *) _1364_;
+  assign _0941_ = memory_q_rv[100] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:698.7-698.59" *) _1365_;
+  assign _0942_ = _0941_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:698.7-699.63" *) IF_memory_q_rv_port0__read__5_BIT_100_6_AND_me_ETC___d61;
+  assign _0943_ = _0942_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:698.7-700.28" *) _1366_;
+  assign _0944_ = _0943_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:698.7-701.64" *) _1367_;
+  assign _0945_ = compute_q_rv[83] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:705.7-705.56" *) _1368_;
+  assign _0946_ = _0945_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:705.7-706.64" *) compute_memoryRegisterLoad_57_BIT_5_58_AND_com_ETC___d497;
+  assign _0947_ = _1788_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:710.7-710.63" *) \fetch_fetched_rv$port1__read [64];
+  assign _0948_ = _0947_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:710.7-711.36" *) _1369_;
+  assign _0949_ = WILL_FIRE_RL_memory_sysmemResponse && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:715.7-715.67" *) memory_waitRead_rv[69];
+  assign _0950_ = _0949_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:715.7-716.40" *) _1789_;
+  assign _0951_ = EN_dmem_client_response_put && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:718.7-718.60" *) memory_waitRead_rv[69];
+  assign _0952_ = _0951_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:718.7-719.40" *) _1790_;
+  assign _0953_ = WILL_FIRE_RL_memory_sysmemResponse && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:721.7-721.67" *) memory_waitRead_rv[69];
+  assign _0954_ = _0953_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:721.7-722.40" *) _0328_;
+  assign _0955_ = WILL_FIRE_RL_mkConnectionGetPut && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:758.7-762.66" *) _1596_;
+  assign _0956_ = _1370_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:759.8-760.52" *) _1371_;
+  assign _0957_ = \_dor1memory_responseRegProbe$EN__write  && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:781.7-782.29" *) memory_waitRead_rv[69];
+  assign _0958_ = WILL_FIRE_RL_memory_sysmemResponse && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:792.7-792.67" *) memory_waitRead_rv[69];
+  assign _0959_ = _0958_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:792.7-793.40" *) _1791_;
+  assign _0960_ = EN_dmem_client_response_put && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:794.7-794.60" *) memory_waitRead_rv[69];
+  assign _0961_ = _0960_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:794.7-795.40" *) _1792_;
+  assign _0962_ = _0963_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:797.7-798.31" *) _0332_;
+  assign _0963_ = \_dor1memory_startPCLoad$EN_wset  && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:797.7-797.68" *) _0331_;
+  assign _0964_ = \_dor1memory_stopPCLoad$EN_wset  && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:800.7-800.63" *) memory_waitRead_rv[69];
+  assign _0965_ = _0964_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:800.7-801.40" *) _0333_;
+  assign _0966_ = sysmem_client_ack_i && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:805.8-805.51" *) _1372_;
+  assign _0967_ = _0966_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:805.8-806.28" *) _1373_;
+  assign _0968_ = \_dor1memory_busyResp$EN_wset  && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:815.7-815.61" *) memory_waitRead_rv[69];
+  assign _0969_ = WILL_FIRE_RL_mkConnectionGetPut_1 && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:817.7-818.65" *) NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d1183;
+  assign _0970_ = WILL_FIRE_RL_mkConnectionGetPut && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:826.7-827.50" *) \fetch_mispredictComputeF_rv$port1__read [64];
+  assign _0971_ = WILL_FIRE_RL_memory_sysmemResponse && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:833.7-833.67" *) memory_waitRead_rv[69];
+  assign _0972_ = _0971_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:833.7-834.40" *) _0334_;
+  assign _0973_ = EN_dmem_client_response_put && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:835.7-835.60" *) memory_waitRead_rv[69];
+  assign _0974_ = _0973_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:835.7-836.40" *) _0335_;
+  assign _0975_ = WILL_FIRE_RL_mkConnectionGetPut && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:846.7-847.49" *) \fetch_mispredictMemoryF_rv$port1__read [64];
+  assign _0976_ = WILL_FIRE_RL_mkConnectionGetPut_1 && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:872.7-875.67" *) _1603_;
+  assign _0977_ = _1375_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:874.8-875.66" *) _1376_;
+  assign _0978_ = _0338_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:909.12-910.22" *) _1377_;
+  assign _0979_ = _0339_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:912.8-913.36" *) _0340_;
+  assign _0980_ = _0341_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:915.11-916.40" *) _0342_;
+  assign _0981_ = _0343_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:922.6-923.35" *) _0344_;
+  assign _0982_ = compute_q_rv[64] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:924.9-924.45" *) compute_q_rv[65];
+  assign _0983_ = _1378_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:926.12-926.49" *) compute_q_rv[65];
+  assign _0984_ = compute_q_rv[64] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:928.8-928.45" *) _1379_;
+  assign _0985_ = \_dor1memory_waitRead_rv$EN_port1__write  && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:944.7-946.35" *) _1607_;
+  assign _0986_ = \memory_sysmemMaster_fRes_rv$port1__read [33] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:962.7-963.53" *) _1380_;
+  assign _0987_ = \memory_sysmemMaster_fReq_rv$port1__read [69] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:981.7-982.39" *) _1381_;
+  assign _0988_ = _0987_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:981.7-983.40" *) \memory_sysmemMaster_incoming$whas ;
+  assign _0989_ = _0988_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:981.7-984.44" *) \memory_sysmemMaster_incoming$wget [32];
+  assign _0990_ = \memory_sysmemMaster_fReq_rv$port1__read [69] && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:990.7-991.39" *) _1382_;
+  assign _0991_ = _0990_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:990.7-992.40" *) \memory_sysmemMaster_incoming$whas ;
+  assign _0992_ = _0991_ && (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:990.7-993.44" *) \memory_sysmemMaster_incoming$wget [32];
+  assign _0993_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1004.7-1004.53" *) \memory_delaySysmemResponse_rv$port1__read [33];
+  assign _0994_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1039.7-1039.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d144;
+  assign _0995_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1040.7-1040.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d148;
+  assign _0996_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1050.7-1050.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d144;
+  assign _0997_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1179.8-1179.38" *) compute_memoryRegisterLoad[5];
+  assign _0998_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1180.8-1180.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _0999_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1181.8-1181.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1000_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1192.39-1192.56" *) compute_q_rv[68];
+  assign _1001_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1201.42-1201.59" *) compute_q_rv[68];
+  assign _1002_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1211.8-1211.51" *) \fetch_mispredictMemoryF_rv$port1__read [64];
+  assign _1003_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1212.8-1212.52" *) \fetch_mispredictComputeF_rv$port1__read [64];
+  assign _1004_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1228.8-1228.51" *) \fetch_mispredictMemoryF_rv$port1__read [64];
+  assign _1005_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1229.8-1229.52" *) \fetch_mispredictComputeF_rv$port1__read [64];
+  assign _1006_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1245.8-1245.51" *) \fetch_mispredictMemoryF_rv$port1__read [64];
+  assign _1007_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1246.8-1246.52" *) \fetch_mispredictComputeF_rv$port1__read [64];
+  assign _1008_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1262.8-1262.51" *) \fetch_mispredictMemoryF_rv$port1__read [64];
+  assign _1009_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1263.8-1263.52" *) \fetch_mispredictComputeF_rv$port1__read [64];
+  assign _1010_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1269.8-1269.51" *) \fetch_mispredictMemoryF_rv$port1__read [64];
+  assign _1011_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1270.8-1270.52" *) \fetch_mispredictComputeF_rv$port1__read [64];
+  assign _1012_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1276.8-1276.51" *) \fetch_mispredictMemoryF_rv$port1__read [64];
+  assign _1013_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1277.8-1277.52" *) \fetch_mispredictComputeF_rv$port1__read [64];
+  assign _1014_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1285.8-1285.51" *) \fetch_mispredictMemoryF_rv$port1__read [64];
+  assign _1015_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1286.8-1286.52" *) \fetch_mispredictComputeF_rv$port1__read [64];
+  assign _1016_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1292.8-1292.51" *) \fetch_mispredictMemoryF_rv$port1__read [64];
+  assign _1017_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1293.8-1293.52" *) \fetch_mispredictComputeF_rv$port1__read [64];
+  assign _1018_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1330.42-1330.59" *) compute_q_rv[68];
+  assign _1019_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1351.9-1351.26" *) compute_q_rv[68];
+  assign _1020_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1389.9-1389.44" *) \memory_waitRead_rv$port1__read [70];
+  assign _1021_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1390.9-1390.26" *) memory_q_rv[100];
+  assign _1022_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1391.9-1391.44" *) \memory_waitRead_rv$port1__read [70];
+  assign _1023_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1393.7-1393.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d144;
+  assign _1024_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1394.7-1394.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d148;
+  assign _1025_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1395.7-1395.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d154;
+  assign _1026_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1396.7-1396.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d156;
+  assign _1027_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1398.8-1398.38" *) compute_memoryRegisterLoad[5];
+  assign _1028_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1399.8-1399.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1029_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1400.8-1400.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1030_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1405.8-1405.38" *) compute_memoryRegisterLoad[5];
+  assign _1031_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1406.8-1406.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1032_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1407.8-1407.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1033_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.8-1412.38" *) compute_memoryRegisterLoad[5];
+  assign _1034_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1413.8-1413.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1035_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1414.8-1414.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1036_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1449.8-1449.38" *) compute_memoryRegisterLoad[5];
+  assign _1037_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1450.8-1450.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1038_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1451.8-1451.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1039_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1454.8-1454.38" *) compute_memoryRegisterLoad[5];
+  assign _1040_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1455.8-1455.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1041_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1456.8-1456.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1042_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1460.8-1460.38" *) compute_memoryRegisterLoad[5];
+  assign _1043_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1461.8-1461.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1044_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1462.8-1462.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1045_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1467.8-1467.38" *) compute_memoryRegisterLoad[5];
+  assign _1046_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1468.8-1468.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1047_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1469.8-1469.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1048_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1472.7-1472.27" *) \compute_alu1$run [0];
+  assign _1049_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1474.8-1474.38" *) compute_memoryRegisterLoad[5];
+  assign _1050_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1475.8-1475.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1051_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1476.8-1476.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1052_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1481.8-1481.38" *) compute_memoryRegisterLoad[5];
+  assign _1053_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1482.8-1482.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1054_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1483.8-1483.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1055_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1486.7-1486.27" *) \compute_alu1$run [1];
+  assign _1056_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1488.8-1488.38" *) compute_memoryRegisterLoad[5];
+  assign _1057_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1489.8-1489.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1058_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1490.8-1490.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1059_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1495.8-1495.38" *) compute_memoryRegisterLoad[5];
+  assign _1060_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1496.8-1496.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1061_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1497.8-1497.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1062_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1500.7-1500.27" *) \compute_alu1$run [2];
+  assign _1063_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1502.8-1502.38" *) compute_memoryRegisterLoad[5];
+  assign _1064_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1503.8-1503.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1065_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1504.8-1504.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1066_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1509.8-1509.38" *) compute_memoryRegisterLoad[5];
+  assign _1067_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1510.8-1510.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1068_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1511.8-1511.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1069_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1514.7-1514.27" *) \compute_alu1$run [3];
+  assign _1070_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1516.8-1516.38" *) compute_memoryRegisterLoad[5];
+  assign _1071_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1517.8-1517.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1072_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1518.8-1518.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1073_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1532.8-1532.38" *) compute_memoryRegisterLoad[5];
+  assign _1074_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1533.8-1533.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1075_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1534.8-1534.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1076_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1536.3-1536.20" *) compute_q_rv[79];
+  assign _1077_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1537.39-1537.56" *) compute_q_rv[68];
+  assign _1078_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1538.6-1538.23" *) compute_q_rv[67];
+  assign _1079_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1541.6-1541.23" *) compute_q_rv[65];
+  assign _1080_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1543.8-1543.38" *) compute_memoryRegisterLoad[5];
+  assign _1081_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1544.8-1544.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1082_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1545.8-1545.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1083_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1554.8-1554.38" *) compute_memoryRegisterLoad[5];
+  assign _1084_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1555.8-1555.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1085_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1556.8-1556.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1086_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1566.8-1566.38" *) compute_memoryRegisterLoad[5];
+  assign _1087_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1567.8-1567.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1088_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1568.8-1568.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1089_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1576.7-1576.38" *) \rf$computeStatusSource_read [0];
+  assign _1090_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1578.8-1578.38" *) compute_memoryRegisterLoad[5];
+  assign _1091_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1579.8-1579.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1092_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1580.8-1580.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1093_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1590.8-1590.38" *) compute_memoryRegisterLoad[5];
+  assign _1094_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1591.8-1591.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1095_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1592.8-1592.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1096_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1600.7-1600.38" *) \rf$computeStatusSource_read [1];
+  assign _1097_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1602.8-1602.38" *) compute_memoryRegisterLoad[5];
+  assign _1098_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1603.8-1603.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1099_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1604.8-1604.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1100_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1614.8-1614.38" *) compute_memoryRegisterLoad[5];
+  assign _1101_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1615.8-1615.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1102_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1616.8-1616.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1103_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1624.7-1624.38" *) \rf$computeStatusSource_read [2];
+  assign _1104_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1626.8-1626.38" *) compute_memoryRegisterLoad[5];
+  assign _1105_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1627.8-1627.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1106_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1628.8-1628.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1107_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1638.8-1638.38" *) compute_memoryRegisterLoad[5];
+  assign _1108_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1639.8-1639.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1109_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1640.8-1640.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1110_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1648.7-1648.38" *) \rf$computeStatusSource_read [3];
+  assign _1111_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1650.8-1650.38" *) compute_memoryRegisterLoad[5];
+  assign _1112_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1651.8-1651.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1113_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1652.8-1652.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1114_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1658.8-1658.38" *) compute_memoryRegisterLoad[5];
+  assign _1115_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1659.8-1659.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1116_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1660.8-1660.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1117_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1666.8-1666.38" *) compute_memoryRegisterLoad[5];
+  assign _1118_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1667.8-1667.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1119_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1668.8-1668.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1120_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1672.8-1672.38" *) compute_memoryRegisterLoad[5];
+  assign _1121_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1673.8-1673.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1122_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1674.8-1674.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1123_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1679.8-1679.38" *) compute_memoryRegisterLoad[5];
+  assign _1124_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1680.8-1680.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1125_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1681.8-1681.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1126_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1686.8-1686.38" *) compute_memoryRegisterLoad[5];
+  assign _1127_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1687.8-1687.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1128_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1688.8-1688.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1129_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1693.8-1693.38" *) compute_memoryRegisterLoad[5];
+  assign _1130_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1694.8-1694.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1131_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1695.8-1695.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1132_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1700.8-1700.38" *) compute_memoryRegisterLoad[5];
+  assign _1133_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1701.8-1701.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1134_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1702.8-1702.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1135_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1707.8-1707.38" *) compute_memoryRegisterLoad[5];
+  assign _1136_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1708.8-1708.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1137_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1709.8-1709.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1138_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1714.8-1714.38" *) compute_memoryRegisterLoad[5];
+  assign _1139_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1715.8-1715.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1140_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1716.8-1716.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1141_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1721.8-1721.38" *) compute_memoryRegisterLoad[5];
+  assign _1142_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1722.8-1722.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1143_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1723.8-1723.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1144_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1728.8-1728.38" *) compute_memoryRegisterLoad[5];
+  assign _1145_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1729.8-1729.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1146_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1730.8-1730.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1147_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1735.8-1735.38" *) compute_memoryRegisterLoad[5];
+  assign _1148_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1736.8-1736.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1149_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1737.8-1737.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1150_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1742.8-1742.38" *) compute_memoryRegisterLoad[5];
+  assign _1151_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1743.8-1743.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1152_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1744.8-1744.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1153_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1749.8-1749.38" *) compute_memoryRegisterLoad[5];
+  assign _1154_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1750.8-1750.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1155_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1751.8-1751.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1156_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1756.8-1756.38" *) compute_memoryRegisterLoad[5];
+  assign _1157_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1757.8-1757.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1158_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1758.8-1758.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1159_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1763.8-1763.38" *) compute_memoryRegisterLoad[5];
+  assign _1160_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1764.8-1764.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1161_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1765.8-1765.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1162_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1784.8-1784.38" *) compute_memoryRegisterLoad[5];
+  assign _1163_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1785.8-1785.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1164_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1786.8-1786.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1165_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1789.8-1789.38" *) compute_memoryRegisterLoad[5];
+  assign _1166_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1790.8-1790.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1167_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1791.8-1791.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1168_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1794.8-1794.38" *) compute_memoryRegisterLoad[5];
+  assign _1169_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1795.8-1795.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1170_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1796.8-1796.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1171_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1799.8-1799.38" *) compute_memoryRegisterLoad[5];
+  assign _1172_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1800.8-1800.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1173_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1801.8-1801.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1174_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1804.8-1804.38" *) compute_memoryRegisterLoad[5];
+  assign _1175_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1805.8-1805.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1176_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1806.8-1806.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1177_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1809.8-1809.38" *) compute_memoryRegisterLoad[5];
+  assign _1178_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1810.8-1810.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1179_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1811.8-1811.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1180_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1814.8-1814.38" *) compute_memoryRegisterLoad[5];
+  assign _1181_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1815.8-1815.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1182_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1816.8-1816.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1183_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1819.8-1819.38" *) compute_memoryRegisterLoad[5];
+  assign _1184_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1820.8-1820.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1185_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1821.8-1821.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1186_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1824.8-1824.38" *) compute_memoryRegisterLoad[5];
+  assign _1187_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1825.8-1825.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1188_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1826.8-1826.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1189_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1830.8-1830.38" *) compute_memoryRegisterLoad[5];
+  assign _1190_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1831.8-1831.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1191_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1832.8-1832.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1192_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1837.8-1837.38" *) compute_memoryRegisterLoad[5];
+  assign _1193_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1838.8-1838.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1194_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1839.8-1839.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1195_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1844.8-1844.38" *) compute_memoryRegisterLoad[5];
+  assign _1196_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1845.8-1845.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1197_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1846.8-1846.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1198_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1851.8-1851.38" *) compute_memoryRegisterLoad[5];
+  assign _1199_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1852.8-1852.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1200_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1853.8-1853.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1201_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1858.8-1858.38" *) compute_memoryRegisterLoad[5];
+  assign _1202_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1859.8-1859.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1203_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1860.8-1860.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1204_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1865.8-1865.38" *) compute_memoryRegisterLoad[5];
+  assign _1205_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1866.8-1866.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1206_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1867.8-1867.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1207_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1872.8-1872.38" *) compute_memoryRegisterLoad[5];
+  assign _1208_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1873.8-1873.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1209_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1874.8-1874.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1210_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1879.8-1879.38" *) compute_memoryRegisterLoad[5];
+  assign _1211_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1880.8-1880.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1212_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1881.8-1881.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1213_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1886.8-1886.38" *) compute_memoryRegisterLoad[5];
+  assign _1214_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1887.8-1887.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1215_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1888.8-1888.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1216_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1893.8-1893.38" *) compute_memoryRegisterLoad[5];
+  assign _1217_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1894.8-1894.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1218_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1895.8-1895.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1219_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1900.8-1900.38" *) compute_memoryRegisterLoad[5];
+  assign _1220_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1901.8-1901.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1221_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1902.8-1902.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1222_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1907.8-1907.38" *) compute_memoryRegisterLoad[5];
+  assign _1223_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1908.8-1908.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1224_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1909.8-1909.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1225_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1914.8-1914.38" *) compute_memoryRegisterLoad[5];
+  assign _1226_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1915.8-1915.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1227_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1916.8-1916.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1228_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1921.8-1921.38" *) compute_memoryRegisterLoad[5];
+  assign _1229_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1922.8-1922.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1230_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1923.8-1923.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1231_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1928.8-1928.38" *) compute_memoryRegisterLoad[5];
+  assign _1232_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1929.8-1929.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1233_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1930.8-1930.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1234_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1935.8-1935.38" *) compute_memoryRegisterLoad[5];
+  assign _1235_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1936.8-1936.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1236_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1937.8-1937.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1237_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1942.8-1942.38" *) compute_memoryRegisterLoad[5];
+  assign _1238_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1943.8-1943.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1239_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1944.8-1944.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1240_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1949.8-1949.38" *) compute_memoryRegisterLoad[5];
+  assign _1241_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1950.8-1950.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1242_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1951.8-1951.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1243_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1956.8-1956.38" *) compute_memoryRegisterLoad[5];
+  assign _1244_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1957.8-1957.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1245_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1958.8-1958.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1246_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1963.8-1963.38" *) compute_memoryRegisterLoad[5];
+  assign _1247_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1964.8-1964.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1248_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1965.8-1965.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1249_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1970.8-1970.38" *) compute_memoryRegisterLoad[5];
+  assign _1250_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1971.8-1971.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1251_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1972.8-1972.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1252_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1977.8-1977.38" *) compute_memoryRegisterLoad[5];
+  assign _1253_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1978.8-1978.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1254_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1979.8-1979.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1255_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1984.8-1984.38" *) compute_memoryRegisterLoad[5];
+  assign _1256_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1985.8-1985.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1257_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1986.8-1986.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1258_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1991.8-1991.38" *) compute_memoryRegisterLoad[5];
+  assign _1259_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1992.8-1992.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1260_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1993.8-1993.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1261_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1998.8-1998.38" *) compute_memoryRegisterLoad[5];
+  assign _1262_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1999.8-1999.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1263_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2000.8-2000.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1264_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2005.8-2005.38" *) compute_memoryRegisterLoad[5];
+  assign _1265_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2006.8-2006.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1266_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2007.8-2007.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1267_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2012.8-2012.38" *) compute_memoryRegisterLoad[5];
+  assign _1268_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2013.8-2013.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1269_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2014.8-2014.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1270_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2019.8-2019.38" *) compute_memoryRegisterLoad[5];
+  assign _1271_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2020.8-2020.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1272_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2021.8-2021.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1273_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2026.8-2026.38" *) compute_memoryRegisterLoad[5];
+  assign _1274_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2027.8-2027.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1275_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2028.8-2028.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1276_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2033.8-2033.51" *) \fetch_mispredictMemoryF_rv$port1__read [64];
+  assign _1277_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2034.8-2034.52" *) \fetch_mispredictComputeF_rv$port1__read [64];
+  assign _1278_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2037.8-2037.41" *) \fetch_fetched_rv$port1__read [31];
+  assign _1279_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2047.8-2047.51" *) \fetch_mispredictMemoryF_rv$port1__read [64];
+  assign _1280_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2048.8-2048.52" *) \fetch_mispredictComputeF_rv$port1__read [64];
+  assign _1281_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2051.8-2051.41" *) \fetch_fetched_rv$port1__read [31];
+  assign _1282_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2057.9-2057.42" *) \fetch_fetched_rv$port1__read [17];
+  assign _1283_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2062.8-2062.51" *) \fetch_mispredictMemoryF_rv$port1__read [64];
+  assign _1284_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2063.8-2063.52" *) \fetch_mispredictComputeF_rv$port1__read [64];
+  assign _1285_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2107.7-2107.24" *) compute_q_rv[82];
+  assign _1286_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2113.7-2113.24" *) compute_q_rv[82];
+  assign _1287_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2118.8-2118.25" *) compute_q_rv[68];
+  assign _1288_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2123.7-2123.23" *) compute_q_rv[3];
+  assign _1289_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2125.3-2125.20" *) compute_q_rv[67];
+  assign _1290_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2134.7-2134.24" *) compute_q_rv[61];
+  assign _1291_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2146.7-2146.39" *) fetch_mispredictComputeF_rv[64];
+  assign _1292_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2173.7-2173.38" *) \rf$computeStatusSource_read [0];
+  assign _1293_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2185.7-2185.38" *) \rf$computeStatusSource_read [1];
+  assign _1294_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2197.7-2197.38" *) \rf$computeStatusSource_read [2];
+  assign _1295_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2209.7-2209.38" *) \rf$computeStatusSource_read [3];
+  assign _1296_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2221.7-2221.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d144;
+  assign _1297_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2222.7-2222.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d148;
+  assign _1298_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2227.7-2227.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d144;
+  assign _1299_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2228.7-2228.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d148;
+  assign _1300_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2291.8-2291.51" *) \fetch_mispredictMemoryF_rv$port1__read [64];
+  assign _1301_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2292.8-2292.52" *) \fetch_mispredictComputeF_rv$port1__read [64];
+  assign _1302_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2319.8-2319.39" *) \rf$computeStatusSource_read [3];
+  assign _1303_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2324.8-2324.39" *) \rf$computeStatusSource_read [0];
+  assign _1304_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2330.8-2330.39" *) \rf$computeStatusSource_read [3];
+  assign _1305_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2336.8-2336.39" *) \rf$computeStatusSource_read [0];
+  assign _1306_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2342.8-2342.39" *) \rf$computeStatusSource_read [2];
+  assign _1307_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2348.8-2348.39" *) \rf$computeStatusSource_read [1];
+  assign _1308_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2351.9-2351.40" *) \rf$computeStatusSource_read [1];
+  assign _1309_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2352.9-2352.40" *) \rf$computeStatusSource_read [2];
+  assign _1310_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2357.9-2357.40" *) \rf$computeStatusSource_read [1];
+  assign _1311_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2360.9-2360.40" *) \rf$computeStatusSource_read [2];
+  assign _1312_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2363.9-2363.40" *) \rf$computeStatusSource_read [1];
+  assign _1313_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2364.9-2364.40" *) \rf$computeStatusSource_read [2];
+  assign _1314_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2370.6-2370.37" *) \rf$computeStatusSource_read [0];
+  assign _1315_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2371.7-2371.38" *) \rf$computeStatusSource_read [1];
+  assign _1316_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2374.7-2374.38" *) \rf$computeStatusSource_read [2];
+  assign _1317_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2424.8-2424.39" *) \rf$computeStatusSource_read [0];
+  assign _1318_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2427.8-2427.39" *) \rf$computeStatusSource_read [3];
+  assign _1319_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2431.8-2431.39" *) \rf$computeStatusSource_read [3];
+  assign _1320_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2437.8-2437.39" *) \rf$computeStatusSource_read [0];
+  assign _1321_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2443.8-2443.39" *) \rf$computeStatusSource_read [2];
+  assign _1322_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2449.8-2449.39" *) \rf$computeStatusSource_read [1];
+  assign _1323_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2457.8-2457.39" *) \rf$computeStatusSource_read [1];
+  assign _1324_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2458.8-2458.39" *) \rf$computeStatusSource_read [2];
+  assign _1325_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2462.8-2462.39" *) \rf$computeStatusSource_read [2];
+  assign _1326_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2463.8-2463.39" *) \rf$computeStatusSource_read [1];
+  assign _1327_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2469.8-2469.39" *) \rf$computeStatusSource_read [0];
+  assign _1328_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2470.8-2470.39" *) \rf$computeStatusSource_read [1];
+  assign _1329_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2471.8-2471.39" *) \rf$computeStatusSource_read [2];
+  assign _1330_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2472.8-2472.39" *) \rf$computeStatusSource_read [0];
+  assign _1331_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2476.6-2476.37" *) \rf$computeStatusSource_read [2];
+  assign _1332_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2477.6-2477.37" *) \rf$computeStatusSource_read [1];
+  assign _1333_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2585.10-2585.43" *) \fetch_fetched_rv$port1__read [17];
+  assign _1334_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2608.8-2608.39" *) \rf$computeStatusSource_read [0];
+  assign _1335_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2611.8-2611.39" *) \rf$computeStatusSource_read [3];
+  assign _1336_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2615.8-2615.39" *) \rf$computeStatusSource_read [3];
+  assign _1337_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2621.8-2621.39" *) \rf$computeStatusSource_read [0];
+  assign _1338_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2627.8-2627.39" *) \rf$computeStatusSource_read [2];
+  assign _1339_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2633.8-2633.39" *) \rf$computeStatusSource_read [1];
+  assign _1340_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2641.8-2641.39" *) \rf$computeStatusSource_read [1];
+  assign _1341_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2642.8-2642.39" *) \rf$computeStatusSource_read [2];
+  assign _1342_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2646.8-2646.39" *) \rf$computeStatusSource_read [2];
+  assign _1343_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2647.8-2647.39" *) \rf$computeStatusSource_read [1];
+  assign _1344_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2653.8-2653.39" *) \rf$computeStatusSource_read [0];
+  assign _1345_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2654.8-2654.39" *) \rf$computeStatusSource_read [1];
+  assign _1346_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2655.8-2655.39" *) \rf$computeStatusSource_read [2];
+  assign _1347_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2656.8-2656.39" *) \rf$computeStatusSource_read [0];
+  assign _1348_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2660.6-2660.37" *) \rf$computeStatusSource_read [2];
+  assign _1349_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2661.6-2661.37" *) \rf$computeStatusSource_read [1];
+  assign _1350_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2680.44-2680.61" *) compute_q_rv[68];
+  assign _1351_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:591.27-591.48" *) memory_pendingPCLoad;
+  assign _1352_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:598.8-598.31" *) memory_waitRead_rv[69];
+  assign _1353_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:599.8-599.39" *) fetch_mispredictMemoryF_rv[64];
+  assign _1354_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:606.7-606.44" *) \fetch_pcRequested_rv$port1__read [32];
+  assign _1355_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:612.7-612.28" *) fetch_fetched_rv[64];
+  assign _1356_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:626.8-626.52" *) \memory_sysmemMaster_fReq_rv$port1__read [69];
+  assign _1357_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:627.8-627.46" *) \memory_sysmemMaster_outgoing$wget [69];
+  assign _1358_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:633.8-633.52" *) \memory_sysmemMaster_fReq_rv$port1__read [69];
+  assign _1359_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:634.8-634.46" *) \memory_sysmemMaster_outgoing$wget [69];
+  assign _1360_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:642.8-642.52" *) \memory_sysmemMaster_fReq_rv$port1__read [69];
+  assign _1361_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:643.8-643.46" *) \memory_sysmemMaster_outgoing$wget [69];
+  assign _1362_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:691.8-691.31" *) memory_waitRead_rv[69];
+  assign _1363_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:692.8-692.39" *) fetch_mispredictMemoryF_rv[64];
+  assign _1364_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:694.7-694.35" *) EN_dmem_client_response_put;
+  assign _1365_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:698.27-698.59" *) memory_sysmemMaster_fReq_rv[69];
+  assign _1366_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:700.7-700.28" *) memory_pendingPCLoad;
+  assign _1367_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:701.7-701.64" *) memory_q_rv_port0__read__5_BITS_99_TO_68_6_ULT_ETC___d67;
+  assign _1368_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:705.27-705.56" *) \memory_q_rv$port1__read [100];
+  assign _1369_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:711.7-711.36" *) \compute_q_rv$port1__read [83];
+  assign _1370_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:759.8-759.51" *) \fetch_mispredictMemoryF_rv$port1__read [64];
+  assign _1371_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:760.8-760.52" *) \fetch_mispredictComputeF_rv$port1__read [64];
+  assign _1372_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:805.31-805.51" *) sysmem_client_err_i;
+  assign _1373_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:806.8-806.28" *) sysmem_client_rty_i;
+  assign _1374_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:873.8-873.38" *) compute_memoryRegisterLoad[5];
+  assign _1375_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:874.8-874.66" *) compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361;
+  assign _1376_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:875.8-875.66" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1377_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:910.5-910.22" *) compute_q_rv[68];
+  assign _1378_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:926.12-926.29" *) compute_q_rv[64];
+  assign _1379_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:928.28-928.45" *) compute_q_rv[65];
+  assign _1380_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:963.7-963.53" *) \memory_delaySysmemResponse_rv$port1__read [33];
+  assign _1381_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:982.7-982.39" *) memory_sysmemMaster_fRes_rv[33];
+  assign _1382_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:991.7-991.39" *) memory_sysmemMaster_fRes_rv[33];
+  assign _1383_ = ! (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:996.9-996.53" *) \memory_sysmemMaster_fReq_rv$port1__read [36];
+  assign _1384_ = \fetch_mispredictMemoryF_rv$port1__read [64] || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1029.8-1030.51" *) \fetch_mispredictComputeF_rv$port1__read [64];
+  assign _1385_ = \fetch_mispredictMemoryF_rv$port1__read [64] || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1037.8-1038.51" *) \fetch_mispredictComputeF_rv$port1__read [64];
+  assign _1386_ = IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d154 || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1041.8-1042.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d156;
+  assign _1387_ = \fetch_mispredictMemoryF_rv$port1__read [64] || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1048.8-1049.51" *) \fetch_mispredictComputeF_rv$port1__read [64];
+  assign _1388_ = \memory_startPCLoad$whas  || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1105.7-1105.56" *) \memory_stopPCLoad$whas ;
+  assign _1389_ = _0063_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1137.8-1138.38" *) \rf$computeStatusSource_read [3];
+  assign _1390_ = _0064_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1141.5-1142.35" *) \rf$computeStatusSource_read [3];
+  assign _1391_ = _0067_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1150.10-1152.57" *) _0372_;
+  assign _1392_ = _0997_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1179.8-1181.66" *) _0376_;
+  assign _1393_ = _0378_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1183.7-1186.40" *) _0380_;
+  assign _1394_ = _0387_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1211.8-1213.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d144;
+  assign _1395_ = _1394_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1211.8-1214.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d148;
+  assign _1396_ = _1625_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1220.5-1223.50" *) _0394_;
+  assign _1397_ = _0395_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1228.8-1230.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d144;
+  assign _1398_ = _1397_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1228.8-1231.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d148;
+  assign _1399_ = _1632_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1237.5-1240.50" *) _0402_;
+  assign _1400_ = _0403_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1245.8-1247.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d144;
+  assign _1401_ = _1400_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1245.8-1248.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d148;
+  assign _1402_ = _1639_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1254.5-1257.50" *) _0410_;
+  assign _1403_ = _0411_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1262.8-1264.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d144;
+  assign _1404_ = _1403_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1262.8-1265.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d148;
+  assign _1405_ = _0412_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1269.8-1271.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d144;
+  assign _1406_ = _1405_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1269.8-1272.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d148;
+  assign _1407_ = _0413_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1276.8-1278.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d144;
+  assign _1408_ = _1407_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1276.8-1279.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d148;
+  assign _1409_ = _0414_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1285.8-1287.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d144;
+  assign _1410_ = _1409_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1285.8-1288.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d148;
+  assign _1411_ = _0415_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1292.8-1294.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d144;
+  assign _1412_ = _1411_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1292.8-1295.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d148;
+  assign _1413_ = _1646_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1300.5-1303.50" *) _0421_;
+  assign _1414_ = _0426_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1304.7-1313.50" *) _0078_;
+  assign _1415_ = _1414_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1304.7-1314.50" *) _0079_;
+  assign _1416_ = _1653_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1309.8-1312.53" *) _0428_;
+  assign _1417_ = _0082_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1329.8-1330.59" *) _0429_;
+  assign _1418_ = _1019_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1351.9-1351.59" *) _0092_;
+  assign _1419_ = _0100_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1388.9-1388.65" *) _0101_;
+  assign _1420_ = _1021_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1390.9-1390.56" *) _1656_;
+  assign _1421_ = _1420_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1390.9-1391.44" *) _1022_;
+  assign _1422_ = _1027_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1398.8-1400.66" *) _0441_;
+  assign _1423_ = _1030_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1405.8-1407.66" *) _0445_;
+  assign _1424_ = _1033_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1412.8-1414.66" *) _0479_;
+  assign _1425_ = _1036_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1449.8-1451.66" *) _0481_;
+  assign _1426_ = _1039_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1454.8-1456.66" *) _0484_;
+  assign _1427_ = _1042_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1460.8-1462.66" *) _0488_;
+  assign _1428_ = _1045_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1467.8-1469.66" *) _0492_;
+  assign _1429_ = _1049_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1474.8-1476.66" *) _0496_;
+  assign _1430_ = _1052_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1481.8-1483.66" *) _0500_;
+  assign _1431_ = _1056_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1488.8-1490.66" *) _0504_;
+  assign _1432_ = _1059_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1495.8-1497.66" *) _0508_;
+  assign _1433_ = _1063_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1502.8-1504.66" *) _0512_;
+  assign _1434_ = _1066_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1509.8-1511.66" *) _0516_;
+  assign _1435_ = _1070_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1516.8-1518.66" *) _0519_;
+  assign _1436_ = _1073_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1532.8-1534.66" *) _0522_;
+  assign _1437_ = _1080_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1543.8-1545.66" *) _0533_;
+  assign _1438_ = _1083_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1554.8-1556.66" *) _0542_;
+  assign _1439_ = _1086_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1566.8-1568.66" *) _0551_;
+  assign _1440_ = _1090_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1578.8-1580.66" *) _0560_;
+  assign _1441_ = _1093_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1590.8-1592.66" *) _0569_;
+  assign _1442_ = _1097_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1602.8-1604.66" *) _0578_;
+  assign _1443_ = _1100_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1614.8-1616.66" *) _0587_;
+  assign _1444_ = _1104_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1626.8-1628.66" *) _0596_;
+  assign _1445_ = _1107_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1638.8-1640.66" *) _0605_;
+  assign _1446_ = _1111_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1650.8-1652.66" *) _0608_;
+  assign _1447_ = _0139_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1654.8-1656.62" *) _0609_;
+  assign _1448_ = _1114_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1658.8-1660.66" *) _0613_;
+  assign _1449_ = _0141_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1663.8-1664.65" *) IF_compute_q_rv_port0__read__54_BITS_78_TO_76__ETC___d451;
+  assign _1450_ = _1117_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1666.8-1668.66" *) _0616_;
+  assign _1451_ = _1120_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1672.8-1674.66" *) _0620_;
+  assign _1452_ = _1123_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1679.8-1681.66" *) _0624_;
+  assign _1453_ = _1126_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1686.8-1688.66" *) _0628_;
+  assign _1454_ = _1129_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1693.8-1695.66" *) _0632_;
+  assign _1455_ = _1132_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1700.8-1702.66" *) _0636_;
+  assign _1456_ = _1135_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1707.8-1709.66" *) _0640_;
+  assign _1457_ = _1138_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1714.8-1716.66" *) _0644_;
+  assign _1458_ = _1141_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1721.8-1723.66" *) _0648_;
+  assign _1459_ = _1144_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1728.8-1730.66" *) _0652_;
+  assign _1460_ = _1147_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1735.8-1737.66" *) _0656_;
+  assign _1461_ = _1150_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1742.8-1744.66" *) _0660_;
+  assign _1462_ = _1153_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1749.8-1751.66" *) _0664_;
+  assign _1463_ = _1156_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1756.8-1758.66" *) _0668_;
+  assign _1464_ = _1159_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1763.8-1765.66" *) _0686_;
+  assign _1465_ = _1162_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1784.8-1786.66" *) _0688_;
+  assign _1466_ = _1165_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1789.8-1791.66" *) _0690_;
+  assign _1467_ = _1168_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1794.8-1796.66" *) _0692_;
+  assign _1468_ = _1171_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1799.8-1801.66" *) _0694_;
+  assign _1469_ = _1174_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1804.8-1806.66" *) _0696_;
+  assign _1470_ = _1177_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1809.8-1811.66" *) _0698_;
+  assign _1471_ = _1180_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1814.8-1816.66" *) _0700_;
+  assign _1472_ = _1183_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1819.8-1821.66" *) _0702_;
+  assign _1473_ = _1186_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1824.8-1826.66" *) _0705_;
+  assign _1474_ = _1189_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1830.8-1832.66" *) _0709_;
+  assign _1475_ = _1192_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1837.8-1839.66" *) _0713_;
+  assign _1476_ = _1195_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1844.8-1846.66" *) _0717_;
+  assign _1477_ = _1198_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1851.8-1853.66" *) _0721_;
+  assign _1478_ = _1201_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1858.8-1860.66" *) _0725_;
+  assign _1479_ = _1204_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1865.8-1867.66" *) _0729_;
+  assign _1480_ = _1207_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1872.8-1874.66" *) _0733_;
+  assign _1481_ = _1210_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1879.8-1881.66" *) _0737_;
+  assign _1482_ = _1213_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1886.8-1888.66" *) _0741_;
+  assign _1483_ = _1216_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1893.8-1895.66" *) _0745_;
+  assign _1484_ = _1219_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1900.8-1902.66" *) _0749_;
+  assign _1485_ = _1222_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1907.8-1909.66" *) _0753_;
+  assign _1486_ = _1225_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1914.8-1916.66" *) _0757_;
+  assign _1487_ = _1228_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1921.8-1923.66" *) _0761_;
+  assign _1488_ = _1231_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1928.8-1930.66" *) _0765_;
+  assign _1489_ = _1234_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1935.8-1937.66" *) _0769_;
+  assign _1490_ = _1237_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1942.8-1944.66" *) _0773_;
+  assign _1491_ = _1240_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1949.8-1951.66" *) _0777_;
+  assign _1492_ = _1243_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1956.8-1958.66" *) _0781_;
+  assign _1493_ = _1246_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1963.8-1965.66" *) _0785_;
+  assign _1494_ = _1249_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1970.8-1972.66" *) _0789_;
+  assign _1495_ = _1252_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1977.8-1979.66" *) _0793_;
+  assign _1496_ = _1255_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1984.8-1986.66" *) _0797_;
+  assign _1497_ = _1258_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1991.8-1993.66" *) _0801_;
+  assign _1498_ = _1261_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1998.8-2000.66" *) _0805_;
+  assign _1499_ = _1264_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2005.8-2007.66" *) _0809_;
+  assign _1500_ = _1267_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2012.8-2014.66" *) _0813_;
+  assign _1501_ = _1270_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2019.8-2021.66" *) _0817_;
+  assign _1502_ = _1273_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2026.8-2028.66" *) _0821_;
+  assign _1503_ = _0822_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2033.8-2035.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d144;
+  assign _1504_ = _1503_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2033.8-2036.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d148;
+  assign _1505_ = _1278_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2037.8-2038.54" *) _0260_;
+  assign _1506_ = _1505_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2037.8-2039.53" *) _0261_;
+  assign _1507_ = _1506_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2037.8-2040.53" *) _0262_;
+  assign _1508_ = _1507_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2037.8-2041.54" *) _0263_;
+  assign _1509_ = _1508_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2037.8-2044.55" *) _0825_;
+  assign _1510_ = _0265_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2043.9-2044.54" *) _0266_;
+  assign _1511_ = _0826_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2047.8-2049.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d144;
+  assign _1512_ = _1511_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2047.8-2050.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d148;
+  assign _1513_ = _1281_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2051.8-2052.54" *) _0267_;
+  assign _1514_ = _1513_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2051.8-2053.53" *) _0268_;
+  assign _1515_ = _1514_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2051.8-2054.53" *) _0269_;
+  assign _1516_ = _1515_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2051.8-2055.54" *) _0270_;
+  assign _1517_ = _1516_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2051.8-2059.55" *) _0829_;
+  assign _1518_ = _1282_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2057.9-2058.53" *) _0272_;
+  assign _1519_ = _1518_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2057.9-2059.54" *) _0273_;
+  assign _1520_ = _0830_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2062.8-2064.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d144;
+  assign _1521_ = _1520_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2062.8-2065.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d148;
+  assign _1522_ = _1753_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2069.8-2072.53" *) _0836_;
+  assign _1523_ = WILL_FIRE_RL_memory_sysmemResponse || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2077.7-2078.34" *) EN_dmem_client_response_put;
+  assign _1524_ = WILL_FIRE_RL_memory_sysmemResponse || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2080.7-2081.34" *) EN_dmem_client_response_put;
+  assign _1525_ = WILL_FIRE_RL_memory_sysmemRequest || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2083.7-2083.70" *) EN_dmem_client_request_get;
+  assign _1526_ = WILL_FIRE_RL_memory_sysmemResponse || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2085.7-2086.34" *) EN_dmem_client_response_put;
+  assign _1527_ = WILL_FIRE_RL_memory_sysmemRequest || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2088.7-2088.70" *) EN_dmem_client_request_get;
+  assign _1528_ = _0837_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2104.7-2107.24" *) _1285_;
+  assign _1529_ = _1528_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2104.7-2108.37" *) _0274_;
+  assign _1530_ = compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361 || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2105.8-2106.65" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1531_ = _0838_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2110.7-2113.24" *) _1286_;
+  assign _1532_ = _1531_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2110.7-2114.37" *) _0275_;
+  assign _1533_ = _1532_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2110.7-2115.36" *) _0276_;
+  assign _1534_ = _1533_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2110.7-2116.37" *) _0277_;
+  assign _1535_ = _1534_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2110.7-2118.58" *) _0839_;
+  assign _1536_ = compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361 || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2111.8-2112.65" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1537_ = _1287_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2118.8-2118.57" *) _0279_;
+  assign _1538_ = _0840_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2120.7-2134.27" *) _0841_;
+  assign _1539_ = _1538_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2120.7-2145.8" *) _1845_;
+  assign _1540_ = _1539_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2120.7-2146.39" *) _1291_;
+  assign _1541_ = compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361 || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2121.8-2122.65" *) IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386;
+  assign _1542_ = _0282_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2128.7-2129.64" *) IF_compute_q_rv_port0__read__54_BITS_78_TO_76__ETC___d451;
+  assign _1543_ = _1757_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2130.7-2131.35" *) _1758_;
+  assign _1544_ = _1759_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2132.7-2133.36" *) _1760_;
+  assign _1545_ = _1544_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2132.7-2134.24" *) _1290_;
+  assign _1546_ = compute_q_rv[3] || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2152.7-2161.59" *) _1849_;
+  assign _1547_ = _0290_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2156.6-2158.60" *) _0845_;
+  assign _1548_ = _0292_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2160.7-2161.56" *) _0847_;
+  assign _1549_ = _0295_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2165.8-2166.65" *) IF_compute_q_rv_port0__read__54_BITS_78_TO_76__ETC___d451;
+  assign _1550_ = _0297_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2171.8-2172.65" *) IF_compute_q_rv_port0__read__54_BITS_78_TO_76__ETC___d451;
+  assign _1551_ = _0299_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2177.8-2178.65" *) IF_compute_q_rv_port0__read__54_BITS_78_TO_76__ETC___d451;
+  assign _1552_ = _0301_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2183.8-2184.65" *) IF_compute_q_rv_port0__read__54_BITS_78_TO_76__ETC___d451;
+  assign _1553_ = _0303_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2189.8-2190.65" *) IF_compute_q_rv_port0__read__54_BITS_78_TO_76__ETC___d451;
+  assign _1554_ = _0305_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2195.8-2196.65" *) IF_compute_q_rv_port0__read__54_BITS_78_TO_76__ETC___d451;
+  assign _1555_ = _0307_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2201.8-2202.65" *) IF_compute_q_rv_port0__read__54_BITS_78_TO_76__ETC___d451;
+  assign _1556_ = _0309_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2207.8-2208.65" *) IF_compute_q_rv_port0__read__54_BITS_78_TO_76__ETC___d451;
+  assign _1557_ = \fetch_mispredictMemoryF_rv$port1__read [64] || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2219.8-2220.51" *) \fetch_mispredictComputeF_rv$port1__read [64];
+  assign _1558_ = \fetch_mispredictMemoryF_rv$port1__read [64] || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2225.8-2226.51" *) \fetch_mispredictComputeF_rv$port1__read [64];
+  assign _1559_ = _0876_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2225.7-2236.54" *) _0880_;
+  assign _1560_ = _1776_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2233.8-2236.53" *) _0882_;
+  assign _1561_ = \fetch_mispredictMemoryF_rv$port1__read [64] || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2243.8-2244.51" *) \fetch_mispredictComputeF_rv$port1__read [64];
+  assign _1562_ = _0885_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2291.8-2293.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d144;
+  assign _1563_ = _1562_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2291.8-2294.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d148;
+  assign _1564_ = _1783_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2300.5-2303.50" *) _0892_;
+  assign _1565_ = _1302_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2319.8-2320.38" *) \rf$computeStatusSource_read [0];
+  assign _1566_ = _1308_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2351.9-2352.40" *) _1309_;
+  assign _1567_ = \rf$computeStatusSource_read [1] || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2353.9-2354.39" *) \rf$computeStatusSource_read [2];
+  assign _1568_ = _1310_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2357.9-2358.39" *) \rf$computeStatusSource_read [2];
+  assign _1569_ = \rf$computeStatusSource_read [1] || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2359.9-2360.40" *) _1311_;
+  assign _1570_ = _1312_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2363.9-2364.40" *) _1313_;
+  assign _1571_ = _1570_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2363.9-2365.39" *) \rf$computeStatusSource_read [0];
+  assign _1572_ = \rf$computeStatusSource_read [1] || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2366.9-2367.39" *) \rf$computeStatusSource_read [2];
+  assign _1573_ = _1572_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2366.9-2368.39" *) \rf$computeStatusSource_read [0];
+  assign _1574_ = _1315_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2371.7-2372.37" *) \rf$computeStatusSource_read [2];
+  assign _1575_ = \rf$computeStatusSource_read [1] || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2373.7-2374.38" *) _1316_;
+  assign _1576_ = _1318_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2427.8-2428.38" *) \rf$computeStatusSource_read [0];
+  assign _1577_ = _0900_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2455.8-2458.39" *) _0901_;
+  assign _1578_ = _0902_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2461.8-2464.38" *) _0903_;
+  assign _1579_ = _0905_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2467.8-2472.39" *) _0907_;
+  assign _1580_ = \rf$computeStatusSource_read [0] || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2474.6-2476.37" *) _0908_;
+  assign _1581_ = _1580_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2474.6-2478.36" *) _0909_;
+  assign _1582_ = _1335_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2611.8-2612.38" *) \rf$computeStatusSource_read [0];
+  assign _1583_ = _0913_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2639.8-2642.39" *) _0914_;
+  assign _1584_ = _0915_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2645.8-2648.38" *) _0916_;
+  assign _1585_ = _0918_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2651.8-2656.39" *) _0920_;
+  assign _1586_ = \rf$computeStatusSource_read [0] || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2658.6-2660.37" *) _0921_;
+  assign _1587_ = _1586_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2658.6-2662.36" *) _0922_;
+  assign _1588_ = _1352_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:598.8-598.68" *) _1786_;
+  assign _1589_ = _1588_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:598.8-599.39" *) _1353_;
+  assign _1590_ = _1356_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:626.8-627.46" *) _1357_;
+  assign _1591_ = _1358_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:633.8-634.46" *) _1359_;
+  assign _1592_ = _1360_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:642.8-643.46" *) _1361_;
+  assign _1593_ = _1362_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:691.8-691.68" *) _1787_;
+  assign _1594_ = _1593_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:691.8-692.39" *) _1363_;
+  assign _1595_ = _0956_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:759.8-761.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d144;
+  assign _1596_ = _1595_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:759.8-762.65" *) IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d148;
+  assign _1597_ = WILL_FIRE_RL_mkConnectionGetPut || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:786.7-786.62" *) _0330_;
+  assign _1598_ = _0959_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:792.7-795.40" *) _0961_;
+  assign _1599_ = sysmem_client_ack_i || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:810.7-810.49" *) sysmem_client_err_i;
+  assign _1600_ = _1599_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:810.7-811.26" *) sysmem_client_rty_i;
+  assign _1601_ = WILL_FIRE_RL_memory_sysmemRequest || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:813.7-813.70" *) EN_dmem_client_request_get;
+  assign _1602_ = _0972_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:833.7-836.40" *) _0974_;
+  assign _1603_ = _1374_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:873.8-875.66" *) _0977_;
+  assign _1604_ = WILL_FIRE_RL_memory_sysmemRequest || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:894.7-894.70" *) EN_dmem_client_request_get;
+  assign _1605_ = WILL_FIRE_RL_memory_sysmemResponse || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:937.7-938.34" *) EN_dmem_client_response_put;
+  assign _1606_ = _0345_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:945.8-945.64" *) _0346_;
+  assign _1607_ = _1606_ || (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:945.8-946.34" *) _0347_;
+  assign _1608_ = memory_q_rv[99:68] < (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2239.7-2239.40" *) 32'd536870912;
+  assign _1609_ = x__read__h818 < (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:605.29-605.57" *) 32'd536870912;
+  assign _1610_ = fetch_pcRequested_rv[31:0] < (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:611.7-611.48" *) 32'd536870912;
+  assign _1611_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1136.7-1136.34" *) 3'h0;
+  assign _1612_ = compute_q_rv[81:79] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1140.4-1140.31" *) 3'h0;
+  assign _1613_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1144.9-1144.36" *) 3'h0;
+  assign _1614_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1145.9-1145.38" *) 3'h2;
+  assign _1615_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1146.9-1146.38" *) 3'h1;
+  assign _1616_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1147.9-1147.38" *) 3'h3;
+  assign _1617_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1148.9-1148.38" *) 3'h4;
+  assign _1618_ = { compute_q_rv[53:51], compute_q_rv[67] } != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1151.3-1151.52" *) 4'h1;
+  assign _1619_ = memory_waitRead_rv[68:64] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1184.7-1184.40" *) 5'h02;
+  assign _1620_ = memory_waitRead_rv[68:64] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1186.7-1186.40" *) 5'h02;
+  assign _1621_ = \fetch_fetched_rv$port1__read [31:28] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1216.4-1216.50" *) 4'hc;
+  assign _1622_ = \fetch_fetched_rv$port1__read [31:29] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1217.4-1217.49" *) 3'h4;
+  assign _1623_ = \fetch_fetched_rv$port1__read [31:29] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1218.4-1218.49" *) 3'h5;
+  assign _1624_ = \fetch_fetched_rv$port1__read [31:28] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1219.4-1219.50" *) 4'he;
+  assign _1625_ = \fetch_fetched_rv$port1__read [31:28] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1220.5-1220.51" *) 4'hf;
+  assign _1626_ = \fetch_fetched_rv$port1__read [17:16] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1222.5-1222.49" *) 2'h2;
+  assign _1627_ = \fetch_fetched_rv$port1__read [17:15] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1223.5-1223.50" *) 3'h6;
+  assign _1628_ = \fetch_fetched_rv$port1__read [31:28] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1233.4-1233.50" *) 4'hc;
+  assign _1629_ = \fetch_fetched_rv$port1__read [31:29] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1234.4-1234.49" *) 3'h4;
+  assign _1630_ = \fetch_fetched_rv$port1__read [31:29] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1235.4-1235.49" *) 3'h5;
+  assign _1631_ = \fetch_fetched_rv$port1__read [31:28] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1236.4-1236.50" *) 4'he;
+  assign _1632_ = \fetch_fetched_rv$port1__read [31:28] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1237.5-1237.51" *) 4'hf;
+  assign _1633_ = \fetch_fetched_rv$port1__read [17:16] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1239.5-1239.49" *) 2'h2;
+  assign _1634_ = \fetch_fetched_rv$port1__read [17:15] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1240.5-1240.50" *) 3'h6;
+  assign _1635_ = \fetch_fetched_rv$port1__read [31:28] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1250.4-1250.50" *) 4'hc;
+  assign _1636_ = \fetch_fetched_rv$port1__read [31:29] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1251.4-1251.49" *) 3'h4;
+  assign _1637_ = \fetch_fetched_rv$port1__read [31:29] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1252.4-1252.49" *) 3'h5;
+  assign _1638_ = \fetch_fetched_rv$port1__read [31:28] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1253.4-1253.50" *) 4'he;
+  assign _1639_ = \fetch_fetched_rv$port1__read [31:28] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1254.5-1254.51" *) 4'hf;
+  assign _1640_ = \fetch_fetched_rv$port1__read [17:16] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1256.5-1256.49" *) 2'h2;
+  assign _1641_ = \fetch_fetched_rv$port1__read [17:15] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1257.5-1257.50" *) 3'h6;
+  assign _1642_ = \fetch_fetched_rv$port1__read [31:28] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1296.11-1296.57" *) 4'hc;
+  assign _1643_ = \fetch_fetched_rv$port1__read [31:29] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1297.4-1297.49" *) 3'h4;
+  assign _1644_ = \fetch_fetched_rv$port1__read [31:29] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1298.4-1298.49" *) 3'h5;
+  assign _1645_ = \fetch_fetched_rv$port1__read [31:28] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1299.4-1299.50" *) 4'he;
+  assign _1646_ = \fetch_fetched_rv$port1__read [31:28] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1300.5-1300.51" *) 4'hf;
+  assign _1647_ = \fetch_fetched_rv$port1__read [17:16] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1302.5-1302.49" *) 2'h2;
+  assign _1648_ = \fetch_fetched_rv$port1__read [17:15] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1303.5-1303.50" *) 3'h6;
+  assign _1649_ = \fetch_fetched_rv$port1__read [31:28] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1305.7-1305.53" *) 4'hc;
+  assign _1650_ = \fetch_fetched_rv$port1__read [31:29] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1306.7-1306.52" *) 3'h4;
+  assign _1651_ = \fetch_fetched_rv$port1__read [31:29] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1307.7-1307.52" *) 3'h5;
+  assign _1652_ = \fetch_fetched_rv$port1__read [31:28] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1308.7-1308.53" *) 4'he;
+  assign _1653_ = \fetch_fetched_rv$port1__read [31:28] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1309.8-1309.54" *) 4'hf;
+  assign _1654_ = \fetch_fetched_rv$port1__read [17:16] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1311.8-1311.52" *) 2'h2;
+  assign _1655_ = \fetch_fetched_rv$port1__read [17:15] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1312.8-1312.53" *) 3'h6;
+  assign _1656_ = memory_q_rv[35:34] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1390.30-1390.56" *) 2'h1;
+  assign _1657_ = compute_q_rv[78:74] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1417.7-1417.34" *) 5'h00;
+  assign _1658_ = compute_q_rv[78:74] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1418.7-1418.34" *) 5'h01;
+  assign _1659_ = compute_q_rv[78:74] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1419.7-1419.34" *) 5'h02;
+  assign _1660_ = compute_q_rv[78:74] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1420.7-1420.34" *) 5'h03;
+  assign _1661_ = compute_q_rv[78:74] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1421.7-1421.34" *) 5'h04;
+  assign _1662_ = compute_q_rv[78:74] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1422.7-1422.34" *) 5'h05;
+  assign _1663_ = compute_q_rv[78:74] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1423.7-1423.34" *) 5'h06;
+  assign _1664_ = compute_q_rv[78:74] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1424.7-1424.34" *) 5'h07;
+  assign _1665_ = compute_q_rv[78:74] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1425.7-1425.34" *) 5'h08;
+  assign _1666_ = compute_q_rv[78:74] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1426.7-1426.34" *) 5'h09;
+  assign _1667_ = compute_q_rv[78:74] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1427.7-1427.35" *) 5'h0a;
+  assign _1668_ = compute_q_rv[78:74] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1428.7-1428.35" *) 5'h0b;
+  assign _1669_ = compute_q_rv[78:74] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1429.7-1429.35" *) 5'h0c;
+  assign _1670_ = compute_q_rv[78:74] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1430.7-1430.35" *) 5'h0d;
+  assign _1671_ = compute_q_rv[78:74] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1431.7-1431.35" *) 5'h0e;
+  assign _1672_ = compute_q_rv[78:74] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1432.7-1432.35" *) 5'h0f;
+  assign _1673_ = compute_q_rv[78:74] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1433.7-1433.35" *) 5'h10;
+  assign _1674_ = compute_q_rv[78:74] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1434.7-1434.35" *) 5'h11;
+  assign _1675_ = compute_q_rv[78:74] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1435.7-1435.35" *) 5'h12;
+  assign _1676_ = compute_q_rv[78:74] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1436.7-1436.35" *) 5'h13;
+  assign _1677_ = compute_q_rv[78:74] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1437.7-1437.35" *) 5'h14;
+  assign _1678_ = compute_q_rv[78:74] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1438.7-1438.35" *) 5'h15;
+  assign _1679_ = compute_q_rv[78:74] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1439.7-1439.35" *) 5'h16;
+  assign _1680_ = compute_q_rv[78:74] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1440.7-1440.35" *) 5'h17;
+  assign _1681_ = compute_q_rv[78:74] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1441.7-1441.35" *) 5'h18;
+  assign _1682_ = compute_q_rv[78:74] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1442.7-1442.35" *) 5'h19;
+  assign _1683_ = compute_q_rv[78:74] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1443.7-1443.35" *) 5'h1a;
+  assign _1684_ = compute_q_rv[78:74] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1444.7-1444.35" *) 5'h1b;
+  assign _1685_ = compute_q_rv[78:74] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1445.7-1445.35" *) 5'h1c;
+  assign _1686_ = compute_q_rv[78:74] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1446.7-1446.35" *) 5'h1d;
+  assign _1687_ = compute_q_rv[78:74] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1447.7-1447.35" *) 5'h1e;
+  assign _1688_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1547.7-1547.34" *) 3'h0;
+  assign _1689_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1548.7-1548.36" *) 3'h2;
+  assign _1690_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1549.7-1549.36" *) 3'h1;
+  assign _1691_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1550.7-1550.36" *) 3'h3;
+  assign _1692_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1551.7-1551.36" *) 3'h4;
+  assign _1693_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1558.7-1558.34" *) 3'h0;
+  assign _1694_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1559.7-1559.36" *) 3'h2;
+  assign _1695_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1560.7-1560.36" *) 3'h1;
+  assign _1696_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1561.7-1561.36" *) 3'h3;
+  assign _1697_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1562.7-1562.36" *) 3'h4;
+  assign _1698_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1570.7-1570.34" *) 3'h0;
+  assign _1699_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1571.7-1571.36" *) 3'h2;
+  assign _1700_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1572.7-1572.36" *) 3'h1;
+  assign _1701_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1573.7-1573.36" *) 3'h3;
+  assign _1702_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1574.7-1574.36" *) 3'h4;
+  assign _1703_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1582.7-1582.34" *) 3'h0;
+  assign _1704_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1583.7-1583.36" *) 3'h2;
+  assign _1705_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1584.7-1584.36" *) 3'h1;
+  assign _1706_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1585.7-1585.36" *) 3'h3;
+  assign _1707_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1586.7-1586.36" *) 3'h4;
+  assign _1708_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1594.7-1594.34" *) 3'h0;
+  assign _1709_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1595.7-1595.36" *) 3'h2;
+  assign _1710_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1596.7-1596.36" *) 3'h1;
+  assign _1711_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1597.7-1597.36" *) 3'h3;
+  assign _1712_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1598.7-1598.36" *) 3'h4;
+  assign _1713_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1606.7-1606.34" *) 3'h0;
+  assign _1714_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1607.7-1607.36" *) 3'h2;
+  assign _1715_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1608.7-1608.36" *) 3'h1;
+  assign _1716_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1609.7-1609.36" *) 3'h3;
+  assign _1717_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1610.7-1610.36" *) 3'h4;
+  assign _1718_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1618.7-1618.34" *) 3'h0;
+  assign _1719_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1619.7-1619.36" *) 3'h2;
+  assign _1720_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1620.7-1620.36" *) 3'h1;
+  assign _1721_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1621.7-1621.36" *) 3'h3;
+  assign _1722_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1622.7-1622.36" *) 3'h4;
+  assign _1723_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1630.7-1630.34" *) 3'h0;
+  assign _1724_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1631.7-1631.36" *) 3'h2;
+  assign _1725_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1632.7-1632.36" *) 3'h1;
+  assign _1726_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1633.7-1633.36" *) 3'h3;
+  assign _1727_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1634.7-1634.36" *) 3'h4;
+  assign _1728_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1642.7-1642.34" *) 3'h0;
+  assign _1729_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1643.7-1643.36" *) 3'h2;
+  assign _1730_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1644.7-1644.36" *) 3'h1;
+  assign _1731_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1645.7-1645.36" *) 3'h3;
+  assign _1732_ = compute_q_rv[61:59] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1646.7-1646.36" *) 3'h4;
+  assign _1733_ = { compute_q_rv[78:76], compute_q_rv[51] } != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1655.8-1655.57" *) 4'h1;
+  assign _1734_ = { compute_q_rv[78:76], compute_q_rv[51] } != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1662.7-1662.56" *) 4'h0;
+  assign _1735_ = { compute_q_rv[78:76], compute_q_rv[51] } != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1767.7-1767.56" *) 4'h0;
+  assign _1736_ = { compute_q_rv[78:76], compute_q_rv[51] } != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1769.7-1769.56" *) 4'h1;
+  assign _1737_ = { compute_q_rv[78:76], compute_q_rv[51] } != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1770.7-1770.56" *) 4'h2;
+  assign _1738_ = { compute_q_rv[78:76], compute_q_rv[51] } != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1771.7-1771.56" *) 4'h3;
+  assign _1739_ = { compute_q_rv[78:76], compute_q_rv[51] } != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1772.7-1772.56" *) 4'h4;
+  assign _1740_ = { compute_q_rv[78:76], compute_q_rv[51] } != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1773.7-1773.56" *) 4'h5;
+  assign _1741_ = { compute_q_rv[78:76], compute_q_rv[51] } != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1774.7-1774.56" *) 4'h6;
+  assign _1742_ = { compute_q_rv[78:76], compute_q_rv[51] } != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1775.7-1775.56" *) 4'h7;
+  assign _1743_ = { compute_q_rv[78:76], compute_q_rv[51] } != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1776.7-1776.56" *) 4'h8;
+  assign _1744_ = { compute_q_rv[78:76], compute_q_rv[51] } != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1777.7-1777.56" *) 4'h9;
+  assign _1745_ = { compute_q_rv[78:76], compute_q_rv[51] } != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1778.7-1778.57" *) 4'ha;
+  assign _1746_ = { compute_q_rv[78:76], compute_q_rv[51] } != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1779.7-1779.57" *) 4'hb;
+  assign _1747_ = { compute_q_rv[78:76], compute_q_rv[51] } != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1780.7-1780.57" *) 4'hc;
+  assign _1748_ = { compute_q_rv[78:76], compute_q_rv[51] } != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1781.7-1781.57" *) 4'hd;
+  assign _1749_ = { compute_q_rv[78:76], compute_q_rv[51] } != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1782.7-1782.57" *) 4'he;
+  assign _1750_ = \fetch_fetched_rv$port1__read [31:29] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2066.7-2066.52" *) 3'h4;
+  assign _1751_ = \fetch_fetched_rv$port1__read [31:29] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2067.7-2067.52" *) 3'h5;
+  assign _1752_ = \fetch_fetched_rv$port1__read [31:28] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2068.7-2068.53" *) 4'he;
+  assign _1753_ = \fetch_fetched_rv$port1__read [31:28] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2069.8-2069.54" *) 4'hf;
+  assign _1754_ = \fetch_fetched_rv$port1__read [17:16] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2071.8-2071.52" *) 2'h2;
+  assign _1755_ = \fetch_fetched_rv$port1__read [17:15] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2072.8-2072.53" *) 3'h6;
+  assign _1756_ = { compute_q_rv[78:76], compute_q_rv[51] } != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2127.6-2127.55" *) 4'h0;
+  assign _1757_ = compute_q_rv[82:79] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2130.7-2130.37" *) 4'hf;
+  assign _1758_ = compute_q_rv[68:67] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2131.7-2131.35" *) 2'h2;
+  assign _1759_ = compute_q_rv[82:79] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2132.7-2132.37" *) 4'hf;
+  assign _1760_ = compute_q_rv[68:66] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2133.7-2133.36" *) 3'h6;
+  assign _1761_ = compute_q_rv[8:4] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2136.3-2136.28" *) 5'h02;
+  assign _1762_ = _1846_ != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2137.3-2145.7" *) 5'h02;
+  assign _1763_ = { compute_q_rv[78:76], compute_q_rv[51] } != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2157.6-2157.55" *) 4'h1;
+  assign _1764_ = { compute_q_rv[78:76], compute_q_rv[51] } != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2164.7-2164.56" *) 4'h0;
+  assign _1765_ = { compute_q_rv[78:76], compute_q_rv[51] } != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2170.7-2170.56" *) 4'h0;
+  assign _1766_ = { compute_q_rv[78:76], compute_q_rv[51] } != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2176.7-2176.56" *) 4'h0;
+  assign _1767_ = { compute_q_rv[78:76], compute_q_rv[51] } != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2182.7-2182.56" *) 4'h0;
+  assign _1768_ = { compute_q_rv[78:76], compute_q_rv[51] } != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2188.7-2188.56" *) 4'h0;
+  assign _1769_ = { compute_q_rv[78:76], compute_q_rv[51] } != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2194.7-2194.56" *) 4'h0;
+  assign _1770_ = { compute_q_rv[78:76], compute_q_rv[51] } != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2200.7-2200.56" *) 4'h0;
+  assign _1771_ = { compute_q_rv[78:76], compute_q_rv[51] } != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2206.7-2206.56" *) 4'h0;
+  assign _1772_ = \fetch_fetched_rv$port1__read [31:28] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2229.7-2229.53" *) 4'hc;
+  assign _1773_ = \fetch_fetched_rv$port1__read [31:29] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2230.7-2230.52" *) 3'h4;
+  assign _1774_ = \fetch_fetched_rv$port1__read [31:29] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2231.7-2231.52" *) 3'h5;
+  assign _1775_ = \fetch_fetched_rv$port1__read [31:28] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2232.7-2232.53" *) 4'he;
+  assign _1776_ = \fetch_fetched_rv$port1__read [31:28] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2233.8-2233.54" *) 4'hf;
+  assign _1777_ = \fetch_fetched_rv$port1__read [17:16] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2235.8-2235.52" *) 2'h2;
+  assign _1778_ = \fetch_fetched_rv$port1__read [17:15] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2236.8-2236.53" *) 3'h6;
+  assign _1779_ = \fetch_fetched_rv$port1__read [31:28] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2296.4-2296.50" *) 4'hc;
+  assign _1780_ = \fetch_fetched_rv$port1__read [31:29] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2297.4-2297.49" *) 3'h4;
+  assign _1781_ = \fetch_fetched_rv$port1__read [31:29] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2298.4-2298.49" *) 3'h5;
+  assign _1782_ = \fetch_fetched_rv$port1__read [31:28] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2299.4-2299.50" *) 4'he;
+  assign _1783_ = \fetch_fetched_rv$port1__read [31:28] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2300.5-2300.51" *) 4'hf;
+  assign _1784_ = \fetch_fetched_rv$port1__read [17:16] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2302.5-2302.49" *) 2'h2;
+  assign _1785_ = \fetch_fetched_rv$port1__read [17:15] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2303.5-2303.50" *) 3'h6;
+  assign _1786_ = memory_waitRead_rv[68:64] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:598.35-598.68" *) 5'h02;
+  assign _1787_ = memory_waitRead_rv[68:64] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:691.35-691.68" *) 5'h02;
+  assign _1788_ = fetch_cycle != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:710.7-710.27" *) 32'd0;
+  assign _1789_ = memory_waitRead_rv[68:64] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:716.7-716.40" *) 5'h02;
+  assign _1790_ = memory_waitRead_rv[68:64] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:719.7-719.40" *) 5'h02;
+  assign _1791_ = memory_waitRead_rv[68:64] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:793.7-793.40" *) 5'h02;
+  assign _1792_ = memory_waitRead_rv[68:64] != (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:795.7-795.40" *) 5'h02;
+  assign _1793_ = { 16'h0000, compute_q_rv[66:51] } << (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2101.7-2102.42" *) _1842_;
+  assign _1794_ = \fetch_fetched_rv$port1__read [63:32] - (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2309.21-2309.64" *) 32'd4;
+  assign _1795_ = \memory_sysmemMaster_fRes_rv$EN_port1__write  ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1006.7-1008.48" *) 34'h0aaaaaaaa : \memory_sysmemMaster_fRes_rv$port1__read ;
+  assign _1796_ = compute_q_rv[82] ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1130.9-1133.20" *) _0362_ : compute_q_rv[67];
+  assign _1797_ = compute_q_rv[82] ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1134.9-1142.36" *) _1798_ : _0364_;
+  assign _1798_ = _0062_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1135.5-1139.37" *) _0363_ : \rf$computeStatusSource_read [3];
+  assign _1799_ = compute_q_rv[3] ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1163.9-1165.62" *) { compute_q_rv[8:4], \compute_alu1$run [63:32] } : IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d1212;
+  assign _1800_ = \MUX_compute_regFromMemory$wset_1__SEL_2  ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1174.7-1176.20" *) dmem_client_response_put : data__h6648;
+  assign _1801_ = _0068_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1190.8-1196.23" *) compute_q_rv[79] : _1803_;
+  assign _1802_ = _1801_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1190.7-1199.63" *) rs2v__h13671 : { 27'h2aaaaaa, IF_compute_q_rv_port0__read__54_BITS_82_TO_80__ETC___d582 };
+  assign _1803_ = _0381_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1192.4-1196.22" *) compute_q_rv[67] : _0383_;
+  assign _1804_ = _0384_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1201.7-1209.15" *) imm__h14821 : _1805_;
+  assign _1805_ = _0385_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1203.10-1209.14" *) 32'd0 : _1806_;
+  assign _1806_ = _0386_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1206.6-1209.13" *) _theResult_____4_fst_ea__h16376 : 32'd0;
+  assign _1807_ = _1395_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1211.7-1226.13" *) _1808_ : 3'h0;
+  assign _1808_ = _0392_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1215.10-1225.40" *) 3'h0 : \fetch_fetched_rv$port1__read [30:28];
+  assign _1809_ = _1398_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1228.7-1243.13" *) _1810_ : 5'h00;
+  assign _1810_ = _0400_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1232.10-1242.40" *) 5'h00 : \fetch_fetched_rv$port1__read [27:23];
+  assign _1811_ = _1401_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1245.7-1260.13" *) _1812_ : 5'h00;
+  assign _1812_ = _0408_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1249.10-1259.40" *) 5'h00 : \fetch_fetched_rv$port1__read [22:18];
+  assign _1813_ = _1404_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1262.7-1267.14" *) \fetch_fetched_rv$port1__read [63:32] : 32'd0;
+  assign _1814_ = _1406_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1269.7-1274.13" *) \fetch_fetched_rv$port1__read [22:18] : 5'h00;
+  assign _1815_ = _1408_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1276.7-1283.13" *) _1816_ : 5'h00;
+  assign _1816_ = _0077_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1280.10-1282.40" *) \fetch_fetched_rv$port1__read [27:23] : \fetch_fetched_rv$port1__read [15:11];
+  assign _1817_ = _1410_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1285.7-1290.13" *) \fetch_fetched_rv$port1__read [27:23] : 5'h00;
+  assign _1818_ = _1412_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1292.7-1318.13" *) _1819_ : 3'h0;
+  assign _1819_ = _0419_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1296.10-1317.58" *) _1820_ : CASE_fetch_fetched_rvport1__read_BITS_10_TO_8_ETC__q7;
+  assign _1820_ = _1415_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1304.6-1316.61" *) 3'h0 : CASE_fetch_fetched_rvport1__read_BITS_30_TO_2_ETC__q6;
+  assign _1821_ = _0080_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1323.7-1327.64" *) _theResult_____4_fst_ea__h16285 : _1822_;
+  assign _1822_ = _0081_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1325.10-1327.63" *) 32'd0 : IF_NOT_compute_q_rv_port0__read__54_BITS_82_TO_ETC___d1221;
+  assign _1823_ = _1417_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1329.7-1332.66" *) compute_q_rv[8:4] : IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d385;
+  assign _1824_ = _0084_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1334.7-1339.19" *) newPC__h14613 : _1825_;
+  assign _1825_ = _0430_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1336.10-1339.18" *) imm__h14821 : added__h15864;
+  assign _1826_ = _0087_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1341.7-1348.48" *) { compute_q_rv[18:14], added__h15834 } : _1827_;
+  assign _1827_ = _0088_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1343.10-1348.47" *) { 5'h02, newPC__h14613 } : _1828_;
+  assign _1828_ = _0431_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1345.6-1348.46" *) { compute_q_rv[8:4], imm__h14821 } : { compute_q_rv[18:14], added__h15864 };
+  assign _1829_ = _0432_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1350.7-1353.27" *) compute_q_rv[78:74] : compute_q_rv[13:9];
+  assign _1830_ = compute_q_rv[82] ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1358.7-1360.25" *) _0433_ : compute_q_rv[68];
+  assign _1831_ = fetch_mispredictMemoryF_rv_port1__read__35_BIT_ETC___d218 ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1373.7-1385.59" *) { 1'h0, IF_NOT_fetch_mispredictMemoryF_rv_port1__read__ETC___d222, IF_NOT_fetch_mispredictMemoryF_rv_port1__read__ETC___d225, IF_NOT_fetch_mispredictMemoryF_rv_port1__read__ETC___d228, NOT_fetch_mispredictMemoryF_rv_port1__read__35_ETC___d238, NOT_fetch_mispredictMemoryF_rv_port1__read__35_ETC___d249, x__h8530 } : _1832_;
+  assign _1832_ = _0099_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1381.10-1385.58" *) { 4'hc, \fetch_fetched_rv$port1__read [27:11], IF_fetch_fetched_rv_port1__read__27_BITS_10_TO_ETC___d281 } : CASE_fetch_fetched_rvport1__read_BITS_31_TO_2_ETC__q8;
+  assign _1833_ = _0434_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1387.7-1391.44" *) _1020_ : _1421_;
+  assign _1834_ = compute_q_rv[3] ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1520.8-1530.7" *) _0110_ : _0112_;
+  assign _1835_ = _0111_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1522.4-1529.26" *) compute_q_rv[18:14] : _1836_;
+  assign _1836_ = _0113_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1524.7-1529.25" *) 5'h02 : _1837_;
+  assign _1837_ = _0520_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1526.10-1529.24" *) compute_q_rv[8:4] : compute_q_rv[18:14];
+  assign _1838_ = _0116_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1535.8-1541.24" *) _1076_ : _1839_;
+  assign _1839_ = _0523_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:1537.4-1541.23" *) _1078_ : _0525_;
+  assign _1840_ = compute_q_rv[68] ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2090.7-2090.54" *) added__h15834 : rs1v__h13670;
+  assign _1841_ = compute_q_rv[62] ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2092.7-2092.54" *) added__h15864 : rs1v__h13670;
+  assign _1842_ = compute_q_rv[67] ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2102.8-2102.41" *) 32'd16 : 32'd0;
+  assign _1843_ = _0280_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2124.8-2134.26" *) _1289_ : _1844_;
+  assign _1844_ = _0281_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2126.4-2134.25" *) _0842_ : _0843_;
+  assign _1845_ = compute_q_rv[3] ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2135.8-2145.7" *) _1761_ : _1762_;
+  assign _1846_ = _0283_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2137.4-2144.26" *) compute_q_rv[18:14] : _1847_;
+  assign _1847_ = _0284_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2139.7-2144.25" *) 5'h02 : _1848_;
+  assign _1848_ = _0844_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2141.10-2144.24" *) compute_q_rv[8:4] : compute_q_rv[18:14];
+  assign _1849_ = _0288_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2153.8-2161.58" *) compute_q_rv[67] : _1850_;
+  assign _1850_ = _0289_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2155.4-2161.57" *) _1547_ : _0846_;
+  assign _1851_ = compute_q_rv[67] ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2211.7-2213.42" *) { compute_q_rv[66:51], 16'hffff } : { 16'hffff, compute_q_rv[66:51] };
+  assign _1852_ = memory_delaySysmemResponse_rv[32] ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2215.7-2217.14" *) memory_delaySysmemResponse_rv[31:0] : 32'd0;
+  assign _1853_ = compute_q_rv[52] ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2240.26-2240.66" *) x__h16098 : x__h16140;
+  assign _1854_ = _1561_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2243.7-2246.22" *) y_avValue_snd__h7932 : nextPC__h7366;
+  assign _1855_ = _0883_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2248.7-2253.28" *) \compute_regFromMemory$wget [31:0] : _1856_;
+  assign _1856_ = _0311_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2251.10-2253.27" *) compute_q_rv[50:19] : \rf$computeSource1_read ;
+  assign _1857_ = _0884_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2255.7-2262.28" *) \compute_regFromMemory$wget [31:0] : _1858_;
+  assign _1858_ = _0313_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2259.10-2262.27" *) compute_q_rv[50:19] : \rf$computeSource2_read ;
+  assign _1859_ = \fetch_mispredictMemoryF_rv$port1__read [64] ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2264.7-2266.55" *) \fetch_mispredictMemoryF_rv$port1__read [63:32] : \fetch_mispredictComputeF_rv$port1__read [63:32];
+  assign _1860_ = \fetch_mispredictMemoryF_rv$port1__read [64] ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2268.7-2270.54" *) \fetch_mispredictMemoryF_rv$port1__read [31:0] : \fetch_mispredictComputeF_rv$port1__read [31:0];
+  assign _1861_ = compute_q_rv[82] ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2272.7-2274.39" *) _1862_ : _theResult_____4_fst_b__h15183;
+  assign _1862_ = _0314_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2273.10-2273.65" *) rs2v__h13671 : 32'd0;
+  assign _1863_ = compute_q_rv[3] ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2276.7-2280.64" *) \compute_alu1$run [63:32] : _1864_;
+  assign _1864_ = _0315_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2278.10-2280.63" *) added__h15834 : IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d1198;
+  assign _1865_ = compute_memoryRegisterLoad_57_BIT_5_58_AND_com_ETC___d1215 ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2286.7-2288.67" *) 32'd0 : IF_compute_q_rv_port0__read__54_BITS_82_TO_80__ETC___d1223;
+  assign _1866_ = _1563_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2291.7-2306.14" *) _1867_ : 16'h0000;
+  assign _1867_ = _0890_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2295.10-2305.39" *) 16'h0000 : \fetch_fetched_rv$port1__read [15:0];
+  assign _1868_ = IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d144 ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2311.7-2313.21" *) nextPC__h7366 : value__h7412;
+  assign _1869_ = _0316_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2501.6-2503.12" *) 3'h6 : 3'h0;
+  assign _1870_ = _0317_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2582.6-2599.47" *) \fetch_fetched_rv$port1__read [31:0] : _1871_;
+  assign _1871_ = _0910_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2584.9-2599.46" *) { 4'hf, \fetch_fetched_rv$port1__read [27:18], 1'h0, \fetch_fetched_rv$port1__read [16:0] } : _1872_;
+  assign _1872_ = _0911_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2590.5-2599.45" *) { 4'hf, \fetch_fetched_rv$port1__read [27:18], 2'h2, \fetch_fetched_rv$port1__read [15:0] } : { 4'hf, \fetch_fetched_rv$port1__read [27:18], 3'h6, \fetch_fetched_rv$port1__read [14:0] };
+  assign _1873_ = _0321_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2678.6-2694.32" *) compute_q_rv[82:51] : _1874_;
+  assign _1874_ = _0923_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2680.9-2694.31" *) { 4'hf, compute_q_rv[78:69], 1'h0, compute_q_rv[67:51] } : _1875_;
+  assign _1875_ = _0924_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2685.5-2694.30" *) { 4'hf, compute_q_rv[78:69], 2'h2, compute_q_rv[66:51] } : { 4'hf, compute_q_rv[78:69], 3'h6, compute_q_rv[65:51] };
+  assign _1876_ = WILL_FIRE_RL_mkConnectionGetPut ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:603.7-603.62" *) nextPC__h7940 : 32'd0;
+  assign _1877_ = _1590_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:626.7-629.49" *) 32'd0 : \memory_sysmemMaster_outgoing$wget [68:37];
+  assign _1878_ = _1591_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:633.7-638.11" *) 32'd0 : _1879_;
+  assign _1879_ = \memory_sysmemMaster_outgoing$wget [36] ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:636.10-638.10" *) \memory_sysmemMaster_outgoing$wget [35:4] : 32'd0;
+  assign _1880_ = _1592_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:642.7-645.47" *) 4'h0 : \memory_sysmemMaster_outgoing$wget [3:0];
+  assign _1881_ = compute_q_rv[82] ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:740.7-746.38" *) _1882_ : { 1'h0, compute_q_rv[81:51] };
+  assign _1882_ = _0329_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:741.10-745.60" *) { 4'hc, compute_q_rv[78:62], IF_compute_q_rv_port0__read__54_BITS_61_TO_59__ETC___d525 } : CASE_compute_q_rv_BITS_82_TO_80_0b100_compute__ETC__q10;
+  assign _1883_ = \MUX_compute_regFromMemory$wset_1__SEL_1  ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:788.7-790.48" *) \MUX_compute_regFromMemory$wset_1__VAL_1  : \MUX_compute_regFromMemory$wset_1__VAL_2 ;
+  assign _1884_ = _0967_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:805.7-808.22" *) { 1'h1, sysmem_client_dat_i } : 33'h100000000;
+  assign _1885_ = \fetch_mispredictComputeF_rv$EN_port0__write  ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:822.7-824.36" *) \fetch_mispredictComputeF_rv$port0__write_1  : fetch_mispredictComputeF_rv;
+  assign _1886_ = \fetch_mispredictComputeF_rv$EN_port1__write  ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:829.7-831.48" *) 65'h0aaaaaaaaaaaaaaaa : \fetch_mispredictComputeF_rv$port1__read ;
+  assign _1887_ = \MUX_fetch_mispredictMemoryF_rv$port0__write_1__SEL_1  ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:838.7-840.61" *) \MUX_fetch_mispredictMemoryF_rv$port0__write_1__VAL_1  : \MUX_fetch_mispredictMemoryF_rv$port0__write_1__VAL_2 ;
+  assign _1888_ = \fetch_mispredictMemoryF_rv$EN_port0__write  ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:842.7-844.35" *) \fetch_mispredictMemoryF_rv$port0__write_1  : fetch_mispredictMemoryF_rv;
+  assign _1889_ = \fetch_mispredictMemoryF_rv$EN_port1__write  ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:849.7-851.47" *) 65'h0aaaaaaaaaaaaaaaa : \fetch_mispredictMemoryF_rv$port1__read ;
+  assign _1890_ = EN_imem_client_response_put ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:853.7-855.29" *) 33'h0aaaaaaaa : fetch_pcRequested_rv;
+  assign _1891_ = EN_imem_client_request_get ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:858.7-860.41" *) \fetch_pcRequested_rv$port1__write_1  : \fetch_pcRequested_rv$port1__read ;
+  assign _1892_ = EN_imem_client_response_put ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:864.7-866.25" *) \fetch_fetched_rv$port0__write_1  : fetch_fetched_rv;
+  assign _1893_ = WILL_FIRE_RL_mkConnectionGetPut ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:868.7-870.37" *) 65'h0aaaaaaaaaaaaaaaa : \fetch_fetched_rv$port1__read ;
+  assign _1894_ = \compute_q_rv$EN_port0__write  ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:877.7-879.21" *) 84'h2aaaaaaaaaaaaaaaaaaaa : compute_q_rv;
+  assign _1895_ = WILL_FIRE_RL_mkConnectionGetPut ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:890.7-892.33" *) \compute_q_rv$port1__write_1  : \compute_q_rv$port1__read ;
+  assign _1896_ = \memory_q_rv$EN_port0__write  ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:896.7-898.20" *) 101'h0aaaaaaaaaaaaaaaaaaaaaaaaa : memory_q_rv;
+  assign _1897_ = compute_memoryRegisterLoad_57_BIT_5_58_AND_com_ETC___d1215 ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:903.9-918.14" *) 2'h0 : _1898_;
+  assign _1898_ = _0336_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:905.5-918.13" *) _1899_ : _1900_;
+  assign _1899_ = compute_q_rv[79] ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:906.8-906.38" *) 2'h1 : 2'h2;
+  assign _1900_ = _0337_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:907.8-918.12" *) 2'h0 : _1901_;
+  assign _1901_ = _0978_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:909.11-918.11" *) _1902_ : _1903_;
+  assign _1902_ = compute_q_rv[67] ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:911.7-911.37" *) 2'h1 : 2'h2;
+  assign _1903_ = _0979_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:912.7-918.10" *) 2'h0 : _1904_;
+  assign _1904_ = _0980_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:915.10-918.9" *) _1905_ : 2'h0;
+  assign _1905_ = compute_q_rv[65] ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:917.6-917.36" *) 2'h1 : 2'h2;
+  assign _1906_ = compute_memoryRegisterLoad_57_BIT_5_58_AND_com_ETC___d1259 ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:920.9-931.12" *) 2'h0 : _1907_;
+  assign _1907_ = _0981_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:922.5-931.11" *) _1908_ : 2'h0;
+  assign _1908_ = _0982_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:924.8-930.15" *) 2'h2 : _1909_;
+  assign _1909_ = _0983_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:926.11-930.14" *) 2'h0 : _1910_;
+  assign _1910_ = _0984_ ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:928.7-930.13" *) 2'h2 : 2'h0;
+  assign _1911_ = WILL_FIRE_RL_mkConnectionGetPut_1 ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:933.7-935.32" *) \memory_q_rv$port1__write_1  : \memory_q_rv$port1__read ;
+  assign _1912_ = \memory_waitRead_rv$EN_port0__write  ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:940.7-942.27" *) 71'h2aaaaaaaaaaaaaaaaa : memory_waitRead_rv;
+  assign _1913_ = \memory_waitRead_rv$EN_port1__write  ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:954.7-956.39" *) \memory_waitRead_rv$port1__write_1  : \memory_waitRead_rv$port1__read ;
+  assign _1914_ = WILL_FIRE_RL_memory_sysmemResponse ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:958.7-960.38" *) 34'h0aaaaaaaa : memory_delaySysmemResponse_rv;
+  assign _1915_ = \memory_delaySysmemResponse_rv$EN_port1__write  ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:967.7-969.50" *) \memory_delaySysmemResponse_rv$port1__write_1  : \memory_delaySysmemResponse_rv$port1__read ;
+  assign _1916_ = WILL_FIRE_RL_memory_sysmemRequest ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:977.7-979.36" *) \memory_sysmemMaster_fReq_rv$port0__write_1  : memory_sysmemMaster_fReq_rv;
+  assign _1917_ = \memory_sysmemMaster_fReq_rv$EN_port1__write  ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:986.7-988.48" *) 70'h0aaaaaaaaaaaaaaaaa : \memory_sysmemMaster_fReq_rv$port1__read ;
+  assign _1918_ = \memory_sysmemMaster_fRes_rv$EN_port0__write  ? (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:999.7-1001.36" *) \memory_sysmemMaster_fRes_rv$port0__write_1  : memory_sysmemMaster_fRes_rv;
+  (* module_not_derived = 32'd1 *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:658.9-663.19" *)
+  mkALU compute_alu1 (
+    .CLK(CLK),
+    .EN_run(\compute_alu1$EN_run ),
+    .RST_N(RST_N),
+    .run(\compute_alu1$run ),
+    .run_op(\compute_alu1$run_op )
+  );
+  (* module_not_derived = 32'd1 *)
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:666.21-686.35" *)
+  mkCPURegisterFile rf (
+    .CLK(CLK),
+    .EN_computeWrite_write(\rf$EN_computeWrite_write ),
+    .EN_memoryWrite_write(\rf$EN_memoryWrite_write ),
+    .RST_N(RST_N),
+    .computeSource1_read(\rf$computeSource1_read ),
+    .computeSource1_read_ix(\rf$computeSource1_read_ix ),
+    .computeSource2_read(\rf$computeSource2_read ),
+    .computeSource2_read_ix(\rf$computeSource2_read_ix ),
+    .computeStatusSource_read(\rf$computeStatusSource_read ),
+    .computeWrite_write_rd(\rf$computeWrite_write_rd ),
+    .computeWrite_write_sw(\rf$computeWrite_write_sw ),
+    .fetchRead_read_ix(\rf$fetchRead_read_ix ),
+    .memoryWrite_write_rd(\rf$memoryWrite_write_rd ),
+    .memoryWrite_write_value(\rf$memoryWrite_write_value )
+  );
+  always @* begin
+    if (\$auto$verilog_backend.cc:2083:dump_module$2161 ) begin end
+    _0009_ = _0044_;
+    (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2316.5-2375.12" *)
+    casez ({ compute_q_rv[78:76], compute_q_rv[51] })
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
+      4'h2:
+          _0044_ = _1565_;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
+      4'h3:
+          _0044_ = _0893_;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
+      4'h4:
+          _0044_ = \rf$computeStatusSource_read [3];
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
+      4'h5:
+          _0044_ = _1304_;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
+      4'h6:
+          _0044_ = \rf$computeStatusSource_read [0];
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
+      4'h7:
+          _0044_ = _1305_;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
+      4'h8:
+          _0044_ = \rf$computeStatusSource_read [2];
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
+      4'h9:
+          _0044_ = _1306_;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
+      4'ha:
+          _0044_ = \rf$computeStatusSource_read [1];
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
+      4'hb:
+          _0044_ = _1307_;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
+      4'hc:
+          _0044_ = _0894_;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
+      4'hd:
+          _0044_ = _0895_;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
+      4'he:
+          _0044_ = _0896_;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
+      default:
+          _0044_ = _0898_;
+    endcase
+  end
+  always @* begin
+      IF_compute_q_rv_port0__read__54_BITS_78_TO_76__ETC___d451 <= _0009_;
+  end
+  always @* begin
+    if (\$auto$verilog_backend.cc:2083:dump_module$2161 ) begin end
+    _0008_ = _0043_;
+    (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2379.5-2388.12" *)
+    casez (compute_q_rv[61:57])
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
+      5'h1e:
+          _0043_ = 8'hf0;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
+      5'h1f:
+          _0043_ = 8'hf8;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
+      default:
+          _0043_ = compute_q_rv[61:54];
+    endcase
+  end
+  always @* begin
+      IF_compute_q_rv_port0__read__54_BITS_61_TO_57__ETC___d516 <= _0008_;
+  end
+  always @* begin
+    if (\$auto$verilog_backend.cc:2083:dump_module$2161 ) begin end
+    _0001_ = _0036_;
+    (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2393.5-2416.12" *)
+    casez (compute_q_rv[61:59])
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
+      3'h0:
+          _0036_ = 8'h00;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
+      3'h1:
+          _0036_ = 8'h20;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
+      3'h2:
+          _0036_ = 8'h40;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
+      3'h3:
+          _0036_ = 8'h60;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
+      3'h4:
+          _0036_ = 8'h80;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
+      3'h5:
+          _0036_ = 8'ha0;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
+      3'h6:
+          _0036_ = 8'hc0;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
+      3'h7:
+          _0036_ = IF_compute_q_rv_port0__read__54_BITS_61_TO_57__ETC___d516;
+      default:
+          _0036_ = CASE_compute_q_rv_BITS_61_TO_59_0b0_0b0_0b1_0b_ETC__q1;
+    endcase
+  end
+  always @* begin
+      CASE_compute_q_rv_BITS_61_TO_59_0b0_0b0_0b1_0b_ETC__q1 <= _0001_;
+  end
+  always @* begin
+    if (\$auto$verilog_backend.cc:2083:dump_module$2161 ) begin end
+    _0002_ = _0037_;
+    (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2420.5-2479.12" *)
+    casez ({ compute_q_rv[78:76], compute_q_rv[51] })
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
+      4'h2:
+          _0037_ = _0899_;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
+      4'h3:
+          _0037_ = _1576_;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
+      4'h4:
+          _0037_ = _1319_;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
+      4'h5:
+          _0037_ = \rf$computeStatusSource_read [3];
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
+      4'h6:
+          _0037_ = _1320_;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
+      4'h7:
+          _0037_ = \rf$computeStatusSource_read [0];
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
+      4'h8:
+          _0037_ = _1321_;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
+      4'h9:
+          _0037_ = \rf$computeStatusSource_read [2];
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
+      4'ha:
+          _0037_ = _1322_;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
+      4'hb:
+          _0037_ = \rf$computeStatusSource_read [1];
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
+      4'hc:
+          _0037_ = _1577_;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
+      4'hd:
+          _0037_ = _1578_;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
+      4'he:
+          _0037_ = _1579_;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
+      default:
+          _0037_ = _1581_;
+    endcase
+  end
+  always @* begin
+      CASE_compute_q_rv_BITS_78_TO_76_CONCAT_compute_ETC__q2 <= _0002_;
+  end
+  always @* begin
+    if (\$auto$verilog_backend.cc:2083:dump_module$2161 ) begin end
+    _0012_ = _0047_;
+    (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2486.5-2493.12" *)
+    casez (compute_q_rv[81:79])
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
+      3'h0, 3'h2:
+          _0047_ = aluOp_b__h15174;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
+      3'h4:
+          _0047_ = coshift__h15137;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
+      3'h7:
+          _0047_ = SEXT_compute_q_rv_port0__read__54_BITS_66_TO_5_ETC___d1107;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
+      default:
+          _0047_ = aluOp_b__h15174;
+    endcase
+  end
+  always @* begin
+      _theResult_____4_fst_b__h15183 <= _0012_;
+  end
+  always @* begin
+    if (\$auto$verilog_backend.cc:2083:dump_module$2161 ) begin end
+    _0011_ = _0046_;
+    (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2497.5-2504.12" *)
+    casez (\fetch_fetched_rv$port1__read [10:6])
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
+      5'h1e, 5'h1f:
+          _0046_ = 3'h5;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
+      default:
+          _0046_ = _1869_;
+    endcase
+  end
+  always @* begin
+      IF_fetch_fetched_rv_port1__read__27_BITS_10_TO_ETC___d341 <= _0011_;
+  end
+  always @* begin
+    if (\$auto$verilog_backend.cc:2083:dump_module$2161 ) begin end
+    _0010_ = _0045_;
+    (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2508.5-2517.12" *)
+    casez (\fetch_fetched_rv$port1__read [10:6])
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
+      5'h1e:
+          _0045_ = 8'hf0;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
+      5'h1f:
+          _0045_ = 8'hf8;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
+      default:
+          _0045_ = \fetch_fetched_rv$port1__read [10:3];
+    endcase
+  end
+  always @* begin
+      IF_fetch_fetched_rv_port1__read__27_BITS_10_TO_ETC___d272 <= _0010_;
+  end
+  always @* begin
+    if (\$auto$verilog_backend.cc:2083:dump_module$2161 ) begin end
+    _0004_ = _0039_;
+    (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2522.5-2539.12" *)
+    casez (\fetch_fetched_rv$port1__read [10:8])
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
+      3'h0:
+          _0039_ = 8'h00;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
+      3'h1:
+          _0039_ = 8'h20;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
+      3'h2:
+          _0039_ = 8'h40;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
+      3'h3:
+          _0039_ = 8'h60;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
+      3'h4:
+          _0039_ = 8'h80;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
+      3'h5:
+          _0039_ = 8'ha0;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
+      3'h6:
+          _0039_ = 8'hc0;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
+      3'h7:
+          _0039_ = IF_fetch_fetched_rv_port1__read__27_BITS_10_TO_ETC___d272;
+      default:
+          _0039_ = CASE_fetch_fetched_rvport1__read_BITS_10_TO_8_ETC__q4;
+    endcase
+  end
+  always @* begin
+      CASE_fetch_fetched_rvport1__read_BITS_10_TO_8_ETC__q4 <= _0004_;
+  end
+  always @* begin
+    if (\$auto$verilog_backend.cc:2083:dump_module$2161 ) begin end
+    _0006_ = _0041_;
+    (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2543.5-2551.12" *)
+    casez (\fetch_fetched_rv$port1__read [30:28])
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
+      3'h2, 3'h3:
+          _0041_ = 3'h1;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
+      3'h4:
+          _0041_ = 3'h2;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
+      3'h5:
+          _0041_ = 3'h3;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
+      3'h6:
+          _0041_ = 3'h4;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
+      3'h7:
+          _0041_ = 3'h5;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
+      default:
+          _0041_ = 3'h0;
+    endcase
+  end
+  always @* begin
+      CASE_fetch_fetched_rvport1__read_BITS_30_TO_2_ETC__q6 <= _0006_;
+  end
+  always @* begin
+    if (\$auto$verilog_backend.cc:2083:dump_module$2161 ) begin end
+    _0005_ = _0040_;
+    (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2556.5-2567.12" *)
+    casez (\fetch_fetched_rv$port1__read [10:8])
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
+      3'h0, 3'h1:
+          _0040_ = 3'h0;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
+      3'h2, 3'h3:
+          _0040_ = 3'h1;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
+      3'h4:
+          _0040_ = 3'h2;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
+      3'h5:
+          _0040_ = 3'h3;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
+      3'h6:
+          _0040_ = 3'h4;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
+      3'h7:
+          _0040_ = IF_fetch_fetched_rv_port1__read__27_BITS_10_TO_ETC___d341;
+      default:
+          _0040_ = CASE_fetch_fetched_rvport1__read_BITS_10_TO_8_ETC__q7;
+    endcase
+  end
+  always @* begin
+      CASE_fetch_fetched_rvport1__read_BITS_10_TO_8_ETC__q7 <= _0005_;
+  end
+  always @* begin
+    if (\$auto$verilog_backend.cc:2083:dump_module$2161 ) begin end
+    _0007_ = _0042_;
+    (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2572.5-2600.12" *)
+    casez (\fetch_fetched_rv$port1__read [31:29])
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
+      3'h4:
+          _0042_ = \fetch_fetched_rv$port1__read [31:0];
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
+      3'h5:
+          _0042_ = { 3'h5, \fetch_fetched_rv$port1__read [28:11], IF_fetch_fetched_rv_port1__read__27_BITS_10_TO_ETC___d281 };
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
+      default:
+          _0042_ = _1870_;
+    endcase
+  end
+  always @* begin
+      CASE_fetch_fetched_rvport1__read_BITS_31_TO_2_ETC__q8 <= _0007_;
+  end
+  always @* begin
+    if (\$auto$verilog_backend.cc:2083:dump_module$2161 ) begin end
+    _0000_ = _0035_;
+    (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2604.5-2663.12" *)
+    casez ({ compute_q_rv[53:51], compute_q_rv[67] })
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
+      4'h2:
+          _0035_ = _0912_;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
+      4'h3:
+          _0035_ = _1582_;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
+      4'h4:
+          _0035_ = _1336_;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
+      4'h5:
+          _0035_ = \rf$computeStatusSource_read [3];
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
+      4'h6:
+          _0035_ = _1337_;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
+      4'h7:
+          _0035_ = \rf$computeStatusSource_read [0];
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
+      4'h8:
+          _0035_ = _1338_;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
+      4'h9:
+          _0035_ = \rf$computeStatusSource_read [2];
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
+      4'ha:
+          _0035_ = _1339_;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
+      4'hb:
+          _0035_ = \rf$computeStatusSource_read [1];
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
+      4'hc:
+          _0035_ = _1583_;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
+      4'hd:
+          _0035_ = _1584_;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
+      4'he:
+          _0035_ = _1585_;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
+      default:
+          _0035_ = _1587_;
+    endcase
+  end
+  always @* begin
+      CASE_compute_q_rv_BITS_53_TO_51_CONCAT_compute_ETC__q9 <= _0000_;
+  end
+  always @* begin
+    if (\$auto$verilog_backend.cc:2083:dump_module$2161 ) begin end
+    _0003_ = _0038_;
+    (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2668.5-2695.12" *)
+    casez (compute_q_rv[82:80])
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
+      3'h4:
+          _0038_ = compute_q_rv[82:51];
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
+      3'h5:
+          _0038_ = { 3'h5, compute_q_rv[79:62], IF_compute_q_rv_port0__read__54_BITS_61_TO_59__ETC___d525 };
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:0.0-0.0" */
+      default:
+          _0038_ = _1873_;
+    endcase
+  end
+  always @* begin
+      CASE_compute_q_rv_BITS_82_TO_80_0b100_compute__ETC__q10 <= _0003_;
+  end
+  always @* begin
+    if (\$auto$verilog_backend.cc:2083:dump_module$2161 ) begin end
+    _0013_ = compute_computedPC_rv;
+    _0014_ = compute_memoryRegisterLoad;
+    _0015_ = compute_q_rv;
+    _0016_ = fetch_cMispredictErrorCount;
+    _0017_ = fetch_cMispredictLagCount;
+    _0018_ = fetch_cMispredictOkCount;
+    _0019_ = fetch_cPredictCount;
+    _0020_ = fetch_cycle;
+    _0021_ = fetch_delaySysmemResponse_rv;
+    _0022_ = fetch_fetched_rv;
+    _0023_ = fetch_mispredictComputeF_rv;
+    _0024_ = fetch_mispredictMemoryF_rv;
+    _0025_ = fetch_out_rv;
+    _0026_ = fetch_pcRequested_rv;
+    _0027_ = fetch_wantPC;
+    _0028_ = memory_computedPC_rv;
+    _0029_ = memory_delaySysmemResponse_rv;
+    _0030_ = memory_pendingPCLoad;
+    _0031_ = memory_q_rv;
+    _0032_ = memory_sysmemMaster_fReq_rv;
+    _0033_ = memory_sysmemMaster_fRes_rv;
+    _0034_ = memory_waitRead_rv;
+    (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2702.5-2791.10" *)
+    casez (_0325_)
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2702.9-2702.22" */
+      1'h1:
+        begin
+          _0013_ = 65'h0aaaaaaaaaaaaaaaa;
+          _0014_ = 6'h0a;
+          _0015_ = 84'h2aaaaaaaaaaaaaaaaaaaa;
+          _0016_ = 32'd0;
+          _0017_ = 32'd0;
+          _0018_ = 32'd0;
+          _0019_ = 32'd0;
+          _0020_ = 32'd0;
+          _0021_ = 34'h0aaaaaaaa;
+          _0022_ = 65'h0aaaaaaaaaaaaaaaa;
+          _0023_ = 65'h0aaaaaaaaaaaaaaaa;
+          _0024_ = 65'h0aaaaaaaaaaaaaaaa;
+          _0025_ = 84'h2aaaaaaaaaaaaaaaaaaaa;
+          _0026_ = 33'h0aaaaaaaa;
+          _0027_ = 32'd0;
+          _0028_ = 65'h0aaaaaaaaaaaaaaaa;
+          _0029_ = 34'h0aaaaaaaa;
+          _0030_ = 1'h0;
+          _0031_ = 101'h0aaaaaaaaaaaaaaaaaaaaaaaaa;
+          _0032_ = 70'h0aaaaaaaaaaaaaaaaa;
+          _0033_ = 34'h0aaaaaaaa;
+          _0034_ = 71'h2aaaaaaaaaaaaaaaaa;
+        end
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2730.5-2730.9" */
+      default:
+        begin
+          (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2732.9-2734.35" *)
+          casez (\compute_computedPC_rv$EN )
+            /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2732.13-2732.37" */
+            1'h1:
+                _0013_ = \compute_computedPC_rv$D_IN ;
+            default:
+                /* empty */;
+          endcase
+          (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2735.2-2737.40" *)
+          casez (\compute_memoryRegisterLoad$EN )
+            /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2735.6-2735.35" */
+            1'h1:
+                _0014_ = \compute_memoryRegisterLoad$D_IN ;
+            default:
+                /* empty */;
+          endcase
+          (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2738.2-2739.39" *)
+          casez (\compute_q_rv$EN )
+            /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2738.6-2738.21" */
+            1'h1:
+                _0015_ = \compute_q_rv$D_IN ;
+            default:
+                /* empty */;
+          endcase
+          (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2740.2-2742.41" *)
+          casez (\fetch_cMispredictErrorCount$EN )
+            /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2740.6-2740.36" */
+            1'h1:
+                _0016_ = \fetch_cMispredictErrorCount$D_IN ;
+            default:
+                /* empty */;
+          endcase
+          (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2743.2-2745.39" *)
+          casez (\fetch_cMispredictLagCount$EN )
+            /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2743.6-2743.34" */
+            1'h1:
+                _0017_ = \fetch_cMispredictLagCount$D_IN ;
+            default:
+                /* empty */;
+          endcase
+          (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2746.2-2748.38" *)
+          casez (\fetch_cMispredictOkCount$EN )
+            /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2746.6-2746.33" */
+            1'h1:
+                _0018_ = \fetch_cMispredictOkCount$D_IN ;
+            default:
+                /* empty */;
+          endcase
+          (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2749.2-2751.33" *)
+          casez (\fetch_cPredictCount$EN )
+            /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2749.6-2749.28" */
+            1'h1:
+                _0019_ = \fetch_cPredictCount$D_IN ;
+            default:
+                /* empty */;
+          endcase
+          (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2752.2-2753.37" *)
+          casez (\fetch_cycle$EN )
+            /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2752.6-2752.20" */
+            1'h1:
+                _0020_ = \fetch_cycle$D_IN ;
+            default:
+                /* empty */;
+          endcase
+          (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2754.2-2756.42" *)
+          casez (\fetch_delaySysmemResponse_rv$EN )
+            /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2754.6-2754.37" */
+            1'h1:
+                _0021_ = \fetch_delaySysmemResponse_rv$D_IN ;
+            default:
+                /* empty */;
+          endcase
+          (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2757.2-2758.47" *)
+          casez (\fetch_fetched_rv$EN )
+            /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2757.6-2757.25" */
+            1'h1:
+                _0022_ = \fetch_fetched_rv$D_IN ;
+            default:
+                /* empty */;
+          endcase
+          (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2759.2-2761.41" *)
+          casez (\fetch_mispredictComputeF_rv$EN )
+            /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2759.6-2759.36" */
+            1'h1:
+                _0023_ = \fetch_mispredictComputeF_rv$D_IN ;
+            default:
+                /* empty */;
+          endcase
+          (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2762.2-2764.40" *)
+          casez (\fetch_mispredictMemoryF_rv$EN )
+            /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2762.6-2762.35" */
+            1'h1:
+                _0024_ = \fetch_mispredictMemoryF_rv$D_IN ;
+            default:
+                /* empty */;
+          endcase
+          (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2765.2-2766.39" *)
+          casez (\fetch_out_rv$EN )
+            /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2765.6-2765.21" */
+            1'h1:
+                _0025_ = \fetch_out_rv$D_IN ;
+            default:
+                /* empty */;
+          endcase
+          (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2767.2-2769.34" *)
+          casez (\fetch_pcRequested_rv$EN )
+            /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2767.6-2767.29" */
+            1'h1:
+                _0026_ = \fetch_pcRequested_rv$D_IN ;
+            default:
+                /* empty */;
+          endcase
+          (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2770.2-2771.39" *)
+          casez (\fetch_wantPC$EN )
+            /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2770.6-2770.21" */
+            1'h1:
+                _0027_ = \fetch_wantPC$D_IN ;
+            default:
+                /* empty */;
+          endcase
+          (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2772.2-2774.34" *)
+          casez (\memory_computedPC_rv$EN )
+            /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2772.6-2772.29" */
+            1'h1:
+                _0028_ = \memory_computedPC_rv$D_IN ;
+            default:
+                /* empty */;
+          endcase
+          (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2775.2-2777.43" *)
+          casez (\memory_delaySysmemResponse_rv$EN )
+            /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2775.6-2775.38" */
+            1'h1:
+                _0029_ = \memory_delaySysmemResponse_rv$D_IN ;
+            default:
+                /* empty */;
+          endcase
+          (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2778.2-2780.34" *)
+          casez (\memory_pendingPCLoad$EN )
+            /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2778.6-2778.29" */
+            1'h1:
+                _0030_ = \memory_pendingPCLoad$D_IN ;
+            default:
+                /* empty */;
+          endcase
+          (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2781.2-2782.37" *)
+          casez (\memory_q_rv$EN )
+            /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2781.6-2781.20" */
+            1'h1:
+                _0031_ = \memory_q_rv$D_IN ;
+            default:
+                /* empty */;
+          endcase
+          (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2783.2-2785.41" *)
+          casez (\memory_sysmemMaster_fReq_rv$EN )
+            /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2783.6-2783.36" */
+            1'h1:
+                _0032_ = \memory_sysmemMaster_fReq_rv$D_IN ;
+            default:
+                /* empty */;
+          endcase
+          (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2786.2-2788.41" *)
+          casez (\memory_sysmemMaster_fRes_rv$EN )
+            /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2786.6-2786.36" */
+            1'h1:
+                _0033_ = \memory_sysmemMaster_fRes_rv$D_IN ;
+            default:
+                /* empty */;
+          endcase
+          (* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2789.2-2790.51" *)
+          casez (\memory_waitRead_rv$EN )
+            /* src = "bazel-out/k8-fastbuild/bin/lanai/Lanai_CPU/mkLanaiCPU.v:2789.6-2789.27" */
+            1'h1:
+                _0034_ = \memory_waitRead_rv$D_IN ;
+            default:
+                /* empty */;
+          endcase
+        end
+    endcase
+  end
+  always @(posedge CLK) begin
+      compute_computedPC_rv <= _0013_;
+      compute_memoryRegisterLoad <= _0014_;
+      compute_q_rv <= _0015_;
+      fetch_cMispredictErrorCount <= _0016_;
+      fetch_cMispredictLagCount <= _0017_;
+      fetch_cMispredictOkCount <= _0018_;
+      fetch_cPredictCount <= _0019_;
+      fetch_cycle <= _0020_;
+      fetch_delaySysmemResponse_rv <= _0021_;
+      fetch_fetched_rv <= _0022_;
+      fetch_mispredictComputeF_rv <= _0023_;
+      fetch_mispredictMemoryF_rv <= _0024_;
+      fetch_out_rv <= _0025_;
+      fetch_pcRequested_rv <= _0026_;
+      fetch_wantPC <= _0027_;
+      memory_computedPC_rv <= _0028_;
+      memory_delaySysmemResponse_rv <= _0029_;
+      memory_pendingPCLoad <= _0030_;
+      memory_q_rv <= _0031_;
+      memory_sysmemMaster_fReq_rv <= _0032_;
+      memory_sysmemMaster_fRes_rv <= _0033_;
+      memory_waitRead_rv <= _0034_;
+  end
+  assign dmem_client_request_get = { memory_q_rv[99:68], _0326_, memory_q_rv[33:0], _0327_, memory_q_rv[67:36] };
+  assign RDY_dmem_client_request_get = _0925_;
+  assign RDY_dmem_client_response_put = _0928_;
+  assign imem_client_request_get = _1876_;
+  assign RDY_imem_client_request_get = _0930_;
+  assign RDY_imem_client_response_put = _0932_;
+  assign sysmem_client_cyc_o = _0933_;
+  assign sysmem_client_stb_o = _0934_;
+  assign sysmem_client_adr_o = _1877_;
+  assign sysmem_client_dat_o = _1878_;
+  assign sysmem_client_sel_o = _1880_;
+  assign sysmem_client_we_o = _0936_;
+  assign readPC = 32'd2863311530;
+  assign RDY_readPC = 1'h1;
+  assign WILL_FIRE_RL_memory_sysmemResponse = _0940_;
+  assign WILL_FIRE_RL_memory_sysmemRequest = _0944_;
+  assign WILL_FIRE_RL_mkConnectionGetPut_1 = _0946_;
+  assign WILL_FIRE_RL_mkConnectionGetPut = _0948_;
+  assign \MUX_compute_regFromMemory$wset_1__SEL_1  = _0950_;
+  assign \MUX_compute_regFromMemory$wset_1__SEL_2  = _0952_;
+  assign \MUX_fetch_mispredictMemoryF_rv$port0__write_1__SEL_1  = _0954_;
+  assign \MUX_compute_regFromMemory$wset_1__VAL_1  = { memory_waitRead_rv[68:64], data__h6648 };
+  assign \MUX_compute_regFromMemory$wset_1__VAL_2  = { memory_waitRead_rv[68:64], dmem_client_response_put };
+  assign \MUX_fetch_mispredictMemoryF_rv$port0__write_1__VAL_1  = { 1'h1, data__h6648, x__h6948 };
+  assign \MUX_fetch_mispredictMemoryF_rv$port0__write_1__VAL_2  = { 1'h1, dmem_client_response_put, x__h6948 };
+  assign \compute_busyProbe$PROBE  = WILL_FIRE_RL_mkConnectionGetPut_1;
+  assign \compute_busyProbe$PROBE_VALID  = 1'h1;
+  assign \compute_busyPutProbe$PROBE  = WILL_FIRE_RL_mkConnectionGetPut;
+  assign \compute_busyPutProbe$PROBE_VALID  = 1'h1;
+  assign \compute_fullQ$PROBE  = \compute_q_rv$port1__read [83];
+  assign \compute_fullQ$PROBE_VALID  = 1'h1;
+  assign \compute_instrProbe$PROBE  = _1881_;
+  assign \compute_instrProbe$PROBE_VALID  = WILL_FIRE_RL_mkConnectionGetPut_1;
+  assign \compute_pcProbe$PROBE  = compute_q_rv[50:19];
+  assign \compute_pcProbe$PROBE_VALID  = WILL_FIRE_RL_mkConnectionGetPut_1;
+  assign \fetch_fetchProbe$PROBE  = imem_client_request_get;
+  assign \fetch_fetchProbe$PROBE_VALID  = \fetch_fetchPC$whas ;
+  assign \fetch_getProbe$PROBE  = imem_client_request_get;
+  assign \fetch_getProbe$PROBE_VALID  = EN_imem_client_request_get;
+  assign \fetch_mispredictErrorProbe$PROBE  = fetch_cMispredictErrorCount;
+  assign \fetch_mispredictErrorProbe$PROBE_VALID  = 1'h1;
+  assign \fetch_pcProbe$PROBE  = \fetch_fetched_rv$port1__read [63:32];
+  assign \fetch_pcProbe$PROBE_VALID  = _0955_;
+  assign \fetch_putProbe$PROBE  = fetch_pcRequested_rv[31:0];
+  assign \fetch_putProbe$PROBE_VALID  = EN_imem_client_response_put;
+  assign \fetch_wantProbe$PROBE  = fetch_wantPC;
+  assign \fetch_wantProbe$PROBE_VALID  = 1'h1;
+  assign \memory_busyPutProbe$PROBE  = WILL_FIRE_RL_mkConnectionGetPut_1;
+  assign \memory_busyPutProbe$PROBE_VALID  = 1'h1;
+  assign \memory_busyReqProbe$PROBE  = \memory_busyReq$whas ;
+  assign \memory_busyReqProbe$PROBE_VALID  = 1'h1;
+  assign \memory_busyRespProbe$PROBE  = \memory_busyResp$whas ;
+  assign \memory_busyRespProbe$PROBE_VALID  = 1'h1;
+  assign \memory_eaProbe$PROBE  = memory_q_rv[99:68];
+  assign \memory_eaProbe$PROBE_VALID  = \memory_busyReq$whas ;
+  assign \memory_fullQ$PROBE  = \memory_q_rv$port1__read [100];
+  assign \memory_fullQ$PROBE_VALID  = 1'h1;
+  assign \memory_fullWaitRead$PROBE  = \memory_waitRead_rv$port1__read [70];
+  assign \memory_fullWaitRead$PROBE_VALID  = 1'h1;
+  assign \memory_responseRegProbe$PROBE  = memory_waitRead_rv[68:64];
+  assign \memory_responseRegProbe$PROBE_VALID  = _0957_;
+  assign \fetch_fetchPC$whas  = _1597_;
+  assign \compute_regFromMemory$wget  = _1883_;
+  assign \compute_regFromMemory$whas  = _1598_;
+  assign \memory_startPCLoad$whas  = _0962_;
+  assign \memory_stopPCLoad$whas  = _0965_;
+  assign \memory_sysmemMaster_outgoing$wget  = { 1'h1, \memory_sysmemMaster_fReq_rv$port1__read [68:0] };
+  assign \memory_sysmemMaster_incoming$wget  = _1884_;
+  assign \memory_sysmemMaster_incoming$whas  = _1600_;
+  assign \memory_busyReq$whas  = _1601_;
+  assign \memory_busyResp$whas  = _0968_;
+  assign \fetch_mispredictComputeF_rv$EN_port0__write  = _0969_;
+  assign \fetch_mispredictComputeF_rv$port0__write_1  = { 1'h1, x__h16019, x__h16257 };
+  assign \fetch_mispredictComputeF_rv$port1__read  = _1885_;
+  assign \fetch_mispredictComputeF_rv$EN_port1__write  = _0970_;
+  assign \fetch_mispredictComputeF_rv$port2__read  = _1886_;
+  assign \fetch_mispredictMemoryF_rv$EN_port0__write  = _1602_;
+  assign \fetch_mispredictMemoryF_rv$port0__write_1  = _1887_;
+  assign \fetch_mispredictMemoryF_rv$port1__read  = _1888_;
+  assign \fetch_mispredictMemoryF_rv$EN_port1__write  = _0975_;
+  assign \fetch_mispredictMemoryF_rv$port2__read  = _1889_;
+  assign \fetch_pcRequested_rv$port1__read  = _1890_;
+  assign \fetch_pcRequested_rv$port1__write_1  = { 1'h1, x_wget__h807 };
+  assign \fetch_pcRequested_rv$port2__read  = _1891_;
+  assign \fetch_fetched_rv$port0__write_1  = { 1'h1, fetch_pcRequested_rv[31:0], imem_client_response_put };
+  assign \fetch_fetched_rv$port1__read  = _1892_;
+  assign \fetch_fetched_rv$port2__read  = _1893_;
+  assign \compute_q_rv$EN_port0__write  = _0976_;
+  assign \compute_q_rv$port1__read  = _1894_;
+  assign \compute_q_rv$port1__write_1  = { 1'h1, IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d308, IF_NOT_fetch_mispredictMemoryF_rv_port1__read__ETC___d309, IF_NOT_fetch_mispredictMemoryF_rv_port1__read__ETC___d310, IF_NOT_fetch_mispredictMemoryF_rv_port1__read__ETC___d315, IF_NOT_fetch_mispredictMemoryF_rv_port1__read__ETC___d316, NOT_fetch_mispredictMemoryF_rv_port1__read__35_ETC___d319, IF_NOT_fetch_mispredictMemoryF_rv_port1__read__ETC___d349 };
+  assign \compute_q_rv$port2__read  = _1895_;
+  assign \memory_q_rv$EN_port0__write  = _1604_;
+  assign \memory_q_rv$port1__read  = _1896_;
+  assign \memory_q_rv$port1__write_1  = { 1'h1, x__h16750, compute_q_rv[50:19], _1897_, IF_IF_compute_q_rv_port0__read__54_BITS_82_TO__ETC___d1251, _1906_ };
+  assign \memory_q_rv$port2__read  = _1911_;
+  assign \memory_waitRead_rv$EN_port0__write  = _1605_;
+  assign \memory_waitRead_rv$port1__read  = _1912_;
+  assign \memory_waitRead_rv$EN_port1__write  = _0985_;
+  assign \memory_waitRead_rv$port1__write_1  = { 1'h1, _0348_, memory_q_rv[6:2], memory_q_rv[67:36], memory_q_rv[99:68] };
+  assign \memory_waitRead_rv$port2__read  = _1913_;
+  assign \memory_delaySysmemResponse_rv$port1__read  = _1914_;
+  assign \memory_delaySysmemResponse_rv$EN_port1__write  = _0986_;
+  assign \memory_delaySysmemResponse_rv$port1__write_1  = { 1'h1, \memory_sysmemMaster_fRes_rv$port1__read [32:0] };
+  assign \memory_delaySysmemResponse_rv$port2__read  = _1915_;
+  assign \memory_sysmemMaster_fReq_rv$port0__write_1  = { 1'h1, memory_q_rv[99:68], _0349_, memory_q_rv[33:2], 4'hf };
+  assign \memory_sysmemMaster_fReq_rv$port1__read  = _1916_;
+  assign \memory_sysmemMaster_fReq_rv$EN_port1__write  = _0989_;
+  assign \memory_sysmemMaster_fReq_rv$port2__read  = _1917_;
+  assign \memory_sysmemMaster_fRes_rv$EN_port0__write  = _0992_;
+  assign \memory_sysmemMaster_fRes_rv$port0__write_1  = { 1'h1, _1383_, \memory_sysmemMaster_incoming$wget [31:0] };
+  assign \memory_sysmemMaster_fRes_rv$port1__read  = _1918_;
+  assign \memory_sysmemMaster_fRes_rv$EN_port1__write  = _0351_;
+  assign \memory_sysmemMaster_fRes_rv$port2__read  = _1795_;
+  assign \compute_computedPC_rv$D_IN  = compute_computedPC_rv;
+  assign \compute_computedPC_rv$EN  = 1'h1;
+  assign \compute_memoryRegisterLoad$D_IN  = { NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d580, IF_compute_q_rv_port0__read__54_BITS_82_TO_80__ETC___d582 };
+  assign \compute_memoryRegisterLoad$EN  = WILL_FIRE_RL_mkConnectionGetPut_1;
+  assign \compute_q_rv$D_IN  = \compute_q_rv$port2__read ;
+  assign \compute_q_rv$EN  = 1'h1;
+  assign \fetch_cMispredictErrorCount$D_IN  = _0048_;
+  assign \fetch_cMispredictErrorCount$EN  = _0353_;
+  assign \fetch_cMispredictLagCount$D_IN  = _0049_;
+  assign \fetch_cMispredictLagCount$EN  = _0357_;
+  assign \fetch_cMispredictOkCount$D_IN  = _0050_;
+  assign \fetch_cMispredictOkCount$EN  = _0360_;
+  assign \fetch_cPredictCount$D_IN  = _0051_;
+  assign \fetch_cPredictCount$EN  = _0361_;
+  assign \fetch_cycle$D_IN  = _0052_;
+  assign \fetch_cycle$EN  = 1'h1;
+  assign \fetch_delaySysmemResponse_rv$D_IN  = fetch_delaySysmemResponse_rv;
+  assign \fetch_delaySysmemResponse_rv$EN  = 1'h1;
+  assign \fetch_fetched_rv$D_IN  = \fetch_fetched_rv$port2__read ;
+  assign \fetch_fetched_rv$EN  = 1'h1;
+  assign \fetch_mispredictComputeF_rv$D_IN  = \fetch_mispredictComputeF_rv$port2__read ;
+  assign \fetch_mispredictComputeF_rv$EN  = 1'h1;
+  assign \fetch_mispredictMemoryF_rv$D_IN  = \fetch_mispredictMemoryF_rv$port2__read ;
+  assign \fetch_mispredictMemoryF_rv$EN  = 1'h1;
+  assign \fetch_out_rv$D_IN  = fetch_out_rv;
+  assign \fetch_out_rv$EN  = 1'h1;
+  assign \fetch_pcRequested_rv$D_IN  = \fetch_pcRequested_rv$port2__read ;
+  assign \fetch_pcRequested_rv$EN  = 1'h1;
+  assign \fetch_wantPC$D_IN  = nextPC__h7940;
+  assign \fetch_wantPC$EN  = WILL_FIRE_RL_mkConnectionGetPut;
+  assign \memory_computedPC_rv$D_IN  = memory_computedPC_rv;
+  assign \memory_computedPC_rv$EN  = 1'h1;
+  assign \memory_delaySysmemResponse_rv$D_IN  = \memory_delaySysmemResponse_rv$port2__read ;
+  assign \memory_delaySysmemResponse_rv$EN  = 1'h1;
+  assign \memory_pendingPCLoad$D_IN  = \memory_startPCLoad$whas ;
+  assign \memory_pendingPCLoad$EN  = _1388_;
+  assign \memory_q_rv$D_IN  = \memory_q_rv$port2__read ;
+  assign \memory_q_rv$EN  = 1'h1;
+  assign \memory_sysmemMaster_fReq_rv$D_IN  = \memory_sysmemMaster_fReq_rv$port2__read ;
+  assign \memory_sysmemMaster_fReq_rv$EN  = 1'h1;
+  assign \memory_sysmemMaster_fRes_rv$D_IN  = \memory_sysmemMaster_fRes_rv$port2__read ;
+  assign \memory_sysmemMaster_fRes_rv$EN  = 1'h1;
+  assign \memory_waitRead_rv$D_IN  = \memory_waitRead_rv$port2__read ;
+  assign \memory_waitRead_rv$EN  = 1'h1;
+  assign \compute_alu1$run_op  = { rs1v__h13670, x__h15266, compute_q_rv[2:0], _1796_, _1797_, _0371_ };
+  assign \compute_alu1$EN_run  = _0373_;
+  assign \rf$computeSource1_read_ix  = compute_q_rv[18:14];
+  assign \rf$computeSource2_read_ix  = IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d385;
+  assign \rf$computeWrite_write_rd  = { compute_q_rv_port0__read__54_BIT_3_89_OR_compu_ETC___d1180, _1799_ };
+  assign \rf$computeWrite_write_sw  = { _0374_, 28'h0000000, \compute_alu1$run [3:0] };
+  assign \rf$fetchRead_read_ix  = 5'h00;
+  assign \rf$memoryWrite_write_rd  = memory_waitRead_rv[68:64];
+  assign \rf$memoryWrite_write_value  = _1800_;
+  assign \rf$EN_computeWrite_write  = _0375_;
+  assign \rf$EN_memoryWrite_write  = _1393_;
+  assign IF_IF_compute_q_rv_port0__read__54_BITS_82_TO__ETC___d1251 = _1802_;
+  assign IF_NOT_compute_q_rv_port0__read__54_BITS_82_TO_ETC___d1221 = _1804_;
+  assign IF_NOT_fetch_mispredictMemoryF_rv_port1__read__ETC___d222 = _1807_;
+  assign IF_NOT_fetch_mispredictMemoryF_rv_port1__read__ETC___d225 = _1809_;
+  assign IF_NOT_fetch_mispredictMemoryF_rv_port1__read__ETC___d228 = _1811_;
+  assign IF_NOT_fetch_mispredictMemoryF_rv_port1__read__ETC___d309 = _1813_;
+  assign IF_NOT_fetch_mispredictMemoryF_rv_port1__read__ETC___d310 = _1814_;
+  assign IF_NOT_fetch_mispredictMemoryF_rv_port1__read__ETC___d315 = _1815_;
+  assign IF_NOT_fetch_mispredictMemoryF_rv_port1__read__ETC___d316 = _1817_;
+  assign IF_NOT_fetch_mispredictMemoryF_rv_port1__read__ETC___d349 = _1818_;
+  assign IF_compute_q_rv_port0__read__54_BITS_61_TO_59__ETC___d525 = { CASE_compute_q_rv_BITS_61_TO_59_0b0_0b0_0b1_0b_ETC__q1, compute_q_rv[53:51] };
+  assign IF_compute_q_rv_port0__read__54_BITS_82_TO_80__ETC___d1223 = _1821_;
+  assign IF_compute_q_rv_port0__read__54_BITS_82_TO_80__ETC___d582 = _1823_;
+  assign IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d1198 = _1824_;
+  assign IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d1212 = _1826_;
+  assign IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d385 = _1829_;
+  assign IF_compute_q_rv_port0__read__54_BIT_82_62_AND__ETC___d386 = _0093_;
+  assign IF_compute_q_rv_port0__read__54_BIT_82_62_THEN_ETC___d1136 = _1830_;
+  assign IF_fetch_fetched_rv_port1__read__27_BITS_10_TO_ETC___d281 = { CASE_fetch_fetched_rvport1__read_BITS_10_TO_8_ETC__q4, \fetch_fetched_rv$port1__read [2:0] };
+  assign IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d144 = _0095_;
+  assign IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d148 = _0096_;
+  assign IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d154 = _0097_;
+  assign IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d156 = _0098_;
+  assign IF_fetch_mispredictMemoryF_rv_port1__read__35__ETC___d308 = _1831_;
+  assign IF_memory_q_rv_port0__read__5_BIT_100_6_AND_me_ETC___d61 = _1833_;
+  assign NOT_IF_fetch_mispredictMemoryF_rv_port1__read__ETC___d174 = _0437_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d1004 = _0440_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d1009 = _0444_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d1074 = _0478_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d1077 = _0480_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d1138 = _0483_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d1143 = _0487_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d1147 = _0491_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d1151 = _0495_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d1155 = _0499_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d1159 = _0503_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d1163 = _0507_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d1167 = _0511_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d1171 = _0515_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d1183 = _0518_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d580 = _0521_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d605 = _0532_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d611 = _0541_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d616 = _0550_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d621 = _0559_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d626 = _0568_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d631 = _0577_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d636 = _0586_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d641 = _0595_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d646 = _0604_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d708 = _0607_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d712 = _0612_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d716 = _0615_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d721 = _0619_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d726 = _0623_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d731 = _0627_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d736 = _0631_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d741 = _0635_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d746 = _0639_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d751 = _0643_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d756 = _0645_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d761 = _0651_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d766 = _0655_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d771 = _0659_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d776 = _0663_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d781 = _0667_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d813 = _0685_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d818 = _0687_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d823 = _0689_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d828 = _0691_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d833 = _0693_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d838 = _0695_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d843 = _0697_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d848 = _0699_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d853 = _0701_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d854 = _0704_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d859 = _0708_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d864 = _0712_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d869 = _0716_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d874 = _0720_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d879 = _0724_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d884 = _0728_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d889 = _0732_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d894 = _0736_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d899 = _0740_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d904 = _0744_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d909 = _0748_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d914 = _0752_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d919 = _0756_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d924 = _0760_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d929 = _0764_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d934 = _0768_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d939 = _0772_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d944 = _0776_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d949 = _0780_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d954 = _0784_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d959 = _0788_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d964 = _0792_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d969 = _0796_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d974 = _0800_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d979 = _0804_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d984 = _0808_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d989 = _0812_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d994 = _0816_;
+  assign NOT_compute_memoryRegisterLoad_57_BIT_5_58_53__ETC___d999 = _0820_;
+  assign NOT_fetch_mispredictMemoryF_rv_port1__read__35_ETC___d238 = _0824_;
+  assign NOT_fetch_mispredictMemoryF_rv_port1__read__35_ETC___d249 = _0828_;
+  assign NOT_fetch_mispredictMemoryF_rv_port1__read__35_ETC___d319 = _0834_;
+  assign SEXT_compute_q_rv_port0__read__54_BITS_66_TO_5_ETC___d1107 = { compute_q_rv_BITS_66_TO_51__q3[15], compute_q_rv_BITS_66_TO_51__q3[15], compute_q_rv_BITS_66_TO_51__q3[15], compute_q_rv_BITS_66_TO_51__q3[15], compute_q_rv_BITS_66_TO_51__q3[15], compute_q_rv_BITS_66_TO_51__q3[15], compute_q_rv_BITS_66_TO_51__q3[15], compute_q_rv_BITS_66_TO_51__q3[15], compute_q_rv_BITS_66_TO_51__q3[15], compute_q_rv_BITS_66_TO_51__q3[15], compute_q_rv_BITS_66_TO_51__q3[15], compute_q_rv_BITS_66_TO_51__q3[15], compute_q_rv_BITS_66_TO_51__q3[15], compute_q_rv_BITS_66_TO_51__q3[15], compute_q_rv_BITS_66_TO_51__q3[15], compute_q_rv_BITS_66_TO_51__q3[15], compute_q_rv_BITS_66_TO_51__q3 };
+  assign \_dor1memory_busyResp$EN_wset  = _1523_;
+  assign \_dor1memory_responseRegProbe$EN__write  = _1524_;
+  assign \_dor1memory_startPCLoad$EN_wset  = _1525_;
+  assign \_dor1memory_stopPCLoad$EN_wset  = _1526_;
+  assign \_dor1memory_waitRead_rv$EN_port1__write  = _1527_;
+  assign _theResult_____4_fst_ea__h16285 = _1840_;
+  assign _theResult_____4_fst_ea__h16376 = _1841_;
+  assign added__h15834 = _0053_;
+  assign added__h15864 = _0054_;
+  assign aluOp_b__h15174 = _1793_;
+  assign compute_memoryRegisterLoad_57_BIT_5_58_AND_com_ETC___d1215 = _1529_;
+  assign compute_memoryRegisterLoad_57_BIT_5_58_AND_com_ETC___d1259 = _1535_;
+  assign compute_memoryRegisterLoad_57_BIT_5_58_AND_com_ETC___d497 = _1540_;
+  assign compute_q_rv_BITS_60_TO_51__q5 = compute_q_rv[60:51];
+  assign compute_q_rv_BITS_66_TO_51__q3 = compute_q_rv[66:51];
+  assign compute_q_rv_port0__read__54_BITS_18_TO_14_59__ETC___d361 = _0287_;
+  assign compute_q_rv_port0__read__54_BIT_3_89_OR_compu_ETC___d1180 = _1546_;
+  assign compute_q_rv_port0__read__54_BIT_82_62_AND_NOT_ETC___d817 = _0850_;
+  assign compute_q_rv_port0__read__54_BIT_82_62_AND_NOT_ETC___d822 = _0853_;
+  assign compute_q_rv_port0__read__54_BIT_82_62_AND_NOT_ETC___d827 = _0856_;
+  assign compute_q_rv_port0__read__54_BIT_82_62_AND_NOT_ETC___d832 = _0859_;
+  assign compute_q_rv_port0__read__54_BIT_82_62_AND_NOT_ETC___d837 = _0862_;
+  assign compute_q_rv_port0__read__54_BIT_82_62_AND_NOT_ETC___d842 = _0865_;
+  assign compute_q_rv_port0__read__54_BIT_82_62_AND_NOT_ETC___d847 = _0868_;
+  assign compute_q_rv_port0__read__54_BIT_82_62_AND_NOT_ETC___d852 = _0871_;
+  assign coshift__h15137 = _1851_;
+  assign data__h6648 = _1852_;
+  assign fetch_mispredictMemoryF_rv_port1__read__35_BIT_ETC___d165 = _0874_;
+  assign fetch_mispredictMemoryF_rv_port1__read__35_BIT_ETC___d218 = _1559_;
+  assign imm__h14821 = { 11'h000, compute_q_rv[73:69], compute_q_rv[66:51] };
+  assign memory_q_rv_port0__read__5_BITS_99_TO_68_6_ULT_ETC___d67 = _1608_;
+  assign newPC__h14613 = _1853_;
+  assign nextPC__h7366 = _0055_;
+  assign nextPC__h7940 = _1854_;
+  assign rs1v__h13670 = _1855_;
+  assign rs2v__h13671 = _1857_;
+  assign value__h7412 = _1859_;
+  assign value__h7456 = _1860_;
+  assign x__h15266 = _1861_;
+  assign x__h16019 = _1863_;
+  assign x__h16098 = _0056_;
+  assign x__h16104 = { compute_q_rv[73:53], 2'h0 };
+  assign x__h16140 = { 9'h000, x__h16104 };
+  assign x__h16257 = _0057_;
+  assign x__h16750 = _1865_;
+  assign x__h6948 = _0058_;
+  assign x__h8530 = _1866_;
+  assign x__read__h818 = imem_client_request_get;
+  assign x_wget__h807 = imem_client_request_get;
+  assign y__h7716 = _1794_;
+  assign y_avValue_snd__h7932 = _1868_;
+endmodule
+
+(* hdlname = "\\mkRFReg" *)
+(* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkRFReg.v:34.1-98.10" *)
+module mkRFReg(CLK, RST_N, _write_1, EN__write, RDY__write, _read, RDY__read);
+  reg \$auto$verilog_backend.cc:2083:dump_module$2162  = 0;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkRFReg.v:77.3-87.6" *)
+  reg [31:0] _0_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkRFReg.v:79.9-79.22" *)
+  wire _1_;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkRFReg.v:43.10-43.13" *)
+  input CLK;
+  wire CLK;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkRFReg.v:48.10-48.19" *)
+  input EN__write;
+  wire EN__write;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkRFReg.v:53.10-53.19" *)
+  output RDY__read;
+  wire RDY__read;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkRFReg.v:49.10-49.20" *)
+  output RDY__write;
+  wire RDY__write;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkRFReg.v:44.10-44.15" *)
+  input RST_N;
+  wire RST_N;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkRFReg.v:52.19-52.24" *)
+  output [31:0] _read;
+  wire [31:0] _read;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkRFReg.v:47.19-47.27" *)
+  input [31:0] _write_1;
+  wire [31:0] _write_1;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkRFReg.v:60.16-60.19" *)
+  reg [31:0] res;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkRFReg.v:61.17-61.25" *)
+  wire [31:0] \res$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkRFReg.v:62.8-62.14" *)
+  wire \res$EN ;
+  assign _1_ = RST_N == (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkRFReg.v:79.9-79.22" *) 1'h0;
+  always @* begin
+    if (\$auto$verilog_backend.cc:2083:dump_module$2162 ) begin end
+    _0_ = res;
+    (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkRFReg.v:79.5-86.10" *)
+    casez (_1_)
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkRFReg.v:79.9-79.22" */
+      1'h1:
+          _0_ = 32'd0;
+      /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkRFReg.v:83.5-83.9" */
+      default:
+          (* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkRFReg.v:85.9-85.38" *)
+          casez (\res$EN )
+            /* src = "bazel-out/k8-fastbuild/bin/lanai/CPU_RegisterFile/mkRFReg.v:85.13-85.19" */
+            1'h1:
+                _0_ = \res$D_IN ;
+            default:
+                /* empty */;
+          endcase
+    endcase
+  end
+  always @(posedge CLK) begin
+      res <= _0_;
+  end
+  assign RDY__write = 1'h1;
+  assign _read = res;
+  assign RDY__read = 1'h1;
+  assign \res$D_IN  = _write_1;
+  assign \res$EN  = EN__write;
+endmodule
+
diff --git a/verilog/rtl/mkQF100Fabric.v b/verilog/rtl/mkQF100Fabric.v
new file mode 100644
index 0000000..0d6b090
--- /dev/null
+++ b/verilog/rtl/mkQF100Fabric.v
@@ -0,0 +1,750 @@
+/* Generated by Yosys 0.15 (git sha1 yosys-0.15, gcc 10.3.0 -fPIC -Os) */
+
+(* hdlname = "\\mkQF100Fabric" *)
+(* top =  1  *)
+(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:105.1-646.10" *)
+module mkQF100Fabric(
+`ifdef USE_POWER_PINS
+    VPWR,
+    VGND,
+`endif
+    CLK, RST_N, cpu_cyc_i, cpu_stb_i, cpu_adr_i, cpu_dat_i, cpu_sel_i, cpu_we_i, cpu_ack_o, cpu_err_o, cpu_rty_o, cpu_dat_o, spi_cyc_o, spi_stb_o, spi_adr_o, spi_dat_o, spi_sel_o, spi_we_o, spi_ack_i, spi_err_i, spi_rty_i
+, spi_dat_i);
+`ifdef USE_POWER_PINS
+    inout VPWR;
+    inout VGND;
+`endif
+  reg \$auto$verilog_backend.cc:2083:dump_module$116  = 0;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:559.3-601.6" *)
+  reg [69:0] _000_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:559.3-601.6" *)
+  reg [33:0] _001_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:559.3-601.6" *)
+  reg _002_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:559.3-601.6" *)
+  reg [69:0] _003_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:559.3-601.6" *)
+  reg [33:0] _004_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:559.3-601.6" *)
+  reg _005_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:559.3-601.6" *)
+  reg [69:0] _006_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:444.7-444.67" *)
+  wire _007_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:495.7-495.67" *)
+  wire _008_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:504.7-504.67" *)
+  wire _009_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:561.9-561.22" *)
+  wire _010_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:289.7-290.58" *)
+  wire _011_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:309.7-310.54" *)
+  wire _012_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:314.7-315.54" *)
+  wire _013_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:342.7-343.54" *)
+  wire _014_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:342.7-344.54" *)
+  wire _015_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:348.7-349.67" *)
+  wire _016_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:353.7-354.48" *)
+  wire _017_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:353.7-355.76" *)
+  wire _018_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:359.7-360.54" *)
+  wire _019_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:359.7-361.49" *)
+  wire _020_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:365.7-366.58" *)
+  wire _021_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:393.7-393.29" *)
+  wire _022_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:404.8-404.31" *)
+  wire _023_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:404.8-404.45" *)
+  wire _024_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:416.7-417.40" *)
+  wire _025_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:423.7-424.60" *)
+  wire _026_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:423.7-425.53" *)
+  wire _027_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:441.7-442.49" *)
+  wire _028_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:441.7-443.37" *)
+  wire _029_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:441.7-444.67" *)
+  wire _030_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:452.7-453.49" *)
+  wire _031_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:452.7-454.50" *)
+  wire _032_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:452.7-455.54" *)
+  wire _033_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:461.7-462.49" *)
+  wire _034_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:461.7-463.50" *)
+  wire _035_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:461.7-464.54" *)
+  wire _036_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:474.7-475.60" *)
+  wire _037_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:474.7-476.53" *)
+  wire _038_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:482.7-483.40" *)
+  wire _039_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:492.7-493.49" *)
+  wire _040_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:492.7-494.37" *)
+  wire _041_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:492.7-495.67" *)
+  wire _042_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:501.7-502.49" *)
+  wire _043_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:501.7-503.37" *)
+  wire _044_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:501.7-504.67" *)
+  wire _045_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:509.7-510.60" *)
+  wire _046_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:509.7-511.53" *)
+  wire _047_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:513.7-514.48" *)
+  wire _048_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:543.7-544.76" *)
+  wire _049_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:548.7-549.54" *)
+  wire _050_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:548.7-550.49" *)
+  wire _051_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:300.8-300.56" *)
+  wire _052_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:301.8-301.60" *)
+  wire _053_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:319.8-319.62" *)
+  wire _054_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:320.8-320.56" *)
+  wire _055_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:326.8-326.62" *)
+  wire _056_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:327.8-327.56" *)
+  wire _057_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:335.8-335.62" *)
+  wire _058_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:336.8-336.56" *)
+  wire _059_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:355.7-355.76" *)
+  wire _060_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:359.7-359.53" *)
+  wire _061_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:361.7-361.49" *)
+  wire _062_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:375.8-375.56" *)
+  wire _063_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:376.8-376.60" *)
+  wire _064_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:404.21-404.31" *)
+  wire _065_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:404.35-404.45" *)
+  wire _066_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:417.7-417.40" *)
+  wire _067_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:425.7-425.53" *)
+  wire _068_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:442.7-442.49" *)
+  wire _069_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:443.7-443.37" *)
+  wire _070_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:453.7-453.49" *)
+  wire _071_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:462.7-462.49" *)
+  wire _072_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:467.9-467.63" *)
+  wire _073_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:476.7-476.53" *)
+  wire _074_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:483.7-483.40" *)
+  wire _075_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:493.7-493.49" *)
+  wire _076_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:494.7-494.37" *)
+  wire _077_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:502.7-502.49" *)
+  wire _078_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:503.7-503.37" *)
+  wire _079_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:511.7-511.53" *)
+  wire _080_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:513.7-513.53" *)
+  wire _081_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:543.7-543.71" *)
+  wire _082_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:544.7-544.76" *)
+  wire _083_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:548.7-548.53" *)
+  wire _084_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:550.7-550.49" *)
+  wire _085_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:300.8-301.60" *)
+  wire _086_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:319.8-320.56" *)
+  wire _087_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:326.8-327.56" *)
+  wire _088_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:335.8-336.56" *)
+  wire _089_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:375.8-376.60" *)
+  wire _090_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:398.7-399.75" *)
+  wire _091_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:408.7-408.29" *)
+  wire _092_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:408.7-408.42" *)
+  wire _093_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:434.7-435.75" *)
+  wire _094_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:506.7-507.36" *)
+  wire _095_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:546.7-547.75" *)
+  wire _096_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:546.7-550.49" *)
+  wire _097_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:300.7-305.11" *)
+  wire [31:0] _098_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:303.10-305.10" *)
+  wire [31:0] _099_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:319.7-322.59" *)
+  wire [31:0] _100_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:326.7-331.11" *)
+  wire [31:0] _101_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:329.10-331.10" *)
+  wire [31:0] _102_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:335.7-338.57" *)
+  wire [3:0] _103_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:375.7-380.11" *)
+  wire [31:0] _104_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:378.10-380.10" *)
+  wire [31:0] _105_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:404.7-406.22" *)
+  wire [32:0] _106_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:412.7-414.50" *)
+  wire [69:0] _107_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:419.7-421.62" *)
+  wire [69:0] _108_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:430.7-432.50" *)
+  wire [33:0] _109_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:437.7-439.62" *)
+  wire [33:0] _110_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:448.7-450.46" *)
+  wire [69:0] _111_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:457.7-459.58" *)
+  wire [69:0] _112_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:470.7-472.46" *)
+  wire [33:0] _113_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:478.7-480.58" *)
+  wire [33:0] _114_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:488.7-490.37" *)
+  wire [69:0] _115_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:497.7-499.49" *)
+  wire [69:0] _116_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:139.10-139.13" *)
+  input CLK;
+  wire CLK;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:285.8-285.68" *)
+  wire \MUX_fabric_upstreamConnectors_0_inner_pending$write_1__SEL_3 ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:140.10-140.15" *)
+  input RST_N;
+  wire RST_N;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:281.8-281.71" *)
+  wire WILL_FIRE_RL_fabric_upstreamConnectors_0_inner_process_outgoing;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:282.8-282.76" *)
+  wire WILL_FIRE_RL_fabric_upstreamConnectors_0_inner_process_outgoing_fast;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:151.10-151.19" *)
+  output cpu_ack_o;
+  wire cpu_ack_o;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:145.19-145.28" *)
+  input [31:0] cpu_adr_i;
+  wire [31:0] cpu_adr_i;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:143.10-143.19" *)
+  input cpu_cyc_i;
+  wire cpu_cyc_i;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:146.19-146.28" *)
+  input [31:0] cpu_dat_i;
+  wire [31:0] cpu_dat_i;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:160.19-160.28" *)
+  output [31:0] cpu_dat_o;
+  wire [31:0] cpu_dat_o;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:154.10-154.19" *)
+  output cpu_err_o;
+  wire cpu_err_o;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:157.10-157.19" *)
+  output cpu_rty_o;
+  wire cpu_rty_o;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:147.18-147.27" *)
+  input [3:0] cpu_sel_i;
+  wire [3:0] cpu_sel_i;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:144.10-144.19" *)
+  input cpu_stb_i;
+  wire cpu_stb_i;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:148.10-148.18" *)
+  input cpu_we_i;
+  wire cpu_we_i;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:247.16-247.53" *)
+  reg [69:0] fabric_downstreamConnectors_0_fReq_rv;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:248.17-248.59" *)
+  wire [69:0] \fabric_downstreamConnectors_0_fReq_rv$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:249.8-249.48" *)
+  wire \fabric_downstreamConnectors_0_fReq_rv$EN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:229.8-229.61" *)
+  wire \fabric_downstreamConnectors_0_fReq_rv$EN_port0__write ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:230.8-230.61" *)
+  wire \fabric_downstreamConnectors_0_fReq_rv$EN_port1__write ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:210.17-210.69" *)
+  wire [69:0] \fabric_downstreamConnectors_0_fReq_rv$port0__write_1 ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:211.3-211.52" *)
+  wire [69:0] \fabric_downstreamConnectors_0_fReq_rv$port1__read ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:212.3-212.52" *)
+  wire [69:0] \fabric_downstreamConnectors_0_fReq_rv$port2__read ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:252.16-252.53" *)
+  reg [33:0] fabric_downstreamConnectors_0_fRes_rv;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:253.17-253.59" *)
+  wire [33:0] \fabric_downstreamConnectors_0_fRes_rv$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:254.8-254.48" *)
+  wire \fabric_downstreamConnectors_0_fRes_rv$EN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:231.8-231.61" *)
+  wire \fabric_downstreamConnectors_0_fRes_rv$EN_port0__write ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:232.8-232.61" *)
+  wire \fabric_downstreamConnectors_0_fRes_rv$EN_port1__write ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:221.17-221.69" *)
+  wire [33:0] \fabric_downstreamConnectors_0_fRes_rv$port0__write_1 ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:222.3-222.52" *)
+  wire [33:0] \fabric_downstreamConnectors_0_fRes_rv$port1__read ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:223.3-223.52" *)
+  wire [33:0] \fabric_downstreamConnectors_0_fRes_rv$port2__read ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:228.17-228.60" *)
+  wire [32:0] \fabric_downstreamConnectors_0_incoming$wget ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:233.8-233.51" *)
+  wire \fabric_downstreamConnectors_0_incoming$whas ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:213.3-213.46" *)
+  wire [69:0] \fabric_downstreamConnectors_0_outgoing$wget ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:257.7-257.36" *)
+  reg fabric_downstreamPending_0_rv;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:258.8-258.42" *)
+  wire \fabric_downstreamPending_0_rv$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:258.44-258.76" *)
+  wire \fabric_downstreamPending_0_rv$EN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:234.8-234.53" *)
+  wire \fabric_downstreamPending_0_rv$EN_port0__write ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:235.8-235.53" *)
+  wire \fabric_downstreamPending_0_rv$EN_port1__write ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:236.8-236.49" *)
+  wire \fabric_downstreamPending_0_rv$port1__read ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:237.8-237.49" *)
+  wire \fabric_downstreamPending_0_rv$port2__read ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:261.16-261.57" *)
+  reg [69:0] fabric_upstreamConnectors_0_inner_fReq_rv;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:262.17-262.63" *)
+  wire [69:0] \fabric_upstreamConnectors_0_inner_fReq_rv$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:263.8-263.52" *)
+  wire \fabric_upstreamConnectors_0_inner_fReq_rv$EN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:238.8-238.65" *)
+  wire \fabric_upstreamConnectors_0_inner_fReq_rv$EN_port1__write ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:214.3-214.59" *)
+  wire [69:0] \fabric_upstreamConnectors_0_inner_fReq_rv$port0__write_1 ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:215.3-215.56" *)
+  wire [69:0] \fabric_upstreamConnectors_0_inner_fReq_rv$port1__read ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:216.3-216.56" *)
+  wire [69:0] \fabric_upstreamConnectors_0_inner_fReq_rv$port2__read ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:266.16-266.57" *)
+  reg [33:0] fabric_upstreamConnectors_0_inner_fRes_rv;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:267.17-267.63" *)
+  wire [33:0] \fabric_upstreamConnectors_0_inner_fRes_rv$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:268.8-268.52" *)
+  wire \fabric_upstreamConnectors_0_inner_fRes_rv$EN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:239.8-239.65" *)
+  wire \fabric_upstreamConnectors_0_inner_fRes_rv$EN_port0__write ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:240.8-240.65" *)
+  wire \fabric_upstreamConnectors_0_inner_fRes_rv$EN_port1__write ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:224.3-224.59" *)
+  wire [33:0] \fabric_upstreamConnectors_0_inner_fRes_rv$port0__write_1 ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:225.3-225.56" *)
+  wire [33:0] \fabric_upstreamConnectors_0_inner_fRes_rv$port1__read ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:226.3-226.56" *)
+  wire [33:0] \fabric_upstreamConnectors_0_inner_fRes_rv$port2__read ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:220.17-220.64" *)
+  wire [68:0] \fabric_upstreamConnectors_0_inner_incoming$wget ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:241.8-241.55" *)
+  wire \fabric_upstreamConnectors_0_inner_incoming$whas ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:227.3-227.50" *)
+  wire [33:0] \fabric_upstreamConnectors_0_inner_outgoing$wget ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:242.8-242.55" *)
+  wire \fabric_upstreamConnectors_0_inner_outgoing$whas ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:271.7-271.48" *)
+  reg fabric_upstreamConnectors_0_inner_pending;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:272.8-272.54" *)
+  wire \fabric_upstreamConnectors_0_inner_pending$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:273.8-273.52" *)
+  wire \fabric_upstreamConnectors_0_inner_pending$EN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:196.8-196.56" *)
+  wire \fabric_upstreamConnectors_0_inner_probeAck$PROBE ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:197.8-197.62" *)
+  wire \fabric_upstreamConnectors_0_inner_probeAck$PROBE_VALID ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:192.17-192.65" *)
+  wire [31:0] \fabric_upstreamConnectors_0_inner_probeAdr$PROBE ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:198.8-198.62" *)
+  wire \fabric_upstreamConnectors_0_inner_probeAdr$PROBE_VALID ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:199.8-199.56" *)
+  wire \fabric_upstreamConnectors_0_inner_probeCyc$PROBE ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:200.8-200.62" *)
+  wire \fabric_upstreamConnectors_0_inner_probeCyc$PROBE_VALID ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:193.3-193.54" *)
+  wire [31:0] \fabric_upstreamConnectors_0_inner_probeDataIn$PROBE ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:201.8-201.65" *)
+  wire \fabric_upstreamConnectors_0_inner_probeDataIn$PROBE_VALID ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:194.3-194.55" *)
+  wire [31:0] \fabric_upstreamConnectors_0_inner_probeDataOut$PROBE ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:202.8-202.66" *)
+  wire \fabric_upstreamConnectors_0_inner_probeDataOut$PROBE_VALID ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:195.16-195.64" *)
+  wire [3:0] \fabric_upstreamConnectors_0_inner_probeSel$PROBE ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:203.8-203.62" *)
+  wire \fabric_upstreamConnectors_0_inner_probeSel$PROBE_VALID ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:204.8-204.56" *)
+  wire \fabric_upstreamConnectors_0_inner_probeStb$PROBE ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:205.8-205.62" *)
+  wire \fabric_upstreamConnectors_0_inner_probeStb$PROBE_VALID ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:206.8-206.55" *)
+  wire \fabric_upstreamConnectors_0_inner_probeWe$PROBE ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:207.8-207.61" *)
+  wire \fabric_upstreamConnectors_0_inner_probeWe$PROBE_VALID ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:276.16-276.44" *)
+  reg [69:0] fabric_upstreamRequests_0_rv;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:277.17-277.50" *)
+  wire [69:0] \fabric_upstreamRequests_0_rv$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:278.8-278.39" *)
+  wire \fabric_upstreamRequests_0_rv$EN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:243.8-243.52" *)
+  wire \fabric_upstreamRequests_0_rv$EN_port0__write ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:244.8-244.52" *)
+  wire \fabric_upstreamRequests_0_rv$EN_port1__write ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:217.3-217.46" *)
+  wire [69:0] \fabric_upstreamRequests_0_rv$port0__write_1 ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:218.3-218.43" *)
+  wire [69:0] \fabric_upstreamRequests_0_rv$port1__read ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:219.3-219.43" *)
+  wire [69:0] \fabric_upstreamRequests_0_rv$port2__read ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:181.10-181.19" *)
+  input spi_ack_i;
+  wire spi_ack_i;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:169.19-169.28" *)
+  output [31:0] spi_adr_o;
+  wire [31:0] spi_adr_o;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:163.10-163.19" *)
+  output spi_cyc_o;
+  wire spi_cyc_o;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:184.19-184.28" *)
+  input [31:0] spi_dat_i;
+  wire [31:0] spi_dat_i;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:172.19-172.28" *)
+  output [31:0] spi_dat_o;
+  wire [31:0] spi_dat_o;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:182.10-182.19" *)
+  input spi_err_i;
+  wire spi_err_i;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:183.10-183.19" *)
+  input spi_rty_i;
+  wire spi_rty_i;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:175.18-175.27" *)
+  output [3:0] spi_sel_o;
+  wire [3:0] spi_sel_o;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:166.10-166.19" *)
+  output spi_stb_o;
+  wire spi_stb_o;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:178.10-178.18" *)
+  output spi_we_o;
+  wire spi_we_o;
+  assign _007_ = \fabric_upstreamRequests_0_rv$port1__read [68:49] == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:444.7-444.67" *) 20'h40013;
+  assign _008_ = \fabric_upstreamRequests_0_rv$port1__read [68:49] == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:495.7-495.67" *) 20'h40013;
+  assign _009_ = \fabric_upstreamRequests_0_rv$port1__read [68:49] == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:504.7-504.67" *) 20'h40013;
+  assign _010_ = RST_N == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:561.9-561.22" *) 1'h0;
+  assign _011_ = \fabric_upstreamConnectors_0_inner_outgoing$whas  && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:289.7-290.58" *) \fabric_upstreamConnectors_0_inner_outgoing$wget [33];
+  assign _012_ = \fabric_downstreamConnectors_0_fReq_rv$port1__read [69] && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:309.7-310.54" *) \fabric_downstreamConnectors_0_outgoing$wget [69];
+  assign _013_ = \fabric_downstreamConnectors_0_fReq_rv$port1__read [69] && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:314.7-315.54" *) \fabric_downstreamConnectors_0_outgoing$wget [69];
+  assign _014_ = \fabric_downstreamConnectors_0_fReq_rv$port1__read [69] && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:342.7-343.54" *) \fabric_downstreamConnectors_0_outgoing$wget [69];
+  assign _015_ = _014_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:342.7-344.54" *) \fabric_downstreamConnectors_0_outgoing$wget [36];
+  assign _016_ = \fabric_upstreamConnectors_0_inner_fRes_rv$port1__read [33] && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:348.7-349.67" *) \MUX_fabric_upstreamConnectors_0_inner_pending$write_1__SEL_3 ;
+  assign _017_ = \fabric_upstreamConnectors_0_inner_fRes_rv$port1__read [33] && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:353.7-354.48" *) fabric_upstreamConnectors_0_inner_pending;
+  assign _018_ = _017_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:353.7-355.76" *) _060_;
+  assign _019_ = _061_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:359.7-360.54" *) \fabric_upstreamConnectors_0_inner_incoming$whas ;
+  assign _020_ = _019_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:359.7-361.49" *) _062_;
+  assign _021_ = \fabric_upstreamConnectors_0_inner_outgoing$whas  && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:365.7-366.58" *) \fabric_upstreamConnectors_0_inner_outgoing$wget [33];
+  assign _022_ = cpu_cyc_i && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:393.7-393.29" *) cpu_stb_i;
+  assign _023_ = spi_ack_i && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:404.8-404.31" *) _065_;
+  assign _024_ = _023_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:404.8-404.45" *) _066_;
+  assign _025_ = \fabric_upstreamConnectors_0_inner_fReq_rv$port1__read [69] && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:416.7-417.40" *) _067_;
+  assign _026_ = \fabric_downstreamPending_0_rv$port1__read  && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:423.7-424.60" *) \fabric_downstreamConnectors_0_fRes_rv$port1__read [33];
+  assign _027_ = _026_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:423.7-425.53" *) _068_;
+  assign _028_ = \fabric_upstreamRequests_0_rv$port1__read [69] && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:441.7-442.49" *) _069_;
+  assign _029_ = _028_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:441.7-443.37" *) _070_;
+  assign _030_ = _029_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:441.7-444.67" *) _007_;
+  assign _031_ = \fabric_downstreamConnectors_0_fReq_rv$port1__read [69] && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:452.7-453.49" *) _071_;
+  assign _032_ = _031_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:452.7-454.50" *) \fabric_downstreamConnectors_0_incoming$whas ;
+  assign _033_ = _032_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:452.7-455.54" *) \fabric_downstreamConnectors_0_incoming$wget [32];
+  assign _034_ = \fabric_downstreamConnectors_0_fReq_rv$port1__read [69] && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:461.7-462.49" *) _072_;
+  assign _035_ = _034_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:461.7-463.50" *) \fabric_downstreamConnectors_0_incoming$whas ;
+  assign _036_ = _035_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:461.7-464.54" *) \fabric_downstreamConnectors_0_incoming$wget [32];
+  assign _037_ = \fabric_downstreamPending_0_rv$port1__read  && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:474.7-475.60" *) \fabric_downstreamConnectors_0_fRes_rv$port1__read [33];
+  assign _038_ = _037_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:474.7-476.53" *) _074_;
+  assign _039_ = \fabric_upstreamConnectors_0_inner_fReq_rv$port1__read [69] && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:482.7-483.40" *) _075_;
+  assign _040_ = \fabric_upstreamRequests_0_rv$port1__read [69] && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:492.7-493.49" *) _076_;
+  assign _041_ = _040_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:492.7-494.37" *) _077_;
+  assign _042_ = _041_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:492.7-495.67" *) _008_;
+  assign _043_ = \fabric_upstreamRequests_0_rv$port1__read [69] && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:501.7-502.49" *) _078_;
+  assign _044_ = _043_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:501.7-503.37" *) _079_;
+  assign _045_ = _044_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:501.7-504.67" *) _009_;
+  assign _046_ = \fabric_downstreamPending_0_rv$port1__read  && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:509.7-510.60" *) \fabric_downstreamConnectors_0_fRes_rv$port1__read [33];
+  assign _047_ = _046_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:509.7-511.53" *) _080_;
+  assign _048_ = _081_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:513.7-514.48" *) \fabric_downstreamPending_0_rv$port1__read ;
+  assign _049_ = _082_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:543.7-544.76" *) _083_;
+  assign _050_ = _084_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:548.7-549.54" *) \fabric_upstreamConnectors_0_inner_incoming$whas ;
+  assign _051_ = _050_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:548.7-550.49" *) _085_;
+  assign _052_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:300.8-300.56" *) \fabric_upstreamConnectors_0_inner_outgoing$whas ;
+  assign _053_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:301.8-301.60" *) \fabric_upstreamConnectors_0_inner_outgoing$wget [33];
+  assign _054_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:319.8-319.62" *) \fabric_downstreamConnectors_0_fReq_rv$port1__read [69];
+  assign _055_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:320.8-320.56" *) \fabric_downstreamConnectors_0_outgoing$wget [69];
+  assign _056_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:326.8-326.62" *) \fabric_downstreamConnectors_0_fReq_rv$port1__read [69];
+  assign _057_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:327.8-327.56" *) \fabric_downstreamConnectors_0_outgoing$wget [69];
+  assign _058_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:335.8-335.62" *) \fabric_downstreamConnectors_0_fReq_rv$port1__read [69];
+  assign _059_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:336.8-336.56" *) \fabric_downstreamConnectors_0_outgoing$wget [69];
+  assign _060_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:355.7-355.76" *) WILL_FIRE_RL_fabric_upstreamConnectors_0_inner_process_outgoing_fast;
+  assign _061_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:359.7-359.53" *) fabric_upstreamConnectors_0_inner_fReq_rv[69];
+  assign _062_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:361.7-361.49" *) fabric_upstreamConnectors_0_inner_pending;
+  assign _063_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:375.8-375.56" *) \fabric_upstreamConnectors_0_inner_outgoing$whas ;
+  assign _064_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:376.8-376.60" *) \fabric_upstreamConnectors_0_inner_outgoing$wget [33];
+  assign _065_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:404.21-404.31" *) spi_err_i;
+  assign _066_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:404.35-404.45" *) spi_rty_i;
+  assign _067_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:417.7-417.40" *) fabric_upstreamRequests_0_rv[69];
+  assign _068_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:425.7-425.53" *) fabric_upstreamConnectors_0_inner_fRes_rv[33];
+  assign _069_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:442.7-442.49" *) fabric_downstreamConnectors_0_fReq_rv[69];
+  assign _070_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:443.7-443.37" *) fabric_downstreamPending_0_rv;
+  assign _071_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:453.7-453.49" *) fabric_downstreamConnectors_0_fRes_rv[33];
+  assign _072_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:462.7-462.49" *) fabric_downstreamConnectors_0_fRes_rv[33];
+  assign _073_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:467.9-467.63" *) \fabric_downstreamConnectors_0_fReq_rv$port1__read [36];
+  assign _074_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:476.7-476.53" *) fabric_upstreamConnectors_0_inner_fRes_rv[33];
+  assign _075_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:483.7-483.40" *) fabric_upstreamRequests_0_rv[69];
+  assign _076_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:493.7-493.49" *) fabric_downstreamConnectors_0_fReq_rv[69];
+  assign _077_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:494.7-494.37" *) fabric_downstreamPending_0_rv;
+  assign _078_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:502.7-502.49" *) fabric_downstreamConnectors_0_fReq_rv[69];
+  assign _079_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:503.7-503.37" *) fabric_downstreamPending_0_rv;
+  assign _080_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:511.7-511.53" *) fabric_upstreamConnectors_0_inner_fRes_rv[33];
+  assign _081_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:513.7-513.53" *) \fabric_downstreamPending_0_rv$EN_port1__write ;
+  assign _082_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:543.7-543.71" *) WILL_FIRE_RL_fabric_upstreamConnectors_0_inner_process_outgoing;
+  assign _083_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:544.7-544.76" *) WILL_FIRE_RL_fabric_upstreamConnectors_0_inner_process_outgoing_fast;
+  assign _084_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:548.7-548.53" *) fabric_upstreamConnectors_0_inner_fReq_rv[69];
+  assign _085_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:550.7-550.49" *) fabric_upstreamConnectors_0_inner_pending;
+  assign _086_ = _052_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:300.8-301.60" *) _053_;
+  assign _087_ = _054_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:319.8-320.56" *) _055_;
+  assign _088_ = _056_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:326.8-327.56" *) _057_;
+  assign _089_ = _058_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:335.8-336.56" *) _059_;
+  assign _090_ = _063_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:375.8-376.60" *) _064_;
+  assign _091_ = WILL_FIRE_RL_fabric_upstreamConnectors_0_inner_process_outgoing || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:398.7-399.75" *) WILL_FIRE_RL_fabric_upstreamConnectors_0_inner_process_outgoing_fast;
+  assign _092_ = spi_ack_i || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:408.7-408.29" *) spi_err_i;
+  assign _093_ = _092_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:408.7-408.42" *) spi_rty_i;
+  assign _094_ = WILL_FIRE_RL_fabric_upstreamConnectors_0_inner_process_outgoing || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:434.7-435.75" *) WILL_FIRE_RL_fabric_upstreamConnectors_0_inner_process_outgoing_fast;
+  assign _095_ = \fabric_downstreamPending_0_rv$EN_port0__write  || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:506.7-507.36" *) fabric_downstreamPending_0_rv;
+  assign _096_ = WILL_FIRE_RL_fabric_upstreamConnectors_0_inner_process_outgoing || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:546.7-547.75" *) WILL_FIRE_RL_fabric_upstreamConnectors_0_inner_process_outgoing_fast;
+  assign _097_ = _096_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:546.7-550.49" *) _051_;
+  assign _098_ = _086_ ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:300.7-305.11" *) 32'd0 : _099_;
+  assign _099_ = \fabric_upstreamConnectors_0_inner_outgoing$wget [32] ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:303.10-305.10" *) \fabric_upstreamConnectors_0_inner_outgoing$wget [31:0] : 32'd0;
+  assign _100_ = _087_ ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:319.7-322.59" *) 32'd0 : \fabric_downstreamConnectors_0_outgoing$wget [68:37];
+  assign _101_ = _088_ ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:326.7-331.11" *) 32'd0 : _102_;
+  assign _102_ = \fabric_downstreamConnectors_0_outgoing$wget [36] ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:329.10-331.10" *) \fabric_downstreamConnectors_0_outgoing$wget [35:4] : 32'd0;
+  assign _103_ = _089_ ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:335.7-338.57" *) 4'h0 : \fabric_downstreamConnectors_0_outgoing$wget [3:0];
+  assign _104_ = _090_ ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:375.7-380.11" *) 32'd0 : _105_;
+  assign _105_ = \fabric_upstreamConnectors_0_inner_outgoing$wget [32] ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:378.10-380.10" *) \fabric_upstreamConnectors_0_inner_outgoing$wget [31:0] : 32'd0;
+  assign _106_ = _024_ ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:404.7-406.22" *) { 1'h1, spi_dat_i } : 33'h100000000;
+  assign _107_ = \MUX_fabric_upstreamConnectors_0_inner_pending$write_1__SEL_3  ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:412.7-414.50" *) \fabric_upstreamConnectors_0_inner_fReq_rv$port0__write_1  : fabric_upstreamConnectors_0_inner_fReq_rv;
+  assign _108_ = \fabric_upstreamConnectors_0_inner_fReq_rv$EN_port1__write  ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:419.7-421.62" *) 70'h0aaaaaaaaaaaaaaaaa : \fabric_upstreamConnectors_0_inner_fReq_rv$port1__read ;
+  assign _109_ = \fabric_upstreamConnectors_0_inner_fRes_rv$EN_port0__write  ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:430.7-432.50" *) \fabric_upstreamConnectors_0_inner_fRes_rv$port0__write_1  : fabric_upstreamConnectors_0_inner_fRes_rv;
+  assign _110_ = \fabric_upstreamConnectors_0_inner_fRes_rv$EN_port1__write  ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:437.7-439.62" *) 34'h0aaaaaaaa : \fabric_upstreamConnectors_0_inner_fRes_rv$port1__read ;
+  assign _111_ = \fabric_downstreamConnectors_0_fReq_rv$EN_port0__write  ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:448.7-450.46" *) \fabric_downstreamConnectors_0_fReq_rv$port0__write_1  : fabric_downstreamConnectors_0_fReq_rv;
+  assign _112_ = \fabric_downstreamConnectors_0_fReq_rv$EN_port1__write  ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:457.7-459.58" *) 70'h0aaaaaaaaaaaaaaaaa : \fabric_downstreamConnectors_0_fReq_rv$port1__read ;
+  assign _113_ = \fabric_downstreamConnectors_0_fRes_rv$EN_port0__write  ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:470.7-472.46" *) \fabric_downstreamConnectors_0_fRes_rv$port0__write_1  : fabric_downstreamConnectors_0_fRes_rv;
+  assign _114_ = \fabric_downstreamConnectors_0_fRes_rv$EN_port1__write  ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:478.7-480.58" *) 34'h0aaaaaaaa : \fabric_downstreamConnectors_0_fRes_rv$port1__read ;
+  assign _115_ = \fabric_upstreamRequests_0_rv$EN_port0__write  ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:488.7-490.37" *) \fabric_upstreamRequests_0_rv$port0__write_1  : fabric_upstreamRequests_0_rv;
+  assign _116_ = \fabric_upstreamRequests_0_rv$EN_port1__write  ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:497.7-499.49" *) 70'h0aaaaaaaaaaaaaaaaa : \fabric_upstreamRequests_0_rv$port1__read ;
+  always @* begin
+    if (\$auto$verilog_backend.cc:2083:dump_module$116 ) begin end
+    _000_ = fabric_downstreamConnectors_0_fReq_rv;
+    _001_ = fabric_downstreamConnectors_0_fRes_rv;
+    _002_ = fabric_downstreamPending_0_rv;
+    _003_ = fabric_upstreamConnectors_0_inner_fReq_rv;
+    _004_ = fabric_upstreamConnectors_0_inner_fRes_rv;
+    _005_ = fabric_upstreamConnectors_0_inner_pending;
+    _006_ = fabric_upstreamRequests_0_rv;
+    (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:561.5-600.10" *)
+    casez (_010_)
+      /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:561.9-561.22" */
+      1'h1:
+        begin
+          _000_ = 70'h0aaaaaaaaaaaaaaaaa;
+          _001_ = 34'h0aaaaaaaa;
+          _002_ = 1'h0;
+          _003_ = 70'h0aaaaaaaaaaaaaaaaa;
+          _004_ = 34'h0aaaaaaaa;
+          _005_ = 1'h0;
+          _006_ = 70'h0aaaaaaaaaaaaaaaaa;
+        end
+      /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:577.5-577.9" */
+      default:
+        begin
+          (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:579.9-581.51" *)
+          casez (\fabric_downstreamConnectors_0_fReq_rv$EN )
+            /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:579.13-579.53" */
+            1'h1:
+                _000_ = \fabric_downstreamConnectors_0_fReq_rv$D_IN ;
+            default:
+                /* empty */;
+          endcase
+          (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:582.2-584.51" *)
+          casez (\fabric_downstreamConnectors_0_fRes_rv$EN )
+            /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:582.6-582.46" */
+            1'h1:
+                _001_ = \fabric_downstreamConnectors_0_fRes_rv$D_IN ;
+            default:
+                /* empty */;
+          endcase
+          (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:585.2-587.43" *)
+          casez (\fabric_downstreamPending_0_rv$EN )
+            /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:585.6-585.38" */
+            1'h1:
+                _002_ = \fabric_downstreamPending_0_rv$D_IN ;
+            default:
+                /* empty */;
+          endcase
+          (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:588.2-590.55" *)
+          casez (\fabric_upstreamConnectors_0_inner_fReq_rv$EN )
+            /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:588.6-588.50" */
+            1'h1:
+                _003_ = \fabric_upstreamConnectors_0_inner_fReq_rv$D_IN ;
+            default:
+                /* empty */;
+          endcase
+          (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:591.2-593.55" *)
+          casez (\fabric_upstreamConnectors_0_inner_fRes_rv$EN )
+            /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:591.6-591.50" */
+            1'h1:
+                _004_ = \fabric_upstreamConnectors_0_inner_fRes_rv$D_IN ;
+            default:
+                /* empty */;
+          endcase
+          (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:594.2-596.55" *)
+          casez (\fabric_upstreamConnectors_0_inner_pending$EN )
+            /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:594.6-594.50" */
+            1'h1:
+                _005_ = \fabric_upstreamConnectors_0_inner_pending$D_IN ;
+            default:
+                /* empty */;
+          endcase
+          (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:597.2-599.42" *)
+          casez (\fabric_upstreamRequests_0_rv$EN )
+            /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Fabric.v:597.6-597.37" */
+            1'h1:
+                _006_ = \fabric_upstreamRequests_0_rv$D_IN ;
+            default:
+                /* empty */;
+          endcase
+        end
+    endcase
+  end
+  always @(posedge CLK) begin
+      fabric_downstreamConnectors_0_fReq_rv <= _000_;
+      fabric_downstreamConnectors_0_fRes_rv <= _001_;
+      fabric_downstreamPending_0_rv <= _002_;
+      fabric_upstreamConnectors_0_inner_fReq_rv <= _003_;
+      fabric_upstreamConnectors_0_inner_fRes_rv <= _004_;
+      fabric_upstreamConnectors_0_inner_pending <= _005_;
+      fabric_upstreamRequests_0_rv <= _006_;
+  end
+  assign cpu_ack_o = _011_;
+  assign cpu_err_o = 1'h0;
+  assign cpu_rty_o = 1'h0;
+  assign cpu_dat_o = _098_;
+  assign spi_cyc_o = _012_;
+  assign spi_stb_o = _013_;
+  assign spi_adr_o = _100_;
+  assign spi_dat_o = _101_;
+  assign spi_sel_o = _103_;
+  assign spi_we_o = _015_;
+  assign WILL_FIRE_RL_fabric_upstreamConnectors_0_inner_process_outgoing_fast = _016_;
+  assign WILL_FIRE_RL_fabric_upstreamConnectors_0_inner_process_outgoing = _018_;
+  assign \MUX_fabric_upstreamConnectors_0_inner_pending$write_1__SEL_3  = _020_;
+  assign \fabric_upstreamConnectors_0_inner_probeAck$PROBE  = _021_;
+  assign \fabric_upstreamConnectors_0_inner_probeAck$PROBE_VALID  = 1'h1;
+  assign \fabric_upstreamConnectors_0_inner_probeAdr$PROBE  = cpu_adr_i;
+  assign \fabric_upstreamConnectors_0_inner_probeAdr$PROBE_VALID  = 1'h1;
+  assign \fabric_upstreamConnectors_0_inner_probeCyc$PROBE  = cpu_cyc_i;
+  assign \fabric_upstreamConnectors_0_inner_probeCyc$PROBE_VALID  = 1'h1;
+  assign \fabric_upstreamConnectors_0_inner_probeDataIn$PROBE  = cpu_dat_i;
+  assign \fabric_upstreamConnectors_0_inner_probeDataIn$PROBE_VALID  = 1'h1;
+  assign \fabric_upstreamConnectors_0_inner_probeDataOut$PROBE  = _104_;
+  assign \fabric_upstreamConnectors_0_inner_probeDataOut$PROBE_VALID  = 1'h1;
+  assign \fabric_upstreamConnectors_0_inner_probeSel$PROBE  = cpu_sel_i;
+  assign \fabric_upstreamConnectors_0_inner_probeSel$PROBE_VALID  = 1'h1;
+  assign \fabric_upstreamConnectors_0_inner_probeStb$PROBE  = cpu_stb_i;
+  assign \fabric_upstreamConnectors_0_inner_probeStb$PROBE_VALID  = 1'h1;
+  assign \fabric_upstreamConnectors_0_inner_probeWe$PROBE  = cpu_we_i;
+  assign \fabric_upstreamConnectors_0_inner_probeWe$PROBE_VALID  = 1'h1;
+  assign \fabric_upstreamConnectors_0_inner_incoming$wget  = { cpu_adr_i, cpu_we_i, cpu_dat_i, cpu_sel_i };
+  assign \fabric_upstreamConnectors_0_inner_incoming$whas  = _022_;
+  assign \fabric_upstreamConnectors_0_inner_outgoing$wget  = { 1'h1, \fabric_upstreamConnectors_0_inner_fRes_rv$port1__read [32:0] };
+  assign \fabric_upstreamConnectors_0_inner_outgoing$whas  = _091_;
+  assign \fabric_downstreamConnectors_0_outgoing$wget  = { 1'h1, \fabric_downstreamConnectors_0_fReq_rv$port1__read [68:0] };
+  assign \fabric_downstreamConnectors_0_incoming$wget  = _106_;
+  assign \fabric_downstreamConnectors_0_incoming$whas  = _093_;
+  assign \fabric_upstreamConnectors_0_inner_fReq_rv$port0__write_1  = { 1'h1, \fabric_upstreamConnectors_0_inner_incoming$wget  };
+  assign \fabric_upstreamConnectors_0_inner_fReq_rv$port1__read  = _107_;
+  assign \fabric_upstreamConnectors_0_inner_fReq_rv$EN_port1__write  = _025_;
+  assign \fabric_upstreamConnectors_0_inner_fReq_rv$port2__read  = _108_;
+  assign \fabric_upstreamConnectors_0_inner_fRes_rv$EN_port0__write  = _027_;
+  assign \fabric_upstreamConnectors_0_inner_fRes_rv$port0__write_1  = { 1'h1, \fabric_downstreamConnectors_0_fRes_rv$port1__read [32:0] };
+  assign \fabric_upstreamConnectors_0_inner_fRes_rv$port1__read  = _109_;
+  assign \fabric_upstreamConnectors_0_inner_fRes_rv$EN_port1__write  = _094_;
+  assign \fabric_upstreamConnectors_0_inner_fRes_rv$port2__read  = _110_;
+  assign \fabric_downstreamConnectors_0_fReq_rv$EN_port0__write  = _030_;
+  assign \fabric_downstreamConnectors_0_fReq_rv$port0__write_1  = { 21'h100000, \fabric_upstreamRequests_0_rv$port1__read [48:0] };
+  assign \fabric_downstreamConnectors_0_fReq_rv$port1__read  = _111_;
+  assign \fabric_downstreamConnectors_0_fReq_rv$EN_port1__write  = _033_;
+  assign \fabric_downstreamConnectors_0_fReq_rv$port2__read  = _112_;
+  assign \fabric_downstreamConnectors_0_fRes_rv$EN_port0__write  = _036_;
+  assign \fabric_downstreamConnectors_0_fRes_rv$port0__write_1  = { 1'h1, _073_, \fabric_downstreamConnectors_0_incoming$wget [31:0] };
+  assign \fabric_downstreamConnectors_0_fRes_rv$port1__read  = _113_;
+  assign \fabric_downstreamConnectors_0_fRes_rv$EN_port1__write  = _038_;
+  assign \fabric_downstreamConnectors_0_fRes_rv$port2__read  = _114_;
+  assign \fabric_upstreamRequests_0_rv$EN_port0__write  = _039_;
+  assign \fabric_upstreamRequests_0_rv$port0__write_1  = { 1'h1, \fabric_upstreamConnectors_0_inner_fReq_rv$port1__read [68:0] };
+  assign \fabric_upstreamRequests_0_rv$port1__read  = _115_;
+  assign \fabric_upstreamRequests_0_rv$EN_port1__write  = _042_;
+  assign \fabric_upstreamRequests_0_rv$port2__read  = _116_;
+  assign \fabric_downstreamPending_0_rv$EN_port0__write  = _045_;
+  assign \fabric_downstreamPending_0_rv$port1__read  = _095_;
+  assign \fabric_downstreamPending_0_rv$EN_port1__write  = _047_;
+  assign \fabric_downstreamPending_0_rv$port2__read  = _048_;
+  assign \fabric_downstreamConnectors_0_fReq_rv$D_IN  = \fabric_downstreamConnectors_0_fReq_rv$port2__read ;
+  assign \fabric_downstreamConnectors_0_fReq_rv$EN  = 1'h1;
+  assign \fabric_downstreamConnectors_0_fRes_rv$D_IN  = \fabric_downstreamConnectors_0_fRes_rv$port2__read ;
+  assign \fabric_downstreamConnectors_0_fRes_rv$EN  = 1'h1;
+  assign \fabric_downstreamPending_0_rv$D_IN  = \fabric_downstreamPending_0_rv$port2__read ;
+  assign \fabric_downstreamPending_0_rv$EN  = 1'h1;
+  assign \fabric_upstreamConnectors_0_inner_fReq_rv$D_IN  = \fabric_upstreamConnectors_0_inner_fReq_rv$port2__read ;
+  assign \fabric_upstreamConnectors_0_inner_fReq_rv$EN  = 1'h1;
+  assign \fabric_upstreamConnectors_0_inner_fRes_rv$D_IN  = \fabric_upstreamConnectors_0_inner_fRes_rv$port2__read ;
+  assign \fabric_upstreamConnectors_0_inner_fRes_rv$EN  = 1'h1;
+  assign \fabric_upstreamConnectors_0_inner_pending$D_IN  = _049_;
+  assign \fabric_upstreamConnectors_0_inner_pending$EN  = _097_;
+  assign \fabric_upstreamRequests_0_rv$D_IN  = \fabric_upstreamRequests_0_rv$port2__read ;
+  assign \fabric_upstreamRequests_0_rv$EN  = 1'h1;
+endmodule
+
diff --git a/verilog/rtl/mkQF100Memory.v b/verilog/rtl/mkQF100Memory.v
new file mode 100644
index 0000000..26fc768
--- /dev/null
+++ b/verilog/rtl/mkQF100Memory.v
@@ -0,0 +1,2985 @@
+/* Generated by Yosys 0.15 (git sha1 yosys-0.15, gcc 10.3.0 -fPIC -Os) */
+
+(* dynports =  1  *)
+(* hdlname = "\\SizedFIFO" *)
+(* src = "external/bluespec/lib/Verilog/SizedFIFO.v:35.1-258.10" *)
+module \$paramod$a1d64ea66053b9fc03d411f43360ceeb39a7e927\SizedFIFO (CLK, RST, D_IN, ENQ, FULL_N, D_OUT, DEQ, EMPTY_N, CLR);
+  reg \$auto$verilog_backend.cc:2083:dump_module$278  = 0;
+  (* src = "external/bluespec/lib/Verilog/SizedFIFO.v:190.4-209.9" *)
+  reg _00_;
+  (* src = "external/bluespec/lib/Verilog/SizedFIFO.v:190.4-209.9" *)
+  reg [31:0] _01_;
+  (* src = "external/bluespec/lib/Verilog/SizedFIFO.v:190.4-209.9" *)
+  reg [31:0] _02_;
+  (* src = "external/bluespec/lib/Verilog/SizedFIFO.v:167.4-187.9" *)
+  reg [31:0] _03_;
+  (* src = "external/bluespec/lib/Verilog/SizedFIFO.v:107.4-164.9" *)
+  reg _04_;
+  (* src = "external/bluespec/lib/Verilog/SizedFIFO.v:107.4-164.9" *)
+  reg _05_;
+  (* src = "external/bluespec/lib/Verilog/SizedFIFO.v:107.4-164.9" *)
+  reg _06_;
+  (* src = "external/bluespec/lib/Verilog/SizedFIFO.v:107.4-164.9" *)
+  reg _07_;
+  (* src = "external/bluespec/lib/Verilog/SizedFIFO.v:107.4-164.9" *)
+  reg _08_;
+  (* src = "external/bluespec/lib/Verilog/SizedFIFO.v:190.4-209.9" *)
+  reg _09_;
+  (* src = "external/bluespec/lib/Verilog/SizedFIFO.v:190.4-209.9" *)
+  reg [31:0] _10_;
+  (* src = "external/bluespec/lib/Verilog/SizedFIFO.v:190.4-209.9" *)
+  reg [31:0] _11_;
+  (* src = "external/bluespec/lib/Verilog/SizedFIFO.v:75.42-75.53" *)
+  wire _12_;
+  (* src = "external/bluespec/lib/Verilog/SizedFIFO.v:76.42-76.53" *)
+  wire _13_;
+  (* src = "external/bluespec/lib/Verilog/SizedFIFO.v:109.13-109.24" *)
+  wire _14_;
+  (* src = "external/bluespec/lib/Verilog/SizedFIFO.v:144.37-144.54" *)
+  wire _15_;
+  (* src = "external/bluespec/lib/Verilog/SizedFIFO.v:159.44-159.61" *)
+  wire _16_;
+  (* src = "external/bluespec/lib/Verilog/SizedFIFO.v:78.27-78.45" *)
+  wire _17_;
+  (* src = "external/bluespec/lib/Verilog/SizedFIFO.v:79.27-79.45" *)
+  wire _18_;
+  (* src = "external/bluespec/lib/Verilog/SizedFIFO.v:204.17-204.28" *)
+  wire _19_;
+  (* src = "external/bluespec/lib/Verilog/SizedFIFO.v:204.34-204.52" *)
+  wire _20_;
+  (* src = "external/bluespec/lib/Verilog/SizedFIFO.v:204.58-204.74" *)
+  wire _21_;
+  (* src = "external/bluespec/lib/Verilog/SizedFIFO.v:204.58-204.91" *)
+  wire _22_;
+  (* src = "external/bluespec/lib/Verilog/SizedFIFO.v:204.17-204.93" *)
+  wire _23_;
+  (* src = "external/bluespec/lib/Verilog/SizedFIFO.v:159.41-159.62" *)
+  wire _24_;
+  (* src = "external/bluespec/lib/Verilog/SizedFIFO.v:204.17-204.21" *)
+  wire _25_;
+  (* src = "external/bluespec/lib/Verilog/SizedFIFO.v:204.41-204.52" *)
+  wire _26_;
+  (* src = "external/bluespec/lib/Verilog/SizedFIFO.v:204.58-204.62" *)
+  wire _27_;
+  (* src = "external/bluespec/lib/Verilog/SizedFIFO.v:204.33-204.92" *)
+  wire _28_;
+  (* src = "external/bluespec/lib/Verilog/SizedFIFO.v:179.42-179.45" *)
+  wire [31:0] _29_;
+  (* src = "external/bluespec/lib/Verilog/SizedFIFO.v:182.42-182.45" *)
+  wire [31:0] _30_;
+  (* src = "external/bluespec/lib/Verilog/SizedFIFO.v:0.0-0.0" *)
+  reg _31_;
+  (* src = "external/bluespec/lib/Verilog/SizedFIFO.v:0.0-0.0" *)
+  reg [31:0] _32_;
+  (* src = "external/bluespec/lib/Verilog/SizedFIFO.v:0.0-0.0" *)
+  reg [31:0] _33_;
+  (* src = "external/bluespec/lib/Verilog/SizedFIFO.v:78.26-78.82" *)
+  wire _34_;
+  (* src = "external/bluespec/lib/Verilog/SizedFIFO.v:79.26-79.82" *)
+  wire _35_;
+  (* src = "external/bluespec/lib/Verilog/SizedFIFO.v:43.28-43.31" *)
+  input CLK;
+  wire CLK;
+  (* src = "external/bluespec/lib/Verilog/SizedFIFO.v:45.28-45.31" *)
+  input CLR;
+  wire CLR;
+  (* src = "external/bluespec/lib/Verilog/SizedFIFO.v:48.28-48.31" *)
+  input DEQ;
+  wire DEQ;
+  (* src = "external/bluespec/lib/Verilog/SizedFIFO.v:46.28-46.32" *)
+  input [31:0] D_IN;
+  wire [31:0] D_IN;
+  (* src = "external/bluespec/lib/Verilog/SizedFIFO.v:52.29-52.34" *)
+  output [31:0] D_OUT;
+  reg [31:0] D_OUT;
+  (* src = "external/bluespec/lib/Verilog/SizedFIFO.v:51.28-51.35" *)
+  output EMPTY_N;
+  wire EMPTY_N;
+  (* src = "external/bluespec/lib/Verilog/SizedFIFO.v:47.28-47.31" *)
+  input ENQ;
+  wire ENQ;
+  (* src = "external/bluespec/lib/Verilog/SizedFIFO.v:50.28-50.34" *)
+  output FULL_N;
+  wire FULL_N;
+  (* src = "external/bluespec/lib/Verilog/SizedFIFO.v:44.28-44.31" *)
+  input RST;
+  wire RST;
+  (* src = "external/bluespec/lib/Verilog/SizedFIFO.v:70.30-70.40" *)
+  wire depthLess2;
+  (* src = "external/bluespec/lib/Verilog/SizedFIFO.v:68.30-68.38" *)
+  reg hasodata;
+  (* src = "external/bluespec/lib/Verilog/SizedFIFO.v:57.29-57.33" *)
+  reg head;
+  (* src = "external/bluespec/lib/Verilog/SizedFIFO.v:73.30-73.39" *)
+  wire incr_head;
+  (* src = "external/bluespec/lib/Verilog/SizedFIFO.v:72.30-72.39" *)
+  wire incr_tail;
+  (* src = "external/bluespec/lib/Verilog/SizedFIFO.v:58.30-58.39" *)
+  wire next_head;
+  (* src = "external/bluespec/lib/Verilog/SizedFIFO.v:61.30-61.39" *)
+  wire next_tail;
+  (* src = "external/bluespec/lib/Verilog/SizedFIFO.v:54.29-54.42" *)
+  reg not_ring_full;
+  (* src = "external/bluespec/lib/Verilog/SizedFIFO.v:55.29-55.39" *)
+  reg ring_empty;
+  (* src = "external/bluespec/lib/Verilog/SizedFIFO.v:60.30-60.34" *)
+  reg tail;
+  (* src = "external/bluespec/lib/Verilog/SizedFIFO.v:65.30-65.33" *)
+  reg [31:0] arr [1:0];
+  assign _30_ = arr[head];
+  assign _29_ = arr[head];
+  assign _12_ = tail + (* src = "external/bluespec/lib/Verilog/SizedFIFO.v:75.42-75.53" *) 1'h1;
+  assign _13_ = head + (* src = "external/bluespec/lib/Verilog/SizedFIFO.v:76.42-76.53" *) 1'h1;
+  assign _14_ = RST == (* src = "external/bluespec/lib/Verilog/SizedFIFO.v:109.13-109.24" *) 1'h0;
+  assign _15_ = next_head == (* src = "external/bluespec/lib/Verilog/SizedFIFO.v:144.37-144.54" *) tail;
+  assign _16_ = next_tail == (* src = "external/bluespec/lib/Verilog/SizedFIFO.v:159.44-159.61" *) head;
+  assign _17_ = head == (* src = "external/bluespec/lib/Verilog/SizedFIFO.v:78.27-78.45" *) depthLess2;
+  assign _18_ = tail == (* src = "external/bluespec/lib/Verilog/SizedFIFO.v:79.27-79.45" *) depthLess2;
+  assign _19_ = _25_ && (* src = "external/bluespec/lib/Verilog/SizedFIFO.v:204.17-204.28" *) ENQ;
+  assign _20_ = DEQ && (* src = "external/bluespec/lib/Verilog/SizedFIFO.v:204.34-204.52" *) _26_;
+  assign _21_ = _27_ && (* src = "external/bluespec/lib/Verilog/SizedFIFO.v:204.58-204.74" *) hasodata;
+  assign _22_ = _21_ && (* src = "external/bluespec/lib/Verilog/SizedFIFO.v:204.58-204.91" *) not_ring_full;
+  assign _23_ = _19_ && (* src = "external/bluespec/lib/Verilog/SizedFIFO.v:204.17-204.93" *) _28_;
+  assign _24_ = ! (* src = "external/bluespec/lib/Verilog/SizedFIFO.v:159.41-159.62" *) _16_;
+  assign _25_ = ! (* src = "external/bluespec/lib/Verilog/SizedFIFO.v:204.17-204.21" *) CLR;
+  assign _26_ = ! (* src = "external/bluespec/lib/Verilog/SizedFIFO.v:204.41-204.52" *) ring_empty;
+  assign _27_ = ! (* src = "external/bluespec/lib/Verilog/SizedFIFO.v:204.58-204.62" *) DEQ;
+  assign _28_ = _20_ || (* src = "external/bluespec/lib/Verilog/SizedFIFO.v:204.33-204.92" *) _22_;
+  assign _34_ = _17_ ? (* src = "external/bluespec/lib/Verilog/SizedFIFO.v:78.26-78.82" *) 1'h0 : incr_head;
+  assign _35_ = _18_ ? (* src = "external/bluespec/lib/Verilog/SizedFIFO.v:79.26-79.82" *) 1'h0 : incr_tail;
+  always @* begin
+    if (\$auto$verilog_backend.cc:2083:dump_module$278 ) begin end
+    _06_ = not_ring_full;
+    _07_ = ring_empty;
+    _05_ = head;
+    _08_ = tail;
+    _04_ = hasodata;
+    (* src = "external/bluespec/lib/Verilog/SizedFIFO.v:109.9-163.13" *)
+    casez (_14_)
+      /* src = "external/bluespec/lib/Verilog/SizedFIFO.v:109.13-109.24" */
+      1'h1:
+        begin
+          _05_ = 1'h0;
+          _08_ = 1'h0;
+          _07_ = 1'h1;
+          _06_ = 1'h1;
+          _04_ = 1'h0;
+        end
+      /* src = "external/bluespec/lib/Verilog/SizedFIFO.v:117.9-117.13" */
+      default:
+          (* src = "external/bluespec/lib/Verilog/SizedFIFO.v:120.14-162.21" *)
+          casez ({ CLR, DEQ, ENQ, hasodata, ring_empty })
+            /* src = "external/bluespec/lib/Verilog/SizedFIFO.v:0.0-0.0" */
+            5'h1?:
+              begin
+                _05_ = 1'h0;
+                _08_ = 1'h0;
+                _07_ = 1'h1;
+                _06_ = 1'h1;
+                _04_ = 1'h0;
+              end
+            /* src = "external/bluespec/lib/Verilog/SizedFIFO.v:0.0-0.0" */
+            5'b011?0:
+              begin
+                _08_ = next_tail;
+                _05_ = next_head;
+              end
+            /* src = "external/bluespec/lib/Verilog/SizedFIFO.v:0.0-0.0" */
+            5'b010?1:
+                _04_ = 1'h0;
+            /* src = "external/bluespec/lib/Verilog/SizedFIFO.v:0.0-0.0" */
+            5'b010?0:
+              begin
+                _05_ = next_head;
+                _06_ = 1'h1;
+                _07_ = _15_;
+              end
+            /* src = "external/bluespec/lib/Verilog/SizedFIFO.v:0.0-0.0" */
+            5'b0010?:
+                _04_ = 1'h1;
+            /* src = "external/bluespec/lib/Verilog/SizedFIFO.v:0.0-0.0" */
+            5'b0011?:
+                (* src = "external/bluespec/lib/Verilog/SizedFIFO.v:153.19-160.23" *)
+                casez (not_ring_full)
+                  /* src = "external/bluespec/lib/Verilog/SizedFIFO.v:153.24-153.37" */
+                  1'h1:
+                    begin
+                      _08_ = next_tail;
+                      _07_ = 1'h0;
+                      _06_ = _24_;
+                    end
+                  default:
+                      /* empty */;
+                endcase
+            default:
+                /* empty */;
+          endcase
+    endcase
+  end
+  always @(posedge CLK) begin
+      not_ring_full <= _06_;
+      ring_empty <= _07_;
+      head <= _05_;
+      tail <= _08_;
+      hasodata <= _04_;
+  end
+  always @* begin
+    if (\$auto$verilog_backend.cc:2083:dump_module$278 ) begin end
+    _03_ = D_OUT;
+    (* src = "external/bluespec/lib/Verilog/SizedFIFO.v:177.14-185.21" *)
+    casez ({ CLR, DEQ, ENQ, hasodata, ring_empty })
+      /* src = "external/bluespec/lib/Verilog/SizedFIFO.v:0.0-0.0" */
+      5'b011?0:
+          _03_ = _29_;
+      /* src = "external/bluespec/lib/Verilog/SizedFIFO.v:0.0-0.0" */
+      5'b011?1:
+          _03_ = D_IN;
+      /* src = "external/bluespec/lib/Verilog/SizedFIFO.v:0.0-0.0" */
+      5'b010?0:
+          _03_ = _30_;
+      /* src = "external/bluespec/lib/Verilog/SizedFIFO.v:0.0-0.0" */
+      5'b0010?:
+          _03_ = D_IN;
+      default:
+          /* empty */;
+    endcase
+  end
+  always @(posedge CLK) begin
+      D_OUT <= _03_;
+  end
+  always @* begin
+    if (\$auto$verilog_backend.cc:2083:dump_module$278 ) begin end
+    _00_ = _09_;
+    _01_ = _10_;
+    _02_ = _11_;
+    (* src = "external/bluespec/lib/Verilog/SizedFIFO.v:204.13-207.18" *)
+    casez (_23_)
+      /* src = "external/bluespec/lib/Verilog/SizedFIFO.v:204.17-204.93" */
+      1'h1:
+        begin
+          _09_ = tail;
+          _10_ = D_IN;
+          _11_ = 32'd4294967295;
+        end
+      default:
+        begin
+          _09_ = 1'hx;
+          _10_ = 32'hxxxxxxxx;
+          _11_ = 32'd0;
+        end
+    endcase
+  end
+  always @(posedge CLK) begin
+      _31_ <= _00_;
+      _32_ <= _01_;
+      _33_ <= _02_;
+  end
+  assign depthLess2 = 1'h1;
+  assign incr_tail = _12_;
+  assign incr_head = _13_;
+  assign next_head = _34_;
+  assign next_tail = _35_;
+  assign EMPTY_N = hasodata;
+  assign FULL_N = not_ring_full;
+endmodule
+
+(* dynports =  1  *)
+(* hdlname = "\\BRAM2BELoad" *)
+(* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:8.1-120.10" *)
+module \$paramod$b52333466ace2575bd2e02a9088e4132595a5cfa\BRAM2BELoad (CLKA, ENA, WEA, ADDRA, DIA, DOA, CLKB, ENB, WEB, ADDRB, DIB, DOB);
+  reg \$auto$verilog_backend.cc:2083:dump_module$279  = 0;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:94.10-104.13" *)
+  reg [31:0] _000_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:94.10-104.13" *)
+  reg [31:0] _001_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:94.10-104.13" *)
+  reg [31:0] _002_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:94.10-104.13" *)
+  reg [31:0] _003_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:76.10-86.13" *)
+  reg [31:0] _004_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:76.10-86.13" *)
+  reg [31:0] _005_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:76.10-86.13" *)
+  reg [31:0] _006_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:76.10-86.13" *)
+  reg [31:0] _007_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:76.10-86.13" *)
+  reg [9:0] _008_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:76.10-86.13" *)
+  reg [31:0] _009_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:76.10-86.13" *)
+  reg [31:0] _010_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:76.10-86.13" *)
+  reg [9:0] _011_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:76.10-86.13" *)
+  reg [31:0] _012_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:76.10-86.13" *)
+  reg [31:0] _013_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:76.10-86.13" *)
+  reg [9:0] _014_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:76.10-86.13" *)
+  reg [31:0] _015_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:76.10-86.13" *)
+  reg [31:0] _016_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:76.10-86.13" *)
+  reg [9:0] _017_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:76.10-86.13" *)
+  reg [31:0] _018_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:76.10-86.13" *)
+  reg [31:0] _019_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:94.10-104.13" *)
+  reg [9:0] _020_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:94.10-104.13" *)
+  reg [31:0] _021_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:94.10-104.13" *)
+  reg [31:0] _022_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:94.10-104.13" *)
+  reg [9:0] _023_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:94.10-104.13" *)
+  reg [31:0] _024_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:94.10-104.13" *)
+  reg [31:0] _025_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:94.10-104.13" *)
+  reg [9:0] _026_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:94.10-104.13" *)
+  reg [31:0] _027_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:94.10-104.13" *)
+  reg [31:0] _028_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:94.10-104.13" *)
+  reg [9:0] _029_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:94.10-104.13" *)
+  reg [31:0] _030_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:94.10-104.13" *)
+  reg [31:0] _031_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:109.4-111.7" *)
+  reg [31:0] _032_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:76.10-86.13" *)
+  reg [7:0] _033_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:76.10-86.13" *)
+  reg [7:0] _034_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:76.10-86.13" *)
+  reg [7:0] _035_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:76.10-86.13" *)
+  reg [7:0] _036_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:113.4-115.7" *)
+  reg [31:0] _037_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:94.10-104.13" *)
+  reg [7:0] _038_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:94.10-104.13" *)
+  reg [7:0] _039_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:94.10-104.13" *)
+  reg [7:0] _040_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:94.10-104.13" *)
+  reg [7:0] _041_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:94.10-104.13" *)
+  reg [31:0] _042_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:94.10-104.13" *)
+  reg [31:0] _043_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:94.10-104.13" *)
+  reg [31:0] _044_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:94.10-104.13" *)
+  reg [31:0] _045_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:76.10-86.13" *)
+  reg [31:0] _046_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:76.10-86.13" *)
+  reg [31:0] _047_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:76.10-86.13" *)
+  reg [31:0] _048_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:76.10-86.13" *)
+  reg [31:0] _049_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:76.10-86.13" *)
+  reg [9:0] _050_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:76.10-86.13" *)
+  reg [31:0] _051_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:76.10-86.13" *)
+  reg [31:0] _052_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:76.10-86.13" *)
+  reg [9:0] _053_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:76.10-86.13" *)
+  reg [31:0] _054_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:76.10-86.13" *)
+  reg [31:0] _055_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:76.10-86.13" *)
+  reg [9:0] _056_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:76.10-86.13" *)
+  reg [31:0] _057_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:76.10-86.13" *)
+  reg [31:0] _058_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:76.10-86.13" *)
+  reg [9:0] _059_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:76.10-86.13" *)
+  reg [31:0] _060_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:76.10-86.13" *)
+  reg [31:0] _061_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:94.10-104.13" *)
+  reg [9:0] _062_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:94.10-104.13" *)
+  reg [31:0] _063_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:94.10-104.13" *)
+  reg [31:0] _064_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:94.10-104.13" *)
+  reg [9:0] _065_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:94.10-104.13" *)
+  reg [31:0] _066_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:94.10-104.13" *)
+  reg [31:0] _067_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:94.10-104.13" *)
+  reg [9:0] _068_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:94.10-104.13" *)
+  reg [31:0] _069_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:94.10-104.13" *)
+  reg [31:0] _070_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:94.10-104.13" *)
+  reg [9:0] _071_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:94.10-104.13" *)
+  reg [31:0] _072_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:94.10-104.13" *)
+  reg [31:0] _073_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:94.10-104.13" *)
+  reg [31:0] _074_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:94.10-104.13" *)
+  reg [31:0] _075_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:94.10-104.13" *)
+  reg [31:0] _076_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:94.10-104.13" *)
+  reg [31:0] _077_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:76.10-86.13" *)
+  reg [31:0] _078_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:76.10-86.13" *)
+  reg [31:0] _079_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:76.10-86.13" *)
+  reg [31:0] _080_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:76.10-86.13" *)
+  reg [31:0] _081_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:76.10-86.13" *)
+  reg [9:0] _082_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:76.10-86.13" *)
+  reg [31:0] _083_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:76.10-86.13" *)
+  reg [31:0] _084_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:76.10-86.13" *)
+  reg [9:0] _085_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:76.10-86.13" *)
+  reg [31:0] _086_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:76.10-86.13" *)
+  reg [31:0] _087_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:76.10-86.13" *)
+  reg [9:0] _088_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:76.10-86.13" *)
+  reg [31:0] _089_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:76.10-86.13" *)
+  reg [31:0] _090_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:76.10-86.13" *)
+  reg [9:0] _091_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:76.10-86.13" *)
+  reg [31:0] _092_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:76.10-86.13" *)
+  reg [31:0] _093_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:94.10-104.13" *)
+  reg [9:0] _094_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:94.10-104.13" *)
+  reg [31:0] _095_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:94.10-104.13" *)
+  reg [31:0] _096_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:94.10-104.13" *)
+  reg [9:0] _097_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:94.10-104.13" *)
+  reg [31:0] _098_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:94.10-104.13" *)
+  reg [31:0] _099_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:94.10-104.13" *)
+  reg [9:0] _100_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:94.10-104.13" *)
+  reg [31:0] _101_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:94.10-104.13" *)
+  reg [31:0] _102_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:94.10-104.13" *)
+  reg [9:0] _103_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:94.10-104.13" *)
+  reg [31:0] _104_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:94.10-104.13" *)
+  reg [31:0] _105_;
+  (* nosync = 32'd1 *)
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:0.0-0.0" *)
+  reg [31:0] _106_;
+  (* nosync = 32'd1 *)
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:0.0-0.0" *)
+  reg [31:0] _107_;
+  (* nosync = 32'd1 *)
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:0.0-0.0" *)
+  reg [31:0] _108_;
+  (* nosync = 32'd1 *)
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:0.0-0.0" *)
+  reg [31:0] _109_;
+  (* nosync = 32'd1 *)
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:0.0-0.0" *)
+  reg [31:0] _110_;
+  (* nosync = 32'd1 *)
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:0.0-0.0" *)
+  reg [31:0] _111_;
+  (* nosync = 32'd1 *)
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:0.0-0.0" *)
+  reg [31:0] _112_;
+  (* nosync = 32'd1 *)
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:0.0-0.0" *)
+  reg [31:0] _113_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:101.69-101.72" *)
+  wire [31:0] _114_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:101.69-101.72" *)
+  wire [31:0] _115_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:101.69-101.72" *)
+  wire [31:0] _116_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:101.69-101.72" *)
+  wire [31:0] _117_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:83.69-83.72" *)
+  wire [31:0] _118_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:83.69-83.72" *)
+  wire [31:0] _119_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:83.69-83.72" *)
+  wire [31:0] _120_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:83.69-83.72" *)
+  wire [31:0] _121_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:0.0-0.0" *)
+  reg [9:0] _122_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:0.0-0.0" *)
+  reg [31:0] _123_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:0.0-0.0" *)
+  reg [31:0] _124_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:0.0-0.0" *)
+  reg [9:0] _125_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:0.0-0.0" *)
+  reg [31:0] _126_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:0.0-0.0" *)
+  reg [31:0] _127_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:0.0-0.0" *)
+  reg [9:0] _128_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:0.0-0.0" *)
+  reg [31:0] _129_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:0.0-0.0" *)
+  reg [31:0] _130_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:0.0-0.0" *)
+  reg [9:0] _131_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:0.0-0.0" *)
+  reg [31:0] _132_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:0.0-0.0" *)
+  reg [31:0] _133_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:0.0-0.0" *)
+  reg [9:0] _134_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:0.0-0.0" *)
+  reg [31:0] _135_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:0.0-0.0" *)
+  reg [31:0] _136_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:0.0-0.0" *)
+  reg [9:0] _137_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:0.0-0.0" *)
+  reg [31:0] _138_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:0.0-0.0" *)
+  reg [31:0] _139_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:0.0-0.0" *)
+  reg [9:0] _140_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:0.0-0.0" *)
+  reg [31:0] _141_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:0.0-0.0" *)
+  reg [31:0] _142_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:0.0-0.0" *)
+  reg [9:0] _143_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:0.0-0.0" *)
+  reg [31:0] _144_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:0.0-0.0" *)
+  reg [31:0] _145_;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:34.35-34.40" *)
+  input [9:0] ADDRA;
+  wire [9:0] ADDRA;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:41.35-41.40" *)
+  input [9:0] ADDRB;
+  wire [9:0] ADDRB;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:31.35-31.39" *)
+  input CLKA;
+  wire CLKA;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:38.35-38.39" *)
+  input CLKB;
+  wire CLKB;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:35.35-35.38" *)
+  input [31:0] DIA;
+  wire [31:0] DIA;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:42.35-42.38" *)
+  input [31:0] DIB;
+  wire [31:0] DIB;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:36.35-36.38" *)
+  output [31:0] DOA;
+  wire [31:0] DOA;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:46.35-46.40" *)
+  reg [31:0] DOA_R;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:47.35-47.41" *)
+  reg [31:0] DOA_R2;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:43.35-43.38" *)
+  output [31:0] DOB;
+  wire [31:0] DOB;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:48.35-48.40" *)
+  reg [31:0] DOB_R;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:49.35-49.41" *)
+  reg [31:0] DOB_R2;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:32.35-32.38" *)
+  input ENA;
+  wire ENA;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:39.35-39.38" *)
+  input ENB;
+  wire ENB;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:33.35-33.38" *)
+  input [3:0] WEA;
+  wire [3:0] WEA;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:40.35-40.38" *)
+  input [3:0] WEB;
+  wire [3:0] WEB;
+  (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:45.35-45.38" *)
+  reg [31:0] RAM [1023:0];
+  initial begin
+    RAM[0] = 32'd2459172860;
+    RAM[1] = 32'd42991624;
+    RAM[2] = 32'd571473944;
+    RAM[3] = 32'd2417098756;
+    RAM[4] = 32'd25231360;
+    RAM[5] = 32'd1368137728;
+    RAM[6] = 32'd2442133504;
+    RAM[7] = 32'd75563008;
+    RAM[8] = 32'd1420042256;
+    RAM[9] = 32'd3248757248;
+    RAM[10] = 32'd2442264584;
+    RAM[11] = 32'd126353424;
+    RAM[12] = 32'd2543058940;
+    RAM[13] = 32'd3758097116;
+    RAM[14] = 32'd1;
+    RAM[15] = 32'd25231360;
+    RAM[16] = 32'd1368137744;
+    RAM[17] = 32'd75563008;
+    RAM[18] = 32'd1420042256;
+    RAM[19] = 32'd3299088896;
+    RAM[20] = 32'd2492596232;
+    RAM[21] = 32'd75563008;
+    RAM[22] = 32'd1420034892;
+    RAM[23] = 32'd2492596228;
+    RAM[24] = 32'd2442133504;
+    RAM[25] = 32'd126353424;
+    RAM[26] = 32'd2543058940;
+    RAM[27] = 32'd3758097048;
+    RAM[28] = 32'd1;
+    RAM[29] = 32'd25231360;
+    RAM[30] = 32'd1368137728;
+    RAM[31] = 32'd4102160385;
+    RAM[32] = 32'd2492203008;
+    RAM[33] = 32'd25231360;
+    RAM[34] = 32'd1368137744;
+    RAM[35] = 32'd100728832;
+    RAM[36] = 32'd1445994500;
+    RAM[37] = 32'd2444230656;
+    RAM[38] = 32'd25231360;
+    RAM[39] = 32'd1368137736;
+    RAM[40] = 32'd2492203008;
+    RAM[41] = 32'd25231360;
+    RAM[42] = 32'd1368141840;
+    RAM[43] = 32'd75563008;
+    RAM[44] = 32'd1420042252;
+    RAM[45] = 32'd126353424;
+    RAM[46] = 32'd2543058940;
+    RAM[47] = 32'd3758096632;
+    RAM[48] = 32'd2443444224;
+    RAM[49] = 32'd126353424;
+    RAM[50] = 32'd2543058940;
+    RAM[51] = 32'd3758096704;
+    RAM[52] = 32'd1;
+    RAM[53] = 32'd2459172860;
+    RAM[54] = 32'd42991624;
+    RAM[55] = 32'd571473928;
+    RAM[56] = 32'd2173960192;
+    RAM[57] = 32'd75620013;
+    RAM[58] = 32'd1420083422;
+    RAM[59] = 32'd2443444224;
+    RAM[60] = 32'd3758096624;
+    RAM[61] = 32'd1;
+    RAM[62] = 32'd2459172860;
+    RAM[63] = 32'd42991624;
+    RAM[64] = 32'd571473928;
+    RAM[65] = 32'd1367343104;
+    RAM[66] = 32'd75579393;
+    RAM[67] = 32'd1420046336;
+    RAM[68] = 32'd4127326284;
+    RAM[69] = 32'd2518941696;
+    RAM[70] = 32'd4127326210;
+    RAM[71] = 32'd4135715071;
+    RAM[72] = 32'd2267414536;
+    RAM[73] = 32'd3342492672;
+    RAM[74] = 32'd3858759969;
+    RAM[75] = 32'd1;
+    RAM[76] = 32'd3339480064;
+    RAM[77] = 32'd2535849996;
+    RAM[78] = 32'd3758096672;
+    RAM[79] = 32'd25952257;
+    RAM[80] = 32'd2459172860;
+    RAM[81] = 32'd42991624;
+    RAM[82] = 32'd571473944;
+    RAM[83] = 32'd25231360;
+    RAM[84] = 32'd1368130348;
+    RAM[85] = 32'd2442264584;
+    RAM[86] = 32'd4051828742;
+    RAM[87] = 32'd2442264580;
+    RAM[88] = 32'd25231360;
+    RAM[89] = 32'd1368130339;
+    RAM[90] = 32'd2442133504;
+    RAM[91] = 32'd126353424;
+    RAM[92] = 32'd2543058940;
+    RAM[93] = 32'd3758096804;
+    RAM[94] = 32'd1;
+    RAM[95] = 32'd126353424;
+    RAM[96] = 32'd2543058940;
+    RAM[97] = 32'd3758096704;
+    RAM[98] = 32'd1;
+    RAM[99] = 32'd2459172860;
+    RAM[100] = 32'd42991624;
+    RAM[101] = 32'd571473928;
+    RAM[102] = 32'd2165768188;
+    RAM[103] = 32'd34865152;
+    RAM[104] = 32'd2190934008;
+    RAM[105] = 32'd2459172860;
+    RAM[106] = 32'd42991624;
+    RAM[107] = 32'd571473968;
+    RAM[108] = 32'd2174091272;
+    RAM[109] = 32'd2224291840;
+    RAM[110] = 32'd2249588740;
+    RAM[111] = 32'd2492923888;
+    RAM[112] = 32'd2518089716;
+    RAM[113] = 32'd613679120;
+    RAM[114] = 32'd2492923864;
+    RAM[115] = 32'd4102160385;
+    RAM[116] = 32'd2492923868;
+    RAM[117] = 32'd2417426400;
+    RAM[118] = 32'd75563008;
+    RAM[119] = 32'd1420034876;
+    RAM[120] = 32'd2492923880;
+    RAM[121] = 32'd2417426412;
+    RAM[122] = 32'd2442264580;
+    RAM[123] = 32'd563347496;
+    RAM[124] = 32'd2442133504;
+    RAM[125] = 32'd126353424;
+    RAM[126] = 32'd2543058940;
+    RAM[127] = 32'd3758096916;
+    RAM[128] = 32'd1;
+    RAM[129] = 32'd126353424;
+    RAM[130] = 32'd2543058940;
+    RAM[131] = 32'd3758096704;
+    RAM[132] = 32'd1;
+    RAM[133] = 32'd2459172860;
+    RAM[134] = 32'd42991624;
+    RAM[135] = 32'd571473952;
+    RAM[136] = 32'd2174091268;
+    RAM[137] = 32'd2224291840;
+    RAM[138] = 32'd100728832;
+    RAM[139] = 32'd1445987132;
+    RAM[140] = 32'd2518089704;
+    RAM[141] = 32'd100728832;
+    RAM[142] = 32'd1445987132;
+    RAM[143] = 32'd2518089708;
+    RAM[144] = 32'd2492923888;
+    RAM[145] = 32'd2442592244;
+    RAM[146] = 32'd563347480;
+    RAM[147] = 32'd2442133504;
+    RAM[148] = 32'd126353424;
+    RAM[149] = 32'd2543058940;
+    RAM[150] = 32'd3758096596;
+    RAM[151] = 32'd1;
+    RAM[152] = 32'd126353424;
+    RAM[153] = 32'd2543058940;
+    RAM[154] = 32'd3758096704;
+    RAM[155] = 32'd1;
+    RAM[156] = 32'd2459172860;
+    RAM[157] = 32'd42991624;
+    RAM[158] = 32'd571473928;
+    RAM[159] = 32'd25282649;
+    RAM[160] = 32'd1410124021;
+    RAM[161] = 32'd25247452;
+    RAM[162] = 32'd1418506092;
+    RAM[163] = 32'd2165768188;
+    RAM[164] = 32'd34865152;
+    RAM[165] = 32'd2190934008;
+    RAM[166] = 32'd2459172860;
+    RAM[167] = 32'd42991624;
+    RAM[168] = 32'd571473928;
+    RAM[169] = 32'd2174091272;
+    RAM[170] = 32'd537788416;
+    RAM[171] = 32'd3858760401;
+    RAM[172] = 32'd2215903232;
+    RAM[173] = 32'd2224422916;
+    RAM[174] = 32'd1444937728;
+    RAM[175] = 32'd4138161153;
+    RAM[176] = 32'd4138950656;
+    RAM[177] = 32'd562954241;
+    RAM[178] = 32'd3858760380;
+    RAM[179] = 32'd103809025;
+    RAM[180] = 32'd2165768188;
+    RAM[181] = 32'd34865152;
+    RAM[182] = 32'd2190934008;
+    RAM[183] = 32'd2459172860;
+    RAM[184] = 32'd42991624;
+    RAM[185] = 32'd571473928;
+    RAM[186] = 32'd2174091272;
+    RAM[187] = 32'd537788416;
+    RAM[188] = 32'd3858760461;
+    RAM[189] = 32'd2215903232;
+    RAM[190] = 32'd2224422916;
+    RAM[191] = 32'd1444937728;
+    RAM[192] = 32'd562954241;
+    RAM[193] = 32'd3858760448;
+    RAM[194] = 32'd4105397249;
+    RAM[195] = 32'd2165768188;
+    RAM[196] = 32'd34865152;
+    RAM[197] = 32'd2190934008;
+    RAM[198] = 32'd0;
+    RAM[199] = 32'd0;
+    RAM[200] = 32'd0;
+    RAM[201] = 32'd0;
+    RAM[202] = 32'd0;
+    RAM[203] = 32'd0;
+    RAM[204] = 32'd0;
+    RAM[205] = 32'd0;
+    RAM[206] = 32'd0;
+    RAM[207] = 32'd0;
+    RAM[208] = 32'd0;
+    RAM[209] = 32'd0;
+    RAM[210] = 32'd0;
+    RAM[211] = 32'd0;
+    RAM[212] = 32'd0;
+    RAM[213] = 32'd0;
+    RAM[214] = 32'd0;
+    RAM[215] = 32'd0;
+    RAM[216] = 32'd0;
+    RAM[217] = 32'd0;
+    RAM[218] = 32'd0;
+    RAM[219] = 32'd0;
+    RAM[220] = 32'd0;
+    RAM[221] = 32'd0;
+    RAM[222] = 32'd0;
+    RAM[223] = 32'd0;
+    RAM[224] = 32'd0;
+    RAM[225] = 32'd0;
+    RAM[226] = 32'd0;
+    RAM[227] = 32'd0;
+    RAM[228] = 32'd0;
+    RAM[229] = 32'd0;
+    RAM[230] = 32'd0;
+    RAM[231] = 32'd0;
+    RAM[232] = 32'd0;
+    RAM[233] = 32'd0;
+    RAM[234] = 32'd0;
+    RAM[235] = 32'd0;
+    RAM[236] = 32'd0;
+    RAM[237] = 32'd0;
+    RAM[238] = 32'd0;
+    RAM[239] = 32'd0;
+    RAM[240] = 32'd0;
+    RAM[241] = 32'd0;
+    RAM[242] = 32'd0;
+    RAM[243] = 32'd0;
+    RAM[244] = 32'd0;
+    RAM[245] = 32'd0;
+    RAM[246] = 32'd0;
+    RAM[247] = 32'd0;
+    RAM[248] = 32'd0;
+    RAM[249] = 32'd0;
+    RAM[250] = 32'd0;
+    RAM[251] = 32'd0;
+    RAM[252] = 32'd0;
+    RAM[253] = 32'd0;
+    RAM[254] = 32'd0;
+    RAM[255] = 32'd0;
+    RAM[256] = 32'd0;
+    RAM[257] = 32'd0;
+    RAM[258] = 32'd0;
+    RAM[259] = 32'd0;
+    RAM[260] = 32'd0;
+    RAM[261] = 32'd0;
+    RAM[262] = 32'd0;
+    RAM[263] = 32'd0;
+    RAM[264] = 32'd0;
+    RAM[265] = 32'd0;
+    RAM[266] = 32'd0;
+    RAM[267] = 32'd0;
+    RAM[268] = 32'd0;
+    RAM[269] = 32'd0;
+    RAM[270] = 32'd0;
+    RAM[271] = 32'd0;
+    RAM[272] = 32'd0;
+    RAM[273] = 32'd0;
+    RAM[274] = 32'd0;
+    RAM[275] = 32'd0;
+    RAM[276] = 32'd0;
+    RAM[277] = 32'd0;
+    RAM[278] = 32'd0;
+    RAM[279] = 32'd0;
+    RAM[280] = 32'd0;
+    RAM[281] = 32'd0;
+    RAM[282] = 32'd0;
+    RAM[283] = 32'd0;
+    RAM[284] = 32'd0;
+    RAM[285] = 32'd0;
+    RAM[286] = 32'd0;
+    RAM[287] = 32'd0;
+    RAM[288] = 32'd0;
+    RAM[289] = 32'd0;
+    RAM[290] = 32'd0;
+    RAM[291] = 32'd0;
+    RAM[292] = 32'd0;
+    RAM[293] = 32'd0;
+    RAM[294] = 32'd0;
+    RAM[295] = 32'd0;
+    RAM[296] = 32'd0;
+    RAM[297] = 32'd0;
+    RAM[298] = 32'd0;
+    RAM[299] = 32'd0;
+    RAM[300] = 32'd0;
+    RAM[301] = 32'd0;
+    RAM[302] = 32'd0;
+    RAM[303] = 32'd0;
+    RAM[304] = 32'd0;
+    RAM[305] = 32'd0;
+    RAM[306] = 32'd0;
+    RAM[307] = 32'd0;
+    RAM[308] = 32'd0;
+    RAM[309] = 32'd0;
+    RAM[310] = 32'd0;
+    RAM[311] = 32'd0;
+    RAM[312] = 32'd0;
+    RAM[313] = 32'd0;
+    RAM[314] = 32'd0;
+    RAM[315] = 32'd0;
+    RAM[316] = 32'd0;
+    RAM[317] = 32'd0;
+    RAM[318] = 32'd0;
+    RAM[319] = 32'd0;
+    RAM[320] = 32'd0;
+    RAM[321] = 32'd0;
+    RAM[322] = 32'd0;
+    RAM[323] = 32'd0;
+    RAM[324] = 32'd0;
+    RAM[325] = 32'd0;
+    RAM[326] = 32'd0;
+    RAM[327] = 32'd0;
+    RAM[328] = 32'd0;
+    RAM[329] = 32'd0;
+    RAM[330] = 32'd0;
+    RAM[331] = 32'd0;
+    RAM[332] = 32'd0;
+    RAM[333] = 32'd0;
+    RAM[334] = 32'd0;
+    RAM[335] = 32'd0;
+    RAM[336] = 32'd0;
+    RAM[337] = 32'd0;
+    RAM[338] = 32'd0;
+    RAM[339] = 32'd0;
+    RAM[340] = 32'd0;
+    RAM[341] = 32'd0;
+    RAM[342] = 32'd0;
+    RAM[343] = 32'd0;
+    RAM[344] = 32'd0;
+    RAM[345] = 32'd0;
+    RAM[346] = 32'd0;
+    RAM[347] = 32'd0;
+    RAM[348] = 32'd0;
+    RAM[349] = 32'd0;
+    RAM[350] = 32'd0;
+    RAM[351] = 32'd0;
+    RAM[352] = 32'd0;
+    RAM[353] = 32'd0;
+    RAM[354] = 32'd0;
+    RAM[355] = 32'd0;
+    RAM[356] = 32'd0;
+    RAM[357] = 32'd0;
+    RAM[358] = 32'd0;
+    RAM[359] = 32'd0;
+    RAM[360] = 32'd0;
+    RAM[361] = 32'd0;
+    RAM[362] = 32'd0;
+    RAM[363] = 32'd0;
+    RAM[364] = 32'd0;
+    RAM[365] = 32'd0;
+    RAM[366] = 32'd0;
+    RAM[367] = 32'd0;
+    RAM[368] = 32'd0;
+    RAM[369] = 32'd0;
+    RAM[370] = 32'd0;
+    RAM[371] = 32'd0;
+    RAM[372] = 32'd0;
+    RAM[373] = 32'd0;
+    RAM[374] = 32'd0;
+    RAM[375] = 32'd0;
+    RAM[376] = 32'd0;
+    RAM[377] = 32'd0;
+    RAM[378] = 32'd0;
+    RAM[379] = 32'd0;
+    RAM[380] = 32'd0;
+    RAM[381] = 32'd0;
+    RAM[382] = 32'd0;
+    RAM[383] = 32'd0;
+    RAM[384] = 32'd0;
+    RAM[385] = 32'd0;
+    RAM[386] = 32'd0;
+    RAM[387] = 32'd0;
+    RAM[388] = 32'd0;
+    RAM[389] = 32'd0;
+    RAM[390] = 32'd0;
+    RAM[391] = 32'd0;
+    RAM[392] = 32'd0;
+    RAM[393] = 32'd0;
+    RAM[394] = 32'd0;
+    RAM[395] = 32'd0;
+    RAM[396] = 32'd0;
+    RAM[397] = 32'd0;
+    RAM[398] = 32'd0;
+    RAM[399] = 32'd0;
+    RAM[400] = 32'd0;
+    RAM[401] = 32'd0;
+    RAM[402] = 32'd0;
+    RAM[403] = 32'd0;
+    RAM[404] = 32'd0;
+    RAM[405] = 32'd0;
+    RAM[406] = 32'd0;
+    RAM[407] = 32'd0;
+    RAM[408] = 32'd0;
+    RAM[409] = 32'd0;
+    RAM[410] = 32'd0;
+    RAM[411] = 32'd0;
+    RAM[412] = 32'd0;
+    RAM[413] = 32'd0;
+    RAM[414] = 32'd0;
+    RAM[415] = 32'd0;
+    RAM[416] = 32'd0;
+    RAM[417] = 32'd0;
+    RAM[418] = 32'd0;
+    RAM[419] = 32'd0;
+    RAM[420] = 32'd0;
+    RAM[421] = 32'd0;
+    RAM[422] = 32'd0;
+    RAM[423] = 32'd0;
+    RAM[424] = 32'd0;
+    RAM[425] = 32'd0;
+    RAM[426] = 32'd0;
+    RAM[427] = 32'd0;
+    RAM[428] = 32'd0;
+    RAM[429] = 32'd0;
+    RAM[430] = 32'd0;
+    RAM[431] = 32'd0;
+    RAM[432] = 32'd0;
+    RAM[433] = 32'd0;
+    RAM[434] = 32'd0;
+    RAM[435] = 32'd0;
+    RAM[436] = 32'd0;
+    RAM[437] = 32'd0;
+    RAM[438] = 32'd0;
+    RAM[439] = 32'd0;
+    RAM[440] = 32'd0;
+    RAM[441] = 32'd0;
+    RAM[442] = 32'd0;
+    RAM[443] = 32'd0;
+    RAM[444] = 32'd0;
+    RAM[445] = 32'd0;
+    RAM[446] = 32'd0;
+    RAM[447] = 32'd0;
+    RAM[448] = 32'd0;
+    RAM[449] = 32'd0;
+    RAM[450] = 32'd0;
+    RAM[451] = 32'd0;
+    RAM[452] = 32'd0;
+    RAM[453] = 32'd0;
+    RAM[454] = 32'd0;
+    RAM[455] = 32'd0;
+    RAM[456] = 32'd0;
+    RAM[457] = 32'd0;
+    RAM[458] = 32'd0;
+    RAM[459] = 32'd0;
+    RAM[460] = 32'd0;
+    RAM[461] = 32'd0;
+    RAM[462] = 32'd0;
+    RAM[463] = 32'd0;
+    RAM[464] = 32'd0;
+    RAM[465] = 32'd0;
+    RAM[466] = 32'd0;
+    RAM[467] = 32'd0;
+    RAM[468] = 32'd0;
+    RAM[469] = 32'd0;
+    RAM[470] = 32'd0;
+    RAM[471] = 32'd0;
+    RAM[472] = 32'd0;
+    RAM[473] = 32'd0;
+    RAM[474] = 32'd0;
+    RAM[475] = 32'd0;
+    RAM[476] = 32'd0;
+    RAM[477] = 32'd0;
+    RAM[478] = 32'd0;
+    RAM[479] = 32'd0;
+    RAM[480] = 32'd0;
+    RAM[481] = 32'd0;
+    RAM[482] = 32'd0;
+    RAM[483] = 32'd0;
+    RAM[484] = 32'd0;
+    RAM[485] = 32'd0;
+    RAM[486] = 32'd0;
+    RAM[487] = 32'd0;
+    RAM[488] = 32'd0;
+    RAM[489] = 32'd0;
+    RAM[490] = 32'd0;
+    RAM[491] = 32'd0;
+    RAM[492] = 32'd0;
+    RAM[493] = 32'd0;
+    RAM[494] = 32'd0;
+    RAM[495] = 32'd0;
+    RAM[496] = 32'd0;
+    RAM[497] = 32'd0;
+    RAM[498] = 32'd0;
+    RAM[499] = 32'd0;
+    RAM[500] = 32'd0;
+    RAM[501] = 32'd0;
+    RAM[502] = 32'd0;
+    RAM[503] = 32'd0;
+    RAM[504] = 32'd0;
+    RAM[505] = 32'd0;
+    RAM[506] = 32'd0;
+    RAM[507] = 32'd0;
+    RAM[508] = 32'd0;
+    RAM[509] = 32'd0;
+    RAM[510] = 32'd0;
+    RAM[511] = 32'd0;
+    RAM[512] = 32'd0;
+    RAM[513] = 32'd0;
+    RAM[514] = 32'd0;
+    RAM[515] = 32'd0;
+    RAM[516] = 32'd0;
+    RAM[517] = 32'd0;
+    RAM[518] = 32'd0;
+    RAM[519] = 32'd0;
+    RAM[520] = 32'd0;
+    RAM[521] = 32'd0;
+    RAM[522] = 32'd0;
+    RAM[523] = 32'd0;
+    RAM[524] = 32'd0;
+    RAM[525] = 32'd0;
+    RAM[526] = 32'd0;
+    RAM[527] = 32'd0;
+    RAM[528] = 32'd0;
+    RAM[529] = 32'd0;
+    RAM[530] = 32'd0;
+    RAM[531] = 32'd0;
+    RAM[532] = 32'd0;
+    RAM[533] = 32'd0;
+    RAM[534] = 32'd0;
+    RAM[535] = 32'd0;
+    RAM[536] = 32'd0;
+    RAM[537] = 32'd0;
+    RAM[538] = 32'd0;
+    RAM[539] = 32'd0;
+    RAM[540] = 32'd0;
+    RAM[541] = 32'd0;
+    RAM[542] = 32'd0;
+    RAM[543] = 32'd0;
+    RAM[544] = 32'd0;
+    RAM[545] = 32'd0;
+    RAM[546] = 32'd0;
+    RAM[547] = 32'd0;
+    RAM[548] = 32'd0;
+    RAM[549] = 32'd0;
+    RAM[550] = 32'd0;
+    RAM[551] = 32'd0;
+    RAM[552] = 32'd0;
+    RAM[553] = 32'd0;
+    RAM[554] = 32'd0;
+    RAM[555] = 32'd0;
+    RAM[556] = 32'd0;
+    RAM[557] = 32'd0;
+    RAM[558] = 32'd0;
+    RAM[559] = 32'd0;
+    RAM[560] = 32'd0;
+    RAM[561] = 32'd0;
+    RAM[562] = 32'd0;
+    RAM[563] = 32'd0;
+    RAM[564] = 32'd0;
+    RAM[565] = 32'd0;
+    RAM[566] = 32'd0;
+    RAM[567] = 32'd0;
+    RAM[568] = 32'd0;
+    RAM[569] = 32'd0;
+    RAM[570] = 32'd0;
+    RAM[571] = 32'd0;
+    RAM[572] = 32'd0;
+    RAM[573] = 32'd0;
+    RAM[574] = 32'd0;
+    RAM[575] = 32'd0;
+    RAM[576] = 32'd0;
+    RAM[577] = 32'd0;
+    RAM[578] = 32'd0;
+    RAM[579] = 32'd0;
+    RAM[580] = 32'd0;
+    RAM[581] = 32'd0;
+    RAM[582] = 32'd0;
+    RAM[583] = 32'd0;
+    RAM[584] = 32'd0;
+    RAM[585] = 32'd0;
+    RAM[586] = 32'd0;
+    RAM[587] = 32'd0;
+    RAM[588] = 32'd0;
+    RAM[589] = 32'd0;
+    RAM[590] = 32'd0;
+    RAM[591] = 32'd0;
+    RAM[592] = 32'd0;
+    RAM[593] = 32'd0;
+    RAM[594] = 32'd0;
+    RAM[595] = 32'd0;
+    RAM[596] = 32'd0;
+    RAM[597] = 32'd0;
+    RAM[598] = 32'd0;
+    RAM[599] = 32'd0;
+    RAM[600] = 32'd0;
+    RAM[601] = 32'd0;
+    RAM[602] = 32'd0;
+    RAM[603] = 32'd0;
+    RAM[604] = 32'd0;
+    RAM[605] = 32'd0;
+    RAM[606] = 32'd0;
+    RAM[607] = 32'd0;
+    RAM[608] = 32'd0;
+    RAM[609] = 32'd0;
+    RAM[610] = 32'd0;
+    RAM[611] = 32'd0;
+    RAM[612] = 32'd0;
+    RAM[613] = 32'd0;
+    RAM[614] = 32'd0;
+    RAM[615] = 32'd0;
+    RAM[616] = 32'd0;
+    RAM[617] = 32'd0;
+    RAM[618] = 32'd0;
+    RAM[619] = 32'd0;
+    RAM[620] = 32'd0;
+    RAM[621] = 32'd0;
+    RAM[622] = 32'd0;
+    RAM[623] = 32'd0;
+    RAM[624] = 32'd0;
+    RAM[625] = 32'd0;
+    RAM[626] = 32'd0;
+    RAM[627] = 32'd0;
+    RAM[628] = 32'd0;
+    RAM[629] = 32'd0;
+    RAM[630] = 32'd0;
+    RAM[631] = 32'd0;
+    RAM[632] = 32'd0;
+    RAM[633] = 32'd0;
+    RAM[634] = 32'd0;
+    RAM[635] = 32'd0;
+    RAM[636] = 32'd0;
+    RAM[637] = 32'd0;
+    RAM[638] = 32'd0;
+    RAM[639] = 32'd0;
+    RAM[640] = 32'd0;
+    RAM[641] = 32'd0;
+    RAM[642] = 32'd0;
+    RAM[643] = 32'd0;
+    RAM[644] = 32'd0;
+    RAM[645] = 32'd0;
+    RAM[646] = 32'd0;
+    RAM[647] = 32'd0;
+    RAM[648] = 32'd0;
+    RAM[649] = 32'd0;
+    RAM[650] = 32'd0;
+    RAM[651] = 32'd0;
+    RAM[652] = 32'd0;
+    RAM[653] = 32'd0;
+    RAM[654] = 32'd0;
+    RAM[655] = 32'd0;
+    RAM[656] = 32'd0;
+    RAM[657] = 32'd0;
+    RAM[658] = 32'd0;
+    RAM[659] = 32'd0;
+    RAM[660] = 32'd0;
+    RAM[661] = 32'd0;
+    RAM[662] = 32'd0;
+    RAM[663] = 32'd0;
+    RAM[664] = 32'd0;
+    RAM[665] = 32'd0;
+    RAM[666] = 32'd0;
+    RAM[667] = 32'd0;
+    RAM[668] = 32'd0;
+    RAM[669] = 32'd0;
+    RAM[670] = 32'd0;
+    RAM[671] = 32'd0;
+    RAM[672] = 32'd0;
+    RAM[673] = 32'd0;
+    RAM[674] = 32'd0;
+    RAM[675] = 32'd0;
+    RAM[676] = 32'd0;
+    RAM[677] = 32'd0;
+    RAM[678] = 32'd0;
+    RAM[679] = 32'd0;
+    RAM[680] = 32'd0;
+    RAM[681] = 32'd0;
+    RAM[682] = 32'd0;
+    RAM[683] = 32'd0;
+    RAM[684] = 32'd0;
+    RAM[685] = 32'd0;
+    RAM[686] = 32'd0;
+    RAM[687] = 32'd0;
+    RAM[688] = 32'd0;
+    RAM[689] = 32'd0;
+    RAM[690] = 32'd0;
+    RAM[691] = 32'd0;
+    RAM[692] = 32'd0;
+    RAM[693] = 32'd0;
+    RAM[694] = 32'd0;
+    RAM[695] = 32'd0;
+    RAM[696] = 32'd0;
+    RAM[697] = 32'd0;
+    RAM[698] = 32'd0;
+    RAM[699] = 32'd0;
+    RAM[700] = 32'd0;
+    RAM[701] = 32'd0;
+    RAM[702] = 32'd0;
+    RAM[703] = 32'd0;
+    RAM[704] = 32'd0;
+    RAM[705] = 32'd0;
+    RAM[706] = 32'd0;
+    RAM[707] = 32'd0;
+    RAM[708] = 32'd0;
+    RAM[709] = 32'd0;
+    RAM[710] = 32'd0;
+    RAM[711] = 32'd0;
+    RAM[712] = 32'd0;
+    RAM[713] = 32'd0;
+    RAM[714] = 32'd0;
+    RAM[715] = 32'd0;
+    RAM[716] = 32'd0;
+    RAM[717] = 32'd0;
+    RAM[718] = 32'd0;
+    RAM[719] = 32'd0;
+    RAM[720] = 32'd0;
+    RAM[721] = 32'd0;
+    RAM[722] = 32'd0;
+    RAM[723] = 32'd0;
+    RAM[724] = 32'd0;
+    RAM[725] = 32'd0;
+    RAM[726] = 32'd0;
+    RAM[727] = 32'd0;
+    RAM[728] = 32'd0;
+    RAM[729] = 32'd0;
+    RAM[730] = 32'd0;
+    RAM[731] = 32'd0;
+    RAM[732] = 32'd0;
+    RAM[733] = 32'd0;
+    RAM[734] = 32'd0;
+    RAM[735] = 32'd0;
+    RAM[736] = 32'd0;
+    RAM[737] = 32'd0;
+    RAM[738] = 32'd0;
+    RAM[739] = 32'd0;
+    RAM[740] = 32'd0;
+    RAM[741] = 32'd0;
+    RAM[742] = 32'd0;
+    RAM[743] = 32'd0;
+    RAM[744] = 32'd0;
+    RAM[745] = 32'd0;
+    RAM[746] = 32'd0;
+    RAM[747] = 32'd0;
+    RAM[748] = 32'd0;
+    RAM[749] = 32'd0;
+    RAM[750] = 32'd0;
+    RAM[751] = 32'd0;
+    RAM[752] = 32'd0;
+    RAM[753] = 32'd0;
+    RAM[754] = 32'd0;
+    RAM[755] = 32'd0;
+    RAM[756] = 32'd0;
+    RAM[757] = 32'd0;
+    RAM[758] = 32'd0;
+    RAM[759] = 32'd0;
+    RAM[760] = 32'd0;
+    RAM[761] = 32'd0;
+    RAM[762] = 32'd0;
+    RAM[763] = 32'd0;
+    RAM[764] = 32'd0;
+    RAM[765] = 32'd0;
+    RAM[766] = 32'd0;
+    RAM[767] = 32'd0;
+    RAM[768] = 32'd0;
+    RAM[769] = 32'd0;
+    RAM[770] = 32'd0;
+    RAM[771] = 32'd0;
+    RAM[772] = 32'd0;
+    RAM[773] = 32'd0;
+    RAM[774] = 32'd0;
+    RAM[775] = 32'd0;
+    RAM[776] = 32'd0;
+    RAM[777] = 32'd0;
+    RAM[778] = 32'd0;
+    RAM[779] = 32'd0;
+    RAM[780] = 32'd0;
+    RAM[781] = 32'd0;
+    RAM[782] = 32'd0;
+    RAM[783] = 32'd0;
+    RAM[784] = 32'd0;
+    RAM[785] = 32'd0;
+    RAM[786] = 32'd0;
+    RAM[787] = 32'd0;
+    RAM[788] = 32'd0;
+    RAM[789] = 32'd0;
+    RAM[790] = 32'd0;
+    RAM[791] = 32'd0;
+    RAM[792] = 32'd0;
+    RAM[793] = 32'd0;
+    RAM[794] = 32'd0;
+    RAM[795] = 32'd0;
+    RAM[796] = 32'd0;
+    RAM[797] = 32'd0;
+    RAM[798] = 32'd0;
+    RAM[799] = 32'd0;
+    RAM[800] = 32'd0;
+    RAM[801] = 32'd0;
+    RAM[802] = 32'd0;
+    RAM[803] = 32'd0;
+    RAM[804] = 32'd0;
+    RAM[805] = 32'd0;
+    RAM[806] = 32'd0;
+    RAM[807] = 32'd0;
+    RAM[808] = 32'd0;
+    RAM[809] = 32'd0;
+    RAM[810] = 32'd0;
+    RAM[811] = 32'd0;
+    RAM[812] = 32'd0;
+    RAM[813] = 32'd0;
+    RAM[814] = 32'd0;
+    RAM[815] = 32'd0;
+    RAM[816] = 32'd0;
+    RAM[817] = 32'd0;
+    RAM[818] = 32'd0;
+    RAM[819] = 32'd0;
+    RAM[820] = 32'd0;
+    RAM[821] = 32'd0;
+    RAM[822] = 32'd0;
+    RAM[823] = 32'd0;
+    RAM[824] = 32'd0;
+    RAM[825] = 32'd0;
+    RAM[826] = 32'd0;
+    RAM[827] = 32'd0;
+    RAM[828] = 32'd0;
+    RAM[829] = 32'd0;
+    RAM[830] = 32'd0;
+    RAM[831] = 32'd0;
+    RAM[832] = 32'd0;
+    RAM[833] = 32'd0;
+    RAM[834] = 32'd0;
+    RAM[835] = 32'd0;
+    RAM[836] = 32'd0;
+    RAM[837] = 32'd0;
+    RAM[838] = 32'd0;
+    RAM[839] = 32'd0;
+    RAM[840] = 32'd0;
+    RAM[841] = 32'd0;
+    RAM[842] = 32'd0;
+    RAM[843] = 32'd0;
+    RAM[844] = 32'd0;
+    RAM[845] = 32'd0;
+    RAM[846] = 32'd0;
+    RAM[847] = 32'd0;
+    RAM[848] = 32'd0;
+    RAM[849] = 32'd0;
+    RAM[850] = 32'd0;
+    RAM[851] = 32'd0;
+    RAM[852] = 32'd0;
+    RAM[853] = 32'd0;
+    RAM[854] = 32'd0;
+    RAM[855] = 32'd0;
+    RAM[856] = 32'd0;
+    RAM[857] = 32'd0;
+    RAM[858] = 32'd0;
+    RAM[859] = 32'd0;
+    RAM[860] = 32'd0;
+    RAM[861] = 32'd0;
+    RAM[862] = 32'd0;
+    RAM[863] = 32'd0;
+    RAM[864] = 32'd0;
+    RAM[865] = 32'd0;
+    RAM[866] = 32'd0;
+    RAM[867] = 32'd0;
+    RAM[868] = 32'd0;
+    RAM[869] = 32'd0;
+    RAM[870] = 32'd0;
+    RAM[871] = 32'd0;
+    RAM[872] = 32'd0;
+    RAM[873] = 32'd0;
+    RAM[874] = 32'd0;
+    RAM[875] = 32'd0;
+    RAM[876] = 32'd0;
+    RAM[877] = 32'd0;
+    RAM[878] = 32'd0;
+    RAM[879] = 32'd0;
+    RAM[880] = 32'd0;
+    RAM[881] = 32'd0;
+    RAM[882] = 32'd0;
+    RAM[883] = 32'd0;
+    RAM[884] = 32'd0;
+    RAM[885] = 32'd0;
+    RAM[886] = 32'd0;
+    RAM[887] = 32'd0;
+    RAM[888] = 32'd0;
+    RAM[889] = 32'd0;
+    RAM[890] = 32'd0;
+    RAM[891] = 32'd0;
+    RAM[892] = 32'd0;
+    RAM[893] = 32'd0;
+    RAM[894] = 32'd0;
+    RAM[895] = 32'd0;
+    RAM[896] = 32'd0;
+    RAM[897] = 32'd0;
+    RAM[898] = 32'd0;
+    RAM[899] = 32'd0;
+    RAM[900] = 32'd0;
+    RAM[901] = 32'd0;
+    RAM[902] = 32'd0;
+    RAM[903] = 32'd0;
+    RAM[904] = 32'd0;
+    RAM[905] = 32'd0;
+    RAM[906] = 32'd0;
+    RAM[907] = 32'd0;
+    RAM[908] = 32'd0;
+    RAM[909] = 32'd0;
+    RAM[910] = 32'd0;
+    RAM[911] = 32'd0;
+    RAM[912] = 32'd0;
+    RAM[913] = 32'd0;
+    RAM[914] = 32'd0;
+    RAM[915] = 32'd0;
+    RAM[916] = 32'd0;
+    RAM[917] = 32'd0;
+    RAM[918] = 32'd0;
+    RAM[919] = 32'd0;
+    RAM[920] = 32'd0;
+    RAM[921] = 32'd0;
+    RAM[922] = 32'd0;
+    RAM[923] = 32'd0;
+    RAM[924] = 32'd0;
+    RAM[925] = 32'd0;
+    RAM[926] = 32'd0;
+    RAM[927] = 32'd0;
+    RAM[928] = 32'd0;
+    RAM[929] = 32'd0;
+    RAM[930] = 32'd0;
+    RAM[931] = 32'd0;
+    RAM[932] = 32'd0;
+    RAM[933] = 32'd0;
+    RAM[934] = 32'd0;
+    RAM[935] = 32'd0;
+    RAM[936] = 32'd0;
+    RAM[937] = 32'd0;
+    RAM[938] = 32'd0;
+    RAM[939] = 32'd0;
+    RAM[940] = 32'd0;
+    RAM[941] = 32'd0;
+    RAM[942] = 32'd0;
+    RAM[943] = 32'd0;
+    RAM[944] = 32'd0;
+    RAM[945] = 32'd0;
+    RAM[946] = 32'd0;
+    RAM[947] = 32'd0;
+    RAM[948] = 32'd0;
+    RAM[949] = 32'd0;
+    RAM[950] = 32'd0;
+    RAM[951] = 32'd0;
+    RAM[952] = 32'd0;
+    RAM[953] = 32'd0;
+    RAM[954] = 32'd0;
+    RAM[955] = 32'd0;
+    RAM[956] = 32'd0;
+    RAM[957] = 32'd0;
+    RAM[958] = 32'd0;
+    RAM[959] = 32'd0;
+    RAM[960] = 32'd0;
+    RAM[961] = 32'd0;
+    RAM[962] = 32'd0;
+    RAM[963] = 32'd0;
+    RAM[964] = 32'd0;
+    RAM[965] = 32'd0;
+    RAM[966] = 32'd0;
+    RAM[967] = 32'd0;
+    RAM[968] = 32'd0;
+    RAM[969] = 32'd0;
+    RAM[970] = 32'd0;
+    RAM[971] = 32'd0;
+    RAM[972] = 32'd0;
+    RAM[973] = 32'd0;
+    RAM[974] = 32'd0;
+    RAM[975] = 32'd0;
+    RAM[976] = 32'd0;
+    RAM[977] = 32'd0;
+    RAM[978] = 32'd0;
+    RAM[979] = 32'd0;
+    RAM[980] = 32'd0;
+    RAM[981] = 32'd0;
+    RAM[982] = 32'd0;
+    RAM[983] = 32'd0;
+    RAM[984] = 32'd0;
+    RAM[985] = 32'd0;
+    RAM[986] = 32'd0;
+    RAM[987] = 32'd0;
+    RAM[988] = 32'd0;
+    RAM[989] = 32'd0;
+    RAM[990] = 32'd0;
+    RAM[991] = 32'd0;
+    RAM[992] = 32'd0;
+    RAM[993] = 32'd0;
+    RAM[994] = 32'd0;
+    RAM[995] = 32'd0;
+    RAM[996] = 32'd0;
+    RAM[997] = 32'd0;
+    RAM[998] = 32'd0;
+    RAM[999] = 32'd0;
+    RAM[1000] = 32'd0;
+    RAM[1001] = 32'd0;
+    RAM[1002] = 32'd0;
+    RAM[1003] = 32'd0;
+    RAM[1004] = 32'd0;
+    RAM[1005] = 32'd0;
+    RAM[1006] = 32'd0;
+    RAM[1007] = 32'd0;
+    RAM[1008] = 32'd0;
+    RAM[1009] = 32'd0;
+    RAM[1010] = 32'd0;
+    RAM[1011] = 32'd0;
+    RAM[1012] = 32'd0;
+    RAM[1013] = 32'd0;
+    RAM[1014] = 32'd0;
+    RAM[1015] = 32'd0;
+    RAM[1016] = 32'd0;
+    RAM[1017] = 32'd0;
+    RAM[1018] = 32'd0;
+    RAM[1019] = 32'd0;
+    RAM[1020] = 32'd0;
+    RAM[1021] = 32'd0;
+    RAM[1022] = 32'd0;
+    RAM[1023] = 32'd0;
+  end
+  assign _121_ = RAM[ADDRA];
+  assign _120_ = RAM[ADDRA];
+  assign _119_ = RAM[ADDRA];
+  assign _118_ = RAM[ADDRA];
+  assign _117_ = RAM[ADDRB];
+  assign _116_ = RAM[ADDRB];
+  assign _115_ = RAM[ADDRB];
+  assign _114_ = RAM[ADDRB];
+  always @* begin
+    if (\$auto$verilog_backend.cc:2083:dump_module$279 ) begin end
+    (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:66.7-69.48" *)
+    casez (1'h0)
+      /* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:68.7-68.11" */
+      default:
+          /* empty */;
+    endcase
+  end
+  always @* begin
+  end
+  initial begin
+  end
+  always @* begin
+    if (\$auto$verilog_backend.cc:2083:dump_module$279 ) begin end
+    _032_ = DOA_R;
+  end
+  always @(posedge CLKA) begin
+      DOA_R2 <= _032_;
+  end
+  always @* begin
+    if (\$auto$verilog_backend.cc:2083:dump_module$279 ) begin end
+    _037_ = DOB_R;
+  end
+  always @(posedge CLKB) begin
+      DOB_R2 <= _037_;
+  end
+  always @* begin
+    if (\$auto$verilog_backend.cc:2083:dump_module$279 ) begin end
+    _036_ = DOA_R[7:0];
+    _008_ = _050_;
+    _009_ = _051_;
+    _010_ = _052_;
+    _004_ = _046_;
+    (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:77.13-85.16" *)
+    casez (ENA)
+      /* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:77.17-77.20" */
+      1'h1:
+        begin
+          _050_ = _082_;
+          _051_ = _083_;
+          _052_ = _084_;
+          _046_ = _078_;
+          (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:78.16-84.19" *)
+          casez (WEA[0])
+            /* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:78.20-78.26" */
+            1'h1:
+              begin
+                _078_ = _110_;
+                _082_ = ADDRA;
+                _083_ = { 24'h000000, DIA[7:0] };
+                _084_ = 32'd255;
+                _036_ = DIA[7:0];
+              end
+            /* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:82.16-82.20" */
+            default:
+              begin
+                _082_ = 10'hxxx;
+                _083_ = 32'hxxxxxxxx;
+                _084_ = 32'd0;
+                _078_ = _118_;
+                _036_ = _118_[7:0];
+              end
+          endcase
+        end
+      default:
+        begin
+          _050_ = 10'hxxx;
+          _051_ = 32'hxxxxxxxx;
+          _052_ = 32'd0;
+          _046_ = _110_;
+        end
+    endcase
+  end
+  always @(posedge CLKA) begin
+      DOA_R[7:0] <= _036_;
+      _122_ <= _008_;
+      _123_ <= _009_;
+      _124_ <= _010_;
+      _110_ <= 32'hxxxxxxxx;
+  end
+  always @* begin
+    if (\$auto$verilog_backend.cc:2083:dump_module$279 ) begin end
+    _033_ = DOA_R[15:8];
+    _011_ = _053_;
+    _012_ = _054_;
+    _013_ = _055_;
+    _005_ = _047_;
+    (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:77.13-85.16" *)
+    casez (ENA)
+      /* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:77.17-77.20" */
+      1'h1:
+        begin
+          _053_ = _085_;
+          _054_ = _086_;
+          _055_ = _087_;
+          _047_ = _079_;
+          (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:78.16-84.19" *)
+          casez (WEA[1])
+            /* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:78.20-78.26" */
+            1'h1:
+              begin
+                _079_ = _111_;
+                _085_ = ADDRA;
+                _086_ = { 16'h0000, DIA[15:8], 8'hxx };
+                _087_ = 32'd65280;
+                _033_ = DIA[15:8];
+              end
+            /* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:82.16-82.20" */
+            default:
+              begin
+                _085_ = 10'hxxx;
+                _086_ = 32'hxxxxxxxx;
+                _087_ = 32'd0;
+                _079_ = _119_;
+                _033_ = _119_[15:8];
+              end
+          endcase
+        end
+      default:
+        begin
+          _053_ = 10'hxxx;
+          _054_ = 32'hxxxxxxxx;
+          _055_ = 32'd0;
+          _047_ = _111_;
+        end
+    endcase
+  end
+  always @(posedge CLKA) begin
+      DOA_R[15:8] <= _033_;
+      _125_ <= _011_;
+      _126_ <= _012_;
+      _127_ <= _013_;
+      _111_ <= 32'hxxxxxxxx;
+  end
+  always @* begin
+    if (\$auto$verilog_backend.cc:2083:dump_module$279 ) begin end
+    _034_ = DOA_R[23:16];
+    _014_ = _056_;
+    _015_ = _057_;
+    _016_ = _058_;
+    _006_ = _048_;
+    (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:77.13-85.16" *)
+    casez (ENA)
+      /* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:77.17-77.20" */
+      1'h1:
+        begin
+          _056_ = _088_;
+          _057_ = _089_;
+          _058_ = _090_;
+          _048_ = _080_;
+          (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:78.16-84.19" *)
+          casez (WEA[2])
+            /* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:78.20-78.26" */
+            1'h1:
+              begin
+                _080_ = _112_;
+                _088_ = ADDRA;
+                _089_ = { 8'h00, DIA[23:16], 16'hxxxx };
+                _090_ = 32'd16711680;
+                _034_ = DIA[23:16];
+              end
+            /* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:82.16-82.20" */
+            default:
+              begin
+                _088_ = 10'hxxx;
+                _089_ = 32'hxxxxxxxx;
+                _090_ = 32'd0;
+                _080_ = _120_;
+                _034_ = _120_[23:16];
+              end
+          endcase
+        end
+      default:
+        begin
+          _056_ = 10'hxxx;
+          _057_ = 32'hxxxxxxxx;
+          _058_ = 32'd0;
+          _048_ = _112_;
+        end
+    endcase
+  end
+  always @(posedge CLKA) begin
+      DOA_R[23:16] <= _034_;
+      _128_ <= _014_;
+      _129_ <= _015_;
+      _130_ <= _016_;
+      _112_ <= 32'hxxxxxxxx;
+  end
+  always @* begin
+    if (\$auto$verilog_backend.cc:2083:dump_module$279 ) begin end
+    _035_ = DOA_R[31:24];
+    _017_ = _059_;
+    _018_ = _060_;
+    _019_ = _061_;
+    _007_ = _049_;
+    (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:77.13-85.16" *)
+    casez (ENA)
+      /* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:77.17-77.20" */
+      1'h1:
+        begin
+          _059_ = _091_;
+          _060_ = _092_;
+          _061_ = _093_;
+          _049_ = _081_;
+          (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:78.16-84.19" *)
+          casez (WEA[3])
+            /* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:78.20-78.26" */
+            1'h1:
+              begin
+                _081_ = _113_;
+                _091_ = ADDRA;
+                _092_ = { DIA[31:24], 24'hxxxxxx };
+                _093_ = 32'd4278190080;
+                _035_ = DIA[31:24];
+              end
+            /* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:82.16-82.20" */
+            default:
+              begin
+                _091_ = 10'hxxx;
+                _092_ = 32'hxxxxxxxx;
+                _093_ = 32'd0;
+                _081_ = _121_;
+                _035_ = _121_[31:24];
+              end
+          endcase
+        end
+      default:
+        begin
+          _059_ = 10'hxxx;
+          _060_ = 32'hxxxxxxxx;
+          _061_ = 32'd0;
+          _049_ = _113_;
+        end
+    endcase
+  end
+  always @(posedge CLKA) begin
+      DOA_R[31:24] <= _035_;
+      _131_ <= _017_;
+      _132_ <= _018_;
+      _133_ <= _019_;
+      _113_ <= 32'hxxxxxxxx;
+  end
+  always @* begin
+    if (\$auto$verilog_backend.cc:2083:dump_module$279 ) begin end
+    _041_ = DOB_R[7:0];
+    _020_ = _062_;
+    _021_ = _063_;
+    _022_ = _064_;
+    _000_ = _042_;
+    (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:95.13-103.16" *)
+    casez (ENB)
+      /* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:95.17-95.20" */
+      1'h1:
+        begin
+          _062_ = _094_;
+          _063_ = _095_;
+          _064_ = _096_;
+          _042_ = _074_;
+          (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:96.16-102.19" *)
+          casez (WEB[0])
+            /* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:96.20-96.26" */
+            1'h1:
+              begin
+                _074_ = _106_;
+                _094_ = ADDRB;
+                _095_ = { 24'h000000, DIB[7:0] };
+                _096_ = 32'd255;
+                _041_ = DIB[7:0];
+              end
+            /* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:100.16-100.20" */
+            default:
+              begin
+                _094_ = 10'hxxx;
+                _095_ = 32'hxxxxxxxx;
+                _096_ = 32'd0;
+                _074_ = _114_;
+                _041_ = _114_[7:0];
+              end
+          endcase
+        end
+      default:
+        begin
+          _062_ = 10'hxxx;
+          _063_ = 32'hxxxxxxxx;
+          _064_ = 32'd0;
+          _042_ = _106_;
+        end
+    endcase
+  end
+  always @(posedge CLKB) begin
+      DOB_R[7:0] <= _041_;
+      _134_ <= _020_;
+      _135_ <= _021_;
+      _136_ <= _022_;
+      _106_ <= 32'hxxxxxxxx;
+  end
+  always @* begin
+    if (\$auto$verilog_backend.cc:2083:dump_module$279 ) begin end
+    _038_ = DOB_R[15:8];
+    _023_ = _065_;
+    _024_ = _066_;
+    _025_ = _067_;
+    _001_ = _043_;
+    (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:95.13-103.16" *)
+    casez (ENB)
+      /* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:95.17-95.20" */
+      1'h1:
+        begin
+          _065_ = _097_;
+          _066_ = _098_;
+          _067_ = _099_;
+          _043_ = _075_;
+          (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:96.16-102.19" *)
+          casez (WEB[1])
+            /* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:96.20-96.26" */
+            1'h1:
+              begin
+                _075_ = _107_;
+                _097_ = ADDRB;
+                _098_ = { 16'h0000, DIB[15:8], 8'hxx };
+                _099_ = 32'd65280;
+                _038_ = DIB[15:8];
+              end
+            /* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:100.16-100.20" */
+            default:
+              begin
+                _097_ = 10'hxxx;
+                _098_ = 32'hxxxxxxxx;
+                _099_ = 32'd0;
+                _075_ = _115_;
+                _038_ = _115_[15:8];
+              end
+          endcase
+        end
+      default:
+        begin
+          _065_ = 10'hxxx;
+          _066_ = 32'hxxxxxxxx;
+          _067_ = 32'd0;
+          _043_ = _107_;
+        end
+    endcase
+  end
+  always @(posedge CLKB) begin
+      DOB_R[15:8] <= _038_;
+      _137_ <= _023_;
+      _138_ <= _024_;
+      _139_ <= _025_;
+      _107_ <= 32'hxxxxxxxx;
+  end
+  always @* begin
+    if (\$auto$verilog_backend.cc:2083:dump_module$279 ) begin end
+    _039_ = DOB_R[23:16];
+    _026_ = _068_;
+    _027_ = _069_;
+    _028_ = _070_;
+    _002_ = _044_;
+    (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:95.13-103.16" *)
+    casez (ENB)
+      /* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:95.17-95.20" */
+      1'h1:
+        begin
+          _068_ = _100_;
+          _069_ = _101_;
+          _070_ = _102_;
+          _044_ = _076_;
+          (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:96.16-102.19" *)
+          casez (WEB[2])
+            /* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:96.20-96.26" */
+            1'h1:
+              begin
+                _076_ = _108_;
+                _100_ = ADDRB;
+                _101_ = { 8'h00, DIB[23:16], 16'hxxxx };
+                _102_ = 32'd16711680;
+                _039_ = DIB[23:16];
+              end
+            /* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:100.16-100.20" */
+            default:
+              begin
+                _100_ = 10'hxxx;
+                _101_ = 32'hxxxxxxxx;
+                _102_ = 32'd0;
+                _076_ = _116_;
+                _039_ = _116_[23:16];
+              end
+          endcase
+        end
+      default:
+        begin
+          _068_ = 10'hxxx;
+          _069_ = 32'hxxxxxxxx;
+          _070_ = 32'd0;
+          _044_ = _108_;
+        end
+    endcase
+  end
+  always @(posedge CLKB) begin
+      DOB_R[23:16] <= _039_;
+      _140_ <= _026_;
+      _141_ <= _027_;
+      _142_ <= _028_;
+      _108_ <= 32'hxxxxxxxx;
+  end
+  always @* begin
+    if (\$auto$verilog_backend.cc:2083:dump_module$279 ) begin end
+    _040_ = DOB_R[31:24];
+    _029_ = _071_;
+    _030_ = _072_;
+    _031_ = _073_;
+    _003_ = _045_;
+    (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:95.13-103.16" *)
+    casez (ENB)
+      /* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:95.17-95.20" */
+      1'h1:
+        begin
+          _071_ = _103_;
+          _072_ = _104_;
+          _073_ = _105_;
+          _045_ = _077_;
+          (* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:96.16-102.19" *)
+          casez (WEB[3])
+            /* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:96.20-96.26" */
+            1'h1:
+              begin
+                _077_ = _109_;
+                _103_ = ADDRB;
+                _104_ = { DIB[31:24], 24'hxxxxxx };
+                _105_ = 32'd4278190080;
+                _040_ = DIB[31:24];
+              end
+            /* src = "external/bluespec/lib/Verilog/BRAM2BELoad.v:100.16-100.20" */
+            default:
+              begin
+                _103_ = 10'hxxx;
+                _104_ = 32'hxxxxxxxx;
+                _105_ = 32'd0;
+                _077_ = _117_;
+                _040_ = _117_[31:24];
+              end
+          endcase
+        end
+      default:
+        begin
+          _071_ = 10'hxxx;
+          _072_ = 32'hxxxxxxxx;
+          _073_ = 32'd0;
+          _045_ = _109_;
+        end
+    endcase
+  end
+  always @(posedge CLKB) begin
+      DOB_R[31:24] <= _040_;
+      _143_ <= _029_;
+      _144_ <= _030_;
+      _145_ <= _031_;
+      _109_ <= 32'hxxxxxxxx;
+  end
+  assign DOA = DOA_R;
+  assign DOB = DOB_R;
+endmodule
+
+(* hdlname = "\\mkQF100Memory" *)
+(* top =  1  *)
+(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:42.1-647.10" *)
+module mkQF100Memory(
+`ifdef USE_POWER_PINS
+    VPWR,
+    VGND,
+`endif
+    CLK, RST_N, memory_imem_request_put, EN_memory_imem_request_put, RDY_memory_imem_request_put, EN_memory_imem_response_get, memory_imem_response_get, RDY_memory_imem_response_get, memory_dmem_request_put, EN_memory_dmem_request_put, RDY_memory_dmem_request_put, EN_memory_dmem_response_get, memory_dmem_response_get, RDY_memory_dmem_response_get);
+`ifdef USE_POWER_PINS
+    inout VPWR;
+    inout VGND;
+`endif
+  reg \$auto$verilog_backend.cc:2083:dump_module$280  = 0;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:533.3-564.6" *)
+  reg [2:0] _000_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:533.3-564.6" *)
+  reg [1:0] _001_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:533.3-564.6" *)
+  reg [2:0] _002_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:533.3-564.6" *)
+  reg [1:0] _003_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:533.3-564.6" *)
+  reg [47:0] _004_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:533.3-564.6" *)
+  reg [100:0] _005_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:501.3-510.6" *)
+  reg [31:0] _006_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:520.3-529.6" *)
+  reg [3:0] _007_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:485.3-500.6" *)
+  reg [31:0] _008_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:511.3-519.6" *)
+  reg [3:0] _009_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:501.3-510.6" *)
+  reg [31:0] _010_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:520.3-529.6" *)
+  reg [3:0] _011_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:485.3-500.6" *)
+  reg [31:0] _012_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:511.3-519.6" *)
+  reg [3:0] _013_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:453.7-454.49" *)
+  wire [2:0] _014_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:453.7-455.50" *)
+  wire [2:0] _015_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:457.7-458.59" *)
+  wire [2:0] _016_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:457.7-459.50" *)
+  wire [2:0] _017_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:298.10-298.48" *)
+  wire _018_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:299.3-299.41" *)
+  wire _019_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:300.3-300.41" *)
+  wire _020_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:304.35-304.68" *)
+  wire _021_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:535.9-535.22" *)
+  wire _022_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:202.7-204.53" *)
+  wire _023_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:208.7-209.51" *)
+  wire _024_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:208.7-210.42" *)
+  wire _025_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:208.7-211.39" *)
+  wire _026_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:219.7-221.55" *)
+  wire _027_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:219.7-222.53" *)
+  wire _028_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:275.7-276.51" *)
+  wire _029_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:280.7-281.51" *)
+  wire _030_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:280.7-282.34" *)
+  wire _031_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:280.7-283.53" *)
+  wire _032_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:287.7-288.51" *)
+  wire _033_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:287.7-289.34" *)
+  wire _034_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:287.7-290.53" *)
+  wire _035_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:294.7-295.63" *)
+  wire _036_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:297.9-297.68" *)
+  wire _037_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:297.9-300.42" *)
+  wire _038_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:308.7-310.38" *)
+  wire _039_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:308.7-311.38" *)
+  wire _040_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:314.7-315.53" *)
+  wire _041_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:317.7-319.38" *)
+  wire _042_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:317.7-320.38" *)
+  wire _043_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:323.7-324.53" *)
+  wire _044_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:326.7-329.56" *)
+  wire _045_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:346.7-347.64" *)
+  wire _046_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:419.7-420.63" *)
+  wire _047_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:427.7-428.35" *)
+  wire _048_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:427.7-429.53" *)
+  wire _049_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:432.7-433.34" *)
+  wire _050_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:432.7-434.54" *)
+  wire _051_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:441.7-442.35" *)
+  wire _052_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:441.7-443.53" *)
+  wire _053_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:446.7-447.34" *)
+  wire _054_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:446.7-448.54" *)
+  wire _055_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:208.7-208.39" *)
+  wire _056_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:210.7-210.42" *)
+  wire _057_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:211.7-211.39" *)
+  wire _058_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:297.9-297.37" *)
+  wire _059_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:308.8-308.40" *)
+  wire _060_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:314.7-314.53" *)
+  wire _061_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:317.8-317.40" *)
+  wire _062_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:323.7-323.53" *)
+  wire _063_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:328.8-328.56" *)
+  wire _064_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:339.9-339.57" *)
+  wire _065_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:347.7-347.64" *)
+  wire _066_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:428.7-428.35" *)
+  wire _067_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:434.7-434.54" *)
+  wire _068_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:442.7-442.35" *)
+  wire _069_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:448.7-448.54" *)
+  wire _070_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:202.8-203.54" *)
+  wire _071_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:220.8-221.54" *)
+  wire _072_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:298.10-299.41" *)
+  wire _073_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:298.10-300.41" *)
+  wire _074_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:304.9-304.68" *)
+  wire _075_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:308.8-309.52" *)
+  wire _076_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:313.7-315.53" *)
+  wire _077_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:317.8-318.52" *)
+  wire _078_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:322.7-324.53" *)
+  wire _079_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:326.8-327.39" *)
+  wire _080_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:328.8-329.55" *)
+  wire _081_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:335.7-336.38" *)
+  wire _082_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:339.9-340.56" *)
+  wire _083_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:372.7-372.64" *)
+  wire _084_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:383.7-384.34" *)
+  wire _085_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:419.7-421.38" *)
+  wire _086_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:426.7-429.53" *)
+  wire _087_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:431.7-434.54" *)
+  wire _088_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:440.7-443.53" *)
+  wire _089_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:445.7-448.54" *)
+  wire _090_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:194.7-194.52" *)
+  wire _091_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:461.7-461.52" *)
+  wire _092_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:465.7-465.48" *)
+  wire _093_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:303.9-303.42" *)
+  wire _094_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:198.7-200.30" *)
+  wire [31:0] _095_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:215.7-217.30" *)
+  wire [31:0] _096_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:331.7-333.66" *)
+  wire [1:0] _097_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:342.7-344.27" *)
+  wire [47:0] _098_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:355.7-357.39" *)
+  wire [47:0] _099_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:359.7-361.23" *)
+  wire [100:0] _100_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:364.7-366.35" *)
+  wire [100:0] _101_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:376.7-376.47" *)
+  wire [1:0] _102_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:388.7-390.13" *)
+  wire [1:0] _103_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:404.7-406.34" *)
+  wire [9:0] _104_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:409.7-411.33" *)
+  wire [31:0] _105_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:414.7-416.34" *)
+  wire [3:0] _106_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:454.8-454.48" *)
+  wire [2:0] _107_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:455.8-455.49" *)
+  wire [2:0] _108_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:458.8-458.58" *)
+  wire [2:0] _109_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:459.8-459.49" *)
+  wire [2:0] _110_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:472.7-472.69" *)
+  wire [31:0] _111_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:474.7-474.69" *)
+  wire [3:0] _112_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:476.7-476.69" *)
+  wire [3:0] _113_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:478.7-478.69" *)
+  wire [31:0] _114_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:480.7-482.20" *)
+  wire [31:0] _115_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:484.7-484.54" *)
+  wire [3:0] _116_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:194.8-194.44" *)
+  wire [2:0] _117_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:461.8-461.44" *)
+  wire [2:0] _118_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:60.10-60.13" *)
+  input CLK;
+  wire CLK;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:75.10-75.36" *)
+  input EN_memory_dmem_request_put;
+  wire EN_memory_dmem_request_put;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:79.10-79.37" *)
+  input EN_memory_dmem_response_get;
+  wire EN_memory_dmem_response_get;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:65.10-65.36" *)
+  input EN_memory_imem_request_put;
+  wire EN_memory_imem_request_put;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:69.10-69.37" *)
+  input EN_memory_imem_response_get;
+  wire EN_memory_imem_response_get;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:172.8-172.44" *)
+  wire \MUX_inner_bram_memory$b_put_1__SEL_1 ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:170.16-170.73" *)
+  wire [1:0] \MUX_inner_bram_serverAdapterB_writeWithResp$wset_1__VAL_1 ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:171.9-171.66" *)
+  wire [1:0] \MUX_inner_bram_serverAdapterB_writeWithResp$wset_1__VAL_2 ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:76.10-76.37" *)
+  output RDY_memory_dmem_request_put;
+  wire RDY_memory_dmem_request_put;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:81.10-81.38" *)
+  output RDY_memory_dmem_response_get;
+  wire RDY_memory_dmem_response_get;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:66.10-66.37" *)
+  output RDY_memory_imem_request_put;
+  wire RDY_memory_imem_request_put;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:71.10-71.38" *)
+  output RDY_memory_imem_response_get;
+  wire RDY_memory_imem_response_get;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:61.10-61.15" *)
+  input RST_N;
+  wire RST_N;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:165.8-165.64" *)
+  wire WILL_FIRE_RL_inner_bram_serverAdapterA_outData_enqAndDeq;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:166.8-166.64" *)
+  wire WILL_FIRE_RL_inner_bram_serverAdapterB_outData_enqAndDeq;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:167.8-167.39" *)
+  wire WILL_FIRE_RL_inner_delayed_dmem;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:142.16-142.39" *)
+  wire [9:0] \inner_bram_memory$ADDRA ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:142.41-142.64" *)
+  wire [9:0] \inner_bram_memory$ADDRB ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:138.17-138.38" *)
+  wire [31:0] \inner_bram_memory$DIA ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:139.3-139.24" *)
+  wire [31:0] \inner_bram_memory$DIB ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:140.3-140.24" *)
+  wire [31:0] \inner_bram_memory$DOA ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:141.3-141.24" *)
+  wire [31:0] \inner_bram_memory$DOB ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:144.8-144.29" *)
+  wire \inner_bram_memory$ENA ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:144.31-144.52" *)
+  wire \inner_bram_memory$ENB ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:143.16-143.37" *)
+  wire [3:0] \inner_bram_memory$WEA ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:143.39-143.60" *)
+  wire [3:0] \inner_bram_memory$WEB ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:108.15-108.44" *)
+  reg [2:0] inner_bram_serverAdapterA_cnt;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:109.16-109.50" *)
+  wire [2:0] \inner_bram_serverAdapterA_cnt$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:110.8-110.40" *)
+  wire \inner_bram_serverAdapterA_cnt$EN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:187.16-187.72" *)
+  wire [2:0] inner_bram_serverAdapterA_cnt_7_PLUS_IF_inner__ETC___d33;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:149.8-149.49" *)
+  wire \inner_bram_serverAdapterA_outDataCore$CLR ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:150.8-150.49" *)
+  wire \inner_bram_serverAdapterA_outDataCore$DEQ ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:147.17-147.59" *)
+  wire [31:0] \inner_bram_serverAdapterA_outDataCore$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:148.3-148.46" *)
+  wire [31:0] \inner_bram_serverAdapterA_outDataCore$D_OUT ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:151.8-151.53" *)
+  wire \inner_bram_serverAdapterA_outDataCore$EMPTY_N ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:152.8-152.49" *)
+  wire \inner_bram_serverAdapterA_outDataCore$ENQ ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:153.8-153.52" *)
+  wire \inner_bram_serverAdapterA_outDataCore$FULL_N ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:99.8-99.54" *)
+  wire \inner_bram_serverAdapterA_outData_enqData$whas ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:100.8-100.54" *)
+  wire \inner_bram_serverAdapterA_outData_outData$whas ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:113.15-113.43" *)
+  reg [1:0] inner_bram_serverAdapterA_s1;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:114.16-114.49" *)
+  wire [1:0] \inner_bram_serverAdapterA_s1$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:115.8-115.39" *)
+  wire \inner_bram_serverAdapterA_s1$EN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:118.15-118.44" *)
+  reg [2:0] inner_bram_serverAdapterB_cnt;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:119.16-119.50" *)
+  wire [2:0] \inner_bram_serverAdapterB_cnt$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:120.8-120.40" *)
+  wire \inner_bram_serverAdapterB_cnt$EN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:101.8-101.44" *)
+  wire \inner_bram_serverAdapterB_cnt_1$whas ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:188.9-188.65" *)
+  wire [2:0] inner_bram_serverAdapterB_cnt_2_PLUS_IF_inner__ETC___d88;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:189.8-189.52" *)
+  wire inner_bram_serverAdapterB_cnt_2_SLT_3___d112;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:158.8-158.49" *)
+  wire \inner_bram_serverAdapterB_outDataCore$CLR ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:159.8-159.49" *)
+  wire \inner_bram_serverAdapterB_outDataCore$DEQ ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:156.17-156.59" *)
+  wire [31:0] \inner_bram_serverAdapterB_outDataCore$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:157.3-157.46" *)
+  wire [31:0] \inner_bram_serverAdapterB_outDataCore$D_OUT ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:160.8-160.53" *)
+  wire \inner_bram_serverAdapterB_outDataCore$EMPTY_N ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:161.8-161.49" *)
+  wire \inner_bram_serverAdapterB_outDataCore$ENQ ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:162.8-162.52" *)
+  wire \inner_bram_serverAdapterB_outDataCore$FULL_N ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:102.8-102.54" *)
+  wire \inner_bram_serverAdapterB_outData_enqData$whas ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:103.8-103.54" *)
+  wire \inner_bram_serverAdapterB_outData_outData$whas ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:123.15-123.43" *)
+  reg [1:0] inner_bram_serverAdapterB_s1;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:124.16-124.49" *)
+  wire [1:0] \inner_bram_serverAdapterB_s1$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:125.8-125.39" *)
+  wire \inner_bram_serverAdapterB_s1$EN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:97.16-97.51" *)
+  wire [1:0] \inner_bram_serverAdapterB_s1_1$wget ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:98.9-98.53" *)
+  wire [1:0] \inner_bram_serverAdapterB_writeWithResp$wget ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:104.8-104.52" *)
+  wire \inner_bram_serverAdapterB_writeWithResp$whas ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:128.16-128.34" *)
+  reg [47:0] inner_delayFIFO_rv;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:129.17-129.40" *)
+  wire [47:0] \inner_delayFIFO_rv$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:130.8-130.29" *)
+  wire \inner_delayFIFO_rv$EN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:105.8-105.42" *)
+  wire \inner_delayFIFO_rv$EN_port1__write ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:94.17-94.47" *)
+  wire [47:0] \inner_delayFIFO_rv$port1__read ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:95.3-95.36" *)
+  wire [47:0] \inner_delayFIFO_rv$port1__write_1 ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:96.3-96.33" *)
+  wire [47:0] \inner_delayFIFO_rv$port2__read ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:133.17-133.31" *)
+  reg [100:0] inner_waitQ_rv;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:134.18-134.37" *)
+  wire [100:0] \inner_waitQ_rv$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:135.8-135.25" *)
+  wire \inner_waitQ_rv$EN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:91.18-91.44" *)
+  wire [100:0] \inner_waitQ_rv$port1__read ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:92.4-92.33" *)
+  wire [100:0] \inner_waitQ_rv$port1__write_1 ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:93.4-93.30" *)
+  wire [100:0] \inner_waitQ_rv$port2__read ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:74.19-74.42" *)
+  input [99:0] memory_dmem_request_put;
+  wire [99:0] memory_dmem_request_put;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:177.17-177.58" *)
+  wire [31:0] memory_dmem_request_put_BITS_66_TO_35__q1;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:190.8-190.64" *)
+  wire memory_dmem_request_put_BITS_99_TO_68_36_ULT_1024___d155;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:80.19-80.43" *)
+  output [31:0] memory_dmem_response_get;
+  wire [31:0] memory_dmem_response_get;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:64.19-64.42" *)
+  input [31:0] memory_imem_request_put;
+  wire [31:0] memory_imem_request_put;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:70.19-70.43" *)
+  output [31:0] memory_imem_response_get;
+  wire [31:0] memory_imem_response_get;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:178.3-178.11" *)
+  wire [31:0] v__h4917;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:179.3-179.14" *)
+  wire [31:0] valB__h4155;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:180.3-180.14" *)
+  wire [31:0] valH__h4059;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:175.16-175.40" *)
+  reg [31:0] x1_avValue_datain__h4292;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:181.3-181.27" *)
+  wire [31:0] x1_avValue_datain__h4469;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:176.15-176.40" *)
+  reg [3:0] x1_avValue_writeen__h4289;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:184.16-184.41" *)
+  wire [3:0] x1_avValue_writeen__h4466;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:185.9-185.34" *)
+  wire [3:0] x1_avValue_writeen__h4485;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:182.3-182.12" *)
+  wire [31:0] x3__h4574;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:183.3-183.26" *)
+  wire [31:0] y_avValue_datain__h4148;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:175.42-175.65" *)
+  reg [31:0] y_avValue_datain__h4272;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:186.9-186.33" *)
+  wire [3:0] y_avValue_writeen__h4145;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:176.42-176.66" *)
+  reg [3:0] y_avValue_writeen__h4269;
+  assign _014_ = inner_bram_serverAdapterA_cnt + (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:453.7-454.49" *) _107_;
+  assign _015_ = _014_ + (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:453.7-455.50" *) _108_;
+  assign _016_ = inner_bram_serverAdapterB_cnt + (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:457.7-458.59" *) _109_;
+  assign _017_ = _016_ + (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:457.7-459.50" *) _110_;
+  assign _018_ = memory_dmem_request_put[34:33] == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:298.10-298.48" *) 2'h0;
+  assign _019_ = memory_dmem_request_put[34:33] == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:299.3-299.41" *) 2'h1;
+  assign _020_ = memory_dmem_request_put[34:33] == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:300.3-300.41" *) 2'h2;
+  assign _021_ = inner_delayFIFO_rv[46:43] == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:304.35-304.68" *) 4'h0;
+  assign _022_ = RST_N == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:535.9-535.22" *) 1'h0;
+  assign _023_ = _071_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:202.7-204.53" *) \inner_bram_serverAdapterA_outData_outData$whas ;
+  assign _024_ = _056_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:208.7-209.51" *) inner_bram_serverAdapterB_cnt_2_SLT_3___d112;
+  assign _025_ = _024_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:208.7-210.42" *) _057_;
+  assign _026_ = _025_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:208.7-211.39" *) _058_;
+  assign _027_ = inner_waitQ_rv[100] && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:219.7-221.55" *) _072_;
+  assign _028_ = _027_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:219.7-222.53" *) \inner_bram_serverAdapterB_outData_outData$whas ;
+  assign _029_ = inner_delayFIFO_rv[47] && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:275.7-276.51" *) inner_bram_serverAdapterB_cnt_2_SLT_3___d112;
+  assign _030_ = \inner_bram_serverAdapterA_outDataCore$EMPTY_N  && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:280.7-281.51" *) \inner_bram_serverAdapterA_outDataCore$FULL_N ;
+  assign _031_ = _030_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:280.7-282.34" *) EN_memory_imem_response_get;
+  assign _032_ = _031_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:280.7-283.53" *) \inner_bram_serverAdapterA_outData_enqData$whas ;
+  assign _033_ = \inner_bram_serverAdapterB_outDataCore$EMPTY_N  && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:287.7-288.51" *) \inner_bram_serverAdapterB_outDataCore$FULL_N ;
+  assign _034_ = _033_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:287.7-289.34" *) EN_memory_dmem_response_get;
+  assign _035_ = _034_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:287.7-290.53" *) \inner_bram_serverAdapterB_outData_enqData$whas ;
+  assign _036_ = EN_memory_dmem_request_put && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:294.7-295.63" *) memory_dmem_request_put_BITS_99_TO_68_36_ULT_1024___d155;
+  assign _037_ = _059_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:297.9-297.68" *) memory_dmem_request_put[67];
+  assign _038_ = _037_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:297.9-300.42" *) _074_;
+  assign _039_ = _076_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:308.7-310.38" *) inner_bram_serverAdapterA_s1[1];
+  assign _040_ = _039_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:308.7-311.38" *) inner_bram_serverAdapterA_s1[0];
+  assign _041_ = _061_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:314.7-315.53" *) \inner_bram_serverAdapterA_outData_enqData$whas ;
+  assign _042_ = _078_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:317.7-319.38" *) inner_bram_serverAdapterB_s1[1];
+  assign _043_ = _042_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:317.7-320.38" *) inner_bram_serverAdapterB_s1[0];
+  assign _044_ = _063_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:323.7-324.53" *) \inner_bram_serverAdapterB_outData_enqData$whas ;
+  assign _045_ = _080_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:326.7-329.56" *) _081_;
+  assign _046_ = EN_memory_dmem_request_put && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:346.7-347.64" *) _066_;
+  assign _047_ = EN_memory_dmem_request_put && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:419.7-420.63" *) memory_dmem_request_put_BITS_99_TO_68_36_ULT_1024___d155;
+  assign _048_ = \inner_bram_serverAdapterA_outDataCore$FULL_N  && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:427.7-428.35" *) _067_;
+  assign _049_ = _048_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:427.7-429.53" *) \inner_bram_serverAdapterA_outData_enqData$whas ;
+  assign _050_ = \inner_bram_serverAdapterA_outDataCore$EMPTY_N  && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:432.7-433.34" *) EN_memory_imem_response_get;
+  assign _051_ = _050_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:432.7-434.54" *) _068_;
+  assign _052_ = \inner_bram_serverAdapterB_outDataCore$FULL_N  && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:441.7-442.35" *) _069_;
+  assign _053_ = _052_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:441.7-443.53" *) \inner_bram_serverAdapterB_outData_enqData$whas ;
+  assign _054_ = \inner_bram_serverAdapterB_outDataCore$EMPTY_N  && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:446.7-447.34" *) EN_memory_dmem_response_get;
+  assign _055_ = _054_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:446.7-448.54" *) _070_;
+  assign _056_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:208.7-208.39" *) \inner_waitQ_rv$port1__read [100];
+  assign _057_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:210.7-210.42" *) \inner_delayFIFO_rv$port1__read [47];
+  assign _058_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:211.7-211.39" *) WILL_FIRE_RL_inner_delayed_dmem;
+  assign _059_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:297.9-297.37" *) memory_dmem_request_put[32];
+  assign _060_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:308.8-308.40" *) inner_bram_serverAdapterA_s1[0];
+  assign _061_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:314.7-314.53" *) \inner_bram_serverAdapterA_outDataCore$EMPTY_N ;
+  assign _062_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:317.8-317.40" *) inner_bram_serverAdapterB_s1[0];
+  assign _063_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:323.7-323.53" *) \inner_bram_serverAdapterB_outDataCore$EMPTY_N ;
+  assign _064_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:328.8-328.56" *) \inner_bram_serverAdapterB_writeWithResp$wget [1];
+  assign _065_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:339.9-339.57" *) \inner_bram_serverAdapterB_writeWithResp$wget [1];
+  assign _066_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:347.7-347.64" *) memory_dmem_request_put_BITS_99_TO_68_36_ULT_1024___d155;
+  assign _067_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:428.7-428.35" *) EN_memory_imem_response_get;
+  assign _068_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:434.7-434.54" *) \inner_bram_serverAdapterA_outData_enqData$whas ;
+  assign _069_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:442.7-442.35" *) EN_memory_dmem_response_get;
+  assign _070_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:448.7-448.54" *) \inner_bram_serverAdapterB_outData_enqData$whas ;
+  assign _071_ = \inner_bram_serverAdapterA_outDataCore$EMPTY_N  || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:202.8-203.54" *) \inner_bram_serverAdapterA_outData_enqData$whas ;
+  assign _072_ = \inner_bram_serverAdapterB_outDataCore$EMPTY_N  || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:220.8-221.54" *) \inner_bram_serverAdapterB_outData_enqData$whas ;
+  assign _073_ = _018_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:298.10-299.41" *) _019_;
+  assign _074_ = _073_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:298.10-300.41" *) _020_;
+  assign _075_ = inner_delayFIFO_rv[42] || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:304.9-304.68" *) _021_;
+  assign _076_ = _060_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:308.8-309.52" *) \inner_bram_serverAdapterA_outDataCore$FULL_N ;
+  assign _077_ = \inner_bram_serverAdapterA_outDataCore$EMPTY_N  || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:313.7-315.53" *) _041_;
+  assign _078_ = _062_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:317.8-318.52" *) \inner_bram_serverAdapterB_outDataCore$FULL_N ;
+  assign _079_ = \inner_bram_serverAdapterB_outDataCore$EMPTY_N  || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:322.7-324.53" *) _044_;
+  assign _080_ = \MUX_inner_bram_memory$b_put_1__SEL_1  || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:326.8-327.39" *) WILL_FIRE_RL_inner_delayed_dmem;
+  assign _081_ = _064_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:328.8-329.55" *) \inner_bram_serverAdapterB_writeWithResp$wget [0];
+  assign _082_ = \MUX_inner_bram_memory$b_put_1__SEL_1  || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:335.7-336.38" *) WILL_FIRE_RL_inner_delayed_dmem;
+  assign _083_ = _065_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:339.9-340.56" *) \inner_bram_serverAdapterB_writeWithResp$wget [0];
+  assign _084_ = EN_memory_imem_request_put || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:372.7-372.64" *) EN_memory_imem_response_get;
+  assign _085_ = \inner_bram_serverAdapterB_cnt_1$whas  || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:383.7-384.34" *) EN_memory_dmem_response_get;
+  assign _086_ = _047_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:419.7-421.38" *) WILL_FIRE_RL_inner_delayed_dmem;
+  assign _087_ = WILL_FIRE_RL_inner_bram_serverAdapterA_outData_enqAndDeq || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:426.7-429.53" *) _049_;
+  assign _088_ = WILL_FIRE_RL_inner_bram_serverAdapterA_outData_enqAndDeq || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:431.7-434.54" *) _051_;
+  assign _089_ = WILL_FIRE_RL_inner_bram_serverAdapterB_outData_enqAndDeq || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:440.7-443.53" *) _053_;
+  assign _090_ = WILL_FIRE_RL_inner_bram_serverAdapterB_outData_enqAndDeq || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:445.7-448.54" *) _055_;
+  assign _091_ = _117_ < (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:194.7-194.52" *) 3'h7;
+  assign _092_ = _118_ < (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:461.7-461.52" *) 3'h7;
+  assign _093_ = memory_dmem_request_put[99:68] < (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:465.7-465.48" *) 32'd1024;
+  assign _094_ = inner_delayFIFO_rv[46:43] != (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:303.9-303.42" *) 4'h0;
+  assign _095_ = \inner_bram_serverAdapterA_outDataCore$EMPTY_N  ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:198.7-200.30" *) \inner_bram_serverAdapterA_outDataCore$D_OUT  : \inner_bram_memory$DOA ;
+  assign _096_ = \inner_bram_serverAdapterB_outDataCore$EMPTY_N  ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:215.7-217.30" *) \inner_bram_serverAdapterB_outDataCore$D_OUT  : \inner_bram_memory$DOB ;
+  assign _097_ = \MUX_inner_bram_memory$b_put_1__SEL_1  ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:331.7-333.66" *) \MUX_inner_bram_serverAdapterB_writeWithResp$wset_1__VAL_1  : \MUX_inner_bram_serverAdapterB_writeWithResp$wset_1__VAL_2 ;
+  assign _098_ = WILL_FIRE_RL_inner_delayed_dmem ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:342.7-344.27" *) 48'h2aaaaaaaaaaa : inner_delayFIFO_rv;
+  assign _099_ = \inner_delayFIFO_rv$EN_port1__write  ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:355.7-357.39" *) \inner_delayFIFO_rv$port1__write_1  : \inner_delayFIFO_rv$port1__read ;
+  assign _100_ = EN_memory_dmem_response_get ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:359.7-361.23" *) 101'h0aaaaaaaaaaaaaaaaaaaaaaaaa : inner_waitQ_rv;
+  assign _101_ = EN_memory_dmem_request_put ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:364.7-366.35" *) \inner_waitQ_rv$port1__write_1  : \inner_waitQ_rv$port1__read ;
+  assign _102_ = EN_memory_imem_request_put ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:376.7-376.47" *) 2'h3 : 2'h0;
+  assign _103_ = \inner_bram_serverAdapterB_writeWithResp$whas  ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:388.7-390.13" *) \inner_bram_serverAdapterB_s1_1$wget  : 2'h0;
+  assign _104_ = \MUX_inner_bram_memory$b_put_1__SEL_1  ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:404.7-406.34" *) memory_dmem_request_put[79:70] : inner_delayFIFO_rv[41:32];
+  assign _105_ = \MUX_inner_bram_memory$b_put_1__SEL_1  ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:409.7-411.33" *) x3__h4574 : inner_delayFIFO_rv[31:0];
+  assign _106_ = \MUX_inner_bram_memory$b_put_1__SEL_1  ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:414.7-416.34" *) x1_avValue_writeen__h4485 : inner_delayFIFO_rv[46:43];
+  assign _107_ = EN_memory_imem_request_put ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:454.8-454.48" *) 3'h1 : 3'h0;
+  assign _108_ = EN_memory_imem_response_get ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:455.8-455.49" *) 3'h7 : 3'h0;
+  assign _109_ = \inner_bram_serverAdapterB_cnt_1$whas  ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:458.8-458.58" *) 3'h1 : 3'h0;
+  assign _110_ = EN_memory_dmem_response_get ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:459.8-459.49" *) 3'h7 : 3'h0;
+  assign _111_ = memory_dmem_request_put[67] ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:472.7-472.69" *) x1_avValue_datain__h4292 : 32'd0;
+  assign _112_ = memory_dmem_request_put[67] ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:474.7-474.69" *) x1_avValue_writeen__h4289 : 4'h0;
+  assign _113_ = memory_dmem_request_put[32] ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:476.7-476.69" *) 4'h0 : x1_avValue_writeen__h4466;
+  assign _114_ = memory_dmem_request_put[32] ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:478.7-478.69" *) 32'd0 : x1_avValue_datain__h4469;
+  assign _115_ = memory_dmem_request_put[69] ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:480.7-482.20" *) { memory_dmem_request_put_BITS_66_TO_35__q1[15:0], 16'h0000 } : valH__h4059;
+  assign _116_ = memory_dmem_request_put[69] ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:484.7-484.54" *) 4'hc : 4'h3;
+  assign _117_ = inner_bram_serverAdapterA_cnt ^ (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:194.8-194.44" *) 3'h4;
+  assign _118_ = inner_bram_serverAdapterB_cnt ^ (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:461.8-461.44" *) 3'h4;
+  (* module_not_derived = 32'd1 *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:232.18-243.36" *)
+  \$paramod$b52333466ace2575bd2e02a9088e4132595a5cfa\BRAM2BELoad  inner_bram_memory (
+    .ADDRA(\inner_bram_memory$ADDRA ),
+    .ADDRB(\inner_bram_memory$ADDRB ),
+    .CLKA(CLK),
+    .CLKB(CLK),
+    .DIA(\inner_bram_memory$DIA ),
+    .DIB(\inner_bram_memory$DIB ),
+    .DOA(\inner_bram_memory$DOA ),
+    .DOB(\inner_bram_memory$DOB ),
+    .ENA(\inner_bram_memory$ENA ),
+    .ENB(\inner_bram_memory$ENB ),
+    .WEA(\inner_bram_memory$WEA ),
+    .WEB(\inner_bram_memory$WEB )
+  );
+  (* module_not_derived = 32'd1 *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:249.24-257.69" *)
+  \$paramod$a1d64ea66053b9fc03d411f43360ceeb39a7e927\SizedFIFO  inner_bram_serverAdapterA_outDataCore (
+    .CLK(CLK),
+    .CLR(\inner_bram_serverAdapterA_outDataCore$CLR ),
+    .DEQ(\inner_bram_serverAdapterA_outDataCore$DEQ ),
+    .D_IN(\inner_bram_serverAdapterA_outDataCore$D_IN ),
+    .D_OUT(\inner_bram_serverAdapterA_outDataCore$D_OUT ),
+    .EMPTY_N(\inner_bram_serverAdapterA_outDataCore$EMPTY_N ),
+    .ENQ(\inner_bram_serverAdapterA_outDataCore$ENQ ),
+    .FULL_N(\inner_bram_serverAdapterA_outDataCore$FULL_N ),
+    .RST(RST_N)
+  );
+  (* module_not_derived = 32'd1 *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:263.24-271.69" *)
+  \$paramod$a1d64ea66053b9fc03d411f43360ceeb39a7e927\SizedFIFO  inner_bram_serverAdapterB_outDataCore (
+    .CLK(CLK),
+    .CLR(\inner_bram_serverAdapterB_outDataCore$CLR ),
+    .DEQ(\inner_bram_serverAdapterB_outDataCore$DEQ ),
+    .D_IN(\inner_bram_serverAdapterB_outDataCore$D_IN ),
+    .D_OUT(\inner_bram_serverAdapterB_outDataCore$D_OUT ),
+    .EMPTY_N(\inner_bram_serverAdapterB_outDataCore$EMPTY_N ),
+    .ENQ(\inner_bram_serverAdapterB_outDataCore$ENQ ),
+    .FULL_N(\inner_bram_serverAdapterB_outDataCore$FULL_N ),
+    .RST(RST_N)
+  );
+  always @* begin
+    if (\$auto$verilog_backend.cc:2083:dump_module$280 ) begin end
+    _008_ = _012_;
+    (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:488.5-499.12" *)
+    casez (memory_dmem_request_put[69:68])
+      /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:0.0-0.0" */
+      2'h0:
+          _012_ = { memory_dmem_request_put_BITS_66_TO_35__q1[7:0], 24'h000000 };
+      /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:0.0-0.0" */
+      2'h1:
+          _012_ = { 8'h00, memory_dmem_request_put_BITS_66_TO_35__q1[7:0], 16'h0000 };
+      /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:0.0-0.0" */
+      2'h2:
+          _012_ = { 16'h0000, memory_dmem_request_put_BITS_66_TO_35__q1[7:0], 8'h00 };
+      /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:0.0-0.0" */
+      2'h3:
+          _012_ = valB__h4155;
+      default:
+          _012_ = y_avValue_datain__h4272;
+    endcase
+  end
+  always @* begin
+      y_avValue_datain__h4272 <= _008_;
+  end
+  always @* begin
+    if (\$auto$verilog_backend.cc:2083:dump_module$280 ) begin end
+    _006_ = _010_;
+    (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:504.5-509.12" *)
+    casez (memory_dmem_request_put[34:33])
+      /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:0.0-0.0" */
+      2'h0:
+          _010_ = memory_dmem_request_put[66:35];
+      /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:0.0-0.0" */
+      2'h1:
+          _010_ = y_avValue_datain__h4148;
+      /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:0.0-0.0" */
+      2'h2:
+          _010_ = y_avValue_datain__h4272;
+      /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:0.0-0.0" */
+      2'h3:
+          _010_ = 32'd0;
+      default:
+          _010_ = x1_avValue_datain__h4292;
+    endcase
+  end
+  always @* begin
+      x1_avValue_datain__h4292 <= _006_;
+  end
+  always @* begin
+    if (\$auto$verilog_backend.cc:2083:dump_module$280 ) begin end
+    _009_ = _013_;
+    (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:513.5-518.12" *)
+    casez (memory_dmem_request_put[69:68])
+      /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:0.0-0.0" */
+      2'h0:
+          _013_ = 4'h8;
+      /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:0.0-0.0" */
+      2'h1:
+          _013_ = 4'h4;
+      /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:0.0-0.0" */
+      2'h2:
+          _013_ = 4'h2;
+      /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:0.0-0.0" */
+      2'h3:
+          _013_ = 4'h1;
+      default:
+          _013_ = y_avValue_writeen__h4269;
+    endcase
+  end
+  always @* begin
+      y_avValue_writeen__h4269 <= _009_;
+  end
+  always @* begin
+    if (\$auto$verilog_backend.cc:2083:dump_module$280 ) begin end
+    _007_ = _011_;
+    (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:523.5-528.12" *)
+    casez (memory_dmem_request_put[34:33])
+      /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:0.0-0.0" */
+      2'h0:
+          _011_ = 4'hf;
+      /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:0.0-0.0" */
+      2'h1:
+          _011_ = y_avValue_writeen__h4145;
+      /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:0.0-0.0" */
+      2'h2:
+          _011_ = y_avValue_writeen__h4269;
+      /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:0.0-0.0" */
+      2'h3:
+          _011_ = 4'h0;
+      default:
+          _011_ = x1_avValue_writeen__h4289;
+    endcase
+  end
+  always @* begin
+      x1_avValue_writeen__h4289 <= _007_;
+  end
+  always @* begin
+    if (\$auto$verilog_backend.cc:2083:dump_module$280 ) begin end
+    _000_ = inner_bram_serverAdapterA_cnt;
+    _001_ = inner_bram_serverAdapterA_s1;
+    _002_ = inner_bram_serverAdapterB_cnt;
+    _003_ = inner_bram_serverAdapterB_s1;
+    _004_ = inner_delayFIFO_rv;
+    _005_ = inner_waitQ_rv;
+    (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:535.5-563.10" *)
+    casez (_022_)
+      /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:535.9-535.22" */
+      1'h1:
+        begin
+          _000_ = 3'h0;
+          _001_ = 2'h0;
+          _002_ = 3'h0;
+          _003_ = 2'h0;
+          _004_ = 48'h2aaaaaaaaaaa;
+          _005_ = 101'h0aaaaaaaaaaaaaaaaaaaaaaaaa;
+        end
+      /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:545.5-545.9" */
+      default:
+        begin
+          (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:547.9-549.43" *)
+          casez (\inner_bram_serverAdapterA_cnt$EN )
+            /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:547.13-547.45" */
+            1'h1:
+                _000_ = \inner_bram_serverAdapterA_cnt$D_IN ;
+            default:
+                /* empty */;
+          endcase
+          (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:550.2-552.42" *)
+          casez (\inner_bram_serverAdapterA_s1$EN )
+            /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:550.6-550.37" */
+            1'h1:
+                _001_ = \inner_bram_serverAdapterA_s1$D_IN ;
+            default:
+                /* empty */;
+          endcase
+          (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:553.2-555.43" *)
+          casez (\inner_bram_serverAdapterB_cnt$EN )
+            /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:553.6-553.38" */
+            1'h1:
+                _002_ = \inner_bram_serverAdapterB_cnt$D_IN ;
+            default:
+                /* empty */;
+          endcase
+          (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:556.2-558.42" *)
+          casez (\inner_bram_serverAdapterB_s1$EN )
+            /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:556.6-556.37" */
+            1'h1:
+                _003_ = \inner_bram_serverAdapterB_s1$D_IN ;
+            default:
+                /* empty */;
+          endcase
+          (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:559.2-560.51" *)
+          casez (\inner_delayFIFO_rv$EN )
+            /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:559.6-559.27" */
+            1'h1:
+                _004_ = \inner_delayFIFO_rv$D_IN ;
+            default:
+                /* empty */;
+          endcase
+          (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:561.2-562.43" *)
+          casez (\inner_waitQ_rv$EN )
+            /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100Memory.v:561.6-561.23" */
+            1'h1:
+                _005_ = \inner_waitQ_rv$D_IN ;
+            default:
+                /* empty */;
+          endcase
+        end
+    endcase
+  end
+  always @(posedge CLK) begin
+      inner_bram_serverAdapterA_cnt <= _000_;
+      inner_bram_serverAdapterA_s1 <= _001_;
+      inner_bram_serverAdapterB_cnt <= _002_;
+      inner_bram_serverAdapterB_s1 <= _003_;
+      inner_delayFIFO_rv <= _004_;
+      inner_waitQ_rv <= _005_;
+  end
+  assign RDY_memory_imem_request_put = _091_;
+  assign memory_imem_response_get = _095_;
+  assign RDY_memory_imem_response_get = _023_;
+  assign RDY_memory_dmem_request_put = _026_;
+  assign memory_dmem_response_get = _096_;
+  assign RDY_memory_dmem_response_get = _028_;
+  assign WILL_FIRE_RL_inner_delayed_dmem = _029_;
+  assign WILL_FIRE_RL_inner_bram_serverAdapterA_outData_enqAndDeq = _032_;
+  assign WILL_FIRE_RL_inner_bram_serverAdapterB_outData_enqAndDeq = _035_;
+  assign \MUX_inner_bram_memory$b_put_1__SEL_1  = _036_;
+  assign \MUX_inner_bram_serverAdapterB_writeWithResp$wset_1__VAL_1  = { _038_, 1'h1 };
+  assign \MUX_inner_bram_serverAdapterB_writeWithResp$wset_1__VAL_2  = { _094_, _075_ };
+  assign \inner_bram_serverAdapterA_outData_enqData$whas  = _040_;
+  assign \inner_bram_serverAdapterA_outData_outData$whas  = _077_;
+  assign \inner_bram_serverAdapterB_outData_enqData$whas  = _043_;
+  assign \inner_bram_serverAdapterB_outData_outData$whas  = _079_;
+  assign \inner_bram_serverAdapterB_cnt_1$whas  = _045_;
+  assign \inner_bram_serverAdapterB_writeWithResp$wget  = _097_;
+  assign \inner_bram_serverAdapterB_writeWithResp$whas  = _082_;
+  assign \inner_bram_serverAdapterB_s1_1$wget  = { 1'h1, _083_ };
+  assign \inner_delayFIFO_rv$port1__read  = _098_;
+  assign \inner_delayFIFO_rv$EN_port1__write  = _046_;
+  assign \inner_delayFIFO_rv$port1__write_1  = { 1'h1, x1_avValue_writeen__h4485, 1'h1, memory_dmem_request_put[79:70], x3__h4574 };
+  assign \inner_delayFIFO_rv$port2__read  = _099_;
+  assign \inner_waitQ_rv$port1__read  = _100_;
+  assign \inner_waitQ_rv$port1__write_1  = { 1'h1, memory_dmem_request_put };
+  assign \inner_waitQ_rv$port2__read  = _101_;
+  assign \inner_bram_serverAdapterA_cnt$D_IN  = inner_bram_serverAdapterA_cnt_7_PLUS_IF_inner__ETC___d33;
+  assign \inner_bram_serverAdapterA_cnt$EN  = _084_;
+  assign \inner_bram_serverAdapterA_s1$D_IN  = _102_;
+  assign \inner_bram_serverAdapterA_s1$EN  = 1'h1;
+  assign \inner_bram_serverAdapterB_cnt$D_IN  = inner_bram_serverAdapterB_cnt_2_PLUS_IF_inner__ETC___d88;
+  assign \inner_bram_serverAdapterB_cnt$EN  = _085_;
+  assign \inner_bram_serverAdapterB_s1$D_IN  = _103_;
+  assign \inner_bram_serverAdapterB_s1$EN  = 1'h1;
+  assign \inner_delayFIFO_rv$D_IN  = \inner_delayFIFO_rv$port2__read ;
+  assign \inner_delayFIFO_rv$EN  = 1'h1;
+  assign \inner_waitQ_rv$D_IN  = \inner_waitQ_rv$port2__read ;
+  assign \inner_waitQ_rv$EN  = 1'h1;
+  assign \inner_bram_memory$ADDRA  = memory_imem_request_put[11:2];
+  assign \inner_bram_memory$ADDRB  = _104_;
+  assign \inner_bram_memory$DIA  = 32'd0;
+  assign \inner_bram_memory$DIB  = _105_;
+  assign \inner_bram_memory$WEA  = 4'h0;
+  assign \inner_bram_memory$WEB  = _106_;
+  assign \inner_bram_memory$ENA  = EN_memory_imem_request_put;
+  assign \inner_bram_memory$ENB  = _086_;
+  assign \inner_bram_serverAdapterA_outDataCore$D_IN  = \inner_bram_memory$DOA ;
+  assign \inner_bram_serverAdapterA_outDataCore$ENQ  = _087_;
+  assign \inner_bram_serverAdapterA_outDataCore$DEQ  = _088_;
+  assign \inner_bram_serverAdapterA_outDataCore$CLR  = 1'h0;
+  assign \inner_bram_serverAdapterB_outDataCore$D_IN  = \inner_bram_memory$DOB ;
+  assign \inner_bram_serverAdapterB_outDataCore$ENQ  = _089_;
+  assign \inner_bram_serverAdapterB_outDataCore$DEQ  = _090_;
+  assign \inner_bram_serverAdapterB_outDataCore$CLR  = 1'h0;
+  assign inner_bram_serverAdapterA_cnt_7_PLUS_IF_inner__ETC___d33 = _015_;
+  assign inner_bram_serverAdapterB_cnt_2_PLUS_IF_inner__ETC___d88 = _017_;
+  assign inner_bram_serverAdapterB_cnt_2_SLT_3___d112 = _092_;
+  assign memory_dmem_request_put_BITS_66_TO_35__q1 = memory_dmem_request_put[66:35];
+  assign memory_dmem_request_put_BITS_99_TO_68_36_ULT_1024___d155 = _093_;
+  assign v__h4917 = memory_dmem_response_get;
+  assign valB__h4155 = { 24'h000000, memory_dmem_request_put_BITS_66_TO_35__q1[7:0] };
+  assign valH__h4059 = { 16'h0000, memory_dmem_request_put_BITS_66_TO_35__q1[15:0] };
+  assign x1_avValue_datain__h4469 = _111_;
+  assign x1_avValue_writeen__h4466 = _112_;
+  assign x1_avValue_writeen__h4485 = _113_;
+  assign x3__h4574 = _114_;
+  assign y_avValue_datain__h4148 = _115_;
+  assign y_avValue_writeen__h4145 = _116_;
+endmodule
+
diff --git a/verilog/rtl/mkQF100SPI.v b/verilog/rtl/mkQF100SPI.v
new file mode 100644
index 0000000..7a48c8a
--- /dev/null
+++ b/verilog/rtl/mkQF100SPI.v
@@ -0,0 +1,1451 @@
+/* Generated by Yosys 0.15 (git sha1 yosys-0.15, gcc 10.3.0 -fPIC -Os) */
+
+(* hdlname = "\\mkQF100SPI" *)
+(* top =  1  *)
+(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:43.1-908.10" *)
+module mkQF100SPI(
+`ifdef USE_POWER_PINS
+    VPWR,
+    VGND,
+`endif
+    CLK, RST_N, slave_cyc_i, slave_stb_i, slave_adr_i, slave_dat_i, slave_sel_i, slave_we_i, slave_ack_o, slave_err_o, slave_rty_o, slave_dat_o, spiMaster_sclk, spiMaster_mosi, spiMaster_miso, spiMaster_mosi_oe);
+`ifdef USE_POWER_PINS
+    inout VPWR;
+    inout VGND;
+`endif
+  reg \$auto$verilog_backend.cc:2083:dump_module$204  = 0;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:710.3-722.6" *)
+  reg [8:0] _000_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:726.3-792.6" *)
+  reg _001_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:726.3-792.6" *)
+  reg _002_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:726.3-792.6" *)
+  reg [69:0] _003_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:726.3-792.6" *)
+  reg [33:0] _004_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:726.3-792.6" *)
+  reg _005_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:726.3-792.6" *)
+  reg _006_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:726.3-792.6" *)
+  reg _007_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:726.3-792.6" *)
+  reg [8:0] _008_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:726.3-792.6" *)
+  reg _009_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:726.3-792.6" *)
+  reg _010_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:726.3-792.6" *)
+  reg [15:0] _011_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:726.3-792.6" *)
+  reg _012_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:726.3-792.6" *)
+  reg _013_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:726.3-792.6" *)
+  reg _014_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:726.3-792.6" *)
+  reg [32:0] _015_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:726.3-792.6" *)
+  reg [2:0] _016_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:726.3-792.6" *)
+  reg _017_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:726.3-792.6" *)
+  reg _018_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:610.3-621.6" *)
+  reg [4:0] _019_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:726.3-792.6" *)
+  reg [4:0] _020_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:726.3-792.6" *)
+  reg [15:0] _021_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:726.3-792.6" *)
+  reg [16:0] _022_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:726.3-792.6" *)
+  reg _023_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:710.3-722.6" *)
+  reg [8:0] _024_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:610.3-621.6" *)
+  reg [4:0] _025_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:396.54-396.69" *)
+  wire [3:0] _026_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:400.9-400.34" *)
+  wire [15:0] _027_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:351.9-351.46" *)
+  wire _028_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:383.7-383.51" *)
+  wire _029_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:392.7-392.51" *)
+  wire _030_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:480.7-480.44" *)
+  wire _031_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:499.7-499.51" *)
+  wire _032_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:557.7-557.51" *)
+  wire _033_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:568.7-568.51" *)
+  wire _034_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:605.7-605.51" *)
+  wire _035_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:649.8-649.52" *)
+  wire _036_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:658.8-658.45" *)
+  wire _037_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:672.11-672.55" *)
+  wire _038_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:673.4-673.48" *)
+  wire _039_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:686.7-686.53" *)
+  wire _040_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:697.7-697.24" *)
+  wire _041_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:701.7-701.36" *)
+  wire _042_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:728.9-728.22" *)
+  wire _043_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:303.7-304.38" *)
+  wire _044_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:323.7-323.30" *)
+  wire _045_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:323.7-324.64" *)
+  wire _046_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:328.7-328.30" *)
+  wire _047_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:328.7-328.51" *)
+  wire _048_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:328.7-329.35" *)
+  wire _049_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:333.7-333.30" *)
+  wire _050_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:333.7-333.58" *)
+  wire _051_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:337.7-338.25" *)
+  wire _052_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:337.7-339.63" *)
+  wire _053_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:337.7-340.34" *)
+  wire _054_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:344.7-344.30" *)
+  wire _055_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:344.7-344.53" *)
+  wire _056_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:344.7-345.20" *)
+  wire _057_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:344.7-346.34" *)
+  wire _058_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:350.7-350.62" *)
+  wire _059_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:350.7-355.20" *)
+  wire _060_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:359.7-360.25" *)
+  wire _061_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:359.7-361.64" *)
+  wire _062_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:365.7-365.30" *)
+  wire _063_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:365.7-365.52" *)
+  wire _064_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:365.7-366.25" *)
+  wire _065_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:370.7-370.69" *)
+  wire _066_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:374.7-375.34" *)
+  wire _067_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:374.7-376.29" *)
+  wire _068_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:380.7-380.60" *)
+  wire _069_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:382.7-383.51" *)
+  wire _070_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:382.7-384.32" *)
+  wire _071_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:382.7-385.16" *)
+  wire _072_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:382.7-386.17" *)
+  wire _073_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:388.7-388.47" *)
+  wire _074_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:388.7-388.61" *)
+  wire _075_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:388.7-389.25" *)
+  wire _076_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:391.7-392.51" *)
+  wire _077_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:405.7-406.38" *)
+  wire _078_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:433.7-433.30" *)
+  wire _079_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:433.7-433.58" *)
+  wire _080_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:440.7-440.30" *)
+  wire _081_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:440.7-441.64" *)
+  wire _082_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:452.7-452.33" *)
+  wire _083_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:452.7-454.41" *)
+  wire _084_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:479.7-481.32" *)
+  wire _085_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:479.7-480.44" *)
+  wire _086_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:498.7-499.51" *)
+  wire _087_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:498.7-500.33" *)
+  wire _088_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:504.7-504.66" *)
+  wire _089_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:527.7-528.34" *)
+  wire _090_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:527.7-529.29" *)
+  wire _091_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:546.7-546.37" *)
+  wire _092_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:546.7-546.51" *)
+  wire _093_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:546.7-547.24" *)
+  wire _094_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:555.7-555.60" *)
+  wire _095_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:556.7-557.51" *)
+  wire _096_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:556.7-558.32" *)
+  wire _097_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:567.7-568.51" *)
+  wire _098_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:567.7-569.32" *)
+  wire _099_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:567.7-570.16" *)
+  wire _100_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:567.7-571.17" *)
+  wire _101_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:597.7-597.60" *)
+  wire _102_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:598.7-598.47" *)
+  wire _103_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:598.7-598.61" *)
+  wire _104_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:598.7-599.25" *)
+  wire _105_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:604.7-605.51" *)
+  wire _106_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:606.7-606.60" *)
+  wire _107_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:606.7-607.15" *)
+  wire _108_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:673.4-674.30" *)
+  wire _109_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:697.7-697.37" *)
+  wire _110_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:697.7-697.51" *)
+  wire _111_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:697.7-698.24" *)
+  wire _112_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:700.7-700.30" *)
+  wire _113_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:700.7-700.51" *)
+  wire _114_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:700.7-701.36" *)
+  wire _115_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:314.8-314.52" *)
+  wire _116_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:315.8-315.40" *)
+  wire _117_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:333.35-333.44" *)
+  wire _118_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:340.7-340.34" *)
+  wire _119_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:344.34-344.53" *)
+  wire _120_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:346.7-346.34" *)
+  wire _121_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:350.36-350.62" *)
+  wire _122_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:352.3-352.29" *)
+  wire _123_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:352.33-352.54" *)
+  wire _124_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:355.3-355.19" *)
+  wire _125_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:361.7-361.64" *)
+  wire _126_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:366.7-366.25" *)
+  wire _127_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:374.7-374.45" *)
+  wire _128_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:376.7-376.29" *)
+  wire _129_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:380.36-380.45" *)
+  wire _130_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:380.49-380.59" *)
+  wire _131_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:389.7-389.25" *)
+  wire _132_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:415.8-415.52" *)
+  wire _133_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:416.8-416.40" *)
+  wire _134_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:433.35-433.44" *)
+  wire _135_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:453.8-453.52" *)
+  wire _136_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:454.8-454.40" *)
+  wire _137_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:500.7-500.33" *)
+  wire _138_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:504.7-504.35" *)
+  wire _139_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:524.7-524.51" *)
+  wire _140_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:527.7-527.45" *)
+  wire _141_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:529.7-529.29" *)
+  wire _142_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:555.36-555.45" *)
+  wire _143_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:555.49-555.59" *)
+  wire _144_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:597.36-597.45" *)
+  wire _145_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:597.49-597.59" *)
+  wire _146_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:599.7-599.25" *)
+  wire _147_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:602.29-602.60" *)
+  wire _148_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:606.36-606.45" *)
+  wire _149_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:606.49-606.59" *)
+  wire _150_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:659.11-659.37" *)
+  wire _151_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:674.4-674.30" *)
+  wire _152_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:688.8-688.18" *)
+  wire _153_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:688.22-688.33" *)
+  wire _154_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:708.21-708.35" *)
+  wire _155_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:314.8-315.40" *)
+  wire _156_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:333.35-333.57" *)
+  wire _157_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:352.3-352.54" *)
+  wire _158_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:353.3-354.28" *)
+  wire _159_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:353.3-355.19" *)
+  wire _160_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:378.7-378.61" *)
+  wire _161_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:380.36-380.59" *)
+  wire _162_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:415.8-416.40" *)
+  wire _163_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:433.35-433.57" *)
+  wire _164_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:453.8-454.40" *)
+  wire _165_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:502.7-502.57" *)
+  wire _166_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:526.7-529.29" *)
+  wire _167_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:545.7-545.61" *)
+  wire _168_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:545.7-547.24" *)
+  wire _169_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:555.36-555.59" *)
+  wire _170_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:555.7-558.32" *)
+  wire _171_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:567.7-572.37" *)
+  wire _172_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:597.36-597.59" *)
+  wire _173_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:597.7-599.25" *)
+  wire _174_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:604.7-607.15" *)
+  wire _175_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:606.36-606.59" *)
+  wire _176_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:623.7-623.59" *)
+  wire _177_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:623.7-624.33" *)
+  wire _178_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:632.7-633.33" *)
+  wire _179_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:641.7-641.65" *)
+  wire _180_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:672.11-674.30" *)
+  wire _181_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:688.8-688.33" *)
+  wire _182_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:705.32-705.60" *)
+  wire _183_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:353.3-353.47" *)
+  wire _184_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:546.7-546.24" *)
+  wire _185_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:704.25-704.34" *)
+  wire _186_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:709.21-709.30" *)
+  wire [15:0] _187_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:399.9-399.34" *)
+  wire [15:0] _188_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:0.0-0.0" *)
+  wire _189_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:707.21-707.48" *)
+  wire [15:0] _190_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:394.7-394.70" *)
+  wire [8:0] _191_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:395.41-395.57" *)
+  wire [8:0] _192_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:703.7-703.63" *)
+  wire [3:0] _193_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:314.7-319.11" *)
+  wire [31:0] _194_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:317.10-319.10" *)
+  wire [31:0] _195_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:351.8-355.19" *)
+  wire _196_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:398.7-400.34" *)
+  wire [15:0] _197_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:415.7-420.11" *)
+  wire [31:0] _198_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:418.10-420.10" *)
+  wire [31:0] _199_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:458.7-460.30" *)
+  wire [69:0] _200_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:464.7-466.42" *)
+  wire [69:0] _201_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:471.7-473.30" *)
+  wire [33:0] _202_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:475.7-477.42" *)
+  wire [33:0] _203_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:490.7-492.25" *)
+  wire [32:0] _204_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:494.7-496.37" *)
+  wire [32:0] _205_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:541.7-543.37" *)
+  wire [8:0] _206_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:551.7-553.53" *)
+  wire [15:0] _207_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:628.7-630.14" *)
+  wire [15:0] _208_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:637.7-639.42" *)
+  wire [16:0] _209_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:649.7-656.28" *)
+  wire [31:0] _210_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:658.7-675.63" *)
+  wire [32:0] _211_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:676.47-676.70" *)
+  wire [3:0] _212_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:678.7-680.17" *)
+  wire _213_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:679.10-679.44" *)
+  wire _214_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:682.7-684.13" *)
+  wire [3:0] _215_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:683.10-683.57" *)
+  wire [3:0] _216_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:688.7-690.66" *)
+  wire [3:0] _217_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:706.21-706.67" *)
+  wire [3:0] _218_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:705.21-705.60" *)
+  wire _219_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:68.10-68.13" *)
+  input CLK;
+  wire CLK;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:284.17-284.74" *)
+  wire [31:0] IF_res_bus_inner_fReq_rv_port0__read__28_BITS__ETC___d185;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:283.17-283.74" *)
+  wire [32:0] IF_res_bus_inner_fReq_rv_port0__read__28_BITS__ETC___d187;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:288.16-288.50" *)
+  wire [3:0] IF_res_ff16_7_THEN_15_ELSE_7___d48;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:282.15-282.71" *)
+  reg [8:0] IF_res_psc_2_EQ_0_3_THEN_2_ELSE_IF_res_psc_2_E_ETC___d68;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:293.8-293.65" *)
+  wire IF_res_sendingBit_5_BIT_4_6_THEN_IF_res_ckpl_6_ETC___d194;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:289.9-289.66" *)
+  wire [3:0] IF_res_sendingBit_5_BIT_4_6_THEN_IF_res_lf_03__ETC___d106;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:294.8-294.64" *)
+  wire IF_res_sendingBit_5_BIT_4_6_THEN_IF_res_sendin_ETC___d49;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:274.8-274.48" *)
+  wire \MUX_res_bus_inner_pending$write_1__SEL_2 ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:275.8-275.36" *)
+  wire \MUX_res_clock$write_1__SEL_1 ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:272.16-272.44" *)
+  wire [8:0] \MUX_res_clock$write_1__VAL_1 ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:272.46-272.74" *)
+  wire [8:0] \MUX_res_clock$write_1__VAL_2 ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:276.8-276.35" *)
+  wire \MUX_res_data$write_1__SEL_1 ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:277.8-277.40" *)
+  wire \MUX_res_dataValid$write_1__SEL_1 ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:278.8-278.35" *)
+  wire \MUX_res_rbne$write_1__SEL_2 ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:279.8-279.38" *)
+  wire \MUX_res_rxorerr$write_1__SEL_1 ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:273.16-273.49" *)
+  wire [4:0] \MUX_res_sendingBit$write_1__VAL_2 ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:271.17-271.50" *)
+  wire [15:0] \MUX_res_shiftregRx$write_1__VAL_1 ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:270.17-270.50" *)
+  wire [16:0] \MUX_res_shiftregTx$write_1__VAL_2 ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:69.10-69.15" *)
+  input RST_N;
+  wire RST_N;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:262.8-262.51" *)
+  wire WILL_FIRE_RL_res_bus_inner_process_outgoing;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:263.8-263.32" *)
+  wire WILL_FIRE_RL_res_lastBit;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:264.8-264.32" *)
+  wire WILL_FIRE_RL_res_nextBit;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:265.8-265.34" *)
+  wire WILL_FIRE_RL_res_startSend;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:266.8-266.38" *)
+  wire WILL_FIRE_RL_res_stuffTransmit;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:267.8-267.34" *)
+  wire WILL_FIRE_RL_res_wbRequest;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:290.9-290.27" *)
+  wire [3:0] activeBitNo__h2708;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:287.16-287.32" *)
+  wire [8:0] halfClock__h2704;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:165.7-165.15" *)
+  reg res_bden;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:166.8-166.21" *)
+  wire \res_bden$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:166.23-166.34" *)
+  wire \res_bden$EN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:169.7-169.16" *)
+  reg res_bdoen;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:170.8-170.22" *)
+  wire \res_bdoen$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:170.24-170.36" *)
+  wire \res_bdoen$EN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:173.16-173.37" *)
+  reg [69:0] res_bus_inner_fReq_rv;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:174.17-174.43" *)
+  wire [69:0] \res_bus_inner_fReq_rv$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:175.8-175.32" *)
+  wire \res_bus_inner_fReq_rv$EN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:147.17-147.50" *)
+  wire [69:0] \res_bus_inner_fReq_rv$port1__read ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:148.3-148.39" *)
+  wire [69:0] \res_bus_inner_fReq_rv$port1__write_1 ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:149.3-149.36" *)
+  wire [69:0] \res_bus_inner_fReq_rv$port2__read ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:285.3-285.41" *)
+  wire [31:0] res_bus_inner_fReq_rv_BITS_35_TO_4__q1;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:178.16-178.37" *)
+  reg [33:0] res_bus_inner_fRes_rv;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:179.17-179.43" *)
+  wire [33:0] \res_bus_inner_fRes_rv$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:180.8-180.32" *)
+  wire \res_bus_inner_fRes_rv$EN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:151.17-151.53" *)
+  wire [33:0] \res_bus_inner_fRes_rv$port0__write_1 ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:152.3-152.36" *)
+  wire [33:0] \res_bus_inner_fRes_rv$port1__read ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:153.3-153.36" *)
+  wire [33:0] \res_bus_inner_fRes_rv$port2__read ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:150.17-150.44" *)
+  wire [68:0] \res_bus_inner_incoming$wget ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:158.8-158.35" *)
+  wire \res_bus_inner_incoming$whas ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:154.3-154.30" *)
+  wire [33:0] \res_bus_inner_outgoing$wget ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:183.7-183.28" *)
+  reg res_bus_inner_pending;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:184.8-184.34" *)
+  wire \res_bus_inner_pending$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:184.36-184.60" *)
+  wire \res_bus_inner_pending$EN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:117.8-117.36" *)
+  wire \res_bus_inner_probeAck$PROBE ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:118.8-118.42" *)
+  wire \res_bus_inner_probeAck$PROBE_VALID ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:113.17-113.45" *)
+  wire [31:0] \res_bus_inner_probeAdr$PROBE ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:119.8-119.42" *)
+  wire \res_bus_inner_probeAdr$PROBE_VALID ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:120.8-120.36" *)
+  wire \res_bus_inner_probeCyc$PROBE ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:121.8-121.42" *)
+  wire \res_bus_inner_probeCyc$PROBE_VALID ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:114.3-114.34" *)
+  wire [31:0] \res_bus_inner_probeDataIn$PROBE ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:122.8-122.45" *)
+  wire \res_bus_inner_probeDataIn$PROBE_VALID ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:115.3-115.35" *)
+  wire [31:0] \res_bus_inner_probeDataOut$PROBE ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:123.8-123.46" *)
+  wire \res_bus_inner_probeDataOut$PROBE_VALID ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:116.16-116.44" *)
+  wire [3:0] \res_bus_inner_probeSel$PROBE ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:124.8-124.42" *)
+  wire \res_bus_inner_probeSel$PROBE_VALID ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:125.8-125.36" *)
+  wire \res_bus_inner_probeStb$PROBE ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:126.8-126.42" *)
+  wire \res_bus_inner_probeStb$PROBE_VALID ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:127.8-127.35" *)
+  wire \res_bus_inner_probeWe$PROBE ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:128.8-128.41" *)
+  wire \res_bus_inner_probeWe$PROBE_VALID ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:187.7-187.15" *)
+  reg res_ckph;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:188.8-188.21" *)
+  wire \res_ckph$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:188.23-188.34" *)
+  wire \res_ckph$EN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:191.7-191.15" *)
+  reg res_ckpl;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:192.8-192.21" *)
+  wire \res_ckpl$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:192.23-192.34" *)
+  wire \res_ckpl$EN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:195.15-195.24" *)
+  reg [8:0] res_clock;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:196.16-196.30" *)
+  wire [8:0] \res_clock$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:197.8-197.20" *)
+  wire \res_clock$EN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:295.8-295.64" *)
+  wire res_clock_8_EQ_0_9_AND_res_spien_1_AND_res_mst_ETC___d40;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:200.16-200.24" *)
+  reg [15:0] res_data;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:201.17-201.30" *)
+  wire [15:0] \res_data$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:202.8-202.19" *)
+  wire \res_data$EN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:205.7-205.22" *)
+  reg res_dataRead_rv;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:206.8-206.28" *)
+  wire \res_dataRead_rv$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:206.30-206.48" *)
+  wire \res_dataRead_rv$EN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:159.8-159.39" *)
+  wire \res_dataRead_rv$EN_port0__write ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:160.8-160.35" *)
+  wire \res_dataRead_rv$port1__read ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:161.8-161.35" *)
+  wire \res_dataRead_rv$port2__read ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:209.7-209.20" *)
+  reg res_dataValid;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:210.8-210.26" *)
+  wire \res_dataValid$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:210.28-210.44" *)
+  wire \res_dataValid$EN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:213.7-213.15" *)
+  reg res_ff16;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:214.8-214.21" *)
+  wire \res_ff16$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:214.23-214.34" *)
+  wire \res_ff16$EN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:217.7-217.13" *)
+  reg res_lf;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:218.8-218.19" *)
+  wire \res_lf$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:218.21-218.30" *)
+  wire \res_lf$EN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:221.7-221.17" *)
+  reg res_mstmod;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:222.8-222.23" *)
+  wire \res_mstmod$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:222.25-222.38" *)
+  wire \res_mstmod$EN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:225.16-225.32" *)
+  reg [32:0] res_newConfig_rv;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:226.17-226.38" *)
+  wire [32:0] \res_newConfig_rv$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:227.8-227.27" *)
+  wire \res_newConfig_rv$EN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:162.8-162.40" *)
+  wire \res_newConfig_rv$EN_port0__write ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:155.17-155.48" *)
+  wire [32:0] \res_newConfig_rv$port0__write_1 ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:156.3-156.31" *)
+  wire [32:0] \res_newConfig_rv$port1__read ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:157.3-157.31" *)
+  wire [32:0] \res_newConfig_rv$port2__read ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:129.8-129.27" *)
+  wire \res_probeMiso$PROBE ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:130.8-130.33" *)
+  wire \res_probeMiso$PROBE_VALID ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:131.8-131.27" *)
+  wire \res_probeMosi$PROBE ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:132.8-132.33" *)
+  wire \res_probeMosi$PROBE_VALID ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:133.8-133.29" *)
+  wire \res_probeMosiOe$PROBE ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:134.8-134.35" *)
+  wire \res_probeMosiOe$PROBE_VALID ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:135.8-135.27" *)
+  wire \res_probeRbne$PROBE ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:136.8-136.33" *)
+  wire \res_probeRbne$PROBE_VALID ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:137.8-137.30" *)
+  wire \res_probeRxorerr$PROBE ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:138.8-138.36" *)
+  wire \res_probeRxorerr$PROBE_VALID ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:139.8-139.27" *)
+  wire \res_probeSclk$PROBE ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:140.8-140.33" *)
+  wire \res_probeSclk$PROBE_VALID ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:141.8-141.26" *)
+  wire \res_probeTbe$PROBE ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:142.8-142.32" *)
+  wire \res_probeTbe$PROBE_VALID ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:143.8-143.28" *)
+  wire \res_probeTrans$PROBE ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:144.8-144.34" *)
+  wire \res_probeTrans$PROBE_VALID ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:230.15-230.22" *)
+  reg [2:0] res_psc;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:231.16-231.28" *)
+  wire [2:0] \res_psc$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:232.8-232.18" *)
+  wire \res_psc$EN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:235.7-235.15" *)
+  reg res_rbne;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:236.8-236.21" *)
+  wire \res_rbne$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:236.23-236.34" *)
+  wire \res_rbne$EN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:239.7-239.18" *)
+  reg res_rxorerr;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:240.8-240.24" *)
+  wire \res_rxorerr$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:240.26-240.40" *)
+  wire \res_rxorerr$EN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:243.15-243.29" *)
+  reg [4:0] res_sendingBit;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:244.15-244.34" *)
+  reg [4:0] \res_sendingBit$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:245.8-245.25" *)
+  wire \res_sendingBit$EN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:248.16-248.30" *)
+  reg [15:0] res_shiftregRx;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:249.17-249.36" *)
+  wire [15:0] \res_shiftregRx$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:250.8-250.25" *)
+  wire \res_shiftregRx$EN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:253.16-253.30" *)
+  reg [16:0] res_shiftregTx;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:254.17-254.36" *)
+  wire [16:0] \res_shiftregTx$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:255.8-255.25" *)
+  wire \res_shiftregTx$EN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:258.7-258.16" *)
+  reg res_spien;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:259.8-259.22" *)
+  wire \res_spien$D_IN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:259.24-259.36" *)
+  wire \res_spien$EN ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:296.8-296.64" *)
+  wire res_spien_1_AND_res_mstmod_2_3_AND_res_sending_ETC___d97;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:80.10-80.21" *)
+  output slave_ack_o;
+  wire slave_ack_o;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:74.19-74.30" *)
+  input [31:0] slave_adr_i;
+  wire [31:0] slave_adr_i;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:72.10-72.21" *)
+  input slave_cyc_i;
+  wire slave_cyc_i;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:75.19-75.30" *)
+  input [31:0] slave_dat_i;
+  wire [31:0] slave_dat_i;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:89.19-89.30" *)
+  output [31:0] slave_dat_o;
+  wire [31:0] slave_dat_o;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:83.10-83.21" *)
+  output slave_err_o;
+  wire slave_err_o;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:86.10-86.21" *)
+  output slave_rty_o;
+  wire slave_rty_o;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:76.18-76.29" *)
+  input [3:0] slave_sel_i;
+  wire [3:0] slave_sel_i;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:73.10-73.21" *)
+  input slave_stb_i;
+  wire slave_stb_i;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:77.10-77.20" *)
+  input slave_we_i;
+  wire slave_we_i;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:98.10-98.24" *)
+  input spiMaster_miso;
+  wire spiMaster_miso;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:95.10-95.24" *)
+  output spiMaster_mosi;
+  wire spiMaster_mosi;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:101.10-101.27" *)
+  output spiMaster_mosi_oe;
+  wire spiMaster_mosi_oe;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:92.10-92.24" *)
+  output spiMaster_sclk;
+  wire spiMaster_sclk;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:291.9-291.25" *)
+  wire [3:0] swapb___1__h3754;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:297.8-297.20" *)
+  wire v___1__h6039;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:298.8-298.16" *)
+  wire v__h6037;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:292.9-292.17" *)
+  wire [3:0] x__h2962;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:286.17-286.25" *)
+  wire [15:0] x__h3737;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:299.8-299.16" *)
+  wire x__h5688;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:286.27-286.35" *)
+  wire [15:0] y__h3850;
+  assign _026_ = x__h2962 + (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:396.54-396.69" *) 4'h1;
+  assign _027_ = res_shiftregRx & (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:400.9-400.34" *) y__h3850;
+  assign _028_ = res_bus_inner_fReq_rv[68:37] == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:351.9-351.46" *) 32'd0;
+  assign _029_ = res_bus_inner_fReq_rv[68:37] == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:383.7-383.51" *) 32'd12;
+  assign _030_ = res_bus_inner_fReq_rv[68:37] == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:392.7-392.51" *) 32'd8;
+  assign _031_ = res_bus_inner_fReq_rv[68:37] == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:480.7-480.44" *) 32'd0;
+  assign _032_ = res_bus_inner_fReq_rv[68:37] == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:499.7-499.51" *) 32'd12;
+  assign _033_ = res_bus_inner_fReq_rv[68:37] == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:557.7-557.51" *) 32'd12;
+  assign _034_ = res_bus_inner_fReq_rv[68:37] == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:568.7-568.51" *) 32'd12;
+  assign _035_ = res_bus_inner_fReq_rv[68:37] == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:605.7-605.51" *) 32'd8;
+  assign _036_ = res_bus_inner_fReq_rv[68:37] == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:649.8-649.52" *) 32'd8;
+  assign _037_ = res_bus_inner_fReq_rv[68:37] == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:658.8-658.45" *) 32'd0;
+  assign _038_ = res_bus_inner_fReq_rv[68:37] == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:672.11-672.55" *) 32'd8;
+  assign _039_ = res_bus_inner_fReq_rv[68:37] == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:673.4-673.48" *) 32'd12;
+  assign _040_ = x__h2962 == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:686.7-686.53" *) IF_res_ff16_7_THEN_15_ELSE_7___d48;
+  assign _041_ = res_clock == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:697.7-697.24" *) 9'h000;
+  assign _042_ = res_clock == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:701.7-701.36" *) halfClock__h2704;
+  assign _043_ = RST_N == (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:728.9-728.22" *) 1'h0;
+  assign _044_ = WILL_FIRE_RL_res_bus_inner_process_outgoing && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:303.7-304.38" *) \res_bus_inner_outgoing$wget [33];
+  assign _045_ = res_spien && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:323.7-323.30" *) res_mstmod;
+  assign _046_ = _045_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:323.7-324.64" *) IF_res_sendingBit_5_BIT_4_6_THEN_IF_res_ckpl_6_ETC___d194;
+  assign _047_ = res_spien && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:328.7-328.30" *) res_mstmod;
+  assign _048_ = _047_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:328.7-328.51" *) res_sendingBit[4];
+  assign _049_ = _048_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:328.7-329.35" *) _189_;
+  assign _050_ = res_spien && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:333.7-333.30" *) res_mstmod;
+  assign _051_ = _050_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:333.7-333.58" *) _157_;
+  assign _052_ = res_clock_8_EQ_0_9_AND_res_spien_1_AND_res_mst_ETC___d40 && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:337.7-338.25" *) res_shiftregTx[16];
+  assign _053_ = _052_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:337.7-339.63" *) IF_res_sendingBit_5_BIT_4_6_THEN_IF_res_sendin_ETC___d49;
+  assign _054_ = _053_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:337.7-340.34" *) _119_;
+  assign _055_ = res_spien && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:344.7-344.30" *) res_mstmod;
+  assign _056_ = _055_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:344.7-344.53" *) _120_;
+  assign _057_ = _056_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:344.7-345.20" *) res_dataValid;
+  assign _058_ = _057_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:344.7-346.34" *) _121_;
+  assign _059_ = res_bus_inner_fReq_rv[69] && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:350.7-350.62" *) _122_;
+  assign _060_ = _059_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:350.7-355.20" *) _196_;
+  assign _061_ = res_clock_8_EQ_0_9_AND_res_spien_1_AND_res_mst_ETC___d40 && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:359.7-360.25" *) res_shiftregTx[16];
+  assign _062_ = _061_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:359.7-361.64" *) _126_;
+  assign _063_ = res_spien && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:365.7-365.30" *) res_mstmod;
+  assign _064_ = _063_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:365.7-365.52" *) res_shiftregTx[16];
+  assign _065_ = _064_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:365.7-366.25" *) _127_;
+  assign _066_ = \res_bus_inner_fRes_rv$port1__read [33] && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:370.7-370.69" *) res_bus_inner_pending;
+  assign _067_ = _128_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:374.7-375.34" *) \res_bus_inner_incoming$whas ;
+  assign _068_ = _067_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:374.7-376.29" *) _129_;
+  assign _069_ = WILL_FIRE_RL_res_lastBit && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:380.7-380.60" *) _162_;
+  assign _070_ = WILL_FIRE_RL_res_wbRequest && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:382.7-383.51" *) _029_;
+  assign _071_ = _070_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:382.7-384.32" *) res_bus_inner_fReq_rv[36];
+  assign _072_ = _071_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:382.7-385.16" *) res_spien;
+  assign _073_ = _072_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:382.7-386.17" *) res_mstmod;
+  assign _074_ = \res_dataRead_rv$port1__read  && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:388.7-388.47" *) res_spien;
+  assign _075_ = _074_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:388.7-388.61" *) res_mstmod;
+  assign _076_ = _075_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:388.7-389.25" *) _132_;
+  assign _077_ = WILL_FIRE_RL_res_wbRequest && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:391.7-392.51" *) _030_;
+  assign _078_ = WILL_FIRE_RL_res_bus_inner_process_outgoing && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:405.7-406.38" *) \res_bus_inner_outgoing$wget [33];
+  assign _079_ = res_spien && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:433.7-433.30" *) res_mstmod;
+  assign _080_ = _079_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:433.7-433.58" *) _164_;
+  assign _081_ = res_spien && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:440.7-440.30" *) res_mstmod;
+  assign _082_ = _081_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:440.7-441.64" *) IF_res_sendingBit_5_BIT_4_6_THEN_IF_res_ckpl_6_ETC___d194;
+  assign _083_ = slave_cyc_i && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:452.7-452.33" *) slave_stb_i;
+  assign _084_ = _083_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:452.7-454.41" *) _165_;
+  assign _085_ = _086_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:479.7-481.32" *) res_bus_inner_fReq_rv[36];
+  assign _086_ = WILL_FIRE_RL_res_wbRequest && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:479.7-480.44" *) _031_;
+  assign _087_ = WILL_FIRE_RL_res_wbRequest && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:498.7-499.51" *) _032_;
+  assign _088_ = _087_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:498.7-500.33" *) _138_;
+  assign _089_ = _139_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:504.7-504.66" *) \res_dataRead_rv$port1__read ;
+  assign _090_ = _141_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:527.7-528.34" *) \res_bus_inner_incoming$whas ;
+  assign _091_ = _090_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:527.7-529.29" *) _142_;
+  assign _092_ = _185_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:546.7-546.37" *) res_spien;
+  assign _093_ = _092_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:546.7-546.51" *) res_mstmod;
+  assign _094_ = _093_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:546.7-547.24" *) res_sendingBit[4];
+  assign _095_ = WILL_FIRE_RL_res_lastBit && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:555.7-555.60" *) _170_;
+  assign _096_ = WILL_FIRE_RL_res_wbRequest && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:556.7-557.51" *) _033_;
+  assign _097_ = _096_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:556.7-558.32" *) res_bus_inner_fReq_rv[36];
+  assign _098_ = WILL_FIRE_RL_res_wbRequest && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:567.7-568.51" *) _034_;
+  assign _099_ = _098_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:567.7-569.32" *) res_bus_inner_fReq_rv[36];
+  assign _100_ = _099_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:567.7-570.16" *) res_spien;
+  assign _101_ = _100_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:567.7-571.17" *) res_mstmod;
+  assign _102_ = WILL_FIRE_RL_res_lastBit && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:597.7-597.60" *) _173_;
+  assign _103_ = \res_dataRead_rv$port1__read  && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:598.7-598.47" *) res_spien;
+  assign _104_ = _103_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:598.7-598.61" *) res_mstmod;
+  assign _105_ = _104_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:598.7-599.25" *) _147_;
+  assign _106_ = WILL_FIRE_RL_res_wbRequest && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:604.7-605.51" *) _035_;
+  assign _107_ = WILL_FIRE_RL_res_lastBit && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:606.7-606.60" *) _176_;
+  assign _108_ = _107_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:606.7-607.15" *) res_rbne;
+  assign _109_ = _039_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:673.4-674.30" *) _152_;
+  assign _110_ = _041_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:697.7-697.37" *) res_spien;
+  assign _111_ = _110_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:697.7-697.51" *) res_mstmod;
+  assign _112_ = _111_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:697.7-698.24" *) res_sendingBit[4];
+  assign _113_ = res_spien && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:700.7-700.30" *) res_mstmod;
+  assign _114_ = _113_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:700.7-700.51" *) res_sendingBit[4];
+  assign _115_ = _114_ && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:700.7-701.36" *) _042_;
+  assign _116_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:314.8-314.52" *) WILL_FIRE_RL_res_bus_inner_process_outgoing;
+  assign _117_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:315.8-315.40" *) \res_bus_inner_outgoing$wget [33];
+  assign _118_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:333.35-333.44" *) res_bden;
+  assign _119_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:340.7-340.34" *) WILL_FIRE_RL_res_wbRequest;
+  assign _120_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:344.34-344.53" *) res_shiftregTx[16];
+  assign _121_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:346.7-346.34" *) WILL_FIRE_RL_res_wbRequest;
+  assign _122_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:350.36-350.62" *) res_bus_inner_fRes_rv[33];
+  assign _123_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:352.3-352.29" *) res_bus_inner_fReq_rv[36];
+  assign _124_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:352.33-352.54" *) res_newConfig_rv[32];
+  assign _125_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:355.3-355.19" *) res_dataRead_rv;
+  assign _126_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:361.7-361.64" *) IF_res_sendingBit_5_BIT_4_6_THEN_IF_res_sendin_ETC___d49;
+  assign _127_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:366.7-366.25" *) res_sendingBit[4];
+  assign _128_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:374.7-374.45" *) \res_bus_inner_fReq_rv$port1__read [69];
+  assign _129_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:376.7-376.29" *) res_bus_inner_pending;
+  assign _130_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:380.36-380.45" *) res_bden;
+  assign _131_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:380.49-380.59" *) res_bdoen;
+  assign _132_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:389.7-389.25" *) res_sendingBit[4];
+  assign _133_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:415.8-415.52" *) WILL_FIRE_RL_res_bus_inner_process_outgoing;
+  assign _134_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:416.8-416.40" *) \res_bus_inner_outgoing$wget [33];
+  assign _135_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:433.35-433.44" *) res_bden;
+  assign _136_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:453.8-453.52" *) WILL_FIRE_RL_res_bus_inner_process_outgoing;
+  assign _137_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:454.8-454.40" *) \res_bus_inner_outgoing$wget [33];
+  assign _138_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:500.7-500.33" *) res_bus_inner_fReq_rv[36];
+  assign _139_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:504.7-504.35" *) \MUX_res_rbne$write_1__SEL_2 ;
+  assign _140_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:524.7-524.51" *) WILL_FIRE_RL_res_bus_inner_process_outgoing;
+  assign _141_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:527.7-527.45" *) \res_bus_inner_fReq_rv$port1__read [69];
+  assign _142_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:529.7-529.29" *) res_bus_inner_pending;
+  assign _143_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:555.36-555.45" *) res_bden;
+  assign _144_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:555.49-555.59" *) res_bdoen;
+  assign _145_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:597.36-597.45" *) res_bden;
+  assign _146_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:597.49-597.59" *) res_bdoen;
+  assign _147_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:599.7-599.25" *) res_sendingBit[4];
+  assign _148_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:602.29-602.60" *) \MUX_res_rxorerr$write_1__SEL_1 ;
+  assign _149_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:606.36-606.45" *) res_bden;
+  assign _150_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:606.49-606.59" *) res_bdoen;
+  assign _151_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:659.11-659.37" *) res_bus_inner_fReq_rv[36];
+  assign _152_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:674.4-674.30" *) res_bus_inner_fReq_rv[36];
+  assign _153_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:688.8-688.18" *) res_spien;
+  assign _154_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:688.22-688.33" *) res_mstmod;
+  assign _155_ = ! (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:708.21-708.35" *) res_dataValid;
+  assign _156_ = _116_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:314.8-315.40" *) _117_;
+  assign _157_ = _118_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:333.35-333.57" *) res_bdoen;
+  assign _158_ = _123_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:352.3-352.54" *) _124_;
+  assign _159_ = _184_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:353.3-354.28" *) res_bus_inner_fReq_rv[36];
+  assign _160_ = _159_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:353.3-355.19" *) _125_;
+  assign _161_ = WILL_FIRE_RL_res_startSend || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:378.7-378.61" *) WILL_FIRE_RL_res_nextBit;
+  assign _162_ = _130_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:380.36-380.59" *) _131_;
+  assign _163_ = _133_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:415.8-416.40" *) _134_;
+  assign _164_ = _135_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:433.35-433.57" *) res_bdoen;
+  assign _165_ = _136_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:453.8-454.40" *) _137_;
+  assign _166_ = \res_dataRead_rv$EN_port0__write  || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:502.7-502.57" *) res_dataRead_rv;
+  assign _167_ = WILL_FIRE_RL_res_bus_inner_process_outgoing || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:526.7-529.29" *) _091_;
+  assign _168_ = WILL_FIRE_RL_res_startSend || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:545.7-545.61" *) WILL_FIRE_RL_res_nextBit;
+  assign _169_ = _168_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:545.7-547.24" *) _094_;
+  assign _170_ = _143_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:555.36-555.59" *) _144_;
+  assign _171_ = _095_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:555.7-558.32" *) _097_;
+  assign _172_ = _101_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:567.7-572.37" *) WILL_FIRE_RL_res_stuffTransmit;
+  assign _173_ = _145_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:597.36-597.59" *) _146_;
+  assign _174_ = _102_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:597.7-599.25" *) _105_;
+  assign _175_ = _106_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:604.7-607.15" *) _108_;
+  assign _176_ = _149_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:606.36-606.59" *) _150_;
+  assign _177_ = WILL_FIRE_RL_res_lastBit || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:623.7-623.59" *) WILL_FIRE_RL_res_nextBit;
+  assign _178_ = _177_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:623.7-624.33" *) WILL_FIRE_RL_res_startSend;
+  assign _179_ = res_spien_1_AND_res_mstmod_2_3_AND_res_sending_ETC___d97 || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:632.7-633.33" *) WILL_FIRE_RL_res_startSend;
+  assign _180_ = WILL_FIRE_RL_res_lastBit || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:641.7-641.65" *) WILL_FIRE_RL_res_stuffTransmit;
+  assign _181_ = _038_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:672.11-674.30" *) _109_;
+  assign _182_ = _153_ || (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:688.8-688.33" *) _154_;
+  assign _183_ = res_clock < (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:705.32-705.60" *) halfClock__h2704;
+  assign _184_ = res_bus_inner_fReq_rv[68:37] != (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:353.3-353.47" *) 32'd12;
+  assign _185_ = res_clock != (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:546.7-546.24" *) 9'h000;
+  assign _186_ = ~ (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:704.25-704.34" *) v__h6037;
+  assign _187_ = ~ (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:709.21-709.30" *) x__h3737;
+  assign _188_ = res_shiftregRx | (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:399.9-399.34" *) x__h3737;
+  wire [15:0] _414_ = res_data;
+  assign _189_ = _414_[activeBitNo__h2708 +: 1];
+  assign _190_ = 16'h0001 << (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:707.21-707.48" *) activeBitNo__h2708;
+  assign _191_ = IF_res_psc_2_EQ_0_3_THEN_2_ELSE_IF_res_psc_2_E_ETC___d68 - (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:394.7-394.70" *) 9'h001;
+  assign _192_ = res_clock - (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:395.41-395.57" *) 9'h001;
+  assign _193_ = IF_res_ff16_7_THEN_15_ELSE_7___d48 - (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:703.7-703.63" *) res_sendingBit[3:0];
+  assign _194_ = _156_ ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:314.7-319.11" *) 32'd0 : _195_;
+  assign _195_ = \res_bus_inner_outgoing$wget [32] ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:317.10-319.10" *) \res_bus_inner_outgoing$wget [31:0] : 32'd0;
+  assign _196_ = _028_ ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:351.8-355.19" *) _158_ : _160_;
+  assign _197_ = spiMaster_miso ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:398.7-400.34" *) _188_ : _027_;
+  assign _198_ = _163_ ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:415.7-420.11" *) 32'd0 : _199_;
+  assign _199_ = \res_bus_inner_outgoing$wget [32] ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:418.10-420.10" *) \res_bus_inner_outgoing$wget [31:0] : 32'd0;
+  assign _200_ = WILL_FIRE_RL_res_wbRequest ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:458.7-460.30" *) 70'h0aaaaaaaaaaaaaaaaa : res_bus_inner_fReq_rv;
+  assign _201_ = \MUX_res_bus_inner_pending$write_1__SEL_2  ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:464.7-466.42" *) \res_bus_inner_fReq_rv$port1__write_1  : \res_bus_inner_fReq_rv$port1__read ;
+  assign _202_ = WILL_FIRE_RL_res_wbRequest ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:471.7-473.30" *) \res_bus_inner_fRes_rv$port0__write_1  : res_bus_inner_fRes_rv;
+  assign _203_ = WILL_FIRE_RL_res_bus_inner_process_outgoing ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:475.7-477.42" *) 34'h0aaaaaaaa : \res_bus_inner_fRes_rv$port1__read ;
+  assign _204_ = \res_newConfig_rv$EN_port0__write  ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:490.7-492.25" *) \res_newConfig_rv$port0__write_1  : res_newConfig_rv;
+  assign _205_ = \res_newConfig_rv$port1__read [32] ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:494.7-496.37" *) 33'h0aaaaaaaa : \res_newConfig_rv$port1__read ;
+  assign _206_ = \MUX_res_clock$write_1__SEL_1  ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:541.7-543.37" *) \MUX_res_clock$write_1__VAL_1  : \MUX_res_clock$write_1__VAL_2 ;
+  assign _207_ = \MUX_res_data$write_1__SEL_1  ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:551.7-553.53" *) res_shiftregRx : res_bus_inner_fReq_rv_BITS_35_TO_4__q1[15:0];
+  assign _208_ = res_spien_1_AND_res_mstmod_2_3_AND_res_sending_ETC___d97 ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:628.7-630.14" *) \MUX_res_shiftregRx$write_1__VAL_1  : 16'h0000;
+  assign _209_ = WILL_FIRE_RL_res_lastBit ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:637.7-639.42" *) 17'h0aaaa : \MUX_res_shiftregTx$write_1__VAL_2 ;
+  assign _210_ = _036_ ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:649.7-656.28" *) { 24'h000000, res_sendingBit[4], res_rxorerr, 4'h0, x__h5688, res_rbne } : { 16'h0000, res_data };
+  assign _211_ = _037_ ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:658.7-675.63" *) { _151_, 16'h0000, res_bden, res_bdoen, 2'h0, res_ff16, 3'h0, res_lf, res_spien, res_psc, res_mstmod, res_ckpl, res_ckph } : { _181_, IF_res_bus_inner_fReq_rv_port0__read__28_BITS__ETC___d185 };
+  assign _212_ = res_ff16 ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:676.47-676.70" *) 4'hf : 4'h7;
+  assign _213_ = res_sendingBit[4] ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:678.7-680.17" *) _214_ : res_ckpl;
+  assign _214_ = res_ckpl ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:679.10-679.44" *) v___1__h6039 : v__h6037;
+  assign _215_ = res_sendingBit[4] ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:682.7-684.13" *) _216_ : 4'h0;
+  assign _216_ = res_lf ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:683.10-683.57" *) res_sendingBit[3:0] : swapb___1__h3754;
+  assign _217_ = _182_ ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:688.7-690.66" *) 4'h0 : IF_res_sendingBit_5_BIT_4_6_THEN_IF_res_lf_03__ETC___d106;
+  assign _218_ = res_sendingBit[4] ? (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:706.21-706.67" *) res_sendingBit[3:0] : 4'h0;
+  assign _219_ = res_ckph ^ (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:705.21-705.60" *) _183_;
+  always @* begin
+    if (\$auto$verilog_backend.cc:2083:dump_module$204 ) begin end
+    _019_ = _025_;
+    (* parallel_case = 32'd1 *)
+    (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:614.5-620.12" *)
+    casez (1'h1)
+      /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:0.0-0.0" */
+      WILL_FIRE_RL_res_lastBit:
+          _025_ = 5'h0a;
+      /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:0.0-0.0" */
+      WILL_FIRE_RL_res_nextBit:
+          _025_ = \MUX_res_sendingBit$write_1__VAL_2 ;
+      /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:0.0-0.0" */
+      WILL_FIRE_RL_res_startSend:
+          _025_ = 5'h10;
+      /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:0.0-0.0" */
+      default:
+          _025_ = 5'h0a;
+    endcase
+  end
+  always @* begin
+      \res_sendingBit$D_IN  <= _019_;
+  end
+  always @* begin
+    if (\$auto$verilog_backend.cc:2083:dump_module$204 ) begin end
+    _000_ = _024_;
+    (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:712.5-721.12" *)
+    casez (res_psc)
+      /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:0.0-0.0" */
+      3'h0:
+          _024_ = 9'h002;
+      /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:0.0-0.0" */
+      3'h1:
+          _024_ = 9'h004;
+      /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:0.0-0.0" */
+      3'h2:
+          _024_ = 9'h008;
+      /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:0.0-0.0" */
+      3'h3:
+          _024_ = 9'h010;
+      /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:0.0-0.0" */
+      3'h4:
+          _024_ = 9'h020;
+      /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:0.0-0.0" */
+      3'h5:
+          _024_ = 9'h040;
+      /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:0.0-0.0" */
+      3'h6:
+          _024_ = 9'h080;
+      /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:0.0-0.0" */
+      3'h7:
+          _024_ = 9'h100;
+      default:
+          _024_ = IF_res_psc_2_EQ_0_3_THEN_2_ELSE_IF_res_psc_2_E_ETC___d68;
+    endcase
+  end
+  always @* begin
+      IF_res_psc_2_EQ_0_3_THEN_2_ELSE_IF_res_psc_2_E_ETC___d68 <= _000_;
+  end
+  always @* begin
+    if (\$auto$verilog_backend.cc:2083:dump_module$204 ) begin end
+    _001_ = res_bden;
+    _002_ = res_bdoen;
+    _003_ = res_bus_inner_fReq_rv;
+    _004_ = res_bus_inner_fRes_rv;
+    _005_ = res_bus_inner_pending;
+    _006_ = res_ckph;
+    _007_ = res_ckpl;
+    _008_ = res_clock;
+    _011_ = res_data;
+    _009_ = res_dataRead_rv;
+    _010_ = res_dataValid;
+    _012_ = res_ff16;
+    _013_ = res_lf;
+    _014_ = res_mstmod;
+    _015_ = res_newConfig_rv;
+    _016_ = res_psc;
+    _017_ = res_rbne;
+    _018_ = res_rxorerr;
+    _020_ = res_sendingBit;
+    _021_ = res_shiftregRx;
+    _022_ = res_shiftregTx;
+    _023_ = res_spien;
+    (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:728.5-791.10" *)
+    casez (_043_)
+      /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:728.9-728.22" */
+      1'h1:
+        begin
+          _001_ = 1'h0;
+          _002_ = 1'h0;
+          _003_ = 70'h0aaaaaaaaaaaaaaaaa;
+          _004_ = 34'h0aaaaaaaa;
+          _005_ = 1'h0;
+          _006_ = 1'h0;
+          _007_ = 1'h0;
+          _008_ = 9'h000;
+          _011_ = 16'h0000;
+          _009_ = 1'h0;
+          _010_ = 1'h0;
+          _012_ = 1'h0;
+          _013_ = 1'h0;
+          _014_ = 1'h0;
+          _015_ = 33'h0aaaaaaaa;
+          _016_ = 3'h0;
+          _017_ = 1'h0;
+          _018_ = 1'h0;
+          _020_ = 5'h0a;
+          _021_ = 16'h0000;
+          _022_ = 17'h0aaaa;
+          _023_ = 1'h0;
+        end
+      /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:753.5-753.9" */
+      default:
+        begin
+          (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:755.9-755.53" *)
+          casez (\res_bden$EN )
+            /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:755.13-755.24" */
+            1'h1:
+                _001_ = \res_bden$D_IN ;
+            default:
+                /* empty */;
+          endcase
+          (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:756.2-756.49" *)
+          casez (\res_bdoen$EN )
+            /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:756.6-756.18" */
+            1'h1:
+                _002_ = \res_bdoen$D_IN ;
+            default:
+                /* empty */;
+          endcase
+          (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:757.2-759.35" *)
+          casez (\res_bus_inner_fReq_rv$EN )
+            /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:757.6-757.30" */
+            1'h1:
+                _003_ = \res_bus_inner_fReq_rv$D_IN ;
+            default:
+                /* empty */;
+          endcase
+          (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:760.2-762.35" *)
+          casez (\res_bus_inner_fRes_rv$EN )
+            /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:760.6-760.30" */
+            1'h1:
+                _004_ = \res_bus_inner_fRes_rv$D_IN ;
+            default:
+                /* empty */;
+          endcase
+          (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:763.2-765.35" *)
+          casez (\res_bus_inner_pending$EN )
+            /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:763.6-763.30" */
+            1'h1:
+                _005_ = \res_bus_inner_pending$D_IN ;
+            default:
+                /* empty */;
+          endcase
+          (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:766.2-766.46" *)
+          casez (\res_ckph$EN )
+            /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:766.6-766.17" */
+            1'h1:
+                _006_ = \res_ckph$D_IN ;
+            default:
+                /* empty */;
+          endcase
+          (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:767.2-767.46" *)
+          casez (\res_ckpl$EN )
+            /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:767.6-767.17" */
+            1'h1:
+                _007_ = \res_ckpl$D_IN ;
+            default:
+                /* empty */;
+          endcase
+          (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:768.2-768.49" *)
+          casez (\res_clock$EN )
+            /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:768.6-768.18" */
+            1'h1:
+                _008_ = \res_clock$D_IN ;
+            default:
+                /* empty */;
+          endcase
+          (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:769.2-769.46" *)
+          casez (\res_data$EN )
+            /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:769.6-769.17" */
+            1'h1:
+                _011_ = \res_data$D_IN ;
+            default:
+                /* empty */;
+          endcase
+          (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:770.2-771.45" *)
+          casez (\res_dataRead_rv$EN )
+            /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:770.6-770.24" */
+            1'h1:
+                _009_ = \res_dataRead_rv$D_IN ;
+            default:
+                /* empty */;
+          endcase
+          (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:772.2-773.41" *)
+          casez (\res_dataValid$EN )
+            /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:772.6-772.22" */
+            1'h1:
+                _010_ = \res_dataValid$D_IN ;
+            default:
+                /* empty */;
+          endcase
+          (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:774.2-774.46" *)
+          casez (\res_ff16$EN )
+            /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:774.6-774.17" */
+            1'h1:
+                _012_ = \res_ff16$D_IN ;
+            default:
+                /* empty */;
+          endcase
+          (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:775.2-775.40" *)
+          casez (\res_lf$EN )
+            /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:775.6-775.15" */
+            1'h1:
+                _013_ = \res_lf$D_IN ;
+            default:
+                /* empty */;
+          endcase
+          (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:776.2-777.35" *)
+          casez (\res_mstmod$EN )
+            /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:776.6-776.19" */
+            1'h1:
+                _014_ = \res_mstmod$D_IN ;
+            default:
+                /* empty */;
+          endcase
+          (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:778.2-779.47" *)
+          casez (\res_newConfig_rv$EN )
+            /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:778.6-778.25" */
+            1'h1:
+                _015_ = \res_newConfig_rv$D_IN ;
+            default:
+                /* empty */;
+          endcase
+          (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:780.2-780.43" *)
+          casez (\res_psc$EN )
+            /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:780.6-780.16" */
+            1'h1:
+                _016_ = \res_psc$D_IN ;
+            default:
+                /* empty */;
+          endcase
+          (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:781.2-781.46" *)
+          casez (\res_rbne$EN )
+            /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:781.6-781.17" */
+            1'h1:
+                _017_ = \res_rbne$D_IN ;
+            default:
+                /* empty */;
+          endcase
+          (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:782.2-783.37" *)
+          casez (\res_rxorerr$EN )
+            /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:782.6-782.20" */
+            1'h1:
+                _018_ = \res_rxorerr$D_IN ;
+            default:
+                /* empty */;
+          endcase
+          (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:784.2-785.43" *)
+          casez (\res_sendingBit$EN )
+            /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:784.6-784.23" */
+            1'h1:
+                _020_ = \res_sendingBit$D_IN ;
+            default:
+                /* empty */;
+          endcase
+          (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:786.2-787.43" *)
+          casez (\res_shiftregRx$EN )
+            /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:786.6-786.23" */
+            1'h1:
+                _021_ = \res_shiftregRx$D_IN ;
+            default:
+                /* empty */;
+          endcase
+          (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:788.2-789.43" *)
+          casez (\res_shiftregTx$EN )
+            /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:788.6-788.23" */
+            1'h1:
+                _022_ = \res_shiftregTx$D_IN ;
+            default:
+                /* empty */;
+          endcase
+          (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:790.2-790.49" *)
+          casez (\res_spien$EN )
+            /* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF100SPI.v:790.6-790.18" */
+            1'h1:
+                _023_ = \res_spien$D_IN ;
+            default:
+                /* empty */;
+          endcase
+        end
+    endcase
+  end
+  always @(posedge CLK) begin
+      res_bden <= _001_;
+      res_bdoen <= _002_;
+      res_bus_inner_fReq_rv <= _003_;
+      res_bus_inner_fRes_rv <= _004_;
+      res_bus_inner_pending <= _005_;
+      res_ckph <= _006_;
+      res_ckpl <= _007_;
+      res_clock <= _008_;
+      res_data <= _011_;
+      res_dataRead_rv <= _009_;
+      res_dataValid <= _010_;
+      res_ff16 <= _012_;
+      res_lf <= _013_;
+      res_mstmod <= _014_;
+      res_newConfig_rv <= _015_;
+      res_psc <= _016_;
+      res_rbne <= _017_;
+      res_rxorerr <= _018_;
+      res_sendingBit <= _020_;
+      res_shiftregRx <= _021_;
+      res_shiftregTx <= _022_;
+      res_spien <= _023_;
+  end
+  assign slave_ack_o = _044_;
+  assign slave_err_o = 1'h0;
+  assign slave_rty_o = 1'h0;
+  assign slave_dat_o = _194_;
+  assign spiMaster_sclk = _046_;
+  assign spiMaster_mosi = _049_;
+  assign spiMaster_mosi_oe = _051_;
+  assign WILL_FIRE_RL_res_lastBit = _054_;
+  assign WILL_FIRE_RL_res_stuffTransmit = _058_;
+  assign WILL_FIRE_RL_res_wbRequest = _060_;
+  assign WILL_FIRE_RL_res_nextBit = _062_;
+  assign WILL_FIRE_RL_res_startSend = _065_;
+  assign WILL_FIRE_RL_res_bus_inner_process_outgoing = _066_;
+  assign \MUX_res_bus_inner_pending$write_1__SEL_2  = _068_;
+  assign \MUX_res_clock$write_1__SEL_1  = _161_;
+  assign \MUX_res_data$write_1__SEL_1  = _069_;
+  assign \MUX_res_dataValid$write_1__SEL_1  = _073_;
+  assign \MUX_res_rbne$write_1__SEL_2  = _076_;
+  assign \MUX_res_rxorerr$write_1__SEL_1  = _077_;
+  assign \MUX_res_clock$write_1__VAL_1  = _191_;
+  assign \MUX_res_clock$write_1__VAL_2  = _192_;
+  assign \MUX_res_sendingBit$write_1__VAL_2  = { 1'h1, _026_ };
+  assign \MUX_res_shiftregRx$write_1__VAL_1  = _197_;
+  assign \MUX_res_shiftregTx$write_1__VAL_2  = { 1'h1, res_data };
+  assign \res_bus_inner_probeAck$PROBE  = _078_;
+  assign \res_bus_inner_probeAck$PROBE_VALID  = 1'h1;
+  assign \res_bus_inner_probeAdr$PROBE  = slave_adr_i;
+  assign \res_bus_inner_probeAdr$PROBE_VALID  = 1'h1;
+  assign \res_bus_inner_probeCyc$PROBE  = slave_cyc_i;
+  assign \res_bus_inner_probeCyc$PROBE_VALID  = 1'h1;
+  assign \res_bus_inner_probeDataIn$PROBE  = slave_dat_i;
+  assign \res_bus_inner_probeDataIn$PROBE_VALID  = 1'h1;
+  assign \res_bus_inner_probeDataOut$PROBE  = _198_;
+  assign \res_bus_inner_probeDataOut$PROBE_VALID  = 1'h1;
+  assign \res_bus_inner_probeSel$PROBE  = slave_sel_i;
+  assign \res_bus_inner_probeSel$PROBE_VALID  = 1'h1;
+  assign \res_bus_inner_probeStb$PROBE  = slave_stb_i;
+  assign \res_bus_inner_probeStb$PROBE_VALID  = 1'h1;
+  assign \res_bus_inner_probeWe$PROBE  = slave_we_i;
+  assign \res_bus_inner_probeWe$PROBE_VALID  = 1'h1;
+  assign \res_probeMiso$PROBE  = spiMaster_miso;
+  assign \res_probeMiso$PROBE_VALID  = 1'h1;
+  assign \res_probeMosi$PROBE  = spiMaster_mosi;
+  assign \res_probeMosi$PROBE_VALID  = 1'h1;
+  assign \res_probeMosiOe$PROBE  = _080_;
+  assign \res_probeMosiOe$PROBE_VALID  = 1'h1;
+  assign \res_probeRbne$PROBE  = res_rbne;
+  assign \res_probeRbne$PROBE_VALID  = 1'h1;
+  assign \res_probeRxorerr$PROBE  = res_rxorerr;
+  assign \res_probeRxorerr$PROBE_VALID  = 1'h1;
+  assign \res_probeSclk$PROBE  = _082_;
+  assign \res_probeSclk$PROBE_VALID  = 1'h1;
+  assign \res_probeTbe$PROBE  = x__h5688;
+  assign \res_probeTbe$PROBE_VALID  = 1'h1;
+  assign \res_probeTrans$PROBE  = res_sendingBit[4];
+  assign \res_probeTrans$PROBE_VALID  = 1'h1;
+  assign \res_bus_inner_incoming$wget  = { slave_adr_i, slave_we_i, slave_dat_i, slave_sel_i };
+  assign \res_bus_inner_incoming$whas  = _084_;
+  assign \res_bus_inner_outgoing$wget  = { 1'h1, \res_bus_inner_fRes_rv$port1__read [32:0] };
+  assign \res_bus_inner_fReq_rv$port1__read  = _200_;
+  assign \res_bus_inner_fReq_rv$port1__write_1  = { 1'h1, \res_bus_inner_incoming$wget  };
+  assign \res_bus_inner_fReq_rv$port2__read  = _201_;
+  assign \res_bus_inner_fRes_rv$port0__write_1  = { 1'h1, IF_res_bus_inner_fReq_rv_port0__read__28_BITS__ETC___d187 };
+  assign \res_bus_inner_fRes_rv$port1__read  = _202_;
+  assign \res_bus_inner_fRes_rv$port2__read  = _203_;
+  assign \res_newConfig_rv$EN_port0__write  = _085_;
+  assign \res_newConfig_rv$port0__write_1  = { 17'h10000, res_bus_inner_fReq_rv_BITS_35_TO_4__q1[15:14], 2'h0, res_bus_inner_fReq_rv_BITS_35_TO_4__q1[11], 3'h0, res_bus_inner_fReq_rv_BITS_35_TO_4__q1[7:0] };
+  assign \res_newConfig_rv$port1__read  = _204_;
+  assign \res_newConfig_rv$port2__read  = _205_;
+  assign \res_dataRead_rv$EN_port0__write  = _088_;
+  assign \res_dataRead_rv$port1__read  = _166_;
+  assign \res_dataRead_rv$port2__read  = _089_;
+  assign \res_bden$D_IN  = \res_newConfig_rv$port1__read [15];
+  assign \res_bden$EN  = \res_newConfig_rv$port1__read [32];
+  assign \res_bdoen$D_IN  = \res_newConfig_rv$port1__read [14];
+  assign \res_bdoen$EN  = \res_newConfig_rv$port1__read [32];
+  assign \res_bus_inner_fReq_rv$D_IN  = \res_bus_inner_fReq_rv$port2__read ;
+  assign \res_bus_inner_fReq_rv$EN  = 1'h1;
+  assign \res_bus_inner_fRes_rv$D_IN  = \res_bus_inner_fRes_rv$port2__read ;
+  assign \res_bus_inner_fRes_rv$EN  = 1'h1;
+  assign \res_bus_inner_pending$D_IN  = _140_;
+  assign \res_bus_inner_pending$EN  = _167_;
+  assign \res_ckph$D_IN  = \res_newConfig_rv$port1__read [0];
+  assign \res_ckph$EN  = \res_newConfig_rv$port1__read [32];
+  assign \res_ckpl$D_IN  = \res_newConfig_rv$port1__read [1];
+  assign \res_ckpl$EN  = \res_newConfig_rv$port1__read [32];
+  assign \res_clock$D_IN  = _206_;
+  assign \res_clock$EN  = _169_;
+  assign \res_data$D_IN  = _207_;
+  assign \res_data$EN  = _171_;
+  assign \res_dataRead_rv$D_IN  = \res_dataRead_rv$port2__read ;
+  assign \res_dataRead_rv$EN  = 1'h1;
+  assign \res_dataValid$D_IN  = \MUX_res_dataValid$write_1__SEL_1 ;
+  assign \res_dataValid$EN  = _172_;
+  assign \res_ff16$D_IN  = \res_newConfig_rv$port1__read [11];
+  assign \res_ff16$EN  = \res_newConfig_rv$port1__read [32];
+  assign \res_lf$D_IN  = \res_newConfig_rv$port1__read [7];
+  assign \res_lf$EN  = \res_newConfig_rv$port1__read [32];
+  assign \res_mstmod$D_IN  = \res_newConfig_rv$port1__read [2];
+  assign \res_mstmod$EN  = \res_newConfig_rv$port1__read [32];
+  assign \res_newConfig_rv$D_IN  = \res_newConfig_rv$port2__read ;
+  assign \res_newConfig_rv$EN  = 1'h1;
+  assign \res_psc$D_IN  = \res_newConfig_rv$port1__read [5:3];
+  assign \res_psc$EN  = \res_newConfig_rv$port1__read [32];
+  assign \res_rbne$D_IN  = \MUX_res_data$write_1__SEL_1 ;
+  assign \res_rbne$EN  = _174_;
+  assign \res_rxorerr$D_IN  = _148_;
+  assign \res_rxorerr$EN  = _175_;
+  assign \res_sendingBit$EN  = _178_;
+  assign \res_shiftregRx$D_IN  = _208_;
+  assign \res_shiftregRx$EN  = _179_;
+  assign \res_shiftregTx$D_IN  = _209_;
+  assign \res_shiftregTx$EN  = _180_;
+  assign \res_spien$D_IN  = \res_newConfig_rv$port1__read [6];
+  assign \res_spien$EN  = \res_newConfig_rv$port1__read [32];
+  assign IF_res_bus_inner_fReq_rv_port0__read__28_BITS__ETC___d185 = _210_;
+  assign IF_res_bus_inner_fReq_rv_port0__read__28_BITS__ETC___d187 = _211_;
+  assign IF_res_ff16_7_THEN_15_ELSE_7___d48 = _212_;
+  assign IF_res_sendingBit_5_BIT_4_6_THEN_IF_res_ckpl_6_ETC___d194 = _213_;
+  assign IF_res_sendingBit_5_BIT_4_6_THEN_IF_res_lf_03__ETC___d106 = _215_;
+  assign IF_res_sendingBit_5_BIT_4_6_THEN_IF_res_sendin_ETC___d49 = _040_;
+  assign activeBitNo__h2708 = _217_;
+  assign halfClock__h2704 = { 1'h0, IF_res_psc_2_EQ_0_3_THEN_2_ELSE_IF_res_psc_2_E_ETC___d68[8:1] };
+  assign res_bus_inner_fReq_rv_BITS_35_TO_4__q1 = res_bus_inner_fReq_rv[35:4];
+  assign res_clock_8_EQ_0_9_AND_res_spien_1_AND_res_mst_ETC___d40 = _112_;
+  assign res_spien_1_AND_res_mstmod_2_3_AND_res_sending_ETC___d97 = _115_;
+  assign swapb___1__h3754 = _193_;
+  assign v___1__h6039 = _186_;
+  assign v__h6037 = _219_;
+  assign x__h2962 = _218_;
+  assign x__h3737 = _190_;
+  assign x__h5688 = _155_;
+  assign y__h3850 = _187_;
+endmodule
+
diff --git a/verilog/rtl/mkQF105.v b/verilog/rtl/mkQF105.v
new file mode 100644
index 0000000..ba00eb0
--- /dev/null
+++ b/verilog/rtl/mkQF105.v
@@ -0,0 +1,354 @@
+/* Generated by Yosys 0.15 (git sha1 yosys-0.15, gcc 10.3.0 -fPIC -Os) */
+
+(* hdlname = "\\mkQF105" *)
+(* top =  1  *)
+(* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:36.1-310.10" *)
+module mkQF105(
+`ifdef USE_POWER_PINS
+    VPWR,
+    VGND,
+`endif
+    wb_clk_i, wb_rst_i, la_data_in, la_oenb, la_data_out, io_in, io_out, io_oeb, irq);
+`ifdef USE_POWER_PINS
+  inout VPWR;
+  inout VGND;
+`endif
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:262.7-263.42" *)
+  wire _00_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:265.7-266.43" *)
+  wire _01_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:268.7-269.42" *)
+  wire _02_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:271.7-272.43" *)
+  wire _03_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:290.7-291.42" *)
+  wire _04_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:293.7-294.43" *)
+  wire _05_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:296.7-297.42" *)
+  wire _06_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:299.7-300.43" *)
+  wire _07_;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:62.19-62.24" *)
+  input [37:0] io_in;
+  wire [37:0] io_in;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:68.19-68.25" *)
+  output [37:0] io_oeb;
+  wire [37:0] io_oeb;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:65.19-65.25" *)
+  output [37:0] io_out;
+  wire [37:0] io_out;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:71.18-71.21" *)
+  output [2:0] irq;
+  wire [2:0] irq;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:55.20-55.30" *)
+  input [127:0] la_data_in;
+  wire [127:0] la_data_in;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:59.20-59.31" *)
+  output [127:0] la_data_out;
+  wire [127:0] la_data_out;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:56.20-56.27" *)
+  input [127:0] la_oenb;
+  wire [127:0] la_oenb;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:87.8-87.42" *)
+  wire \res_cpu$EN_dmem_client_request_get ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:88.8-88.43" *)
+  wire \res_cpu$EN_dmem_client_response_put ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:89.8-89.42" *)
+  wire \res_cpu$EN_imem_client_request_get ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:90.8-90.43" *)
+  wire \res_cpu$EN_imem_client_response_put ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:91.8-91.43" *)
+  wire \res_cpu$RDY_dmem_client_request_get ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:92.8-92.44" *)
+  wire \res_cpu$RDY_dmem_client_response_put ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:93.8-93.43" *)
+  wire \res_cpu$RDY_imem_client_request_get ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:94.8-94.44" *)
+  wire \res_cpu$RDY_imem_client_response_put ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:79.17-79.48" *)
+  wire [99:0] \res_cpu$dmem_client_request_get ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:80.17-80.49" *)
+  wire [31:0] \res_cpu$dmem_client_response_put ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:81.3-81.34" *)
+  wire [31:0] \res_cpu$imem_client_request_get ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:82.3-82.35" *)
+  wire [31:0] \res_cpu$imem_client_response_put ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:95.8-95.35" *)
+  wire \res_cpu$sysmem_client_ack_i ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:83.3-83.30" *)
+  wire [31:0] \res_cpu$sysmem_client_adr_o ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:96.8-96.35" *)
+  wire \res_cpu$sysmem_client_cyc_o ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:84.3-84.30" *)
+  wire [31:0] \res_cpu$sysmem_client_dat_i ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:85.3-85.30" *)
+  wire [31:0] \res_cpu$sysmem_client_dat_o ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:97.8-97.35" *)
+  wire \res_cpu$sysmem_client_err_i ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:98.8-98.35" *)
+  wire \res_cpu$sysmem_client_rty_i ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:86.16-86.43" *)
+  wire [3:0] \res_cpu$sysmem_client_sel_o ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:99.8-99.35" *)
+  wire \res_cpu$sysmem_client_stb_o ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:100.8-100.34" *)
+  wire \res_cpu$sysmem_client_we_o ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:110.8-110.28" *)
+  wire \res_fabric$cpu_ack_o ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:103.17-103.37" *)
+  wire [31:0] \res_fabric$cpu_adr_i ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:111.8-111.28" *)
+  wire \res_fabric$cpu_cyc_i ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:104.3-104.23" *)
+  wire [31:0] \res_fabric$cpu_dat_i ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:105.3-105.23" *)
+  wire [31:0] \res_fabric$cpu_dat_o ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:112.8-112.28" *)
+  wire \res_fabric$cpu_err_o ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:113.8-113.28" *)
+  wire \res_fabric$cpu_rty_o ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:109.16-109.36" *)
+  wire [3:0] \res_fabric$cpu_sel_i ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:114.8-114.28" *)
+  wire \res_fabric$cpu_stb_i ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:115.8-115.27" *)
+  wire \res_fabric$cpu_we_i ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:116.8-116.28" *)
+  wire \res_fabric$spi_ack_i ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:106.3-106.23" *)
+  wire [31:0] \res_fabric$spi_adr_o ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:117.8-117.28" *)
+  wire \res_fabric$spi_cyc_o ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:107.3-107.23" *)
+  wire [31:0] \res_fabric$spi_dat_i ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:108.3-108.23" *)
+  wire [31:0] \res_fabric$spi_dat_o ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:118.8-118.28" *)
+  wire \res_fabric$spi_err_i ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:119.8-119.28" *)
+  wire \res_fabric$spi_rty_i ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:109.38-109.58" *)
+  wire [3:0] \res_fabric$spi_sel_o ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:120.8-120.28" *)
+  wire \res_fabric$spi_stb_o ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:121.8-121.27" *)
+  wire \res_fabric$spi_we_o ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:128.8-128.42" *)
+  wire \res_mem$EN_memory_dmem_request_put ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:129.8-129.43" *)
+  wire \res_mem$EN_memory_dmem_response_get ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:130.8-130.42" *)
+  wire \res_mem$EN_memory_imem_request_put ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:131.8-131.43" *)
+  wire \res_mem$EN_memory_imem_response_get ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:132.8-132.43" *)
+  wire \res_mem$RDY_memory_dmem_request_put ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:133.8-133.44" *)
+  wire \res_mem$RDY_memory_dmem_response_get ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:134.8-134.43" *)
+  wire \res_mem$RDY_memory_imem_request_put ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:135.8-135.44" *)
+  wire \res_mem$RDY_memory_imem_response_get ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:124.17-124.48" *)
+  wire [99:0] \res_mem$memory_dmem_request_put ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:125.17-125.49" *)
+  wire [31:0] \res_mem$memory_dmem_response_get ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:126.3-126.34" *)
+  wire [31:0] \res_mem$memory_imem_request_put ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:127.3-127.35" *)
+  wire [31:0] \res_mem$memory_imem_response_get ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:140.8-140.27" *)
+  wire \res_spi$slave_ack_o ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:138.17-138.36" *)
+  wire [31:0] \res_spi$slave_adr_i ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:141.8-141.27" *)
+  wire \res_spi$slave_cyc_i ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:138.38-138.57" *)
+  wire [31:0] \res_spi$slave_dat_i ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:138.59-138.78" *)
+  wire [31:0] \res_spi$slave_dat_o ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:142.8-142.27" *)
+  wire \res_spi$slave_err_o ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:143.8-143.27" *)
+  wire \res_spi$slave_rty_o ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:139.16-139.35" *)
+  wire [3:0] \res_spi$slave_sel_i ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:144.8-144.27" *)
+  wire \res_spi$slave_stb_i ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:145.8-145.26" *)
+  wire \res_spi$slave_we_i ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:146.8-146.30" *)
+  wire \res_spi$spiMaster_miso ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:147.8-147.30" *)
+  wire \res_spi$spiMaster_mosi ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:148.8-148.30" *)
+  wire \res_spi$spiMaster_sclk ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:151.8-151.25" *)
+  wire \reset_n$RESET_OUT ;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:51.10-51.18" *)
+  input wb_clk_i;
+  wire wb_clk_i;
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:52.10-52.18" *)
+  input wb_rst_i;
+  wire wb_rst_i;
+  assign _00_ = \res_cpu$RDY_dmem_client_request_get  && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:262.7-263.42" *) \res_mem$RDY_memory_dmem_request_put ;
+  assign _01_ = \res_cpu$RDY_dmem_client_response_put  && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:265.7-266.43" *) \res_mem$RDY_memory_dmem_response_get ;
+  assign _02_ = \res_cpu$RDY_imem_client_request_get  && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:268.7-269.42" *) \res_mem$RDY_memory_imem_request_put ;
+  assign _03_ = \res_cpu$RDY_imem_client_response_put  && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:271.7-272.43" *) \res_mem$RDY_memory_imem_response_get ;
+  assign _04_ = \res_cpu$RDY_imem_client_request_get  && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:290.7-291.42" *) \res_mem$RDY_memory_imem_request_put ;
+  assign _05_ = \res_cpu$RDY_imem_client_response_put  && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:293.7-294.43" *) \res_mem$RDY_memory_imem_response_get ;
+  assign _06_ = \res_cpu$RDY_dmem_client_request_get  && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:296.7-297.42" *) \res_mem$RDY_memory_dmem_request_put ;
+  assign _07_ = \res_cpu$RDY_dmem_client_response_put  && (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:299.7-300.43" *) \res_mem$RDY_memory_dmem_response_get ;
+  (* module_not_derived = 32'd1 *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:166.14-191.22" *)
+  mkLanaiCPU res_cpu (
+`ifdef USE_POWER_PINS
+    .VPWR(VPWR),
+    .VGND(VGND),
+`endif
+    .CLK(wb_clk_i),
+    .EN_dmem_client_request_get(\res_cpu$EN_dmem_client_request_get ),
+    .EN_dmem_client_response_put(\res_cpu$EN_dmem_client_response_put ),
+    .EN_imem_client_request_get(\res_cpu$EN_imem_client_request_get ),
+    .EN_imem_client_response_put(\res_cpu$EN_imem_client_response_put ),
+    .RDY_dmem_client_request_get(\res_cpu$RDY_dmem_client_request_get ),
+    .RDY_dmem_client_response_put(\res_cpu$RDY_dmem_client_response_put ),
+    .RDY_imem_client_request_get(\res_cpu$RDY_imem_client_request_get ),
+    .RDY_imem_client_response_put(\res_cpu$RDY_imem_client_response_put ),
+    .RST_N(\reset_n$RESET_OUT ),
+    .dmem_client_request_get(\res_cpu$dmem_client_request_get ),
+    .dmem_client_response_put(\res_cpu$dmem_client_response_put ),
+    .imem_client_request_get(\res_cpu$imem_client_request_get ),
+    .imem_client_response_put(\res_cpu$imem_client_response_put ),
+    .sysmem_client_ack_i(\res_cpu$sysmem_client_ack_i ),
+    .sysmem_client_adr_o(\res_cpu$sysmem_client_adr_o ),
+    .sysmem_client_cyc_o(\res_cpu$sysmem_client_cyc_o ),
+    .sysmem_client_dat_i(\res_cpu$sysmem_client_dat_i ),
+    .sysmem_client_dat_o(\res_cpu$sysmem_client_dat_o ),
+    .sysmem_client_err_i(\res_cpu$sysmem_client_err_i ),
+    .sysmem_client_rty_i(\res_cpu$sysmem_client_rty_i ),
+    .sysmem_client_sel_o(\res_cpu$sysmem_client_sel_o ),
+    .sysmem_client_stb_o(\res_cpu$sysmem_client_stb_o ),
+    .sysmem_client_we_o(\res_cpu$sysmem_client_we_o )
+  );
+  (* module_not_derived = 32'd1 *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:194.17-215.38" *)
+  mkQF100Fabric res_fabric (
+`ifdef USE_POWER_PINS
+    .VPWR(VPWR),
+    .VGND(VGND),
+`endif
+    .CLK(wb_clk_i),
+    .RST_N(\reset_n$RESET_OUT ),
+    .cpu_ack_o(\res_fabric$cpu_ack_o ),
+    .cpu_adr_i(\res_fabric$cpu_adr_i ),
+    .cpu_cyc_i(\res_fabric$cpu_cyc_i ),
+    .cpu_dat_i(\res_fabric$cpu_dat_i ),
+    .cpu_dat_o(\res_fabric$cpu_dat_o ),
+    .cpu_err_o(\res_fabric$cpu_err_o ),
+    .cpu_rty_o(\res_fabric$cpu_rty_o ),
+    .cpu_sel_i(\res_fabric$cpu_sel_i ),
+    .cpu_stb_i(\res_fabric$cpu_stb_i ),
+    .cpu_we_i(\res_fabric$cpu_we_i ),
+    .spi_ack_i(\res_fabric$spi_ack_i ),
+    .spi_adr_o(\res_fabric$spi_adr_o ),
+    .spi_cyc_o(\res_fabric$spi_cyc_o ),
+    .spi_dat_i(\res_fabric$spi_dat_i ),
+    .spi_dat_o(\res_fabric$spi_dat_o ),
+    .spi_err_i(\res_fabric$spi_err_i ),
+    .spi_rty_i(\res_fabric$spi_rty_i ),
+    .spi_sel_o(\res_fabric$spi_sel_o ),
+    .spi_stb_o(\res_fabric$spi_stb_o ),
+    .spi_we_o(\res_fabric$spi_we_o )
+  );
+  (* module_not_derived = 32'd1 *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:218.17-231.72" *)
+  mkQF100Memory res_mem (
+`ifdef USE_POWER_PINS
+    .VPWR(VPWR),
+    .VGND(VGND),
+`endif
+    .CLK(wb_clk_i),
+    .EN_memory_dmem_request_put(\res_mem$EN_memory_dmem_request_put ),
+    .EN_memory_dmem_response_get(\res_mem$EN_memory_dmem_response_get ),
+    .EN_memory_imem_request_put(\res_mem$EN_memory_imem_request_put ),
+    .EN_memory_imem_response_get(\res_mem$EN_memory_imem_response_get ),
+    .RDY_memory_dmem_request_put(\res_mem$RDY_memory_dmem_request_put ),
+    .RDY_memory_dmem_response_get(\res_mem$RDY_memory_dmem_response_get ),
+    .RDY_memory_imem_request_put(\res_mem$RDY_memory_imem_request_put ),
+    .RDY_memory_imem_response_get(\res_mem$RDY_memory_imem_response_get ),
+    .RST_N(\reset_n$RESET_OUT ),
+    .memory_dmem_request_put(\res_mem$memory_dmem_request_put ),
+    .memory_dmem_response_get(\res_mem$memory_dmem_response_get ),
+    .memory_imem_request_put(\res_mem$memory_imem_request_put ),
+    .memory_imem_response_get(\res_mem$memory_imem_response_get )
+  );
+  (* module_not_derived = 32'd1 *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:234.14-249.29" *)
+  mkQF100SPI res_spi (
+`ifdef USE_POWER_PINS
+    .VPWR(VPWR),
+    .VGND(VGND),
+`endif
+    .CLK(wb_clk_i),
+    .RST_N(\reset_n$RESET_OUT ),
+    .slave_ack_o(\res_spi$slave_ack_o ),
+    .slave_adr_i(\res_spi$slave_adr_i ),
+    .slave_cyc_i(\res_spi$slave_cyc_i ),
+    .slave_dat_i(\res_spi$slave_dat_i ),
+    .slave_dat_o(\res_spi$slave_dat_o ),
+    .slave_err_o(\res_spi$slave_err_o ),
+    .slave_rty_o(\res_spi$slave_rty_o ),
+    .slave_sel_i(\res_spi$slave_sel_i ),
+    .slave_stb_i(\res_spi$slave_stb_i ),
+    .slave_we_i(\res_spi$slave_we_i ),
+    .spiMaster_miso(\res_spi$spiMaster_miso ),
+    .spiMaster_mosi(\res_spi$spiMaster_mosi ),
+    .spiMaster_sclk(\res_spi$spiMaster_sclk )
+  );
+  (* module_not_derived = 32'd1 *)
+  (* src = "bazel-out/k8-fastbuild/bin/boards/qf100/QF100/mkQF105.v:252.17-252.76" *)
+  ResetInverter reset_n (
+    .RESET_IN(wb_rst_i),
+    .RESET_OUT(\reset_n$RESET_OUT )
+  );
+  assign la_data_out = 128'h00000000000000000000000000000000;
+  assign io_out = { 36'h000000000, \res_spi$spiMaster_mosi , \res_spi$spiMaster_sclk  };
+  assign io_oeb = 38'h0000000003;
+  assign irq = 3'h0;
+  assign \res_cpu$dmem_client_response_put  = \res_mem$memory_dmem_response_get ;
+  assign \res_cpu$imem_client_response_put  = \res_mem$memory_imem_response_get ;
+  assign \res_cpu$sysmem_client_ack_i  = \res_fabric$cpu_ack_o ;
+  assign \res_cpu$sysmem_client_dat_i  = \res_fabric$cpu_dat_o ;
+  assign \res_cpu$sysmem_client_err_i  = \res_fabric$cpu_err_o ;
+  assign \res_cpu$sysmem_client_rty_i  = \res_fabric$cpu_rty_o ;
+  assign \res_cpu$EN_dmem_client_request_get  = _00_;
+  assign \res_cpu$EN_dmem_client_response_put  = _01_;
+  assign \res_cpu$EN_imem_client_request_get  = _02_;
+  assign \res_cpu$EN_imem_client_response_put  = _03_;
+  assign \res_fabric$cpu_adr_i  = \res_cpu$sysmem_client_adr_o ;
+  assign \res_fabric$cpu_cyc_i  = \res_cpu$sysmem_client_cyc_o ;
+  assign \res_fabric$cpu_dat_i  = \res_cpu$sysmem_client_dat_o ;
+  assign \res_fabric$cpu_sel_i  = \res_cpu$sysmem_client_sel_o ;
+  assign \res_fabric$cpu_stb_i  = \res_cpu$sysmem_client_stb_o ;
+  assign \res_fabric$cpu_we_i  = \res_cpu$sysmem_client_we_o ;
+  assign \res_fabric$spi_ack_i  = \res_spi$slave_ack_o ;
+  assign \res_fabric$spi_dat_i  = \res_spi$slave_dat_o ;
+  assign \res_fabric$spi_err_i  = \res_spi$slave_err_o ;
+  assign \res_fabric$spi_rty_i  = \res_spi$slave_rty_o ;
+  assign \res_mem$memory_dmem_request_put  = \res_cpu$dmem_client_request_get ;
+  assign \res_mem$memory_imem_request_put  = \res_cpu$imem_client_request_get ;
+  assign \res_mem$EN_memory_imem_request_put  = _04_;
+  assign \res_mem$EN_memory_imem_response_get  = _05_;
+  assign \res_mem$EN_memory_dmem_request_put  = _06_;
+  assign \res_mem$EN_memory_dmem_response_get  = _07_;
+  assign \res_spi$slave_adr_i  = \res_fabric$spi_adr_o ;
+  assign \res_spi$slave_cyc_i  = \res_fabric$spi_cyc_o ;
+  assign \res_spi$slave_dat_i  = \res_fabric$spi_dat_o ;
+  assign \res_spi$slave_sel_i  = \res_fabric$spi_sel_o ;
+  assign \res_spi$slave_stb_i  = \res_fabric$spi_stb_o ;
+  assign \res_spi$slave_we_i  = \res_fabric$spi_we_o ;
+  assign \res_spi$spiMaster_miso  = io_in[2];
+endmodule
+
diff --git a/verilog/rtl/user_project_wrapper.v b/verilog/rtl/user_project_wrapper.v
index 5ee1cee..be58eb3 100644
--- a/verilog/rtl/user_project_wrapper.v
+++ b/verilog/rtl/user_project_wrapper.v
@@ -82,31 +82,30 @@
 /* User project is instantiated  here   */
 /*--------------------------------------*/
 
-user_proj_example mprj (
+mkQF105 qf105(
 `ifdef USE_POWER_PINS
-	.vccd1(vccd1),	// User area 1 1.8V power
-	.vssd1(vssd1),	// User area 1 digital ground
+    .VPWR(vccd1),	// User area 1 1.8V power
+    .VGND(vssd1),	// User area 1 digital ground
 `endif
-
     .wb_clk_i(wb_clk_i),
     .wb_rst_i(wb_rst_i),
 
     // MGMT SoC Wishbone Slave
 
-    .wbs_cyc_i(wbs_cyc_i),
-    .wbs_stb_i(wbs_stb_i),
-    .wbs_we_i(wbs_we_i),
-    .wbs_sel_i(wbs_sel_i),
-    .wbs_adr_i(wbs_adr_i),
-    .wbs_dat_i(wbs_dat_i),
-    .wbs_ack_o(wbs_ack_o),
-    .wbs_dat_o(wbs_dat_o),
+    //.wbs_cyc_i(wbs_cyc_i),
+    //.wbs_stb_i(wbs_stb_i),
+    //.wbs_we_i(wbs_we_i),
+    //.wbs_sel_i(wbs_sel_i),
+    //.wbs_adr_i(wbs_adr_i),
+    //.wbs_dat_i(wbs_dat_i),
+    //.wbs_ack_o(wbs_ack_o),
+    //.wbs_dat_o(wbs_dat_o),
 
     // Logic Analyzer
 
-    .la_data_in(la_data_in),
-    .la_data_out(la_data_out),
-    .la_oenb (la_oenb),
+    //.la_data_in(la_data_in),
+    //.la_data_out(la_data_out),
+    //.la_oenb (la_oenb),
 
     // IO Pads