| /* Generated by Yosys 0.15 (git sha1 yosys-0.15, gcc 10.3.0 -fPIC -Os) */ |
| |
| (* hdlname = "\\mkQF100KSC" *) |
| (* top = 1 *) |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:39.1-345.10" *) |
| module mkQF100KSC( |
| `ifdef USE_POWER_PINS |
| vccd1, |
| vssd1, |
| `endif |
| CLK, RST_N, slave_cyc_i, slave_stb_i, slave_adr_i, slave_dat_i, slave_sel_i, slave_we_i, slave_ack_o, slave_err_o, slave_rty_o, slave_dat_o); |
| `ifdef USE_POWER_PINS |
| inout vccd1; |
| inout vssd1; |
| `endif |
| reg \$auto$verilog_backend.cc:2083:dump_module$50 = 0; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:258.3-273.6" *) |
| reg [31:0] _00_; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:277.3-300.6" *) |
| reg [69:0] _01_; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:277.3-300.6" *) |
| reg [33:0] _02_; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:277.3-300.6" *) |
| reg _03_; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:277.3-300.6" *) |
| reg [31:0] _04_; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:258.3-273.6" *) |
| reg [31:0] _05_; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:254.31-254.52" *) |
| wire [31:0] _06_; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:222.9-222.46" *) |
| wire _07_; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:223.9-223.53" *) |
| wire _08_; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:224.9-224.53" *) |
| wire _09_; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:225.9-225.53" *) |
| wire _10_; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:279.9-279.22" *) |
| wire _11_; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:143.7-144.38" *) |
| wire _12_; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:163.7-163.62" *) |
| wire _13_; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:167.7-167.69" *) |
| wire _14_; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:171.7-172.34" *) |
| wire _15_; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:171.7-173.29" *) |
| wire _16_; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:177.7-178.38" *) |
| wire _17_; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:205.7-205.33" *) |
| wire _18_; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:205.7-207.41" *) |
| wire _19_; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:249.7-250.34" *) |
| wire _20_; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:249.7-251.29" *) |
| wire _21_; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:154.8-154.52" *) |
| wire _22_; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:155.8-155.40" *) |
| wire _23_; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:163.36-163.62" *) |
| wire _24_; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:171.7-171.45" *) |
| wire _25_; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:173.7-173.29" *) |
| wire _26_; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:187.8-187.52" *) |
| wire _27_; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:188.8-188.40" *) |
| wire _28_; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:206.8-206.52" *) |
| wire _29_; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:207.8-207.40" *) |
| wire _30_; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:246.7-246.51" *) |
| wire _31_; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:249.7-249.45" *) |
| wire _32_; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:251.7-251.29" *) |
| wire _33_; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:154.8-155.40" *) |
| wire _34_; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:187.8-188.40" *) |
| wire _35_; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:206.8-207.40" *) |
| wire _36_; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:222.9-223.53" *) |
| wire _37_; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:222.9-224.53" *) |
| wire _38_; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:222.9-225.53" *) |
| wire _39_; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:248.7-251.29" *) |
| wire _40_; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:154.7-159.11" *) |
| wire [31:0] _41_; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:157.10-159.10" *) |
| wire [31:0] _42_; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:187.7-192.11" *) |
| wire [31:0] _43_; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:190.10-192.10" *) |
| wire [31:0] _44_; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:211.7-213.30" *) |
| wire [69:0] _45_; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:217.7-219.42" *) |
| wire [69:0] _46_; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:228.7-230.30" *) |
| wire [33:0] _47_; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:232.7-234.42" *) |
| wire [33:0] _48_; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:139.16-139.70" *) |
| reg [31:0] CASE_res_bus_inner_fReq_rv_BITS_68_TO_37_0x0_r_ETC__q1; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:56.10-56.13" *) |
| input CLK; |
| wire CLK; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:136.8-136.48" *) |
| wire \MUX_res_bus_inner_pending$write_1__SEL_2 ; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:57.10-57.15" *) |
| input RST_N; |
| wire RST_N; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:132.8-132.51" *) |
| wire WILL_FIRE_RL_res_bus_inner_process_outgoing; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:133.8-133.34" *) |
| wire WILL_FIRE_RL_res_wbRequest; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:113.16-113.37" *) |
| reg [69:0] res_bus_inner_fReq_rv; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:114.17-114.43" *) |
| wire [69:0] \res_bus_inner_fReq_rv$D_IN ; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:115.8-115.32" *) |
| wire \res_bus_inner_fReq_rv$EN ; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:102.17-102.50" *) |
| wire [69:0] \res_bus_inner_fReq_rv$port1__read ; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:103.3-103.39" *) |
| wire [69:0] \res_bus_inner_fReq_rv$port1__write_1 ; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:104.3-104.36" *) |
| wire [69:0] \res_bus_inner_fReq_rv$port2__read ; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:118.16-118.37" *) |
| reg [33:0] res_bus_inner_fRes_rv; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:119.17-119.43" *) |
| wire [33:0] \res_bus_inner_fRes_rv$D_IN ; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:120.8-120.32" *) |
| wire \res_bus_inner_fRes_rv$EN ; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:106.17-106.53" *) |
| wire [33:0] \res_bus_inner_fRes_rv$port0__write_1 ; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:107.3-107.36" *) |
| wire [33:0] \res_bus_inner_fRes_rv$port1__read ; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:108.3-108.36" *) |
| wire [33:0] \res_bus_inner_fRes_rv$port2__read ; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:105.17-105.44" *) |
| wire [68:0] \res_bus_inner_incoming$wget ; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:110.8-110.35" *) |
| wire \res_bus_inner_incoming$whas ; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:109.3-109.30" *) |
| wire [33:0] \res_bus_inner_outgoing$wget ; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:123.7-123.28" *) |
| reg res_bus_inner_pending; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:124.8-124.34" *) |
| wire \res_bus_inner_pending$D_IN ; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:124.36-124.60" *) |
| wire \res_bus_inner_pending$EN ; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:88.8-88.36" *) |
| wire \res_bus_inner_probeAck$PROBE ; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:89.8-89.42" *) |
| wire \res_bus_inner_probeAck$PROBE_VALID ; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:84.17-84.45" *) |
| wire [31:0] \res_bus_inner_probeAdr$PROBE ; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:90.8-90.42" *) |
| wire \res_bus_inner_probeAdr$PROBE_VALID ; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:91.8-91.36" *) |
| wire \res_bus_inner_probeCyc$PROBE ; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:92.8-92.42" *) |
| wire \res_bus_inner_probeCyc$PROBE_VALID ; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:85.3-85.34" *) |
| wire [31:0] \res_bus_inner_probeDataIn$PROBE ; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:93.8-93.45" *) |
| wire \res_bus_inner_probeDataIn$PROBE_VALID ; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:86.3-86.35" *) |
| wire [31:0] \res_bus_inner_probeDataOut$PROBE ; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:94.8-94.46" *) |
| wire \res_bus_inner_probeDataOut$PROBE_VALID ; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:87.16-87.44" *) |
| wire [3:0] \res_bus_inner_probeSel$PROBE ; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:95.8-95.42" *) |
| wire \res_bus_inner_probeSel$PROBE_VALID ; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:96.8-96.36" *) |
| wire \res_bus_inner_probeStb$PROBE ; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:97.8-97.42" *) |
| wire \res_bus_inner_probeStb$PROBE_VALID ; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:98.8-98.35" *) |
| wire \res_bus_inner_probeWe$PROBE ; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:99.8-99.41" *) |
| wire \res_bus_inner_probeWe$PROBE_VALID ; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:127.16-127.29" *) |
| reg [31:0] res_upcounter; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:128.17-128.35" *) |
| wire [31:0] \res_upcounter$D_IN ; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:129.8-129.24" *) |
| wire \res_upcounter$EN ; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:68.10-68.21" *) |
| output slave_ack_o; |
| wire slave_ack_o; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:62.19-62.30" *) |
| input [31:0] slave_adr_i; |
| wire [31:0] slave_adr_i; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:60.10-60.21" *) |
| input slave_cyc_i; |
| wire slave_cyc_i; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:63.19-63.30" *) |
| input [31:0] slave_dat_i; |
| wire [31:0] slave_dat_i; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:77.19-77.30" *) |
| output [31:0] slave_dat_o; |
| wire [31:0] slave_dat_o; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:71.10-71.21" *) |
| output slave_err_o; |
| wire slave_err_o; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:74.10-74.21" *) |
| output slave_rty_o; |
| wire slave_rty_o; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:64.18-64.29" *) |
| input [3:0] slave_sel_i; |
| wire [3:0] slave_sel_i; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:61.10-61.21" *) |
| input slave_stb_i; |
| wire slave_stb_i; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:65.10-65.20" *) |
| input slave_we_i; |
| wire slave_we_i; |
| assign _06_ = res_upcounter + (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:254.31-254.52" *) 32'd1; |
| assign _07_ = res_bus_inner_fReq_rv[68:37] == (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:222.9-222.46" *) 32'd0; |
| assign _08_ = res_bus_inner_fReq_rv[68:37] == (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:223.9-223.53" *) 32'd4; |
| assign _09_ = res_bus_inner_fReq_rv[68:37] == (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:224.9-224.53" *) 32'd8; |
| assign _10_ = res_bus_inner_fReq_rv[68:37] == (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:225.9-225.53" *) 32'd12; |
| assign _11_ = RST_N == (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:279.9-279.22" *) 1'h0; |
| assign _12_ = WILL_FIRE_RL_res_bus_inner_process_outgoing && (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:143.7-144.38" *) \res_bus_inner_outgoing$wget [33]; |
| assign _13_ = res_bus_inner_fReq_rv[69] && (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:163.7-163.62" *) _24_; |
| assign _14_ = \res_bus_inner_fRes_rv$port1__read [33] && (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:167.7-167.69" *) res_bus_inner_pending; |
| assign _15_ = _25_ && (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:171.7-172.34" *) \res_bus_inner_incoming$whas ; |
| assign _16_ = _15_ && (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:171.7-173.29" *) _26_; |
| assign _17_ = WILL_FIRE_RL_res_bus_inner_process_outgoing && (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:177.7-178.38" *) \res_bus_inner_outgoing$wget [33]; |
| assign _18_ = slave_cyc_i && (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:205.7-205.33" *) slave_stb_i; |
| assign _19_ = _18_ && (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:205.7-207.41" *) _36_; |
| assign _20_ = _32_ && (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:249.7-250.34" *) \res_bus_inner_incoming$whas ; |
| assign _21_ = _20_ && (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:249.7-251.29" *) _33_; |
| assign _22_ = ! (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:154.8-154.52" *) WILL_FIRE_RL_res_bus_inner_process_outgoing; |
| assign _23_ = ! (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:155.8-155.40" *) \res_bus_inner_outgoing$wget [33]; |
| assign _24_ = ! (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:163.36-163.62" *) res_bus_inner_fRes_rv[33]; |
| assign _25_ = ! (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:171.7-171.45" *) \res_bus_inner_fReq_rv$port1__read [69]; |
| assign _26_ = ! (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:173.7-173.29" *) res_bus_inner_pending; |
| assign _27_ = ! (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:187.8-187.52" *) WILL_FIRE_RL_res_bus_inner_process_outgoing; |
| assign _28_ = ! (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:188.8-188.40" *) \res_bus_inner_outgoing$wget [33]; |
| assign _29_ = ! (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:206.8-206.52" *) WILL_FIRE_RL_res_bus_inner_process_outgoing; |
| assign _30_ = ! (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:207.8-207.40" *) \res_bus_inner_outgoing$wget [33]; |
| assign _31_ = ! (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:246.7-246.51" *) WILL_FIRE_RL_res_bus_inner_process_outgoing; |
| assign _32_ = ! (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:249.7-249.45" *) \res_bus_inner_fReq_rv$port1__read [69]; |
| assign _33_ = ! (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:251.7-251.29" *) res_bus_inner_pending; |
| assign _34_ = _22_ || (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:154.8-155.40" *) _23_; |
| assign _35_ = _27_ || (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:187.8-188.40" *) _28_; |
| assign _36_ = _29_ || (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:206.8-207.40" *) _30_; |
| assign _37_ = _07_ || (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:222.9-223.53" *) _08_; |
| assign _38_ = _37_ || (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:222.9-224.53" *) _09_; |
| assign _39_ = _38_ || (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:222.9-225.53" *) _10_; |
| assign _40_ = WILL_FIRE_RL_res_bus_inner_process_outgoing || (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:248.7-251.29" *) _21_; |
| assign _41_ = _34_ ? (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:154.7-159.11" *) 32'd0 : _42_; |
| assign _42_ = \res_bus_inner_outgoing$wget [32] ? (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:157.10-159.10" *) \res_bus_inner_outgoing$wget [31:0] : 32'd0; |
| assign _43_ = _35_ ? (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:187.7-192.11" *) 32'd0 : _44_; |
| assign _44_ = \res_bus_inner_outgoing$wget [32] ? (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:190.10-192.10" *) \res_bus_inner_outgoing$wget [31:0] : 32'd0; |
| assign _45_ = WILL_FIRE_RL_res_wbRequest ? (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:211.7-213.30" *) 70'h0aaaaaaaaaaaaaaaaa : res_bus_inner_fReq_rv; |
| assign _46_ = \MUX_res_bus_inner_pending$write_1__SEL_2 ? (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:217.7-219.42" *) \res_bus_inner_fReq_rv$port1__write_1 : \res_bus_inner_fReq_rv$port1__read ; |
| assign _47_ = WILL_FIRE_RL_res_wbRequest ? (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:228.7-230.30" *) \res_bus_inner_fRes_rv$port0__write_1 : res_bus_inner_fRes_rv; |
| assign _48_ = WILL_FIRE_RL_res_bus_inner_process_outgoing ? (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:232.7-234.42" *) 34'h0aaaaaaaa : \res_bus_inner_fRes_rv$port1__read ; |
| always @* begin |
| if (\$auto$verilog_backend.cc:2083:dump_module$50 ) begin end |
| _00_ = _05_; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:260.5-272.12" *) |
| casez (res_bus_inner_fReq_rv[68:37]) |
| /* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:0.0-0.0" */ |
| 32'd0: |
| _05_ = res_upcounter; |
| /* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:0.0-0.0" */ |
| 32'd4: |
| _05_ = 32'd825242979; |
| /* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:0.0-0.0" */ |
| 32'd8: |
| _05_ = 32'd1899195168; |
| /* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:0.0-0.0" */ |
| default: |
| _05_ = 32'd842019378; |
| endcase |
| end |
| always @* begin |
| CASE_res_bus_inner_fReq_rv_BITS_68_TO_37_0x0_r_ETC__q1 <= _00_; |
| end |
| always @* begin |
| if (\$auto$verilog_backend.cc:2083:dump_module$50 ) begin end |
| _01_ = res_bus_inner_fReq_rv; |
| _02_ = res_bus_inner_fRes_rv; |
| _03_ = res_bus_inner_pending; |
| _04_ = res_upcounter; |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:279.5-299.10" *) |
| casez (_11_) |
| /* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:279.9-279.22" */ |
| 1'h1: |
| begin |
| _01_ = 70'h0aaaaaaaaaaaaaaaaa; |
| _02_ = 34'h0aaaaaaaa; |
| _03_ = 1'h0; |
| _04_ = 32'd0; |
| end |
| /* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:286.5-286.9" */ |
| default: |
| begin |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:288.9-290.35" *) |
| casez (\res_bus_inner_fReq_rv$EN ) |
| /* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:288.13-288.37" */ |
| 1'h1: |
| _01_ = \res_bus_inner_fReq_rv$D_IN ; |
| default: |
| /* empty */; |
| endcase |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:291.2-293.35" *) |
| casez (\res_bus_inner_fRes_rv$EN ) |
| /* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:291.6-291.30" */ |
| 1'h1: |
| _02_ = \res_bus_inner_fRes_rv$D_IN ; |
| default: |
| /* empty */; |
| endcase |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:294.2-296.35" *) |
| casez (\res_bus_inner_pending$EN ) |
| /* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:294.6-294.30" */ |
| 1'h1: |
| _03_ = \res_bus_inner_pending$D_IN ; |
| default: |
| /* empty */; |
| endcase |
| (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:297.2-298.41" *) |
| casez (\res_upcounter$EN ) |
| /* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100KSC.v:297.6-297.22" */ |
| 1'h1: |
| _04_ = \res_upcounter$D_IN ; |
| default: |
| /* empty */; |
| endcase |
| end |
| endcase |
| end |
| always @(posedge CLK) begin |
| res_bus_inner_fReq_rv <= _01_; |
| res_bus_inner_fRes_rv <= _02_; |
| res_bus_inner_pending <= _03_; |
| res_upcounter <= _04_; |
| end |
| assign slave_ack_o = _12_; |
| assign slave_err_o = 1'h0; |
| assign slave_rty_o = 1'h0; |
| assign slave_dat_o = _41_; |
| assign WILL_FIRE_RL_res_wbRequest = _13_; |
| assign WILL_FIRE_RL_res_bus_inner_process_outgoing = _14_; |
| assign \MUX_res_bus_inner_pending$write_1__SEL_2 = _16_; |
| assign \res_bus_inner_probeAck$PROBE = _17_; |
| assign \res_bus_inner_probeAck$PROBE_VALID = 1'h1; |
| assign \res_bus_inner_probeAdr$PROBE = slave_adr_i; |
| assign \res_bus_inner_probeAdr$PROBE_VALID = 1'h1; |
| assign \res_bus_inner_probeCyc$PROBE = slave_cyc_i; |
| assign \res_bus_inner_probeCyc$PROBE_VALID = 1'h1; |
| assign \res_bus_inner_probeDataIn$PROBE = slave_dat_i; |
| assign \res_bus_inner_probeDataIn$PROBE_VALID = 1'h1; |
| assign \res_bus_inner_probeDataOut$PROBE = _43_; |
| assign \res_bus_inner_probeDataOut$PROBE_VALID = 1'h1; |
| assign \res_bus_inner_probeSel$PROBE = slave_sel_i; |
| assign \res_bus_inner_probeSel$PROBE_VALID = 1'h1; |
| assign \res_bus_inner_probeStb$PROBE = slave_stb_i; |
| assign \res_bus_inner_probeStb$PROBE_VALID = 1'h1; |
| assign \res_bus_inner_probeWe$PROBE = slave_we_i; |
| assign \res_bus_inner_probeWe$PROBE_VALID = 1'h1; |
| assign \res_bus_inner_incoming$wget = { slave_adr_i, slave_we_i, slave_dat_i, slave_sel_i }; |
| assign \res_bus_inner_incoming$whas = _19_; |
| assign \res_bus_inner_outgoing$wget = { 1'h1, \res_bus_inner_fRes_rv$port1__read [32:0] }; |
| assign \res_bus_inner_fReq_rv$port1__read = _45_; |
| assign \res_bus_inner_fReq_rv$port1__write_1 = { 1'h1, \res_bus_inner_incoming$wget }; |
| assign \res_bus_inner_fReq_rv$port2__read = _46_; |
| assign \res_bus_inner_fRes_rv$port0__write_1 = { 1'h1, _39_, CASE_res_bus_inner_fReq_rv_BITS_68_TO_37_0x0_r_ETC__q1 }; |
| assign \res_bus_inner_fRes_rv$port1__read = _47_; |
| assign \res_bus_inner_fRes_rv$port2__read = _48_; |
| assign \res_bus_inner_fReq_rv$D_IN = \res_bus_inner_fReq_rv$port2__read ; |
| assign \res_bus_inner_fReq_rv$EN = 1'h1; |
| assign \res_bus_inner_fRes_rv$D_IN = \res_bus_inner_fRes_rv$port2__read ; |
| assign \res_bus_inner_fRes_rv$EN = 1'h1; |
| assign \res_bus_inner_pending$D_IN = _31_; |
| assign \res_bus_inner_pending$EN = _40_; |
| assign \res_upcounter$D_IN = _06_; |
| assign \res_upcounter$EN = 1'h1; |
| endmodule |
| |