blob: 1110c3bba3bb0f0780dd2b884c1eb363765325d4 [file] [log] [blame]
/* Generated by Yosys 0.15 (git sha1 yosys-0.15, gcc 10.3.0 -fPIC -Os) */
(* hdlname = "\\mkQF100Fabric" *)
(* top = 1 *)
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:213.1-1194.10" *)
module mkQF100Fabric(
`ifdef USE_POWER_PINS
vccd1,
vssd1,
`endif
CLK, RST_N, cpu_cyc_i, cpu_stb_i, cpu_adr_i, cpu_dat_i, cpu_sel_i, cpu_we_i, cpu_ack_o, cpu_err_o, cpu_rty_o, cpu_dat_o, spi_cyc_o, spi_stb_o, spi_adr_o, spi_dat_o, spi_sel_o, spi_we_o, spi_ack_i, spi_err_i, spi_rty_i
, spi_dat_i, gpio_cyc_o, gpio_stb_o, gpio_adr_o, gpio_dat_o, gpio_sel_o, gpio_we_o, gpio_ack_i, gpio_err_i, gpio_rty_i, gpio_dat_i, ksc_cyc_o, ksc_stb_o, ksc_adr_o, ksc_dat_o, ksc_sel_o, ksc_we_o, ksc_ack_i, ksc_err_i, ksc_rty_i, ksc_dat_i
);
`ifdef USE_POWER_PINS
inout vccd1;
inout vssd1;
`endif
reg \$auto$verilog_backend.cc:2083:dump_module$251 = 0;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1101.3-1171.6" *)
reg [69:0] _000_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1101.3-1171.6" *)
reg [33:0] _001_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1101.3-1171.6" *)
reg [69:0] _002_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1101.3-1171.6" *)
reg [33:0] _003_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1101.3-1171.6" *)
reg [69:0] _004_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1101.3-1171.6" *)
reg [33:0] _005_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1101.3-1171.6" *)
reg _006_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1101.3-1171.6" *)
reg _007_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1101.3-1171.6" *)
reg _008_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1101.3-1171.6" *)
reg [69:0] _009_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:819.3-839.6" *)
reg [33:0] _010_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1101.3-1171.6" *)
reg [33:0] _011_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1101.3-1171.6" *)
reg _012_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1101.3-1171.6" *)
reg [69:0] _013_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:819.3-839.6" *)
reg [33:0] _014_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1009.7-1009.68" *)
wire _015_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1020.7-1020.68" *)
wire _016_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1103.9-1103.22" *)
wire _017_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:855.7-855.68" *)
wire _018_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:901.7-901.68" *)
wire _019_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:936.7-936.68" *)
wire _020_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:981.7-981.68" *)
wire _021_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:985.7-985.68" *)
wire _022_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:989.7-989.68" *)
wire _023_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:998.7-998.68" *)
wire _024_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1003.7-1004.48" *)
wire _025_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1006.7-1007.49" *)
wire _026_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1006.7-1008.37" *)
wire _027_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1006.7-1009.68" *)
wire _028_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1014.7-1015.48" *)
wire _029_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1017.7-1018.49" *)
wire _030_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1017.7-1019.37" *)
wire _031_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1017.7-1020.68" *)
wire _032_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1025.7-1026.48" *)
wire _033_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1085.7-1086.76" *)
wire _034_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1090.7-1091.54" *)
wire _035_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1090.7-1092.49" *)
wire _036_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:556.7-557.58" *)
wire _037_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:576.7-577.54" *)
wire _038_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:581.7-582.54" *)
wire _039_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:609.7-610.54" *)
wire _040_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:609.7-611.54" *)
wire _041_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:615.7-616.54" *)
wire _042_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:620.7-621.54" *)
wire _043_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:648.7-649.54" *)
wire _044_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:648.7-650.54" *)
wire _045_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:654.7-655.54" *)
wire _046_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:659.7-660.54" *)
wire _047_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:687.7-688.54" *)
wire _048_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:687.7-689.54" *)
wire _049_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:693.7-694.60" *)
wire _050_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:693.7-695.53" *)
wire _051_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:699.7-700.60" *)
wire _052_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:699.7-701.53" *)
wire _053_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:699.7-702.42" *)
wire _054_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:706.7-707.60" *)
wire _055_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:706.7-708.53" *)
wire _056_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:706.7-709.44" *)
wire _057_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:706.7-710.42" *)
wire _058_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:714.7-715.67" *)
wire _059_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:719.7-720.48" *)
wire _060_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:719.7-721.76" *)
wire _061_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:725.7-726.54" *)
wire _062_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:725.7-727.49" *)
wire _063_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:740.7-741.58" *)
wire _064_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:768.7-768.29" *)
wire _065_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:779.8-779.31" *)
wire _066_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:779.8-779.45" *)
wire _067_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:788.8-788.33" *)
wire _068_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:788.8-788.48" *)
wire _069_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:797.8-797.31" *)
wire _070_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:797.8-797.45" *)
wire _071_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:809.7-810.40" *)
wire _072_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:852.7-853.49" *)
wire _073_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:852.7-854.37" *)
wire _074_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:852.7-855.68" *)
wire _075_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:858.10-861.13" *)
wire _076_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:858.10-863.13" *)
wire _077_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:872.7-873.49" *)
wire _078_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:872.7-874.50" *)
wire _079_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:872.7-875.54" *)
wire _080_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:881.7-882.49" *)
wire _081_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:881.7-883.50" *)
wire _082_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:881.7-884.54" *)
wire _083_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:898.7-899.49" *)
wire _084_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:898.7-900.37" *)
wire _085_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:898.7-901.68" *)
wire _086_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:907.7-908.49" *)
wire _087_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:907.7-909.50" *)
wire _088_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:907.7-910.54" *)
wire _089_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:916.7-917.49" *)
wire _090_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:916.7-918.50" *)
wire _091_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:916.7-919.54" *)
wire _092_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:933.7-934.49" *)
wire _093_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:933.7-935.37" *)
wire _094_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:933.7-936.68" *)
wire _095_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:942.7-943.49" *)
wire _096_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:942.7-944.50" *)
wire _097_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:942.7-945.54" *)
wire _098_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:951.7-952.49" *)
wire _099_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:951.7-953.50" *)
wire _100_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:951.7-954.54" *)
wire _101_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:968.7-969.40" *)
wire _102_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:978.7-979.49" *)
wire _103_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:978.7-980.37" *)
wire _104_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:978.7-981.68" *)
wire _105_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:982.7-983.49" *)
wire _106_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:982.7-984.37" *)
wire _107_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:982.7-985.68" *)
wire _108_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:986.7-987.49" *)
wire _109_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:986.7-988.37" *)
wire _110_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:986.7-989.68" *)
wire _111_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:995.7-996.49" *)
wire _112_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:995.7-997.37" *)
wire _113_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:995.7-998.68" *)
wire _114_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1003.7-1003.42" *)
wire _115_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1007.7-1007.49" *)
wire _116_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1008.7-1008.37" *)
wire _117_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1014.7-1014.44" *)
wire _118_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1018.7-1018.49" *)
wire _119_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1019.7-1019.37" *)
wire _120_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1025.7-1025.44" *)
wire _121_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1085.7-1085.71" *)
wire _122_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1086.7-1086.76" *)
wire _123_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1090.7-1090.53" *)
wire _124_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1092.7-1092.49" *)
wire _125_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:567.8-567.56" *)
wire _126_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:568.8-568.60" *)
wire _127_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:586.8-586.62" *)
wire _128_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:587.8-587.56" *)
wire _129_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:593.8-593.62" *)
wire _130_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:594.8-594.56" *)
wire _131_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:602.8-602.62" *)
wire _132_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:603.8-603.56" *)
wire _133_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:625.8-625.62" *)
wire _134_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:626.8-626.56" *)
wire _135_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:632.8-632.62" *)
wire _136_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:633.8-633.56" *)
wire _137_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:641.8-641.62" *)
wire _138_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:642.8-642.56" *)
wire _139_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:664.8-664.62" *)
wire _140_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:665.8-665.56" *)
wire _141_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:671.8-671.62" *)
wire _142_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:672.8-672.56" *)
wire _143_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:680.8-680.62" *)
wire _144_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:681.8-681.56" *)
wire _145_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:695.7-695.53" *)
wire _146_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:701.7-701.53" *)
wire _147_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:702.7-702.42" *)
wire _148_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:708.7-708.53" *)
wire _149_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:709.7-709.44" *)
wire _150_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:710.7-710.42" *)
wire _151_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:721.7-721.76" *)
wire _152_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:725.7-725.53" *)
wire _153_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:727.7-727.49" *)
wire _154_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:750.8-750.56" *)
wire _155_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:751.8-751.60" *)
wire _156_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:779.21-779.31" *)
wire _157_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:779.35-779.45" *)
wire _158_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:788.22-788.33" *)
wire _159_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:788.37-788.48" *)
wire _160_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:797.21-797.31" *)
wire _161_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:797.35-797.45" *)
wire _162_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:810.7-810.40" *)
wire _163_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:853.7-853.49" *)
wire _164_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:854.7-854.37" *)
wire _165_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:873.7-873.49" *)
wire _166_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:882.7-882.49" *)
wire _167_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:887.9-887.63" *)
wire _168_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:899.7-899.49" *)
wire _169_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:900.7-900.37" *)
wire _170_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:908.7-908.49" *)
wire _171_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:917.7-917.49" *)
wire _172_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:922.9-922.63" *)
wire _173_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:934.7-934.49" *)
wire _174_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:935.7-935.37" *)
wire _175_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:943.7-943.49" *)
wire _176_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:952.7-952.49" *)
wire _177_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:957.9-957.63" *)
wire _178_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:969.7-969.40" *)
wire _179_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:979.7-979.49" *)
wire _180_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:980.7-980.37" *)
wire _181_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:983.7-983.49" *)
wire _182_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:984.7-984.37" *)
wire _183_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:987.7-987.49" *)
wire _184_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:988.7-988.37" *)
wire _185_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:996.7-996.49" *)
wire _186_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:997.7-997.37" *)
wire _187_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1000.7-1001.36" *)
wire _188_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1011.7-1012.36" *)
wire _189_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1022.7-1023.36" *)
wire _190_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1088.7-1089.75" *)
wire _191_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1088.7-1092.49" *)
wire _192_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:567.8-568.60" *)
wire _193_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:586.8-587.56" *)
wire _194_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:593.8-594.56" *)
wire _195_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:602.8-603.56" *)
wire _196_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:625.8-626.56" *)
wire _197_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:632.8-633.56" *)
wire _198_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:641.8-642.56" *)
wire _199_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:664.8-665.56" *)
wire _200_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:671.8-672.56" *)
wire _201_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:680.8-681.56" *)
wire _202_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:750.8-751.60" *)
wire _203_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:773.7-774.75" *)
wire _204_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:783.7-783.29" *)
wire _205_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:783.7-783.42" *)
wire _206_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:792.7-792.31" *)
wire _207_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:792.7-792.45" *)
wire _208_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:801.7-801.29" *)
wire _209_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:801.7-801.42" *)
wire _210_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:816.7-817.43" *)
wire _211_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:816.7-818.43" *)
wire _212_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:845.7-846.75" *)
wire _213_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:978.7-985.68" *)
wire _214_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:978.7-989.68" *)
wire _215_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:858.10-859.13" *)
wire _216_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:860.3-861.13" *)
wire _217_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:862.3-863.13" *)
wire _218_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:567.7-572.11" *)
wire [31:0] _219_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:570.10-572.10" *)
wire [31:0] _220_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:586.7-589.59" *)
wire [31:0] _221_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:593.7-598.11" *)
wire [31:0] _222_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:596.10-598.10" *)
wire [31:0] _223_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:602.7-605.57" *)
wire [3:0] _224_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:625.7-628.59" *)
wire [31:0] _225_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:632.7-637.11" *)
wire [31:0] _226_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:635.10-637.10" *)
wire [31:0] _227_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:641.7-644.57" *)
wire [3:0] _228_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:664.7-667.59" *)
wire [31:0] _229_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:671.7-676.11" *)
wire [31:0] _230_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:674.10-676.10" *)
wire [31:0] _231_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:680.7-683.57" *)
wire [3:0] _232_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:750.7-755.11" *)
wire [31:0] _233_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:753.10-755.10" *)
wire [31:0] _234_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:779.7-781.22" *)
wire [32:0] _235_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:788.7-790.22" *)
wire [32:0] _236_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:797.7-799.22" *)
wire [32:0] _237_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:805.7-807.50" *)
wire [69:0] _238_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:812.7-814.62" *)
wire [69:0] _239_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:841.7-843.50" *)
wire [33:0] _240_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:848.7-850.62" *)
wire [33:0] _241_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:858.9-865.62" *)
wire [31:0] _242_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:868.7-870.46" *)
wire [69:0] _243_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:877.7-879.58" *)
wire [69:0] _244_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:890.7-892.46" *)
wire [33:0] _245_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:894.7-896.58" *)
wire [33:0] _246_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:903.7-905.46" *)
wire [69:0] _247_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:912.7-914.58" *)
wire [69:0] _248_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:925.7-927.46" *)
wire [33:0] _249_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:929.7-931.58" *)
wire [33:0] _250_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:938.7-940.46" *)
wire [69:0] _251_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:947.7-949.58" *)
wire [69:0] _252_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:960.7-962.46" *)
wire [33:0] _253_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:964.7-966.58" *)
wire [33:0] _254_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:974.7-976.37" *)
wire [69:0] _255_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:991.7-993.49" *)
wire [69:0] _256_;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:281.10-281.13" *)
input CLK;
wire CLK;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:549.17-549.84" *)
wire [33:0] \MUX_fabric_upstreamConnectors_0_inner_fRes_rv$port0__write_1__VAL_1 ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:550.3-550.70" *)
wire [33:0] \MUX_fabric_upstreamConnectors_0_inner_fRes_rv$port0__write_1__VAL_2 ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:551.3-551.70" *)
wire [33:0] \MUX_fabric_upstreamConnectors_0_inner_fRes_rv$port0__write_1__VAL_3 ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:552.8-552.68" *)
wire \MUX_fabric_upstreamConnectors_0_inner_pending$write_1__SEL_3 ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:282.10-282.15" *)
input RST_N;
wire RST_N;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:542.8-542.42" *)
wire WILL_FIRE_RL_fabric_response_route;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:543.8-543.44" *)
wire WILL_FIRE_RL_fabric_response_route_1;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:544.8-544.44" *)
wire WILL_FIRE_RL_fabric_response_route_2;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:545.8-545.71" *)
wire WILL_FIRE_RL_fabric_upstreamConnectors_0_inner_process_outgoing;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:546.8-546.76" *)
wire WILL_FIRE_RL_fabric_upstreamConnectors_0_inner_process_outgoing_fast;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:293.10-293.19" *)
output cpu_ack_o;
wire cpu_ack_o;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:287.19-287.28" *)
input [31:0] cpu_adr_i;
wire [31:0] cpu_adr_i;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:285.10-285.19" *)
input cpu_cyc_i;
wire cpu_cyc_i;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:288.19-288.28" *)
input [31:0] cpu_dat_i;
wire [31:0] cpu_dat_i;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:302.19-302.28" *)
output [31:0] cpu_dat_o;
wire [31:0] cpu_dat_o;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:296.10-296.19" *)
output cpu_err_o;
wire cpu_err_o;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:299.10-299.19" *)
output cpu_rty_o;
wire cpu_rty_o;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:289.18-289.27" *)
input [3:0] cpu_sel_i;
wire [3:0] cpu_sel_i;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:286.10-286.19" *)
input cpu_stb_i;
wire cpu_stb_i;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:290.10-290.18" *)
input cpu_we_i;
wire cpu_we_i;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:480.16-480.53" *)
reg [69:0] fabric_downstreamConnectors_0_fReq_rv;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:481.17-481.59" *)
wire [69:0] \fabric_downstreamConnectors_0_fReq_rv$D_IN ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:482.8-482.48" *)
wire \fabric_downstreamConnectors_0_fReq_rv$EN ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:450.8-450.61" *)
wire \fabric_downstreamConnectors_0_fReq_rv$EN_port0__write ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:451.8-451.61" *)
wire \fabric_downstreamConnectors_0_fReq_rv$EN_port1__write ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:418.17-418.69" *)
wire [69:0] \fabric_downstreamConnectors_0_fReq_rv$port0__write_1 ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:419.3-419.52" *)
wire [69:0] \fabric_downstreamConnectors_0_fReq_rv$port1__read ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:420.3-420.52" *)
wire [69:0] \fabric_downstreamConnectors_0_fReq_rv$port2__read ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:485.16-485.53" *)
reg [33:0] fabric_downstreamConnectors_0_fRes_rv;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:486.17-486.59" *)
wire [33:0] \fabric_downstreamConnectors_0_fRes_rv$D_IN ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:487.8-487.48" *)
wire \fabric_downstreamConnectors_0_fRes_rv$EN ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:452.8-452.61" *)
wire \fabric_downstreamConnectors_0_fRes_rv$EN_port0__write ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:435.17-435.69" *)
wire [33:0] \fabric_downstreamConnectors_0_fRes_rv$port0__write_1 ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:436.3-436.52" *)
wire [33:0] \fabric_downstreamConnectors_0_fRes_rv$port1__read ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:437.3-437.52" *)
wire [33:0] \fabric_downstreamConnectors_0_fRes_rv$port2__read ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:447.17-447.60" *)
wire [32:0] \fabric_downstreamConnectors_0_incoming$wget ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:453.8-453.51" *)
wire \fabric_downstreamConnectors_0_incoming$whas ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:421.3-421.46" *)
wire [69:0] \fabric_downstreamConnectors_0_outgoing$wget ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:490.16-490.53" *)
reg [69:0] fabric_downstreamConnectors_1_fReq_rv;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:491.17-491.59" *)
wire [69:0] \fabric_downstreamConnectors_1_fReq_rv$D_IN ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:492.8-492.48" *)
wire \fabric_downstreamConnectors_1_fReq_rv$EN ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:454.8-454.61" *)
wire \fabric_downstreamConnectors_1_fReq_rv$EN_port0__write ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:455.8-455.61" *)
wire \fabric_downstreamConnectors_1_fReq_rv$EN_port1__write ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:422.3-422.52" *)
wire [69:0] \fabric_downstreamConnectors_1_fReq_rv$port1__read ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:423.3-423.52" *)
wire [69:0] \fabric_downstreamConnectors_1_fReq_rv$port2__read ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:495.16-495.53" *)
reg [33:0] fabric_downstreamConnectors_1_fRes_rv;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:496.17-496.59" *)
wire [33:0] \fabric_downstreamConnectors_1_fRes_rv$D_IN ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:497.8-497.48" *)
wire \fabric_downstreamConnectors_1_fRes_rv$EN ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:456.8-456.61" *)
wire \fabric_downstreamConnectors_1_fRes_rv$EN_port0__write ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:438.3-438.55" *)
wire [33:0] \fabric_downstreamConnectors_1_fRes_rv$port0__write_1 ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:439.3-439.52" *)
wire [33:0] \fabric_downstreamConnectors_1_fRes_rv$port1__read ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:440.3-440.52" *)
wire [33:0] \fabric_downstreamConnectors_1_fRes_rv$port2__read ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:448.3-448.46" *)
wire [32:0] \fabric_downstreamConnectors_1_incoming$wget ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:457.8-457.51" *)
wire \fabric_downstreamConnectors_1_incoming$whas ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:424.3-424.46" *)
wire [69:0] \fabric_downstreamConnectors_1_outgoing$wget ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:500.16-500.53" *)
reg [69:0] fabric_downstreamConnectors_2_fReq_rv;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:501.17-501.59" *)
wire [69:0] \fabric_downstreamConnectors_2_fReq_rv$D_IN ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:502.8-502.48" *)
wire \fabric_downstreamConnectors_2_fReq_rv$EN ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:458.8-458.61" *)
wire \fabric_downstreamConnectors_2_fReq_rv$EN_port0__write ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:459.8-459.61" *)
wire \fabric_downstreamConnectors_2_fReq_rv$EN_port1__write ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:425.3-425.52" *)
wire [69:0] \fabric_downstreamConnectors_2_fReq_rv$port1__read ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:426.3-426.52" *)
wire [69:0] \fabric_downstreamConnectors_2_fReq_rv$port2__read ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:505.16-505.53" *)
reg [33:0] fabric_downstreamConnectors_2_fRes_rv;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:506.17-506.59" *)
wire [33:0] \fabric_downstreamConnectors_2_fRes_rv$D_IN ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:507.8-507.48" *)
wire \fabric_downstreamConnectors_2_fRes_rv$EN ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:460.8-460.61" *)
wire \fabric_downstreamConnectors_2_fRes_rv$EN_port0__write ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:441.3-441.55" *)
wire [33:0] \fabric_downstreamConnectors_2_fRes_rv$port0__write_1 ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:442.3-442.52" *)
wire [33:0] \fabric_downstreamConnectors_2_fRes_rv$port1__read ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:443.3-443.52" *)
wire [33:0] \fabric_downstreamConnectors_2_fRes_rv$port2__read ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:449.3-449.46" *)
wire [32:0] \fabric_downstreamConnectors_2_incoming$wget ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:461.8-461.51" *)
wire \fabric_downstreamConnectors_2_incoming$whas ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:427.3-427.46" *)
wire [69:0] \fabric_downstreamConnectors_2_outgoing$wget ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:510.7-510.36" *)
reg fabric_downstreamPending_0_rv;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:511.8-511.42" *)
wire \fabric_downstreamPending_0_rv$D_IN ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:511.44-511.76" *)
wire \fabric_downstreamPending_0_rv$EN ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:462.8-462.53" *)
wire \fabric_downstreamPending_0_rv$EN_port0__write ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:463.8-463.49" *)
wire \fabric_downstreamPending_0_rv$port1__read ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:464.8-464.49" *)
wire \fabric_downstreamPending_0_rv$port2__read ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:514.7-514.36" *)
reg fabric_downstreamPending_1_rv;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:515.8-515.42" *)
wire \fabric_downstreamPending_1_rv$D_IN ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:515.44-515.76" *)
wire \fabric_downstreamPending_1_rv$EN ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:465.8-465.53" *)
wire \fabric_downstreamPending_1_rv$EN_port0__write ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:466.8-466.49" *)
wire \fabric_downstreamPending_1_rv$port1__read ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:467.8-467.49" *)
wire \fabric_downstreamPending_1_rv$port2__read ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:518.7-518.36" *)
reg fabric_downstreamPending_2_rv;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:519.8-519.42" *)
wire \fabric_downstreamPending_2_rv$D_IN ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:519.44-519.76" *)
wire \fabric_downstreamPending_2_rv$EN ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:468.8-468.53" *)
wire \fabric_downstreamPending_2_rv$EN_port0__write ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:469.8-469.49" *)
wire \fabric_downstreamPending_2_rv$port1__read ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:470.8-470.49" *)
wire \fabric_downstreamPending_2_rv$port2__read ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:522.16-522.57" *)
reg [69:0] fabric_upstreamConnectors_0_inner_fReq_rv;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:523.17-523.63" *)
wire [69:0] \fabric_upstreamConnectors_0_inner_fReq_rv$D_IN ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:524.8-524.52" *)
wire \fabric_upstreamConnectors_0_inner_fReq_rv$EN ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:471.8-471.65" *)
wire \fabric_upstreamConnectors_0_inner_fReq_rv$EN_port1__write ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:428.3-428.59" *)
wire [69:0] \fabric_upstreamConnectors_0_inner_fReq_rv$port0__write_1 ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:429.3-429.56" *)
wire [69:0] \fabric_upstreamConnectors_0_inner_fReq_rv$port1__read ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:430.3-430.56" *)
wire [69:0] \fabric_upstreamConnectors_0_inner_fReq_rv$port2__read ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:527.16-527.57" *)
reg [33:0] fabric_upstreamConnectors_0_inner_fRes_rv;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:528.17-528.63" *)
wire [33:0] \fabric_upstreamConnectors_0_inner_fRes_rv$D_IN ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:529.8-529.52" *)
wire \fabric_upstreamConnectors_0_inner_fRes_rv$EN ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:472.8-472.65" *)
wire \fabric_upstreamConnectors_0_inner_fRes_rv$EN_port0__write ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:473.8-473.65" *)
wire \fabric_upstreamConnectors_0_inner_fRes_rv$EN_port1__write ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:417.16-417.72" *)
reg [33:0] \fabric_upstreamConnectors_0_inner_fRes_rv$port0__write_1 ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:444.3-444.56" *)
wire [33:0] \fabric_upstreamConnectors_0_inner_fRes_rv$port1__read ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:445.3-445.56" *)
wire [33:0] \fabric_upstreamConnectors_0_inner_fRes_rv$port2__read ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:434.17-434.64" *)
wire [68:0] \fabric_upstreamConnectors_0_inner_incoming$wget ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:474.8-474.55" *)
wire \fabric_upstreamConnectors_0_inner_incoming$whas ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:446.3-446.50" *)
wire [33:0] \fabric_upstreamConnectors_0_inner_outgoing$wget ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:475.8-475.55" *)
wire \fabric_upstreamConnectors_0_inner_outgoing$whas ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:532.7-532.48" *)
reg fabric_upstreamConnectors_0_inner_pending;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:533.8-533.54" *)
wire \fabric_upstreamConnectors_0_inner_pending$D_IN ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:534.8-534.52" *)
wire \fabric_upstreamConnectors_0_inner_pending$EN ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:403.8-403.56" *)
wire \fabric_upstreamConnectors_0_inner_probeAck$PROBE ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:404.8-404.62" *)
wire \fabric_upstreamConnectors_0_inner_probeAck$PROBE_VALID ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:399.17-399.65" *)
wire [31:0] \fabric_upstreamConnectors_0_inner_probeAdr$PROBE ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:405.8-405.62" *)
wire \fabric_upstreamConnectors_0_inner_probeAdr$PROBE_VALID ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:406.8-406.56" *)
wire \fabric_upstreamConnectors_0_inner_probeCyc$PROBE ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:407.8-407.62" *)
wire \fabric_upstreamConnectors_0_inner_probeCyc$PROBE_VALID ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:400.3-400.54" *)
wire [31:0] \fabric_upstreamConnectors_0_inner_probeDataIn$PROBE ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:408.8-408.65" *)
wire \fabric_upstreamConnectors_0_inner_probeDataIn$PROBE_VALID ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:401.3-401.55" *)
wire [31:0] \fabric_upstreamConnectors_0_inner_probeDataOut$PROBE ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:409.8-409.66" *)
wire \fabric_upstreamConnectors_0_inner_probeDataOut$PROBE_VALID ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:402.16-402.64" *)
wire [3:0] \fabric_upstreamConnectors_0_inner_probeSel$PROBE ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:410.8-410.62" *)
wire \fabric_upstreamConnectors_0_inner_probeSel$PROBE_VALID ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:411.8-411.56" *)
wire \fabric_upstreamConnectors_0_inner_probeStb$PROBE ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:412.8-412.62" *)
wire \fabric_upstreamConnectors_0_inner_probeStb$PROBE_VALID ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:413.8-413.55" *)
wire \fabric_upstreamConnectors_0_inner_probeWe$PROBE ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:414.8-414.61" *)
wire \fabric_upstreamConnectors_0_inner_probeWe$PROBE_VALID ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:537.16-537.44" *)
reg [69:0] fabric_upstreamRequests_0_rv;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:538.17-538.50" *)
wire [69:0] \fabric_upstreamRequests_0_rv$D_IN ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:539.8-539.39" *)
wire \fabric_upstreamRequests_0_rv$EN ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:476.8-476.52" *)
wire \fabric_upstreamRequests_0_rv$EN_port0__write ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:477.8-477.52" *)
wire \fabric_upstreamRequests_0_rv$EN_port1__write ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:431.3-431.46" *)
wire [69:0] \fabric_upstreamRequests_0_rv$port0__write_1 ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:432.3-432.43" *)
wire [69:0] \fabric_upstreamRequests_0_rv$port1__read ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:433.3-433.43" *)
wire [69:0] \fabric_upstreamRequests_0_rv$port2__read ;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:347.10-347.20" *)
input gpio_ack_i;
wire gpio_ack_i;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:335.19-335.29" *)
output [31:0] gpio_adr_o;
wire [31:0] gpio_adr_o;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:329.10-329.20" *)
output gpio_cyc_o;
wire gpio_cyc_o;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:350.19-350.29" *)
input [31:0] gpio_dat_i;
wire [31:0] gpio_dat_i;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:338.19-338.29" *)
output [31:0] gpio_dat_o;
wire [31:0] gpio_dat_o;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:348.10-348.20" *)
input gpio_err_i;
wire gpio_err_i;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:349.10-349.20" *)
input gpio_rty_i;
wire gpio_rty_i;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:341.18-341.28" *)
output [3:0] gpio_sel_o;
wire [3:0] gpio_sel_o;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:332.10-332.20" *)
output gpio_stb_o;
wire gpio_stb_o;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:344.10-344.19" *)
output gpio_we_o;
wire gpio_we_o;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:371.10-371.19" *)
input ksc_ack_i;
wire ksc_ack_i;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:359.19-359.28" *)
output [31:0] ksc_adr_o;
wire [31:0] ksc_adr_o;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:353.10-353.19" *)
output ksc_cyc_o;
wire ksc_cyc_o;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:374.19-374.28" *)
input [31:0] ksc_dat_i;
wire [31:0] ksc_dat_i;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:362.19-362.28" *)
output [31:0] ksc_dat_o;
wire [31:0] ksc_dat_o;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:372.10-372.19" *)
input ksc_err_i;
wire ksc_err_i;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:373.10-373.19" *)
input ksc_rty_i;
wire ksc_rty_i;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:365.18-365.27" *)
output [3:0] ksc_sel_o;
wire [3:0] ksc_sel_o;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:356.10-356.19" *)
output ksc_stb_o;
wire ksc_stb_o;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:368.10-368.18" *)
output ksc_we_o;
wire ksc_we_o;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:323.10-323.19" *)
input spi_ack_i;
wire spi_ack_i;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:311.19-311.28" *)
output [31:0] spi_adr_o;
wire [31:0] spi_adr_o;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:305.10-305.19" *)
output spi_cyc_o;
wire spi_cyc_o;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:326.19-326.28" *)
input [31:0] spi_dat_i;
wire [31:0] spi_dat_i;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:314.19-314.28" *)
output [31:0] spi_dat_o;
wire [31:0] spi_dat_o;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:324.10-324.19" *)
input spi_err_i;
wire spi_err_i;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:325.10-325.19" *)
input spi_rty_i;
wire spi_rty_i;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:317.18-317.27" *)
output [3:0] spi_sel_o;
wire [3:0] spi_sel_o;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:308.10-308.19" *)
output spi_stb_o;
wire spi_stb_o;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:320.10-320.18" *)
output spi_we_o;
wire spi_we_o;
assign _015_ = \fabric_upstreamRequests_0_rv$port1__read [68:45] == (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1009.7-1009.68" *) 24'h400108;
assign _016_ = \fabric_upstreamRequests_0_rv$port1__read [68:45] == (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1020.7-1020.68" *) 24'h40011c;
assign _017_ = RST_N == (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1103.9-1103.22" *) 1'h0;
assign _018_ = \fabric_upstreamRequests_0_rv$port1__read [68:45] == (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:855.7-855.68" *) 24'h400130;
assign _019_ = \fabric_upstreamRequests_0_rv$port1__read [68:45] == (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:901.7-901.68" *) 24'h400108;
assign _020_ = \fabric_upstreamRequests_0_rv$port1__read [68:45] == (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:936.7-936.68" *) 24'h40011c;
assign _021_ = \fabric_upstreamRequests_0_rv$port1__read [68:45] == (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:981.7-981.68" *) 24'h40011c;
assign _022_ = \fabric_upstreamRequests_0_rv$port1__read [68:45] == (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:985.7-985.68" *) 24'h400108;
assign _023_ = \fabric_upstreamRequests_0_rv$port1__read [68:45] == (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:989.7-989.68" *) 24'h400130;
assign _024_ = \fabric_upstreamRequests_0_rv$port1__read [68:45] == (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:998.7-998.68" *) 24'h400130;
assign _025_ = _115_ && (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1003.7-1004.48" *) \fabric_downstreamPending_0_rv$port1__read ;
assign _026_ = \fabric_upstreamRequests_0_rv$port1__read [69] && (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1006.7-1007.49" *) _116_;
assign _027_ = _026_ && (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1006.7-1008.37" *) _117_;
assign _028_ = _027_ && (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1006.7-1009.68" *) _015_;
assign _029_ = _118_ && (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1014.7-1015.48" *) \fabric_downstreamPending_1_rv$port1__read ;
assign _030_ = \fabric_upstreamRequests_0_rv$port1__read [69] && (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1017.7-1018.49" *) _119_;
assign _031_ = _030_ && (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1017.7-1019.37" *) _120_;
assign _032_ = _031_ && (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1017.7-1020.68" *) _016_;
assign _033_ = _121_ && (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1025.7-1026.48" *) \fabric_downstreamPending_2_rv$port1__read ;
assign _034_ = _122_ && (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1085.7-1086.76" *) _123_;
assign _035_ = _124_ && (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1090.7-1091.54" *) \fabric_upstreamConnectors_0_inner_incoming$whas ;
assign _036_ = _035_ && (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1090.7-1092.49" *) _125_;
assign _037_ = \fabric_upstreamConnectors_0_inner_outgoing$whas && (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:556.7-557.58" *) \fabric_upstreamConnectors_0_inner_outgoing$wget [33];
assign _038_ = \fabric_downstreamConnectors_0_fReq_rv$port1__read [69] && (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:576.7-577.54" *) \fabric_downstreamConnectors_0_outgoing$wget [69];
assign _039_ = \fabric_downstreamConnectors_0_fReq_rv$port1__read [69] && (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:581.7-582.54" *) \fabric_downstreamConnectors_0_outgoing$wget [69];
assign _040_ = \fabric_downstreamConnectors_0_fReq_rv$port1__read [69] && (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:609.7-610.54" *) \fabric_downstreamConnectors_0_outgoing$wget [69];
assign _041_ = _040_ && (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:609.7-611.54" *) \fabric_downstreamConnectors_0_outgoing$wget [36];
assign _042_ = \fabric_downstreamConnectors_1_fReq_rv$port1__read [69] && (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:615.7-616.54" *) \fabric_downstreamConnectors_1_outgoing$wget [69];
assign _043_ = \fabric_downstreamConnectors_1_fReq_rv$port1__read [69] && (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:620.7-621.54" *) \fabric_downstreamConnectors_1_outgoing$wget [69];
assign _044_ = \fabric_downstreamConnectors_1_fReq_rv$port1__read [69] && (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:648.7-649.54" *) \fabric_downstreamConnectors_1_outgoing$wget [69];
assign _045_ = _044_ && (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:648.7-650.54" *) \fabric_downstreamConnectors_1_outgoing$wget [36];
assign _046_ = \fabric_downstreamConnectors_2_fReq_rv$port1__read [69] && (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:654.7-655.54" *) \fabric_downstreamConnectors_2_outgoing$wget [69];
assign _047_ = \fabric_downstreamConnectors_2_fReq_rv$port1__read [69] && (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:659.7-660.54" *) \fabric_downstreamConnectors_2_outgoing$wget [69];
assign _048_ = \fabric_downstreamConnectors_2_fReq_rv$port1__read [69] && (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:687.7-688.54" *) \fabric_downstreamConnectors_2_outgoing$wget [69];
assign _049_ = _048_ && (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:687.7-689.54" *) \fabric_downstreamConnectors_2_outgoing$wget [36];
assign _050_ = \fabric_downstreamPending_0_rv$port1__read && (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:693.7-694.60" *) \fabric_downstreamConnectors_0_fRes_rv$port1__read [33];
assign _051_ = _050_ && (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:693.7-695.53" *) _146_;
assign _052_ = \fabric_downstreamPending_1_rv$port1__read && (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:699.7-700.60" *) \fabric_downstreamConnectors_1_fRes_rv$port1__read [33];
assign _053_ = _052_ && (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:699.7-701.53" *) _147_;
assign _054_ = _053_ && (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:699.7-702.42" *) _148_;
assign _055_ = \fabric_downstreamPending_2_rv$port1__read && (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:706.7-707.60" *) \fabric_downstreamConnectors_2_fRes_rv$port1__read [33];
assign _056_ = _055_ && (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:706.7-708.53" *) _149_;
assign _057_ = _056_ && (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:706.7-709.44" *) _150_;
assign _058_ = _057_ && (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:706.7-710.42" *) _151_;
assign _059_ = \fabric_upstreamConnectors_0_inner_fRes_rv$port1__read [33] && (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:714.7-715.67" *) \MUX_fabric_upstreamConnectors_0_inner_pending$write_1__SEL_3 ;
assign _060_ = \fabric_upstreamConnectors_0_inner_fRes_rv$port1__read [33] && (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:719.7-720.48" *) fabric_upstreamConnectors_0_inner_pending;
assign _061_ = _060_ && (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:719.7-721.76" *) _152_;
assign _062_ = _153_ && (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:725.7-726.54" *) \fabric_upstreamConnectors_0_inner_incoming$whas ;
assign _063_ = _062_ && (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:725.7-727.49" *) _154_;
assign _064_ = \fabric_upstreamConnectors_0_inner_outgoing$whas && (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:740.7-741.58" *) \fabric_upstreamConnectors_0_inner_outgoing$wget [33];
assign _065_ = cpu_cyc_i && (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:768.7-768.29" *) cpu_stb_i;
assign _066_ = spi_ack_i && (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:779.8-779.31" *) _157_;
assign _067_ = _066_ && (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:779.8-779.45" *) _158_;
assign _068_ = gpio_ack_i && (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:788.8-788.33" *) _159_;
assign _069_ = _068_ && (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:788.8-788.48" *) _160_;
assign _070_ = ksc_ack_i && (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:797.8-797.31" *) _161_;
assign _071_ = _070_ && (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:797.8-797.45" *) _162_;
assign _072_ = \fabric_upstreamConnectors_0_inner_fReq_rv$port1__read [69] && (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:809.7-810.40" *) _163_;
assign _073_ = \fabric_upstreamRequests_0_rv$port1__read [69] && (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:852.7-853.49" *) _164_;
assign _074_ = _073_ && (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:852.7-854.37" *) _165_;
assign _075_ = _074_ && (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:852.7-855.68" *) _018_;
assign _076_ = _216_ && (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:858.10-861.13" *) _217_;
assign _077_ = _076_ && (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:858.10-863.13" *) _218_;
assign _078_ = \fabric_downstreamConnectors_0_fReq_rv$port1__read [69] && (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:872.7-873.49" *) _166_;
assign _079_ = _078_ && (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:872.7-874.50" *) \fabric_downstreamConnectors_0_incoming$whas ;
assign _080_ = _079_ && (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:872.7-875.54" *) \fabric_downstreamConnectors_0_incoming$wget [32];
assign _081_ = \fabric_downstreamConnectors_0_fReq_rv$port1__read [69] && (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:881.7-882.49" *) _167_;
assign _082_ = _081_ && (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:881.7-883.50" *) \fabric_downstreamConnectors_0_incoming$whas ;
assign _083_ = _082_ && (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:881.7-884.54" *) \fabric_downstreamConnectors_0_incoming$wget [32];
assign _084_ = \fabric_upstreamRequests_0_rv$port1__read [69] && (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:898.7-899.49" *) _169_;
assign _085_ = _084_ && (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:898.7-900.37" *) _170_;
assign _086_ = _085_ && (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:898.7-901.68" *) _019_;
assign _087_ = \fabric_downstreamConnectors_1_fReq_rv$port1__read [69] && (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:907.7-908.49" *) _171_;
assign _088_ = _087_ && (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:907.7-909.50" *) \fabric_downstreamConnectors_1_incoming$whas ;
assign _089_ = _088_ && (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:907.7-910.54" *) \fabric_downstreamConnectors_1_incoming$wget [32];
assign _090_ = \fabric_downstreamConnectors_1_fReq_rv$port1__read [69] && (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:916.7-917.49" *) _172_;
assign _091_ = _090_ && (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:916.7-918.50" *) \fabric_downstreamConnectors_1_incoming$whas ;
assign _092_ = _091_ && (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:916.7-919.54" *) \fabric_downstreamConnectors_1_incoming$wget [32];
assign _093_ = \fabric_upstreamRequests_0_rv$port1__read [69] && (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:933.7-934.49" *) _174_;
assign _094_ = _093_ && (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:933.7-935.37" *) _175_;
assign _095_ = _094_ && (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:933.7-936.68" *) _020_;
assign _096_ = \fabric_downstreamConnectors_2_fReq_rv$port1__read [69] && (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:942.7-943.49" *) _176_;
assign _097_ = _096_ && (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:942.7-944.50" *) \fabric_downstreamConnectors_2_incoming$whas ;
assign _098_ = _097_ && (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:942.7-945.54" *) \fabric_downstreamConnectors_2_incoming$wget [32];
assign _099_ = \fabric_downstreamConnectors_2_fReq_rv$port1__read [69] && (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:951.7-952.49" *) _177_;
assign _100_ = _099_ && (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:951.7-953.50" *) \fabric_downstreamConnectors_2_incoming$whas ;
assign _101_ = _100_ && (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:951.7-954.54" *) \fabric_downstreamConnectors_2_incoming$wget [32];
assign _102_ = \fabric_upstreamConnectors_0_inner_fReq_rv$port1__read [69] && (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:968.7-969.40" *) _179_;
assign _103_ = \fabric_upstreamRequests_0_rv$port1__read [69] && (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:978.7-979.49" *) _180_;
assign _104_ = _103_ && (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:978.7-980.37" *) _181_;
assign _105_ = _104_ && (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:978.7-981.68" *) _021_;
assign _106_ = \fabric_upstreamRequests_0_rv$port1__read [69] && (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:982.7-983.49" *) _182_;
assign _107_ = _106_ && (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:982.7-984.37" *) _183_;
assign _108_ = _107_ && (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:982.7-985.68" *) _022_;
assign _109_ = \fabric_upstreamRequests_0_rv$port1__read [69] && (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:986.7-987.49" *) _184_;
assign _110_ = _109_ && (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:986.7-988.37" *) _185_;
assign _111_ = _110_ && (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:986.7-989.68" *) _023_;
assign _112_ = \fabric_upstreamRequests_0_rv$port1__read [69] && (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:995.7-996.49" *) _186_;
assign _113_ = _112_ && (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:995.7-997.37" *) _187_;
assign _114_ = _113_ && (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:995.7-998.68" *) _024_;
assign _115_ = ! (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1003.7-1003.42" *) WILL_FIRE_RL_fabric_response_route;
assign _116_ = ! (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1007.7-1007.49" *) fabric_downstreamConnectors_1_fReq_rv[69];
assign _117_ = ! (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1008.7-1008.37" *) fabric_downstreamPending_1_rv;
assign _118_ = ! (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1014.7-1014.44" *) WILL_FIRE_RL_fabric_response_route_1;
assign _119_ = ! (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1018.7-1018.49" *) fabric_downstreamConnectors_2_fReq_rv[69];
assign _120_ = ! (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1019.7-1019.37" *) fabric_downstreamPending_2_rv;
assign _121_ = ! (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1025.7-1025.44" *) WILL_FIRE_RL_fabric_response_route_2;
assign _122_ = ! (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1085.7-1085.71" *) WILL_FIRE_RL_fabric_upstreamConnectors_0_inner_process_outgoing;
assign _123_ = ! (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1086.7-1086.76" *) WILL_FIRE_RL_fabric_upstreamConnectors_0_inner_process_outgoing_fast;
assign _124_ = ! (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1090.7-1090.53" *) fabric_upstreamConnectors_0_inner_fReq_rv[69];
assign _125_ = ! (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1092.7-1092.49" *) fabric_upstreamConnectors_0_inner_pending;
assign _126_ = ! (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:567.8-567.56" *) \fabric_upstreamConnectors_0_inner_outgoing$whas ;
assign _127_ = ! (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:568.8-568.60" *) \fabric_upstreamConnectors_0_inner_outgoing$wget [33];
assign _128_ = ! (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:586.8-586.62" *) \fabric_downstreamConnectors_0_fReq_rv$port1__read [69];
assign _129_ = ! (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:587.8-587.56" *) \fabric_downstreamConnectors_0_outgoing$wget [69];
assign _130_ = ! (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:593.8-593.62" *) \fabric_downstreamConnectors_0_fReq_rv$port1__read [69];
assign _131_ = ! (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:594.8-594.56" *) \fabric_downstreamConnectors_0_outgoing$wget [69];
assign _132_ = ! (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:602.8-602.62" *) \fabric_downstreamConnectors_0_fReq_rv$port1__read [69];
assign _133_ = ! (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:603.8-603.56" *) \fabric_downstreamConnectors_0_outgoing$wget [69];
assign _134_ = ! (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:625.8-625.62" *) \fabric_downstreamConnectors_1_fReq_rv$port1__read [69];
assign _135_ = ! (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:626.8-626.56" *) \fabric_downstreamConnectors_1_outgoing$wget [69];
assign _136_ = ! (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:632.8-632.62" *) \fabric_downstreamConnectors_1_fReq_rv$port1__read [69];
assign _137_ = ! (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:633.8-633.56" *) \fabric_downstreamConnectors_1_outgoing$wget [69];
assign _138_ = ! (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:641.8-641.62" *) \fabric_downstreamConnectors_1_fReq_rv$port1__read [69];
assign _139_ = ! (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:642.8-642.56" *) \fabric_downstreamConnectors_1_outgoing$wget [69];
assign _140_ = ! (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:664.8-664.62" *) \fabric_downstreamConnectors_2_fReq_rv$port1__read [69];
assign _141_ = ! (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:665.8-665.56" *) \fabric_downstreamConnectors_2_outgoing$wget [69];
assign _142_ = ! (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:671.8-671.62" *) \fabric_downstreamConnectors_2_fReq_rv$port1__read [69];
assign _143_ = ! (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:672.8-672.56" *) \fabric_downstreamConnectors_2_outgoing$wget [69];
assign _144_ = ! (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:680.8-680.62" *) \fabric_downstreamConnectors_2_fReq_rv$port1__read [69];
assign _145_ = ! (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:681.8-681.56" *) \fabric_downstreamConnectors_2_outgoing$wget [69];
assign _146_ = ! (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:695.7-695.53" *) fabric_upstreamConnectors_0_inner_fRes_rv[33];
assign _147_ = ! (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:701.7-701.53" *) fabric_upstreamConnectors_0_inner_fRes_rv[33];
assign _148_ = ! (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:702.7-702.42" *) WILL_FIRE_RL_fabric_response_route;
assign _149_ = ! (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:708.7-708.53" *) fabric_upstreamConnectors_0_inner_fRes_rv[33];
assign _150_ = ! (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:709.7-709.44" *) WILL_FIRE_RL_fabric_response_route_1;
assign _151_ = ! (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:710.7-710.42" *) WILL_FIRE_RL_fabric_response_route;
assign _152_ = ! (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:721.7-721.76" *) WILL_FIRE_RL_fabric_upstreamConnectors_0_inner_process_outgoing_fast;
assign _153_ = ! (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:725.7-725.53" *) fabric_upstreamConnectors_0_inner_fReq_rv[69];
assign _154_ = ! (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:727.7-727.49" *) fabric_upstreamConnectors_0_inner_pending;
assign _155_ = ! (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:750.8-750.56" *) \fabric_upstreamConnectors_0_inner_outgoing$whas ;
assign _156_ = ! (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:751.8-751.60" *) \fabric_upstreamConnectors_0_inner_outgoing$wget [33];
assign _157_ = ! (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:779.21-779.31" *) spi_err_i;
assign _158_ = ! (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:779.35-779.45" *) spi_rty_i;
assign _159_ = ! (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:788.22-788.33" *) gpio_err_i;
assign _160_ = ! (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:788.37-788.48" *) gpio_rty_i;
assign _161_ = ! (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:797.21-797.31" *) ksc_err_i;
assign _162_ = ! (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:797.35-797.45" *) ksc_rty_i;
assign _163_ = ! (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:810.7-810.40" *) fabric_upstreamRequests_0_rv[69];
assign _164_ = ! (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:853.7-853.49" *) fabric_downstreamConnectors_0_fReq_rv[69];
assign _165_ = ! (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:854.7-854.37" *) fabric_downstreamPending_0_rv;
assign _166_ = ! (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:873.7-873.49" *) fabric_downstreamConnectors_0_fRes_rv[33];
assign _167_ = ! (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:882.7-882.49" *) fabric_downstreamConnectors_0_fRes_rv[33];
assign _168_ = ! (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:887.9-887.63" *) \fabric_downstreamConnectors_0_fReq_rv$port1__read [36];
assign _169_ = ! (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:899.7-899.49" *) fabric_downstreamConnectors_1_fReq_rv[69];
assign _170_ = ! (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:900.7-900.37" *) fabric_downstreamPending_1_rv;
assign _171_ = ! (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:908.7-908.49" *) fabric_downstreamConnectors_1_fRes_rv[33];
assign _172_ = ! (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:917.7-917.49" *) fabric_downstreamConnectors_1_fRes_rv[33];
assign _173_ = ! (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:922.9-922.63" *) \fabric_downstreamConnectors_1_fReq_rv$port1__read [36];
assign _174_ = ! (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:934.7-934.49" *) fabric_downstreamConnectors_2_fReq_rv[69];
assign _175_ = ! (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:935.7-935.37" *) fabric_downstreamPending_2_rv;
assign _176_ = ! (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:943.7-943.49" *) fabric_downstreamConnectors_2_fRes_rv[33];
assign _177_ = ! (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:952.7-952.49" *) fabric_downstreamConnectors_2_fRes_rv[33];
assign _178_ = ! (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:957.9-957.63" *) \fabric_downstreamConnectors_2_fReq_rv$port1__read [36];
assign _179_ = ! (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:969.7-969.40" *) fabric_upstreamRequests_0_rv[69];
assign _180_ = ! (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:979.7-979.49" *) fabric_downstreamConnectors_2_fReq_rv[69];
assign _181_ = ! (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:980.7-980.37" *) fabric_downstreamPending_2_rv;
assign _182_ = ! (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:983.7-983.49" *) fabric_downstreamConnectors_1_fReq_rv[69];
assign _183_ = ! (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:984.7-984.37" *) fabric_downstreamPending_1_rv;
assign _184_ = ! (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:987.7-987.49" *) fabric_downstreamConnectors_0_fReq_rv[69];
assign _185_ = ! (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:988.7-988.37" *) fabric_downstreamPending_0_rv;
assign _186_ = ! (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:996.7-996.49" *) fabric_downstreamConnectors_0_fReq_rv[69];
assign _187_ = ! (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:997.7-997.37" *) fabric_downstreamPending_0_rv;
assign _188_ = \fabric_downstreamPending_0_rv$EN_port0__write || (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1000.7-1001.36" *) fabric_downstreamPending_0_rv;
assign _189_ = \fabric_downstreamPending_1_rv$EN_port0__write || (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1011.7-1012.36" *) fabric_downstreamPending_1_rv;
assign _190_ = \fabric_downstreamPending_2_rv$EN_port0__write || (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1022.7-1023.36" *) fabric_downstreamPending_2_rv;
assign _191_ = WILL_FIRE_RL_fabric_upstreamConnectors_0_inner_process_outgoing || (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1088.7-1089.75" *) WILL_FIRE_RL_fabric_upstreamConnectors_0_inner_process_outgoing_fast;
assign _192_ = _191_ || (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1088.7-1092.49" *) _036_;
assign _193_ = _126_ || (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:567.8-568.60" *) _127_;
assign _194_ = _128_ || (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:586.8-587.56" *) _129_;
assign _195_ = _130_ || (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:593.8-594.56" *) _131_;
assign _196_ = _132_ || (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:602.8-603.56" *) _133_;
assign _197_ = _134_ || (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:625.8-626.56" *) _135_;
assign _198_ = _136_ || (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:632.8-633.56" *) _137_;
assign _199_ = _138_ || (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:641.8-642.56" *) _139_;
assign _200_ = _140_ || (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:664.8-665.56" *) _141_;
assign _201_ = _142_ || (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:671.8-672.56" *) _143_;
assign _202_ = _144_ || (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:680.8-681.56" *) _145_;
assign _203_ = _155_ || (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:750.8-751.60" *) _156_;
assign _204_ = WILL_FIRE_RL_fabric_upstreamConnectors_0_inner_process_outgoing || (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:773.7-774.75" *) WILL_FIRE_RL_fabric_upstreamConnectors_0_inner_process_outgoing_fast;
assign _205_ = spi_ack_i || (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:783.7-783.29" *) spi_err_i;
assign _206_ = _205_ || (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:783.7-783.42" *) spi_rty_i;
assign _207_ = gpio_ack_i || (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:792.7-792.31" *) gpio_err_i;
assign _208_ = _207_ || (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:792.7-792.45" *) gpio_rty_i;
assign _209_ = ksc_ack_i || (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:801.7-801.29" *) ksc_err_i;
assign _210_ = _209_ || (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:801.7-801.42" *) ksc_rty_i;
assign _211_ = WILL_FIRE_RL_fabric_response_route || (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:816.7-817.43" *) WILL_FIRE_RL_fabric_response_route_1;
assign _212_ = _211_ || (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:816.7-818.43" *) WILL_FIRE_RL_fabric_response_route_2;
assign _213_ = WILL_FIRE_RL_fabric_upstreamConnectors_0_inner_process_outgoing || (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:845.7-846.75" *) WILL_FIRE_RL_fabric_upstreamConnectors_0_inner_process_outgoing_fast;
assign _214_ = _105_ || (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:978.7-985.68" *) _108_;
assign _215_ = _214_ || (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:978.7-989.68" *) _111_;
assign _216_ = \fabric_upstreamRequests_0_rv$port1__read [68:45] != (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:858.10-859.13" *) 24'h400130;
assign _217_ = \fabric_upstreamRequests_0_rv$port1__read [68:45] != (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:860.3-861.13" *) 24'h400108;
assign _218_ = \fabric_upstreamRequests_0_rv$port1__read [68:45] != (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:862.3-863.13" *) 24'h40011c;
assign _219_ = _193_ ? (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:567.7-572.11" *) 32'd0 : _220_;
assign _220_ = \fabric_upstreamConnectors_0_inner_outgoing$wget [32] ? (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:570.10-572.10" *) \fabric_upstreamConnectors_0_inner_outgoing$wget [31:0] : 32'd0;
assign _221_ = _194_ ? (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:586.7-589.59" *) 32'd0 : \fabric_downstreamConnectors_0_outgoing$wget [68:37];
assign _222_ = _195_ ? (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:593.7-598.11" *) 32'd0 : _223_;
assign _223_ = \fabric_downstreamConnectors_0_outgoing$wget [36] ? (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:596.10-598.10" *) \fabric_downstreamConnectors_0_outgoing$wget [35:4] : 32'd0;
assign _224_ = _196_ ? (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:602.7-605.57" *) 4'h0 : \fabric_downstreamConnectors_0_outgoing$wget [3:0];
assign _225_ = _197_ ? (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:625.7-628.59" *) 32'd0 : \fabric_downstreamConnectors_1_outgoing$wget [68:37];
assign _226_ = _198_ ? (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:632.7-637.11" *) 32'd0 : _227_;
assign _227_ = \fabric_downstreamConnectors_1_outgoing$wget [36] ? (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:635.10-637.10" *) \fabric_downstreamConnectors_1_outgoing$wget [35:4] : 32'd0;
assign _228_ = _199_ ? (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:641.7-644.57" *) 4'h0 : \fabric_downstreamConnectors_1_outgoing$wget [3:0];
assign _229_ = _200_ ? (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:664.7-667.59" *) 32'd0 : \fabric_downstreamConnectors_2_outgoing$wget [68:37];
assign _230_ = _201_ ? (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:671.7-676.11" *) 32'd0 : _231_;
assign _231_ = \fabric_downstreamConnectors_2_outgoing$wget [36] ? (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:674.10-676.10" *) \fabric_downstreamConnectors_2_outgoing$wget [35:4] : 32'd0;
assign _232_ = _202_ ? (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:680.7-683.57" *) 4'h0 : \fabric_downstreamConnectors_2_outgoing$wget [3:0];
assign _233_ = _203_ ? (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:750.7-755.11" *) 32'd0 : _234_;
assign _234_ = \fabric_upstreamConnectors_0_inner_outgoing$wget [32] ? (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:753.10-755.10" *) \fabric_upstreamConnectors_0_inner_outgoing$wget [31:0] : 32'd0;
assign _235_ = _067_ ? (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:779.7-781.22" *) { 1'h1, spi_dat_i } : 33'h100000000;
assign _236_ = _069_ ? (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:788.7-790.22" *) { 1'h1, gpio_dat_i } : 33'h100000000;
assign _237_ = _071_ ? (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:797.7-799.22" *) { 1'h1, ksc_dat_i } : 33'h100000000;
assign _238_ = \MUX_fabric_upstreamConnectors_0_inner_pending$write_1__SEL_3 ? (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:805.7-807.50" *) \fabric_upstreamConnectors_0_inner_fReq_rv$port0__write_1 : fabric_upstreamConnectors_0_inner_fReq_rv;
assign _239_ = \fabric_upstreamConnectors_0_inner_fReq_rv$EN_port1__write ? (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:812.7-814.62" *) 70'h0aaaaaaaaaaaaaaaaa : \fabric_upstreamConnectors_0_inner_fReq_rv$port1__read ;
assign _240_ = \fabric_upstreamConnectors_0_inner_fRes_rv$EN_port0__write ? (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:841.7-843.50" *) \fabric_upstreamConnectors_0_inner_fRes_rv$port0__write_1 : fabric_upstreamConnectors_0_inner_fRes_rv;
assign _241_ = \fabric_upstreamConnectors_0_inner_fRes_rv$EN_port1__write ? (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:848.7-850.62" *) 34'h0aaaaaaaa : \fabric_upstreamConnectors_0_inner_fRes_rv$port1__read ;
assign _242_ = _077_ ? (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:858.9-865.62" *) \fabric_upstreamRequests_0_rv$port1__read [68:37] : { 24'h000000, \fabric_upstreamRequests_0_rv$port1__read [44:37] };
assign _243_ = \fabric_downstreamConnectors_0_fReq_rv$EN_port0__write ? (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:868.7-870.46" *) \fabric_downstreamConnectors_0_fReq_rv$port0__write_1 : fabric_downstreamConnectors_0_fReq_rv;
assign _244_ = \fabric_downstreamConnectors_0_fReq_rv$EN_port1__write ? (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:877.7-879.58" *) 70'h0aaaaaaaaaaaaaaaaa : \fabric_downstreamConnectors_0_fReq_rv$port1__read ;
assign _245_ = \fabric_downstreamConnectors_0_fRes_rv$EN_port0__write ? (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:890.7-892.46" *) \fabric_downstreamConnectors_0_fRes_rv$port0__write_1 : fabric_downstreamConnectors_0_fRes_rv;
assign _246_ = WILL_FIRE_RL_fabric_response_route ? (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:894.7-896.58" *) 34'h0aaaaaaaa : \fabric_downstreamConnectors_0_fRes_rv$port1__read ;
assign _247_ = \fabric_downstreamConnectors_1_fReq_rv$EN_port0__write ? (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:903.7-905.46" *) \fabric_downstreamConnectors_0_fReq_rv$port0__write_1 : fabric_downstreamConnectors_1_fReq_rv;
assign _248_ = \fabric_downstreamConnectors_1_fReq_rv$EN_port1__write ? (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:912.7-914.58" *) 70'h0aaaaaaaaaaaaaaaaa : \fabric_downstreamConnectors_1_fReq_rv$port1__read ;
assign _249_ = \fabric_downstreamConnectors_1_fRes_rv$EN_port0__write ? (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:925.7-927.46" *) \fabric_downstreamConnectors_1_fRes_rv$port0__write_1 : fabric_downstreamConnectors_1_fRes_rv;
assign _250_ = WILL_FIRE_RL_fabric_response_route_1 ? (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:929.7-931.58" *) 34'h0aaaaaaaa : \fabric_downstreamConnectors_1_fRes_rv$port1__read ;
assign _251_ = \fabric_downstreamConnectors_2_fReq_rv$EN_port0__write ? (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:938.7-940.46" *) \fabric_downstreamConnectors_0_fReq_rv$port0__write_1 : fabric_downstreamConnectors_2_fReq_rv;
assign _252_ = \fabric_downstreamConnectors_2_fReq_rv$EN_port1__write ? (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:947.7-949.58" *) 70'h0aaaaaaaaaaaaaaaaa : \fabric_downstreamConnectors_2_fReq_rv$port1__read ;
assign _253_ = \fabric_downstreamConnectors_2_fRes_rv$EN_port0__write ? (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:960.7-962.46" *) \fabric_downstreamConnectors_2_fRes_rv$port0__write_1 : fabric_downstreamConnectors_2_fRes_rv;
assign _254_ = WILL_FIRE_RL_fabric_response_route_2 ? (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:964.7-966.58" *) 34'h0aaaaaaaa : \fabric_downstreamConnectors_2_fRes_rv$port1__read ;
assign _255_ = \fabric_upstreamRequests_0_rv$EN_port0__write ? (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:974.7-976.37" *) \fabric_upstreamRequests_0_rv$port0__write_1 : fabric_upstreamRequests_0_rv;
assign _256_ = \fabric_upstreamRequests_0_rv$EN_port1__write ? (* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:991.7-993.49" *) 70'h0aaaaaaaaaaaaaaaaa : \fabric_upstreamRequests_0_rv$port1__read ;
always @* begin
if (\$auto$verilog_backend.cc:2083:dump_module$251 ) begin end
_000_ = fabric_downstreamConnectors_0_fReq_rv;
_001_ = fabric_downstreamConnectors_0_fRes_rv;
_002_ = fabric_downstreamConnectors_1_fReq_rv;
_003_ = fabric_downstreamConnectors_1_fRes_rv;
_004_ = fabric_downstreamConnectors_2_fReq_rv;
_005_ = fabric_downstreamConnectors_2_fRes_rv;
_006_ = fabric_downstreamPending_0_rv;
_007_ = fabric_downstreamPending_1_rv;
_008_ = fabric_downstreamPending_2_rv;
_009_ = fabric_upstreamConnectors_0_inner_fReq_rv;
_011_ = fabric_upstreamConnectors_0_inner_fRes_rv;
_012_ = fabric_upstreamConnectors_0_inner_pending;
_013_ = fabric_upstreamRequests_0_rv;
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1103.5-1170.10" *)
casez (_017_)
/* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1103.9-1103.22" */
1'h1:
begin
_000_ = 70'h0aaaaaaaaaaaaaaaaa;
_001_ = 34'h0aaaaaaaa;
_002_ = 70'h0aaaaaaaaaaaaaaaaa;
_003_ = 34'h0aaaaaaaa;
_004_ = 70'h0aaaaaaaaaaaaaaaaa;
_005_ = 34'h0aaaaaaaa;
_006_ = 1'h0;
_007_ = 1'h0;
_008_ = 1'h0;
_009_ = 70'h0aaaaaaaaaaaaaaaaa;
_011_ = 34'h0aaaaaaaa;
_012_ = 1'h0;
_013_ = 70'h0aaaaaaaaaaaaaaaaa;
end
/* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1129.5-1129.9" */
default:
begin
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1131.9-1133.51" *)
casez (\fabric_downstreamConnectors_0_fReq_rv$EN )
/* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1131.13-1131.53" */
1'h1:
_000_ = \fabric_downstreamConnectors_0_fReq_rv$D_IN ;
default:
/* empty */;
endcase
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1134.2-1136.51" *)
casez (\fabric_downstreamConnectors_0_fRes_rv$EN )
/* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1134.6-1134.46" */
1'h1:
_001_ = \fabric_downstreamConnectors_0_fRes_rv$D_IN ;
default:
/* empty */;
endcase
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1137.2-1139.51" *)
casez (\fabric_downstreamConnectors_1_fReq_rv$EN )
/* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1137.6-1137.46" */
1'h1:
_002_ = \fabric_downstreamConnectors_1_fReq_rv$D_IN ;
default:
/* empty */;
endcase
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1140.2-1142.51" *)
casez (\fabric_downstreamConnectors_1_fRes_rv$EN )
/* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1140.6-1140.46" */
1'h1:
_003_ = \fabric_downstreamConnectors_1_fRes_rv$D_IN ;
default:
/* empty */;
endcase
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1143.2-1145.51" *)
casez (\fabric_downstreamConnectors_2_fReq_rv$EN )
/* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1143.6-1143.46" */
1'h1:
_004_ = \fabric_downstreamConnectors_2_fReq_rv$D_IN ;
default:
/* empty */;
endcase
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1146.2-1148.51" *)
casez (\fabric_downstreamConnectors_2_fRes_rv$EN )
/* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1146.6-1146.46" */
1'h1:
_005_ = \fabric_downstreamConnectors_2_fRes_rv$D_IN ;
default:
/* empty */;
endcase
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1149.2-1151.43" *)
casez (\fabric_downstreamPending_0_rv$EN )
/* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1149.6-1149.38" */
1'h1:
_006_ = \fabric_downstreamPending_0_rv$D_IN ;
default:
/* empty */;
endcase
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1152.2-1154.43" *)
casez (\fabric_downstreamPending_1_rv$EN )
/* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1152.6-1152.38" */
1'h1:
_007_ = \fabric_downstreamPending_1_rv$D_IN ;
default:
/* empty */;
endcase
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1155.2-1157.43" *)
casez (\fabric_downstreamPending_2_rv$EN )
/* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1155.6-1155.38" */
1'h1:
_008_ = \fabric_downstreamPending_2_rv$D_IN ;
default:
/* empty */;
endcase
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1158.2-1160.55" *)
casez (\fabric_upstreamConnectors_0_inner_fReq_rv$EN )
/* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1158.6-1158.50" */
1'h1:
_009_ = \fabric_upstreamConnectors_0_inner_fReq_rv$D_IN ;
default:
/* empty */;
endcase
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1161.2-1163.55" *)
casez (\fabric_upstreamConnectors_0_inner_fRes_rv$EN )
/* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1161.6-1161.50" */
1'h1:
_011_ = \fabric_upstreamConnectors_0_inner_fRes_rv$D_IN ;
default:
/* empty */;
endcase
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1164.2-1166.55" *)
casez (\fabric_upstreamConnectors_0_inner_pending$EN )
/* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1164.6-1164.50" */
1'h1:
_012_ = \fabric_upstreamConnectors_0_inner_pending$D_IN ;
default:
/* empty */;
endcase
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1167.2-1169.42" *)
casez (\fabric_upstreamRequests_0_rv$EN )
/* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:1167.6-1167.37" */
1'h1:
_013_ = \fabric_upstreamRequests_0_rv$D_IN ;
default:
/* empty */;
endcase
end
endcase
end
always @(posedge CLK) begin
fabric_downstreamConnectors_0_fReq_rv <= _000_;
fabric_downstreamConnectors_0_fRes_rv <= _001_;
fabric_downstreamConnectors_1_fReq_rv <= _002_;
fabric_downstreamConnectors_1_fRes_rv <= _003_;
fabric_downstreamConnectors_2_fReq_rv <= _004_;
fabric_downstreamConnectors_2_fRes_rv <= _005_;
fabric_downstreamPending_0_rv <= _006_;
fabric_downstreamPending_1_rv <= _007_;
fabric_downstreamPending_2_rv <= _008_;
fabric_upstreamConnectors_0_inner_fReq_rv <= _009_;
fabric_upstreamConnectors_0_inner_fRes_rv <= _011_;
fabric_upstreamConnectors_0_inner_pending <= _012_;
fabric_upstreamRequests_0_rv <= _013_;
end
always @* begin
if (\$auto$verilog_backend.cc:2083:dump_module$251 ) begin end
_010_ = _014_;
(* parallel_case = 32'd1 *)
(* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:826.5-838.12" *)
casez (1'h1)
/* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:0.0-0.0" */
WILL_FIRE_RL_fabric_response_route:
_014_ = \MUX_fabric_upstreamConnectors_0_inner_fRes_rv$port0__write_1__VAL_1 ;
/* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:0.0-0.0" */
WILL_FIRE_RL_fabric_response_route_1:
_014_ = \MUX_fabric_upstreamConnectors_0_inner_fRes_rv$port0__write_1__VAL_2 ;
/* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:0.0-0.0" */
WILL_FIRE_RL_fabric_response_route_2:
_014_ = \MUX_fabric_upstreamConnectors_0_inner_fRes_rv$port0__write_1__VAL_3 ;
/* src = "bazel-out/k8-fastbuild/bin/systems/qf100/QF100/mkQF100Fabric.v:0.0-0.0" */
default:
_014_ = 34'h2aaaaaaaa;
endcase
end
always @* begin
\fabric_upstreamConnectors_0_inner_fRes_rv$port0__write_1 <= _010_;
end
assign cpu_ack_o = _037_;
assign cpu_err_o = 1'h0;
assign cpu_rty_o = 1'h0;
assign cpu_dat_o = _219_;
assign spi_cyc_o = _038_;
assign spi_stb_o = _039_;
assign spi_adr_o = _221_;
assign spi_dat_o = _222_;
assign spi_sel_o = _224_;
assign spi_we_o = _041_;
assign gpio_cyc_o = _042_;
assign gpio_stb_o = _043_;
assign gpio_adr_o = _225_;
assign gpio_dat_o = _226_;
assign gpio_sel_o = _228_;
assign gpio_we_o = _045_;
assign ksc_cyc_o = _046_;
assign ksc_stb_o = _047_;
assign ksc_adr_o = _229_;
assign ksc_dat_o = _230_;
assign ksc_sel_o = _232_;
assign ksc_we_o = _049_;
assign WILL_FIRE_RL_fabric_response_route = _051_;
assign WILL_FIRE_RL_fabric_response_route_1 = _054_;
assign WILL_FIRE_RL_fabric_response_route_2 = _058_;
assign WILL_FIRE_RL_fabric_upstreamConnectors_0_inner_process_outgoing_fast = _059_;
assign WILL_FIRE_RL_fabric_upstreamConnectors_0_inner_process_outgoing = _061_;
assign \MUX_fabric_upstreamConnectors_0_inner_pending$write_1__SEL_3 = _063_;
assign \MUX_fabric_upstreamConnectors_0_inner_fRes_rv$port0__write_1__VAL_1 = { 1'h1, \fabric_downstreamConnectors_0_fRes_rv$port1__read [32:0] };
assign \MUX_fabric_upstreamConnectors_0_inner_fRes_rv$port0__write_1__VAL_2 = { 1'h1, \fabric_downstreamConnectors_1_fRes_rv$port1__read [32:0] };
assign \MUX_fabric_upstreamConnectors_0_inner_fRes_rv$port0__write_1__VAL_3 = { 1'h1, \fabric_downstreamConnectors_2_fRes_rv$port1__read [32:0] };
assign \fabric_upstreamConnectors_0_inner_probeAck$PROBE = _064_;
assign \fabric_upstreamConnectors_0_inner_probeAck$PROBE_VALID = 1'h1;
assign \fabric_upstreamConnectors_0_inner_probeAdr$PROBE = cpu_adr_i;
assign \fabric_upstreamConnectors_0_inner_probeAdr$PROBE_VALID = 1'h1;
assign \fabric_upstreamConnectors_0_inner_probeCyc$PROBE = cpu_cyc_i;
assign \fabric_upstreamConnectors_0_inner_probeCyc$PROBE_VALID = 1'h1;
assign \fabric_upstreamConnectors_0_inner_probeDataIn$PROBE = cpu_dat_i;
assign \fabric_upstreamConnectors_0_inner_probeDataIn$PROBE_VALID = 1'h1;
assign \fabric_upstreamConnectors_0_inner_probeDataOut$PROBE = _233_;
assign \fabric_upstreamConnectors_0_inner_probeDataOut$PROBE_VALID = 1'h1;
assign \fabric_upstreamConnectors_0_inner_probeSel$PROBE = cpu_sel_i;
assign \fabric_upstreamConnectors_0_inner_probeSel$PROBE_VALID = 1'h1;
assign \fabric_upstreamConnectors_0_inner_probeStb$PROBE = cpu_stb_i;
assign \fabric_upstreamConnectors_0_inner_probeStb$PROBE_VALID = 1'h1;
assign \fabric_upstreamConnectors_0_inner_probeWe$PROBE = cpu_we_i;
assign \fabric_upstreamConnectors_0_inner_probeWe$PROBE_VALID = 1'h1;
assign \fabric_upstreamConnectors_0_inner_incoming$wget = { cpu_adr_i, cpu_we_i, cpu_dat_i, cpu_sel_i };
assign \fabric_upstreamConnectors_0_inner_incoming$whas = _065_;
assign \fabric_upstreamConnectors_0_inner_outgoing$wget = { 1'h1, \fabric_upstreamConnectors_0_inner_fRes_rv$port1__read [32:0] };
assign \fabric_upstreamConnectors_0_inner_outgoing$whas = _204_;
assign \fabric_downstreamConnectors_0_outgoing$wget = { 1'h1, \fabric_downstreamConnectors_0_fReq_rv$port1__read [68:0] };
assign \fabric_downstreamConnectors_0_incoming$wget = _235_;
assign \fabric_downstreamConnectors_0_incoming$whas = _206_;
assign \fabric_downstreamConnectors_1_outgoing$wget = { 1'h1, \fabric_downstreamConnectors_1_fReq_rv$port1__read [68:0] };
assign \fabric_downstreamConnectors_1_incoming$wget = _236_;
assign \fabric_downstreamConnectors_1_incoming$whas = _208_;
assign \fabric_downstreamConnectors_2_outgoing$wget = { 1'h1, \fabric_downstreamConnectors_2_fReq_rv$port1__read [68:0] };
assign \fabric_downstreamConnectors_2_incoming$wget = _237_;
assign \fabric_downstreamConnectors_2_incoming$whas = _210_;
assign \fabric_upstreamConnectors_0_inner_fReq_rv$port0__write_1 = { 1'h1, \fabric_upstreamConnectors_0_inner_incoming$wget };
assign \fabric_upstreamConnectors_0_inner_fReq_rv$port1__read = _238_;
assign \fabric_upstreamConnectors_0_inner_fReq_rv$EN_port1__write = _072_;
assign \fabric_upstreamConnectors_0_inner_fReq_rv$port2__read = _239_;
assign \fabric_upstreamConnectors_0_inner_fRes_rv$EN_port0__write = _212_;
assign \fabric_upstreamConnectors_0_inner_fRes_rv$port1__read = _240_;
assign \fabric_upstreamConnectors_0_inner_fRes_rv$EN_port1__write = _213_;
assign \fabric_upstreamConnectors_0_inner_fRes_rv$port2__read = _241_;
assign \fabric_downstreamConnectors_0_fReq_rv$EN_port0__write = _075_;
assign \fabric_downstreamConnectors_0_fReq_rv$port0__write_1 = { 1'h1, _242_, \fabric_upstreamRequests_0_rv$port1__read [36:0] };
assign \fabric_downstreamConnectors_0_fReq_rv$port1__read = _243_;
assign \fabric_downstreamConnectors_0_fReq_rv$EN_port1__write = _080_;
assign \fabric_downstreamConnectors_0_fReq_rv$port2__read = _244_;
assign \fabric_downstreamConnectors_0_fRes_rv$EN_port0__write = _083_;
assign \fabric_downstreamConnectors_0_fRes_rv$port0__write_1 = { 1'h1, _168_, \fabric_downstreamConnectors_0_incoming$wget [31:0] };
assign \fabric_downstreamConnectors_0_fRes_rv$port1__read = _245_;
assign \fabric_downstreamConnectors_0_fRes_rv$port2__read = _246_;
assign \fabric_downstreamConnectors_1_fReq_rv$EN_port0__write = _086_;
assign \fabric_downstreamConnectors_1_fReq_rv$port1__read = _247_;
assign \fabric_downstreamConnectors_1_fReq_rv$EN_port1__write = _089_;
assign \fabric_downstreamConnectors_1_fReq_rv$port2__read = _248_;
assign \fabric_downstreamConnectors_1_fRes_rv$EN_port0__write = _092_;
assign \fabric_downstreamConnectors_1_fRes_rv$port0__write_1 = { 1'h1, _173_, \fabric_downstreamConnectors_1_incoming$wget [31:0] };
assign \fabric_downstreamConnectors_1_fRes_rv$port1__read = _249_;
assign \fabric_downstreamConnectors_1_fRes_rv$port2__read = _250_;
assign \fabric_downstreamConnectors_2_fReq_rv$EN_port0__write = _095_;
assign \fabric_downstreamConnectors_2_fReq_rv$port1__read = _251_;
assign \fabric_downstreamConnectors_2_fReq_rv$EN_port1__write = _098_;
assign \fabric_downstreamConnectors_2_fReq_rv$port2__read = _252_;
assign \fabric_downstreamConnectors_2_fRes_rv$EN_port0__write = _101_;
assign \fabric_downstreamConnectors_2_fRes_rv$port0__write_1 = { 1'h1, _178_, \fabric_downstreamConnectors_2_incoming$wget [31:0] };
assign \fabric_downstreamConnectors_2_fRes_rv$port1__read = _253_;
assign \fabric_downstreamConnectors_2_fRes_rv$port2__read = _254_;
assign \fabric_upstreamRequests_0_rv$EN_port0__write = _102_;
assign \fabric_upstreamRequests_0_rv$port0__write_1 = { 1'h1, \fabric_upstreamConnectors_0_inner_fReq_rv$port1__read [68:0] };
assign \fabric_upstreamRequests_0_rv$port1__read = _255_;
assign \fabric_upstreamRequests_0_rv$EN_port1__write = _215_;
assign \fabric_upstreamRequests_0_rv$port2__read = _256_;
assign \fabric_downstreamPending_0_rv$EN_port0__write = _114_;
assign \fabric_downstreamPending_0_rv$port1__read = _188_;
assign \fabric_downstreamPending_0_rv$port2__read = _025_;
assign \fabric_downstreamPending_1_rv$EN_port0__write = _028_;
assign \fabric_downstreamPending_1_rv$port1__read = _189_;
assign \fabric_downstreamPending_1_rv$port2__read = _029_;
assign \fabric_downstreamPending_2_rv$EN_port0__write = _032_;
assign \fabric_downstreamPending_2_rv$port1__read = _190_;
assign \fabric_downstreamPending_2_rv$port2__read = _033_;
assign \fabric_downstreamConnectors_0_fReq_rv$D_IN = \fabric_downstreamConnectors_0_fReq_rv$port2__read ;
assign \fabric_downstreamConnectors_0_fReq_rv$EN = 1'h1;
assign \fabric_downstreamConnectors_0_fRes_rv$D_IN = \fabric_downstreamConnectors_0_fRes_rv$port2__read ;
assign \fabric_downstreamConnectors_0_fRes_rv$EN = 1'h1;
assign \fabric_downstreamConnectors_1_fReq_rv$D_IN = \fabric_downstreamConnectors_1_fReq_rv$port2__read ;
assign \fabric_downstreamConnectors_1_fReq_rv$EN = 1'h1;
assign \fabric_downstreamConnectors_1_fRes_rv$D_IN = \fabric_downstreamConnectors_1_fRes_rv$port2__read ;
assign \fabric_downstreamConnectors_1_fRes_rv$EN = 1'h1;
assign \fabric_downstreamConnectors_2_fReq_rv$D_IN = \fabric_downstreamConnectors_2_fReq_rv$port2__read ;
assign \fabric_downstreamConnectors_2_fReq_rv$EN = 1'h1;
assign \fabric_downstreamConnectors_2_fRes_rv$D_IN = \fabric_downstreamConnectors_2_fRes_rv$port2__read ;
assign \fabric_downstreamConnectors_2_fRes_rv$EN = 1'h1;
assign \fabric_downstreamPending_0_rv$D_IN = \fabric_downstreamPending_0_rv$port2__read ;
assign \fabric_downstreamPending_0_rv$EN = 1'h1;
assign \fabric_downstreamPending_1_rv$D_IN = \fabric_downstreamPending_1_rv$port2__read ;
assign \fabric_downstreamPending_1_rv$EN = 1'h1;
assign \fabric_downstreamPending_2_rv$D_IN = \fabric_downstreamPending_2_rv$port2__read ;
assign \fabric_downstreamPending_2_rv$EN = 1'h1;
assign \fabric_upstreamConnectors_0_inner_fReq_rv$D_IN = \fabric_upstreamConnectors_0_inner_fReq_rv$port2__read ;
assign \fabric_upstreamConnectors_0_inner_fReq_rv$EN = 1'h1;
assign \fabric_upstreamConnectors_0_inner_fRes_rv$D_IN = \fabric_upstreamConnectors_0_inner_fRes_rv$port2__read ;
assign \fabric_upstreamConnectors_0_inner_fRes_rv$EN = 1'h1;
assign \fabric_upstreamConnectors_0_inner_pending$D_IN = _034_;
assign \fabric_upstreamConnectors_0_inner_pending$EN = _192_;
assign \fabric_upstreamRequests_0_rv$D_IN = \fabric_upstreamRequests_0_rv$port2__read ;
assign \fabric_upstreamRequests_0_rv$EN = 1'h1;
endmodule