wb host output timing fix
diff --git a/def/user_project_wrapper.def.gz b/def/user_project_wrapper.def.gz
index dc8ac0e..ffab7dc 100644
--- a/def/user_project_wrapper.def.gz
+++ b/def/user_project_wrapper.def.gz
Binary files differ
diff --git a/def/wb_host.def.gz b/def/wb_host.def.gz
index dbcf3ca..83b2f86 100644
--- a/def/wb_host.def.gz
+++ b/def/wb_host.def.gz
Binary files differ
diff --git a/gds/user_project_wrapper.gds.gz b/gds/user_project_wrapper.gds.gz
index 624f25f..5bf7b7d 100644
--- a/gds/user_project_wrapper.gds.gz
+++ b/gds/user_project_wrapper.gds.gz
Binary files differ
diff --git a/gds/wb_host.gds.gz b/gds/wb_host.gds.gz
index 7ab4b67..fb1b677 100644
--- a/gds/wb_host.gds.gz
+++ b/gds/wb_host.gds.gz
Binary files differ
diff --git a/lef/user_project_wrapper.lef.gz b/lef/user_project_wrapper.lef.gz
index 89d192c..d6e67c4 100644
--- a/lef/user_project_wrapper.lef.gz
+++ b/lef/user_project_wrapper.lef.gz
Binary files differ
diff --git a/lef/wb_host.lef.gz b/lef/wb_host.lef.gz
index c4a8905..0857458 100644
--- a/lef/wb_host.lef.gz
+++ b/lef/wb_host.lef.gz
Binary files differ
diff --git a/mag/user_project_wrapper.mag.gz b/mag/user_project_wrapper.mag.gz
index 159dfdf..e4f4c35 100644
--- a/mag/user_project_wrapper.mag.gz
+++ b/mag/user_project_wrapper.mag.gz
Binary files differ
diff --git a/mag/wb_host.mag.gz b/mag/wb_host.mag.gz
index ea723be..ed653e6 100644
--- a/mag/wb_host.mag.gz
+++ b/mag/wb_host.mag.gz
Binary files differ
diff --git a/maglef/user_project_wrapper.mag.gz b/maglef/user_project_wrapper.mag.gz
index 4b2b5be..e1330a6 100644
--- a/maglef/user_project_wrapper.mag.gz
+++ b/maglef/user_project_wrapper.mag.gz
Binary files differ
diff --git a/maglef/wb_host.mag.gz b/maglef/wb_host.mag.gz
index e12df0e..3673adb 100644
--- a/maglef/wb_host.mag.gz
+++ b/maglef/wb_host.mag.gz
Binary files differ
diff --git a/openlane/user_project_wrapper/interactive.tcl b/openlane/user_project_wrapper/interactive.tcl
index 895c6ea..32f160d 100644
--- a/openlane/user_project_wrapper/interactive.tcl
+++ b/openlane/user_project_wrapper/interactive.tcl
@@ -382,12 +382,12 @@
set arg_values(-save_path) ""
}
save_views -lef_path $::env(magic_result_file_tag).lef \
- -def_path $::env(tritonRoute_result_file_tag).def \
+ -def_path $::env(CURRENT_DEF) \
-gds_path $::env(magic_result_file_tag).gds \
-mag_path $::env(magic_result_file_tag).mag \
-maglef_path $::env(magic_result_file_tag).lef.mag \
-spice_path $::env(magic_result_file_tag).spice \
- -spef_path $::env(tritonRoute_result_file_tag).spef \
+ -spef_path $::env(CURRENT_SPEF) \
-verilog_path $::env(CURRENT_NETLIST) \
-save_path $arg_values(-save_path) \
-tag $::env(RUN_TAG)
@@ -397,6 +397,8 @@
calc_total_runtime
save_state
generate_final_summary_report
+
+ check_timing_violations
puts_success "Flow Completed Without Fatal Errors."
diff --git a/openlane/wb_host/base.sdc b/openlane/wb_host/base.sdc
index fcf0e6e..b5ca3fb 100644
--- a/openlane/wb_host/base.sdc
+++ b/openlane/wb_host/base.sdc
@@ -27,186 +27,186 @@
set_clock_groups -name async_clock -asynchronous \
-group [get_clocks {mem_clk}]\
-group [get_clocks {wbm_clk_i}] -comment {Async Clock group}
-set_input_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_adr_i[0]}]
-set_input_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_adr_i[10]}]
-set_input_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_adr_i[11]}]
-set_input_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_adr_i[12]}]
-set_input_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_adr_i[13]}]
-set_input_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_adr_i[14]}]
-set_input_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_adr_i[15]}]
-set_input_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_adr_i[16]}]
-set_input_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_adr_i[17]}]
-set_input_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_adr_i[18]}]
-set_input_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_adr_i[19]}]
-set_input_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_adr_i[1]}]
-set_input_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_adr_i[20]}]
-set_input_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_adr_i[21]}]
-set_input_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_adr_i[22]}]
-set_input_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_adr_i[23]}]
-set_input_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_adr_i[24]}]
-set_input_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_adr_i[25]}]
-set_input_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_adr_i[26]}]
-set_input_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_adr_i[27]}]
-set_input_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_adr_i[28]}]
-set_input_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_adr_i[29]}]
-set_input_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_adr_i[2]}]
-set_input_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_adr_i[30]}]
-set_input_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_adr_i[31]}]
-set_input_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_adr_i[3]}]
-set_input_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_adr_i[4]}]
-set_input_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_adr_i[5]}]
-set_input_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_adr_i[6]}]
-set_input_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_adr_i[7]}]
-set_input_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_adr_i[8]}]
-set_input_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_adr_i[9]}]
-set_input_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_cyc_i}]
-set_input_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_i[0]}]
-set_input_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_i[10]}]
-set_input_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_i[11]}]
-set_input_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_i[12]}]
-set_input_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_i[13]}]
-set_input_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_i[14]}]
-set_input_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_i[15]}]
-set_input_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_i[16]}]
-set_input_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_i[17]}]
-set_input_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_i[18]}]
-set_input_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_i[19]}]
-set_input_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_i[1]}]
-set_input_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_i[20]}]
-set_input_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_i[21]}]
-set_input_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_i[22]}]
-set_input_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_i[23]}]
-set_input_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_i[24]}]
-set_input_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_i[25]}]
-set_input_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_i[26]}]
-set_input_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_i[27]}]
-set_input_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_i[28]}]
-set_input_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_i[29]}]
-set_input_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_i[2]}]
-set_input_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_i[30]}]
-set_input_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_i[31]}]
-set_input_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_i[3]}]
-set_input_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_i[4]}]
-set_input_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_i[5]}]
-set_input_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_i[6]}]
-set_input_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_i[7]}]
-set_input_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_i[8]}]
-set_input_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_i[9]}]
-set_input_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_rst_i}]
-set_input_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_sel_i[0]}]
-set_input_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_sel_i[1]}]
-set_input_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_sel_i[2]}]
-set_input_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_sel_i[3]}]
-set_input_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_stb_i}]
-set_input_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_we_i}]
+set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_adr_i[0]}]
+set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_adr_i[10]}]
+set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_adr_i[11]}]
+set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_adr_i[12]}]
+set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_adr_i[13]}]
+set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_adr_i[14]}]
+set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_adr_i[15]}]
+set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_adr_i[16]}]
+set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_adr_i[17]}]
+set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_adr_i[18]}]
+set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_adr_i[19]}]
+set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_adr_i[1]}]
+set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_adr_i[20]}]
+set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_adr_i[21]}]
+set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_adr_i[22]}]
+set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_adr_i[23]}]
+set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_adr_i[24]}]
+set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_adr_i[25]}]
+set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_adr_i[26]}]
+set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_adr_i[27]}]
+set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_adr_i[28]}]
+set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_adr_i[29]}]
+set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_adr_i[2]}]
+set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_adr_i[30]}]
+set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_adr_i[31]}]
+set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_adr_i[3]}]
+set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_adr_i[4]}]
+set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_adr_i[5]}]
+set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_adr_i[6]}]
+set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_adr_i[7]}]
+set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_adr_i[8]}]
+set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_adr_i[9]}]
+set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_cyc_i}]
+set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_i[0]}]
+set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_i[10]}]
+set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_i[11]}]
+set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_i[12]}]
+set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_i[13]}]
+set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_i[14]}]
+set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_i[15]}]
+set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_i[16]}]
+set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_i[17]}]
+set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_i[18]}]
+set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_i[19]}]
+set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_i[1]}]
+set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_i[20]}]
+set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_i[21]}]
+set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_i[22]}]
+set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_i[23]}]
+set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_i[24]}]
+set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_i[25]}]
+set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_i[26]}]
+set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_i[27]}]
+set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_i[28]}]
+set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_i[29]}]
+set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_i[2]}]
+set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_i[30]}]
+set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_i[31]}]
+set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_i[3]}]
+set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_i[4]}]
+set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_i[5]}]
+set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_i[6]}]
+set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_i[7]}]
+set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_i[8]}]
+set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_i[9]}]
+set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_rst_i}]
+set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_sel_i[0]}]
+set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_sel_i[1]}]
+set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_sel_i[2]}]
+set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_sel_i[3]}]
+set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_stb_i}]
+set_input_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_we_i}]
-set_input_delay 0.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_adr_i[0]}]
-set_input_delay 0.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_adr_i[10]}]
-set_input_delay 0.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_adr_i[11]}]
-set_input_delay 0.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_adr_i[12]}]
-set_input_delay 0.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_adr_i[13]}]
-set_input_delay 0.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_adr_i[14]}]
-set_input_delay 0.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_adr_i[15]}]
-set_input_delay 0.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_adr_i[16]}]
-set_input_delay 0.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_adr_i[17]}]
-set_input_delay 0.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_adr_i[18]}]
-set_input_delay 0.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_adr_i[19]}]
-set_input_delay 0.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_adr_i[1]}]
-set_input_delay 0.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_adr_i[20]}]
-set_input_delay 0.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_adr_i[21]}]
-set_input_delay 0.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_adr_i[22]}]
-set_input_delay 0.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_adr_i[23]}]
-set_input_delay 0.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_adr_i[24]}]
-set_input_delay 0.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_adr_i[25]}]
-set_input_delay 0.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_adr_i[26]}]
-set_input_delay 0.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_adr_i[27]}]
-set_input_delay 0.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_adr_i[28]}]
-set_input_delay 0.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_adr_i[29]}]
-set_input_delay 0.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_adr_i[2]}]
-set_input_delay 0.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_adr_i[30]}]
-set_input_delay 0.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_adr_i[31]}]
-set_input_delay 0.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_adr_i[3]}]
-set_input_delay 0.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_adr_i[4]}]
-set_input_delay 0.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_adr_i[5]}]
-set_input_delay 0.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_adr_i[6]}]
-set_input_delay 0.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_adr_i[7]}]
-set_input_delay 0.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_adr_i[8]}]
-set_input_delay 0.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_adr_i[9]}]
-set_input_delay 0.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_cyc_i}]
-set_input_delay 0.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_i[0]}]
-set_input_delay 0.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_i[10]}]
-set_input_delay 0.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_i[11]}]
-set_input_delay 0.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_i[12]}]
-set_input_delay 0.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_i[13]}]
-set_input_delay 0.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_i[14]}]
-set_input_delay 0.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_i[15]}]
-set_input_delay 0.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_i[16]}]
-set_input_delay 0.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_i[17]}]
-set_input_delay 0.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_i[18]}]
-set_input_delay 0.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_i[19]}]
-set_input_delay 0.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_i[1]}]
-set_input_delay 0.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_i[20]}]
-set_input_delay 0.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_i[21]}]
-set_input_delay 0.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_i[22]}]
-set_input_delay 0.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_i[23]}]
-set_input_delay 0.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_i[24]}]
-set_input_delay 0.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_i[25]}]
-set_input_delay 0.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_i[26]}]
-set_input_delay 0.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_i[27]}]
-set_input_delay 0.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_i[28]}]
-set_input_delay 0.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_i[29]}]
-set_input_delay 0.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_i[2]}]
-set_input_delay 0.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_i[30]}]
-set_input_delay 0.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_i[31]}]
-set_input_delay 0.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_i[3]}]
-set_input_delay 0.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_i[4]}]
-set_input_delay 0.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_i[5]}]
-set_input_delay 0.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_i[6]}]
-set_input_delay 0.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_i[7]}]
-set_input_delay 0.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_i[8]}]
-set_input_delay 0.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_i[9]}]
-set_input_delay 0.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_rst_i}]
-set_input_delay 0.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_sel_i[0]}]
-set_input_delay 0.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_sel_i[1]}]
-set_input_delay 0.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_sel_i[2]}]
-set_input_delay 0.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_sel_i[3]}]
-set_input_delay 0.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_stb_i}]
-set_input_delay 0.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_we_i}]
+set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_adr_i[0]}]
+set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_adr_i[10]}]
+set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_adr_i[11]}]
+set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_adr_i[12]}]
+set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_adr_i[13]}]
+set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_adr_i[14]}]
+set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_adr_i[15]}]
+set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_adr_i[16]}]
+set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_adr_i[17]}]
+set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_adr_i[18]}]
+set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_adr_i[19]}]
+set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_adr_i[1]}]
+set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_adr_i[20]}]
+set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_adr_i[21]}]
+set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_adr_i[22]}]
+set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_adr_i[23]}]
+set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_adr_i[24]}]
+set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_adr_i[25]}]
+set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_adr_i[26]}]
+set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_adr_i[27]}]
+set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_adr_i[28]}]
+set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_adr_i[29]}]
+set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_adr_i[2]}]
+set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_adr_i[30]}]
+set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_adr_i[31]}]
+set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_adr_i[3]}]
+set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_adr_i[4]}]
+set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_adr_i[5]}]
+set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_adr_i[6]}]
+set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_adr_i[7]}]
+set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_adr_i[8]}]
+set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_adr_i[9]}]
+set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_cyc_i}]
+set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_i[0]}]
+set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_i[10]}]
+set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_i[11]}]
+set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_i[12]}]
+set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_i[13]}]
+set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_i[14]}]
+set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_i[15]}]
+set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_i[16]}]
+set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_i[17]}]
+set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_i[18]}]
+set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_i[19]}]
+set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_i[1]}]
+set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_i[20]}]
+set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_i[21]}]
+set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_i[22]}]
+set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_i[23]}]
+set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_i[24]}]
+set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_i[25]}]
+set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_i[26]}]
+set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_i[27]}]
+set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_i[28]}]
+set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_i[29]}]
+set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_i[2]}]
+set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_i[30]}]
+set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_i[31]}]
+set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_i[3]}]
+set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_i[4]}]
+set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_i[5]}]
+set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_i[6]}]
+set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_i[7]}]
+set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_i[8]}]
+set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_i[9]}]
+set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_rst_i}]
+set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_sel_i[0]}]
+set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_sel_i[1]}]
+set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_sel_i[2]}]
+set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_sel_i[3]}]
+set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_stb_i}]
+set_input_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_we_i}]
-set_output_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_ack_o}]
-set_output_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_o[0]}]
-set_output_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_o[10]}]
-set_output_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_o[11]}]
-set_output_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_o[12]}]
-set_output_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_o[13]}]
-set_output_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_o[14]}]
-set_output_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_o[15]}]
-set_output_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_o[16]}]
-set_output_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_o[17]}]
-set_output_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_o[18]}]
-set_output_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_o[19]}]
-set_output_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_o[1]}]
-set_output_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_o[20]}]
-set_output_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_o[21]}]
-set_output_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_o[22]}]
-set_output_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_o[23]}]
-set_output_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_o[24]}]
-set_output_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_o[25]}]
-set_output_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_o[26]}]
-set_output_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_o[27]}]
-set_output_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_o[28]}]
-set_output_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_o[29]}]
-set_output_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_o[2]}]
-set_output_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_o[30]}]
-set_output_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_o[31]}]
-set_output_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_o[3]}]
-set_output_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_o[4]}]
-set_output_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_o[5]}]
-set_output_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_o[6]}]
-set_output_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_o[7]}]
-set_output_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_o[8]}]
-set_output_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_o[9]}]
-set_output_delay 6.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_err_o}]
+set_output_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_ack_o}]
+set_output_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_o[0]}]
+set_output_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_o[10]}]
+set_output_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_o[11]}]
+set_output_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_o[12]}]
+set_output_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_o[13]}]
+set_output_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_o[14]}]
+set_output_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_o[15]}]
+set_output_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_o[16]}]
+set_output_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_o[17]}]
+set_output_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_o[18]}]
+set_output_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_o[19]}]
+set_output_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_o[1]}]
+set_output_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_o[20]}]
+set_output_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_o[21]}]
+set_output_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_o[22]}]
+set_output_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_o[23]}]
+set_output_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_o[24]}]
+set_output_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_o[25]}]
+set_output_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_o[26]}]
+set_output_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_o[27]}]
+set_output_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_o[28]}]
+set_output_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_o[29]}]
+set_output_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_o[2]}]
+set_output_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_o[30]}]
+set_output_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_o[31]}]
+set_output_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_o[3]}]
+set_output_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_o[4]}]
+set_output_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_o[5]}]
+set_output_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_o[6]}]
+set_output_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_o[7]}]
+set_output_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_o[8]}]
+set_output_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_dat_o[9]}]
+set_output_delay 5.0000 -clock [get_clocks {wbm_clk_i}] -max -add_delay [get_ports {wbm_err_o}]
set_output_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_ack_o}]
set_output_delay 1.0000 -clock [get_clocks {wbm_clk_i}] -min -add_delay [get_ports {wbm_dat_o[0]}]
diff --git a/openlane/wb_host/config.tcl b/openlane/wb_host/config.tcl
index 2a54114..948da33 100755
--- a/openlane/wb_host/config.tcl
+++ b/openlane/wb_host/config.tcl
@@ -61,8 +61,6 @@
set ::env(FP_SIZING) absolute
set ::env(DIE_AREA) "0 0 400 300"
-set ::env(PL_TIME_DRIVEN) 1
-set ::env(PL_TARGET_DENSITY) "0.40"
# If you're going to use multiple power domains, then keep this disabled.
set ::env(RUN_CVC) 1
@@ -70,7 +68,8 @@
#set ::env(PDN_CFG) $script_dir/pdn.tcl
-set ::env(PL_ROUTABILITY_DRIVEN) 1
+set ::env(PL_TIME_DRIVEN) 1
+set ::env(PL_TARGET_DENSITY) "0.30"
@@ -89,6 +88,6 @@
set ::env(QUIT_ON_TIMING_VIOLATIONS) "0"
-set ::env(QUIT_ON_MAGIC_DRC) "0"
+set ::env(QUIT_ON_MAGIC_DRC) "1"
set ::env(QUIT_ON_LVS_ERROR) "0"
set ::env(QUIT_ON_SLEW_VIOLATIONS) "0"
diff --git a/signoff/user_project_wrapper/final_summary_report.csv b/signoff/user_project_wrapper/final_summary_report.csv
index cd722df..715b1c8 100644
--- a/signoff/user_project_wrapper/final_summary_report.csv
+++ b/signoff/user_project_wrapper/final_summary_report.csv
@@ -1,2 +1,2 @@
,design,design_name,config,flow_status,total_runtime,routed_runtime,(Cell/mm^2)/Core_Util,DIEAREA_mm^2,CellPer_mm^2,OpenDP_Util,Peak_Memory_Usage_MB,cell_count,tritonRoute_violations,Short_violations,MetSpc_violations,OffGrid_violations,MinHole_violations,Other_violations,Magic_violations,antenna_violations,lvs_total_errors,cvc_total_errors,klayout_violations,wire_length,vias,wns,pl_wns,optimized_wns,fastroute_wns,spef_wns,tns,pl_tns,optimized_tns,fastroute_tns,spef_tns,HPWL,routing_layer1_pct,routing_layer2_pct,routing_layer3_pct,routing_layer4_pct,routing_layer5_pct,routing_layer6_pct,wires_count,wire_bits,public_wires_count,public_wire_bits,memories_count,memory_bits,processes_count,cells_pre_abc,AND,DFF,NAND,NOR,OR,XOR,XNOR,MUX,inputs,outputs,level,EndCaps,TapCells,Diodes,Total_Physical_Cells,suggested_clock_frequency,suggested_clock_period,CLOCK_PERIOD,SYNTH_STRATEGY,SYNTH_MAX_FANOUT,FP_CORE_UTIL,FP_ASPECT_RATIO,FP_PDN_VPITCH,FP_PDN_HPITCH,PL_TARGET_DENSITY,GLB_RT_ADJUSTMENT,STD_CELL_LIBRARY,CELL_PAD,DIODE_INSERTION_STRATEGY
-0,/project/openlane/user_project_wrapper,user_project_wrapper,user_project_wrapper,flow_completed,0h6m45s,-1,0.5837484433374844,10.2784,0.2918742216687422,-1,500.35,3,0,0,0,0,0,0,-1,0,0,-1,-1,605085,2130,0.0,-1,-1,0.0,-1,0.0,-1,-1,0.0,-1,-1,40141.04,0.93,1.45,0.12,0.06,-1,60,843,60,843,0,0,0,3,0,0,0,0,0,0,0,0,-1,-1,-1,0,0,0,0,90.9090909090909,11,10,AREA 0,5,50,1,180,180,0.55,0.0,sky130_fd_sc_hd,4,0
+0,/project/openlane/user_project_wrapper,user_project_wrapper,user_project_wrapper,flow_completed,0h6m12s,-1,0.5837484433374844,10.2784,0.2918742216687422,-1,500.51,3,0,0,0,0,0,0,-1,0,0,-1,-1,605051,2144,0.0,-1,-1,0.0,-1,0.0,-1,-1,0.0,-1,-1,40141.04,0.93,1.45,0.12,0.06,-1,60,843,60,843,0,0,0,3,0,0,0,0,0,0,0,0,-1,-1,-1,0,0,0,0,90.9090909090909,11,10,AREA 0,5,50,1,180,180,0.55,0.0,sky130_fd_sc_hd,4,0
diff --git a/signoff/wb_host/final_summary_report.csv b/signoff/wb_host/final_summary_report.csv
index 8fcd655..d977764 100644
--- a/signoff/wb_host/final_summary_report.csv
+++ b/signoff/wb_host/final_summary_report.csv
@@ -1,2 +1,2 @@
,design,design_name,config,flow_status,total_runtime,routed_runtime,(Cell/mm^2)/Core_Util,DIEAREA_mm^2,CellPer_mm^2,OpenDP_Util,Peak_Memory_Usage_MB,cell_count,tritonRoute_violations,Short_violations,MetSpc_violations,OffGrid_violations,MinHole_violations,Other_violations,Magic_violations,antenna_violations,lvs_total_errors,cvc_total_errors,klayout_violations,wire_length,vias,wns,pl_wns,optimized_wns,fastroute_wns,spef_wns,tns,pl_tns,optimized_tns,fastroute_tns,spef_tns,HPWL,routing_layer1_pct,routing_layer2_pct,routing_layer3_pct,routing_layer4_pct,routing_layer5_pct,routing_layer6_pct,wires_count,wire_bits,public_wires_count,public_wire_bits,memories_count,memory_bits,processes_count,cells_pre_abc,AND,DFF,NAND,NOR,OR,XOR,XNOR,MUX,inputs,outputs,level,EndCaps,TapCells,Diodes,Total_Physical_Cells,suggested_clock_frequency,suggested_clock_period,CLOCK_PERIOD,SYNTH_STRATEGY,SYNTH_MAX_FANOUT,FP_CORE_UTIL,FP_ASPECT_RATIO,FP_PDN_VPITCH,FP_PDN_HPITCH,PL_TARGET_DENSITY,GLB_RT_ADJUSTMENT,STD_CELL_LIBRARY,CELL_PAD,DIODE_INSERTION_STRATEGY
-0,/project/openlane/wb_host,wb_host,wb_host,flow_completed,0h31m26s,-1,48316.66666666667,0.12,24158.333333333336,26.88,651.89,2899,0,0,0,0,0,0,0,18,0,0,-1,201776,30106,-3.74,-4.04,-1,-3.95,-1,-125.07,-181.37,-1,-124.86,-1,86851552.0,3.95,34.53,31.03,16.16,9.0,-1,1350,3680,624,2920,0,0,0,1433,0,0,0,0,0,0,0,4,709,890,13,204,1560,0,1764,90.9090909090909,11,10,AREA 0,4,50,1,100,100,0.4,0.0,sky130_fd_sc_hd,4,4
+0,/project/openlane/wb_host,wb_host,wb_host,flow_completed,0h7m51s,-1,50283.33333333334,0.12,25141.66666666667,28.0,605.29,3017,0,0,0,0,0,0,0,3,0,0,-1,163509,26410,0.0,-0.32,-1,0.0,-1,0.0,-9.1,-1,0.0,-1,104829193.0,4.06,36.24,25.62,6.37,1.56,-1,1353,3716,627,2954,0,0,0,1466,0,0,0,0,0,0,0,4,710,926,12,204,1560,0,1764,90.9090909090909,11,10,AREA 0,4,50,1,100,100,0.3,0.0,sky130_fd_sc_hd,4,4
diff --git a/spi/lvs/user_project_wrapper.spice.gz b/spi/lvs/user_project_wrapper.spice.gz
index 83c9c87..72b769d 100644
--- a/spi/lvs/user_project_wrapper.spice.gz
+++ b/spi/lvs/user_project_wrapper.spice.gz
Binary files differ
diff --git a/spi/lvs/wb_host.spice.gz b/spi/lvs/wb_host.spice.gz
index ae9cfde..a302828 100644
--- a/spi/lvs/wb_host.spice.gz
+++ b/spi/lvs/wb_host.spice.gz
Binary files differ
diff --git a/verilog/gl/wb_host.v b/verilog/gl/wb_host.v
index c50e365..7cbaf4e 100644
--- a/verilog/gl/wb_host.v
+++ b/verilog/gl/wb_host.v
@@ -85,133 +85,9 @@
output [31:0] wbm_dat_o;
input [3:0] wbm_sel_i;
- wire net208;
- wire net218;
- wire net219;
- wire net220;
- wire net221;
- wire net222;
- wire net223;
- wire net224;
- wire net225;
- wire net226;
- wire net227;
- wire net209;
- wire net228;
- wire net229;
- wire net230;
- wire net231;
- wire net232;
- wire net233;
- wire net234;
- wire net235;
- wire net236;
- wire net237;
- wire net210;
- wire net238;
- wire net239;
- wire net240;
- wire net241;
- wire net242;
- wire net243;
- wire net244;
- wire net245;
- wire net211;
- wire net212;
- wire net213;
- wire net214;
- wire net215;
- wire net216;
- wire net217;
- wire net246;
- wire net256;
- wire net257;
- wire net258;
- wire net259;
- wire net260;
- wire net261;
- wire net262;
- wire net263;
- wire net264;
- wire net265;
- wire net247;
- wire net266;
- wire net267;
- wire net268;
- wire net269;
- wire net270;
- wire net271;
- wire net272;
- wire net273;
- wire net274;
- wire net275;
- wire net248;
- wire net276;
- wire net277;
- wire net278;
- wire net279;
- wire net280;
- wire net281;
- wire net282;
- wire net283;
- wire net249;
- wire net250;
- wire net251;
- wire net252;
- wire net253;
- wire net254;
- wire net255;
- wire net284;
- wire net384;
- wire net385;
- wire net386;
- wire net387;
- wire net388;
- wire net389;
- wire net390;
- wire net391;
- wire net392;
- wire net393;
- wire net294;
- wire net394;
- wire net395;
- wire net396;
- wire net397;
- wire net193;
- wire net194;
- wire net195;
- wire net196;
- wire net197;
- wire net198;
- wire net295;
- wire net199;
- wire net200;
- wire net201;
- wire net202;
- wire net203;
- wire net204;
- wire net205;
- wire net206;
- wire net296;
- wire net297;
- wire net298;
- wire net299;
- wire net300;
- wire net301;
wire net302;
- wire net303;
- wire net285;
- wire net304;
- wire net305;
- wire net306;
- wire net307;
- wire net308;
- wire net309;
- wire net310;
- wire net311;
wire net312;
wire net313;
- wire net286;
wire net314;
wire net315;
wire net316;
@@ -220,9 +96,9 @@
wire net319;
wire net320;
wire net321;
+ wire net303;
wire net322;
wire net323;
- wire net287;
wire net324;
wire net325;
wire net326;
@@ -231,65 +107,189 @@
wire net329;
wire net330;
wire net331;
+ wire net304;
wire net332;
wire net333;
- wire net288;
wire net334;
wire net335;
wire net336;
wire net337;
wire net338;
wire net339;
+ wire net305;
+ wire net306;
+ wire net307;
+ wire net308;
+ wire net309;
+ wire net310;
+ wire net311;
wire net340;
- wire net341;
- wire net342;
- wire net343;
- wire net289;
- wire net344;
- wire net345;
- wire net346;
- wire net347;
- wire net348;
- wire net349;
wire net350;
wire net351;
wire net352;
wire net353;
- wire net290;
wire net354;
wire net355;
wire net356;
wire net357;
wire net358;
wire net359;
+ wire net341;
wire net360;
wire net361;
wire net362;
wire net363;
- wire net291;
wire net364;
wire net365;
wire net366;
wire net367;
wire net368;
wire net369;
+ wire net342;
wire net370;
wire net371;
wire net372;
wire net373;
- wire net292;
wire net374;
wire net375;
wire net376;
wire net377;
+ wire net343;
+ wire net344;
+ wire net345;
+ wire net346;
+ wire net347;
+ wire net348;
+ wire net349;
wire net378;
- wire net379;
- wire net380;
- wire net381;
- wire net382;
- wire net383;
+ wire net273;
+ wire net274;
+ wire net275;
+ wire net276;
+ wire net277;
+ wire net278;
+ wire net279;
+ wire net280;
+ wire net281;
+ wire net282;
+ wire net388;
+ wire net283;
+ wire net284;
+ wire net285;
+ wire net286;
+ wire net287;
+ wire net288;
+ wire net289;
+ wire net290;
+ wire net291;
+ wire net292;
+ wire net389;
wire net293;
+ wire net294;
+ wire net295;
+ wire net296;
+ wire net297;
+ wire net298;
+ wire net299;
+ wire net300;
+ wire net390;
+ wire net391;
+ wire net392;
+ wire net393;
+ wire net394;
+ wire net395;
+ wire net396;
+ wire net397;
+ wire net379;
+ wire net398;
+ wire net194;
+ wire net195;
+ wire net196;
+ wire net197;
+ wire net198;
+ wire net199;
+ wire net200;
+ wire net201;
+ wire net202;
+ wire net380;
+ wire net203;
+ wire net204;
+ wire net205;
+ wire net206;
wire net207;
+ wire net208;
+ wire net209;
+ wire net210;
+ wire net211;
+ wire net212;
+ wire net381;
+ wire net213;
+ wire net214;
+ wire net215;
+ wire net216;
+ wire net217;
+ wire net218;
+ wire net219;
+ wire net220;
+ wire net221;
+ wire net222;
+ wire net382;
+ wire net223;
+ wire net224;
+ wire net225;
+ wire net226;
+ wire net227;
+ wire net228;
+ wire net229;
+ wire net230;
+ wire net231;
+ wire net232;
+ wire net383;
+ wire net233;
+ wire net234;
+ wire net235;
+ wire net236;
+ wire net237;
+ wire net238;
+ wire net239;
+ wire net240;
+ wire net241;
+ wire net242;
+ wire net384;
+ wire net243;
+ wire net244;
+ wire net245;
+ wire net246;
+ wire net247;
+ wire net248;
+ wire net249;
+ wire net250;
+ wire net251;
+ wire net252;
+ wire net385;
+ wire net253;
+ wire net254;
+ wire net255;
+ wire net256;
+ wire net257;
+ wire net258;
+ wire net259;
+ wire net260;
+ wire net261;
+ wire net262;
+ wire net386;
+ wire net263;
+ wire net264;
+ wire net265;
+ wire net266;
+ wire net267;
+ wire net268;
+ wire net269;
+ wire net270;
+ wire net271;
+ wire net272;
+ wire net387;
+ wire net301;
wire _0000_;
wire _0001_;
wire _0002_;
@@ -2313,30 +2313,113 @@
wire _2020_;
wire _2021_;
wire _2022_;
+ wire _2023_;
+ wire _2024_;
+ wire _2025_;
+ wire _2026_;
+ wire _2027_;
+ wire _2028_;
+ wire _2029_;
+ wire _2030_;
+ wire _2031_;
+ wire _2032_;
+ wire _2033_;
+ wire _2034_;
+ wire _2035_;
+ wire _2036_;
+ wire _2037_;
+ wire _2038_;
+ wire _2039_;
+ wire _2040_;
+ wire _2041_;
+ wire _2042_;
+ wire _2043_;
+ wire _2044_;
+ wire _2045_;
+ wire _2046_;
+ wire _2047_;
+ wire _2048_;
+ wire _2049_;
+ wire _2050_;
+ wire _2051_;
+ wire _2052_;
+ wire _2053_;
+ wire _2054_;
+ wire _2055_;
+ wire _2056_;
+ wire _2057_;
+ wire _2058_;
+ wire _2059_;
+ wire _2060_;
+ wire _2061_;
+ wire _2062_;
+ wire _2063_;
+ wire _2064_;
+ wire _2065_;
+ wire _2066_;
+ wire _2067_;
+ wire _2068_;
+ wire _2069_;
+ wire _2070_;
+ wire _2071_;
+ wire _2072_;
+ wire _2073_;
+ wire _2074_;
+ wire _2075_;
+ wire _2076_;
+ wire _2077_;
+ wire _2078_;
+ wire _2079_;
+ wire _2080_;
+ wire _2081_;
+ wire _2082_;
+ wire _2083_;
+ wire _2084_;
+ wire _2085_;
+ wire _2086_;
+ wire _2087_;
+ wire _2088_;
+ wire _2089_;
+ wire _2090_;
+ wire _2091_;
+ wire _2092_;
+ wire _2093_;
+ wire _2094_;
+ wire _2095_;
+ wire _2096_;
+ wire _2097_;
+ wire _2098_;
+ wire _2099_;
+ wire _2100_;
+ wire _2101_;
+ wire _2102_;
+ wire _2103_;
+ wire _2104_;
+ wire _2105_;
+ wire _2106_;
+ wire _2107_;
wire clknet_0_mem_clk;
wire clknet_0_wbm_clk_i;
+ wire clknet_1_0_0_mem_clk;
wire clknet_1_0_0_wbm_clk_i;
+ wire clknet_1_1_0_mem_clk;
wire clknet_1_1_0_wbm_clk_i;
+ wire clknet_2_0_0_mem_clk;
wire clknet_2_0_0_wbm_clk_i;
+ wire clknet_2_1_0_mem_clk;
wire clknet_2_1_0_wbm_clk_i;
+ wire clknet_2_2_0_mem_clk;
wire clknet_2_2_0_wbm_clk_i;
+ wire clknet_2_3_0_mem_clk;
wire clknet_2_3_0_wbm_clk_i;
wire clknet_3_0_0_mem_clk;
- wire clknet_3_0_0_wbm_clk_i;
wire clknet_3_1_0_mem_clk;
- wire clknet_3_1_0_wbm_clk_i;
wire clknet_3_2_0_mem_clk;
- wire clknet_3_2_0_wbm_clk_i;
wire clknet_3_3_0_mem_clk;
- wire clknet_3_3_0_wbm_clk_i;
wire clknet_3_4_0_mem_clk;
- wire clknet_3_4_0_wbm_clk_i;
wire clknet_3_5_0_mem_clk;
- wire clknet_3_5_0_wbm_clk_i;
wire clknet_3_6_0_mem_clk;
- wire clknet_3_6_0_wbm_clk_i;
wire clknet_3_7_0_mem_clk;
- wire clknet_3_7_0_wbm_clk_i;
wire clknet_leaf_0_wbm_clk_i;
wire clknet_leaf_10_wbm_clk_i;
wire clknet_leaf_11_wbm_clk_i;
@@ -2385,20 +2468,8 @@
wire clknet_leaf_50_wbm_clk_i;
wire clknet_leaf_51_wbm_clk_i;
wire clknet_leaf_52_wbm_clk_i;
- wire clknet_leaf_53_wbm_clk_i;
- wire clknet_leaf_54_wbm_clk_i;
- wire clknet_leaf_55_wbm_clk_i;
- wire clknet_leaf_56_wbm_clk_i;
- wire clknet_leaf_57_wbm_clk_i;
- wire clknet_leaf_58_wbm_clk_i;
- wire clknet_leaf_59_wbm_clk_i;
wire clknet_leaf_5_wbm_clk_i;
- wire clknet_leaf_60_wbm_clk_i;
- wire clknet_leaf_61_wbm_clk_i;
- wire clknet_leaf_62_wbm_clk_i;
- wire clknet_leaf_63_wbm_clk_i;
- wire clknet_leaf_64_wbm_clk_i;
- wire clknet_leaf_65_wbm_clk_i;
+ wire clknet_leaf_6_wbm_clk_i;
wire clknet_leaf_7_wbm_clk_i;
wire clknet_leaf_8_wbm_clk_i;
wire clknet_leaf_9_wbm_clk_i;
@@ -2507,6 +2578,7 @@
wire net190;
wire net191;
wire net192;
+ wire net193;
wire net2;
wire net20;
wire net21;
@@ -2529,7 +2601,6 @@
wire net37;
wire net38;
wire net39;
- wire net398;
wire net399;
wire net4;
wire net40;
@@ -2729,280 +2800,34 @@
wire net575;
wire net576;
wire net577;
- wire net578;
- wire net579;
wire net58;
- wire net580;
- wire net581;
- wire net582;
- wire net583;
- wire net584;
- wire net585;
- wire net586;
- wire net587;
- wire net588;
- wire net589;
wire net59;
- wire net590;
- wire net591;
- wire net592;
- wire net593;
- wire net594;
- wire net595;
- wire net596;
- wire net597;
- wire net598;
- wire net599;
wire net6;
wire net60;
- wire net600;
- wire net601;
- wire net602;
- wire net603;
- wire net604;
- wire net605;
- wire net606;
- wire net607;
- wire net608;
- wire net609;
wire net61;
- wire net610;
- wire net611;
- wire net612;
- wire net613;
- wire net614;
- wire net615;
- wire net616;
- wire net617;
- wire net618;
- wire net619;
wire net62;
- wire net620;
- wire net621;
- wire net622;
- wire net623;
- wire net624;
- wire net625;
- wire net626;
- wire net627;
- wire net628;
- wire net629;
wire net63;
- wire net630;
- wire net631;
- wire net632;
- wire net633;
- wire net634;
- wire net635;
- wire net636;
- wire net637;
- wire net638;
- wire net639;
wire net64;
- wire net640;
- wire net641;
- wire net642;
- wire net643;
- wire net644;
- wire net645;
- wire net646;
- wire net647;
- wire net648;
- wire net649;
wire net65;
- wire net650;
- wire net651;
- wire net652;
- wire net653;
- wire net654;
- wire net655;
- wire net656;
- wire net657;
- wire net658;
- wire net659;
wire net66;
- wire net660;
- wire net661;
- wire net662;
- wire net663;
- wire net664;
- wire net665;
- wire net666;
- wire net667;
- wire net668;
- wire net669;
wire net67;
- wire net670;
- wire net671;
- wire net672;
- wire net673;
- wire net674;
- wire net675;
- wire net676;
- wire net677;
- wire net678;
- wire net679;
wire net68;
- wire net680;
- wire net681;
- wire net682;
- wire net683;
- wire net684;
- wire net685;
- wire net686;
- wire net687;
- wire net688;
- wire net689;
wire net69;
- wire net690;
- wire net691;
- wire net692;
- wire net693;
- wire net694;
- wire net695;
- wire net696;
- wire net697;
- wire net698;
- wire net699;
wire net7;
wire net70;
- wire net700;
- wire net701;
- wire net702;
- wire net703;
- wire net704;
- wire net705;
- wire net706;
- wire net707;
- wire net708;
- wire net709;
wire net71;
- wire net710;
- wire net711;
- wire net712;
- wire net713;
- wire net714;
- wire net715;
- wire net716;
- wire net717;
- wire net718;
- wire net719;
wire net72;
- wire net720;
- wire net721;
- wire net722;
- wire net723;
- wire net724;
- wire net725;
- wire net726;
- wire net727;
- wire net728;
- wire net729;
wire net73;
- wire net730;
- wire net731;
- wire net732;
- wire net733;
- wire net734;
- wire net735;
- wire net736;
- wire net737;
- wire net738;
- wire net739;
wire net74;
- wire net740;
- wire net741;
- wire net742;
- wire net743;
- wire net744;
- wire net745;
- wire net746;
- wire net747;
- wire net748;
- wire net749;
wire net75;
- wire net750;
- wire net751;
- wire net752;
- wire net753;
- wire net754;
- wire net755;
- wire net756;
- wire net757;
- wire net758;
- wire net759;
wire net76;
- wire net760;
- wire net761;
- wire net762;
- wire net763;
- wire net764;
- wire net765;
- wire net766;
- wire net767;
- wire net768;
- wire net769;
wire net77;
- wire net770;
- wire net771;
- wire net772;
- wire net773;
- wire net774;
- wire net775;
- wire net776;
- wire net777;
- wire net778;
- wire net779;
wire net78;
- wire net780;
- wire net781;
- wire net782;
- wire net783;
- wire net784;
- wire net785;
- wire net786;
- wire net787;
- wire net788;
- wire net789;
wire net79;
- wire net790;
- wire net791;
- wire net792;
- wire net793;
- wire net794;
- wire net795;
- wire net796;
- wire net797;
- wire net798;
- wire net799;
wire net8;
wire net80;
- wire net800;
- wire net801;
- wire net802;
- wire net803;
- wire net804;
- wire net805;
- wire net806;
- wire net807;
- wire net808;
- wire net809;
wire net81;
- wire net810;
- wire net811;
- wire net812;
- wire net813;
- wire net814;
- wire net815;
- wire net816;
- wire net817;
- wire net818;
- wire net819;
wire net82;
- wire net820;
- wire net821;
- wire net822;
- wire net823;
wire net83;
wire net84;
wire net85;
@@ -3590,733 +3415,361 @@
wire \u_ser_intf.state ;
wire wb_req;
wire wb_req_d;
+ wire wbm_ack_o1;
+ wire \wbm_dat_o1[0] ;
+ wire \wbm_dat_o1[10] ;
+ wire \wbm_dat_o1[11] ;
+ wire \wbm_dat_o1[12] ;
+ wire \wbm_dat_o1[13] ;
+ wire \wbm_dat_o1[14] ;
+ wire \wbm_dat_o1[15] ;
+ wire \wbm_dat_o1[16] ;
+ wire \wbm_dat_o1[17] ;
+ wire \wbm_dat_o1[18] ;
+ wire \wbm_dat_o1[19] ;
+ wire \wbm_dat_o1[1] ;
+ wire \wbm_dat_o1[20] ;
+ wire \wbm_dat_o1[21] ;
+ wire \wbm_dat_o1[22] ;
+ wire \wbm_dat_o1[23] ;
+ wire \wbm_dat_o1[24] ;
+ wire \wbm_dat_o1[25] ;
+ wire \wbm_dat_o1[26] ;
+ wire \wbm_dat_o1[27] ;
+ wire \wbm_dat_o1[28] ;
+ wire \wbm_dat_o1[29] ;
+ wire \wbm_dat_o1[2] ;
+ wire \wbm_dat_o1[30] ;
+ wire \wbm_dat_o1[31] ;
+ wire \wbm_dat_o1[3] ;
+ wire \wbm_dat_o1[4] ;
+ wire \wbm_dat_o1[5] ;
+ wire \wbm_dat_o1[6] ;
+ wire \wbm_dat_o1[7] ;
+ wire \wbm_dat_o1[8] ;
+ wire \wbm_dat_o1[9] ;
- sky130_fd_sc_hd__diode_2 ANTENNA__2025__B (.DIODE(_0966_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2108__A (.DIODE(wb_req),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2026__A (.DIODE(net91),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2111__A (.DIODE(net528),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2026__B (.DIODE(net823),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2111__B (.DIODE(_0214_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2027__A (.DIODE(net411),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2112__A (.DIODE(net45),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2028__A (.DIODE(net567),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2113__A (.DIODE(net535),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2028__C (.DIODE(net548),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2113__C (.DIODE(net47),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2029__B (.DIODE(_0969_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2114__B (.DIODE(_1006_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2030__A (.DIODE(net672),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2115__A (.DIODE(_1007_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2031__A (.DIODE(net701),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2117__A (.DIODE(_1007_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2032__A (.DIODE(net672),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2120__B1 (.DIODE(net575),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2034__A (.DIODE(_0974_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2121__A (.DIODE(net472),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2035__B1 (.DIODE(net736),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2125__A1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2036__A (.DIODE(net85),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2126__B1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2037__A (.DIODE(_0976_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2127__A (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2041__B1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2129__B1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2042__A (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2141__A (.DIODE(_0208_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2044__A1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2147__A (.DIODE(_0523_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2045__B (.DIODE(_0983_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2150__A (.DIODE(_0523_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2055__C (.DIODE(net118),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2153__A (.DIODE(net47),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2059__A (.DIODE(_0487_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2154__D (.DIODE(net528),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2065__A (.DIODE(net548),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2155__A (.DIODE(net46),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2066__A (.DIODE(_0965_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2155__B (.DIODE(net45),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2066__B (.DIODE(_0966_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2156__A1 (.DIODE(net535),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2066__D (.DIODE(net91),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2157__B (.DIODE(\u_ser_intf.reg_ack ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2067__A (.DIODE(net567),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2159__A2 (.DIODE(_0214_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2067__B (.DIODE(net411),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2159__B1 (.DIODE(_0228_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2068__A1 (.DIODE(net567),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2166__A (.DIODE(_1048_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2071__A2 (.DIODE(net823),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2182__A (.DIODE(_1048_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2071__B1 (.DIODE(_0224_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2261__A (.DIODE(_1095_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2077__A (.DIODE(_0487_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2263__A (.DIODE(_1097_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2081__A (.DIODE(_1009_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2278__A (.DIODE(_1097_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2084__B1 (.DIODE(\reg_rdata[29] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2279__A (.DIODE(_1105_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2088__A (.DIODE(net695),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2282__A (.DIODE(_1105_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2089__B1 (.DIODE(\reg_rdata[28] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2285__A (.DIODE(_1105_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2093__B1 (.DIODE(\reg_rdata[27] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2288__A (.DIODE(_1105_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2096__B1 (.DIODE(\reg_rdata[26] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2299__B1 (.DIODE(wb_req),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2097__A (.DIODE(_1009_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2299__C1 (.DIODE(_1117_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2100__B1 (.DIODE(\reg_rdata[25] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2305__A (.DIODE(_1123_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2103__A (.DIODE(net695),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2308__A (.DIODE(_1126_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2104__B1 (.DIODE(\reg_rdata[24] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2310__A (.DIODE(_1097_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2108__B1 (.DIODE(\reg_rdata[23] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2316__A (.DIODE(_0208_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2111__B1 (.DIODE(\reg_rdata[22] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2320__A (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2112__A (.DIODE(_1009_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2323__A1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2115__B1 (.DIODE(\reg_rdata[21] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2323__A2 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2118__A (.DIODE(net695),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2327__B1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2119__B1 (.DIODE(\reg_rdata[20] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2327__B2 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2123__B1 (.DIODE(\reg_rdata[19] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2329__B1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2126__B1 (.DIODE(\reg_rdata[18] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2332__B1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2128__A (.DIODE(_1032_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2333__A (.DIODE(_1097_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2131__B1 (.DIODE(\reg_rdata[17] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2348__B1 (.DIODE(_1148_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2136__B1 (.DIODE(\reg_rdata[16] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2350__A (.DIODE(_1149_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2140__A (.DIODE(_1039_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2353__A (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2145__A (.DIODE(_1032_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2365__A3 (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2146__A (.DIODE(_1042_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2370__A (.DIODE(_1149_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2149__A (.DIODE(_1042_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2392__A (.DIODE(_1149_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2153__A (.DIODE(_1042_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2398__A (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2155__A (.DIODE(_1039_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2399__A (.DIODE(_1177_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2157__A (.DIODE(_1042_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2402__A1 (.DIODE(net8),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2160__A (.DIODE(_1032_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2402__A2 (.DIODE(_1180_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2161__A (.DIODE(_1049_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2402__B2 (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2164__A (.DIODE(_1049_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2405__A2 (.DIODE(_1180_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2165__A (.DIODE(_1051_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2405__B1 (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2168__A (.DIODE(_1049_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2405__B2 (.DIODE(\u_ser_intf.reg_rdata[30] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2170__A (.DIODE(_1039_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2408__A (.DIODE(_1177_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2172__A (.DIODE(_1049_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2175__A (.DIODE(_1032_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2182__B2 (.DIODE(_1059_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2185__A (.DIODE(_1039_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2186__A2 (.DIODE(_1061_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2186__B2 (.DIODE(_1059_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2189__A2 (.DIODE(_1061_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2189__B2 (.DIODE(_1059_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2191__A (.DIODE(_1063_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2192__A (.DIODE(_1064_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2194__A2 (.DIODE(_1061_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2194__B2 (.DIODE(_1059_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2195__A (.DIODE(_1064_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2197__A2 (.DIODE(_1061_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2197__B1 (.DIODE(\reg_rdata[0] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2197__B2 (.DIODE(net695),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2198__A (.DIODE(_1064_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2207__A (.DIODE(_0965_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2215__A (.DIODE(_1081_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2217__A (.DIODE(_1083_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2218__A (.DIODE(_1084_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2220__A (.DIODE(_1064_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2223__A (.DIODE(_1063_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2227__A1 (.DIODE(_1089_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2227__B1 (.DIODE(net118),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2230__A (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2233__A1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2233__A2 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2237__B1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2237__B2 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2239__B1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2242__B1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2246__A (.DIODE(_1063_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2258__B1 (.DIODE(_1106_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2261__A (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2267__A (.DIODE(_1063_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2274__A3 (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2288__A (.DIODE(_1124_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2290__A (.DIODE(_1126_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2307__A (.DIODE(_1126_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2310__A (.DIODE(\u_ser_intf.state ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2314__A1 (.DIODE(net8),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2314__A2 (.DIODE(_1140_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2314__B2 (.DIODE(\u_ser_intf.state ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2317__A2 (.DIODE(_1140_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2317__B1 (.DIODE(\u_ser_intf.state ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2322__B1 (.DIODE(\u_ser_intf.state ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2325__B1 (.DIODE(\u_ser_intf.state ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2326__A (.DIODE(_1126_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2329__B1 (.DIODE(\u_ser_intf.state ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2332__B1 (.DIODE(\u_ser_intf.state ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2336__B1 (.DIODE(\u_ser_intf.state ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2339__B1 (.DIODE(\u_ser_intf.state ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2340__A (.DIODE(_1126_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2343__B1 (.DIODE(\u_ser_intf.state ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2346__B1 (.DIODE(\u_ser_intf.state ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2350__B1 (.DIODE(\u_ser_intf.state ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2353__B1 (.DIODE(\u_ser_intf.state ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2355__A (.DIODE(_1158_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2358__B1 (.DIODE(\u_ser_intf.state ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2361__B1 (.DIODE(\u_ser_intf.state ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2365__B1 (.DIODE(\u_ser_intf.state ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2368__B1 (.DIODE(\u_ser_intf.state ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2369__A (.DIODE(_1158_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2372__B1 (.DIODE(\u_ser_intf.state ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2375__B1 (.DIODE(\u_ser_intf.state ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2379__B1 (.DIODE(\u_ser_intf.state ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2382__B1 (.DIODE(\u_ser_intf.state ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2383__A (.DIODE(_1158_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2385__A (.DIODE(_1172_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2386__B1 (.DIODE(\u_ser_intf.state ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2389__B1 (.DIODE(\u_ser_intf.state ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2393__B1 (.DIODE(\u_ser_intf.state ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2396__B1 (.DIODE(\u_ser_intf.state ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2397__A (.DIODE(_1158_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2400__B1 (.DIODE(\u_ser_intf.state ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2403__B1 (.DIODE(\u_ser_intf.state ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2403__B2 (.DIODE(\u_ser_intf.reg_rdata[6] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2407__A2 (.DIODE(\u_ser_intf.reg_rdata[6] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2407__B1 (.DIODE(\u_ser_intf.state ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2407__B2 (.DIODE(\u_ser_intf.reg_rdata[5] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2410__A2 (.DIODE(\u_ser_intf.reg_rdata[5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2410__A2 (.DIODE(\u_ser_intf.reg_rdata[30] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -4326,77 +3779,62 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2410__B2 (.DIODE(\u_ser_intf.reg_rdata[4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2410__B2 (.DIODE(\u_ser_intf.reg_rdata[29] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2412__A (.DIODE(_1183_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2411__A (.DIODE(_1149_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2413__A (.DIODE(_1184_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2414__A2 (.DIODE(\u_ser_intf.reg_rdata[29] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2415__A2 (.DIODE(\u_ser_intf.reg_rdata[4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2414__B1 (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2415__B1 (.DIODE(\u_ser_intf.state ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2414__B2 (.DIODE(\u_ser_intf.reg_rdata[28] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2416__A (.DIODE(_1184_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2417__A2 (.DIODE(\u_ser_intf.reg_rdata[28] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2418__B1 (.DIODE(\u_ser_intf.state ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2417__B1 (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2418__B2 (.DIODE(\u_ser_intf.reg_rdata[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2417__B2 (.DIODE(\u_ser_intf.reg_rdata[27] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2419__A (.DIODE(_1184_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2420__A2 (.DIODE(\u_ser_intf.reg_rdata[27] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2421__A2 (.DIODE(\u_ser_intf.reg_rdata[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2420__B1 (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2421__B1 (.DIODE(\u_ser_intf.state ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2420__B2 (.DIODE(\u_ser_intf.reg_rdata[26] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2421__B2 (.DIODE(\u_ser_intf.reg_rdata[1] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2422__A (.DIODE(_1184_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2423__A (.DIODE(_1188_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2424__A2 (.DIODE(\u_ser_intf.reg_rdata[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2424__A2 (.DIODE(\u_ser_intf.reg_rdata[26] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -4406,1802 +3844,1972 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2425__A (.DIODE(_1183_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2424__B2 (.DIODE(\u_ser_intf.reg_rdata[25] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2428__A2 (.DIODE(\u_ser_intf.shift_data[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2426__A (.DIODE(_1191_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2428__B1 (.DIODE(\u_ser_intf.state ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2429__A2 (.DIODE(\u_ser_intf.reg_rdata[25] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2428__B2 (.DIODE(net97),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2429__B1 (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2431__A (.DIODE(net567),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2429__B2 (.DIODE(\u_ser_intf.reg_rdata[24] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2431__B (.DIODE(net411),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2432__A2 (.DIODE(\u_ser_intf.reg_rdata[24] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2431__C (.DIODE(net548),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2432__B1 (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2437__A (.DIODE(_1197_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2432__B2 (.DIODE(\u_ser_intf.reg_rdata[23] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2438__B1 (.DIODE(net736),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2435__A2 (.DIODE(\u_ser_intf.reg_rdata[23] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2441__A (.DIODE(net567),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2435__B1 (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2442__B (.DIODE(net411),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2435__B2 (.DIODE(\u_ser_intf.reg_rdata[22] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2442__C (.DIODE(net548),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2439__A2 (.DIODE(\u_ser_intf.reg_rdata[22] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2448__A (.DIODE(_1206_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2439__B1 (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2449__B1 (.DIODE(net445),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2439__B2 (.DIODE(\u_ser_intf.reg_rdata[21] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2452__B1 (.DIODE(net782),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2440__A (.DIODE(_1191_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2453__A (.DIODE(_1183_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2443__A2 (.DIODE(\u_ser_intf.reg_rdata[21] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2454__A (.DIODE(_1209_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2443__B1 (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2456__B1 (.DIODE(net739),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2443__B2 (.DIODE(\u_ser_intf.reg_rdata[20] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2457__A (.DIODE(_1209_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2446__A2 (.DIODE(\u_ser_intf.reg_rdata[20] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2459__B1 (.DIODE(net506),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2446__B1 (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2460__A (.DIODE(_1209_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2446__B2 (.DIODE(\u_ser_intf.reg_rdata[19] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2462__A (.DIODE(net680),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2449__A2 (.DIODE(\u_ser_intf.reg_rdata[19] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2463__A (.DIODE(_1206_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2449__B1 (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2464__B1 (.DIODE(net728),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2449__B2 (.DIODE(\u_ser_intf.reg_rdata[18] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2465__A (.DIODE(_1209_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2453__A2 (.DIODE(\u_ser_intf.reg_rdata[18] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2467__B1 (.DIODE(net725),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2453__B1 (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2468__A (.DIODE(_1183_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2453__B2 (.DIODE(\u_ser_intf.reg_rdata[17] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2471__B1 (.DIODE(net731),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2454__A (.DIODE(_1191_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2474__B1 (.DIODE(net722),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2457__A2 (.DIODE(\u_ser_intf.reg_rdata[17] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2477__A (.DIODE(net680),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2457__B1 (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2478__A (.DIODE(_1206_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2457__B2 (.DIODE(\u_ser_intf.reg_rdata[16] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2479__B1 (.DIODE(net429),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2460__A2 (.DIODE(\u_ser_intf.reg_rdata[16] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2482__B1 (.DIODE(net733),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2460__B1 (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2484__A (.DIODE(_1223_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2460__B2 (.DIODE(\u_ser_intf.reg_rdata[15] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2487__B1 (.DIODE(net744),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2463__A2 (.DIODE(\u_ser_intf.reg_rdata[15] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2490__B1 (.DIODE(net539),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2463__B1 (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2493__A (.DIODE(net680),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2463__B2 (.DIODE(\u_ser_intf.reg_rdata[14] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2494__A (.DIODE(_1206_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2467__A2 (.DIODE(\u_ser_intf.reg_rdata[14] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2495__B1 (.DIODE(net616),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2467__B1 (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2498__B1 (.DIODE(net631),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2467__B2 (.DIODE(\u_ser_intf.reg_rdata[13] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2499__A (.DIODE(_1223_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2468__A (.DIODE(_1191_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2505__B1 (.DIODE(net751),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2471__A2 (.DIODE(\u_ser_intf.reg_rdata[13] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2512__B1 (.DIODE(net786),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2471__B1 (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2515__B1 (.DIODE(net502),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2471__B2 (.DIODE(\u_ser_intf.reg_rdata[12] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2516__A (.DIODE(_1223_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2474__A2 (.DIODE(\u_ser_intf.reg_rdata[12] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2519__B1 (.DIODE(net484),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2474__B1 (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2522__A1 (.DIODE(net96),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2477__B1 (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2522__B1 (.DIODE(net768),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2481__B1 (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2525__A (.DIODE(_1235_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2481__B2 (.DIODE(\u_ser_intf.reg_rdata[9] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2526__A (.DIODE(_1237_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2486__A2 (.DIODE(\u_ser_intf.reg_rdata[9] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2527__B1 (.DIODE(net479),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2486__B1 (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2530__B1 (.DIODE(net450),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2489__B1 (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2531__A (.DIODE(_1223_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2489__B2 (.DIODE(\u_ser_intf.reg_rdata[7] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2534__B1 (.DIODE(net514),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2492__A2 (.DIODE(\u_ser_intf.reg_rdata[7] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2537__B1 (.DIODE(net755),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2492__B1 (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2541__A (.DIODE(_1237_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2496__B1 (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2542__B1 (.DIODE(net459),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2500__B1 (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2545__B1 (.DIODE(net532),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2503__B1 (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2546__A (.DIODE(_1124_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2506__B1 (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2548__A (.DIODE(_1255_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2509__B1 (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2551__B1 (.DIODE(net519),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2513__B1 (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2554__B1 (.DIODE(net421),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2516__A2 (.DIODE(\u_ser_intf.shift_data[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2558__A (.DIODE(_1237_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2516__B1 (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2559__B1 (.DIODE(net432),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2516__B2 (.DIODE(net97),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2562__A1 (.DIODE(net94),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2519__A (.DIODE(net46),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2562__B1 (.DIODE(net741),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2519__B (.DIODE(net45),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2563__A (.DIODE(_1255_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2519__C (.DIODE(net47),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2566__B1 (.DIODE(net424),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2521__A (.DIODE(_1233_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2569__B1 (.DIODE(net417),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2523__A (.DIODE(_1233_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2572__B1 (.DIODE(net445),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2526__B1 (.DIODE(net420),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2575__B1 (.DIODE(net398),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2529__A (.DIODE(net46),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2576__A (.DIODE(_1255_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2530__B (.DIODE(net45),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2579__B1 (.DIODE(net739),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2530__C (.DIODE(net47),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2582__A (.DIODE(net701),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2532__A (.DIODE(_1242_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2583__A (.DIODE(_0974_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2534__A (.DIODE(_1242_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2584__B1 (.DIODE(net770),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2536__A (.DIODE(_1246_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2587__B1 (.DIODE(net728),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2537__A1 (.DIODE(\u_clk_ctrl1.gen_bit_reg[19].u_bit_reg.data_out ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2590__B1 (.DIODE(net725),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2544__B1 (.DIODE(net522),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2591__A (.DIODE(_1255_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2547__B1 (.DIODE(net546),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2594__B1 (.DIODE(net731),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2551__A (.DIODE(_1246_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2598__A (.DIODE(_0974_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2552__B1 (.DIODE(net537),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2599__B1 (.DIODE(net722),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2553__A (.DIODE(_1095_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2602__B1 (.DIODE(net429),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2555__A (.DIODE(_1256_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2605__B1 (.DIODE(net733),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2558__B1 (.DIODE(net438),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2607__A (.DIODE(_1282_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2561__B1 (.DIODE(net459),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2610__B1 (.DIODE(net744),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2564__B1 (.DIODE(net498),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2613__A (.DIODE(net701),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2568__A (.DIODE(_1246_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2614__A (.DIODE(_0974_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2569__B1 (.DIODE(net532),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2615__B1 (.DIODE(net539),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2570__A (.DIODE(_1256_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2618__B1 (.DIODE(net616),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2573__A1 (.DIODE(net93),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2621__B1 (.DIODE(net631),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2573__B1 (.DIODE(net566),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2622__A (.DIODE(_1282_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2576__B1 (.DIODE(net541),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2628__A (.DIODE(net672),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2579__B1 (.DIODE(net543),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2632__A1 (.DIODE(\u_glb_ctrl.gen_bit_reg[15].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2583__A (.DIODE(_1246_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2632__B1 (.DIODE(net463),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2584__B1 (.DIODE(net422),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2635__B1 (.DIODE(net528),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2585__A (.DIODE(_1256_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2638__B1 (.DIODE(net502),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2586__A (.DIODE(_1271_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2639__A (.DIODE(_1282_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2588__B1 (.DIODE(net539),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2642__B1 (.DIODE(net484),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2589__A (.DIODE(_1271_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2647__A1 (.DIODE(\u_glb_ctrl.gen_bit_reg[1].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2591__B1 (.DIODE(net412),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2647__B1 (.DIODE(net497),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2592__A (.DIODE(_1271_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2650__B1 (.DIODE(net479),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2594__B1 (.DIODE(net508),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2653__B1 (.DIODE(net450),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2595__A (.DIODE(_1271_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2654__A (.DIODE(_1282_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2597__A (.DIODE(_1242_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2657__B1 (.DIODE(net514),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2598__A (.DIODE(_1276_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2662__B1 (.DIODE(net755),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2600__A (.DIODE(_1278_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2665__B1 (.DIODE(net459),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2601__B1 (.DIODE(net469),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2668__B1 (.DIODE(net532),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2602__A (.DIODE(_1256_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2673__B1 (.DIODE(net519),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2603__A (.DIODE(_1280_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2678__B1 (.DIODE(net421),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2605__B1 (.DIODE(net465),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2681__B1 (.DIODE(net432),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2606__A (.DIODE(_1280_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2684__B1 (.DIODE(net741),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2608__B1 (.DIODE(net454),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2686__A (.DIODE(_1321_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2609__A (.DIODE(_1280_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2688__B1 (.DIODE(net424),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2611__A1 (.DIODE(net96),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2689__A (.DIODE(_1321_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2611__B1 (.DIODE(net467),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2695__A (.DIODE(_1321_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2612__A (.DIODE(_1280_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2698__A (.DIODE(_1321_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2614__A (.DIODE(_1276_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2702__A (.DIODE(_1328_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2615__A (.DIODE(_1278_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2704__B1 (.DIODE(net398),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2616__B1 (.DIODE(net434),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2705__A (.DIODE(_1328_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2618__A (.DIODE(_1287_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2707__B1 (.DIODE(net739),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2621__B1 (.DIODE(net430),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2708__A (.DIODE(_1328_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2624__B1 (.DIODE(net457),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2711__A (.DIODE(_1197_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2627__B1 (.DIODE(net448),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2712__B1 (.DIODE(net770),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2630__A (.DIODE(_1276_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2713__A (.DIODE(_1328_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2631__A (.DIODE(_1278_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2715__B1 (.DIODE(net728),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2632__B1 (.DIODE(net442),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2719__B1 (.DIODE(net725),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2633__A (.DIODE(_1287_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2722__B1 (.DIODE(net731),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2636__B1 (.DIODE(net444),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2726__A (.DIODE(_1197_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2639__B1 (.DIODE(net450),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2727__B1 (.DIODE(net722),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2642__B1 (.DIODE(net452),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2730__B1 (.DIODE(net429),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2645__A (.DIODE(_1276_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2732__A (.DIODE(_1342_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2646__A (.DIODE(_1278_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2735__B1 (.DIODE(net733),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2647__B1 (.DIODE(net432),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2738__B1 (.DIODE(net744),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2648__A (.DIODE(_1287_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2742__A (.DIODE(_1197_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2651__A1 (.DIODE(net94),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2743__B1 (.DIODE(net539),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2651__B1 (.DIODE(net416),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2746__B1 (.DIODE(net616),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2654__B1 (.DIODE(net424),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2747__A (.DIODE(_1342_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2657__B1 (.DIODE(net420),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__2660__B1 (.DIODE(net440),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__2661__A (.DIODE(_1287_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__2664__B1 (.DIODE(net414),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__2667__B1 (.DIODE(net522),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__2672__B1 (.DIODE(net547),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__2675__B1 (.DIODE(net410),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__2677__A (.DIODE(_1314_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__2680__B1 (.DIODE(net555),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__2683__B1 (.DIODE(net459),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__2688__B1 (.DIODE(net498),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__2691__B1 (.DIODE(net532),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__2692__A (.DIODE(_1314_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__2695__A1 (.DIODE(\u_glb_ctrl.gen_bit_reg[0].u_bit_reg.data_out ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__2695__B1 (.DIODE(net566),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__2698__B1 (.DIODE(net541),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__2703__B1 (.DIODE(net426),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__2706__B1 (.DIODE(net422),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__2707__A (.DIODE(_1314_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__2710__A1 (.DIODE(\u_glb_ctrl.gen_bit_reg[13].u_bit_reg.data_out ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__2710__B1 (.DIODE(net436),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__2713__A1 (.DIODE(\u_glb_ctrl.gen_bit_reg[14].u_bit_reg.data_out ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__2713__B1 (.DIODE(net412),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__2716__A (.DIODE(_1007_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__2717__A (.DIODE(_1333_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__2719__A (.DIODE(_1335_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__2720__A1 (.DIODE(\u_glb_ctrl.gen_bit_reg[15].u_bit_reg.data_out ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__2720__B1 (.DIODE(net508),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__2723__B1 (.DIODE(net469),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__2724__A (.DIODE(_1314_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__2725__A (.DIODE(_1338_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__2727__B1 (.DIODE(net465),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__2728__A (.DIODE(_1338_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__2730__B1 (.DIODE(net454),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__2731__A (.DIODE(_1338_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2750__B1 (.DIODE(net631),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2733__A (.DIODE(_1333_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2759__A (.DIODE(_1356_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2734__A (.DIODE(_1335_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2760__B1 (.DIODE(net751),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2735__A1 (.DIODE(\u_glb_ctrl.gen_bit_reg[1].u_bit_reg.data_out ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2763__B1 (.DIODE(net786),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2735__B1 (.DIODE(net467),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2764__A (.DIODE(_1342_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2736__A (.DIODE(_1338_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2767__B1 (.DIODE(net502),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2738__B1 (.DIODE(net434),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2770__B1 (.DIODE(net484),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2740__A (.DIODE(_1345_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2774__A (.DIODE(_1356_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2743__B1 (.DIODE(net430),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2775__B1 (.DIODE(net497),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2746__B1 (.DIODE(net457),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2778__B1 (.DIODE(net479),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2749__A (.DIODE(_1333_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2779__A (.DIODE(_1342_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2750__A (.DIODE(_1335_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2782__B1 (.DIODE(net822),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2751__B1 (.DIODE(net448),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2785__B1 (.DIODE(net514),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2754__B1 (.DIODE(net442),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2789__A (.DIODE(_1356_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2755__A (.DIODE(_1345_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2790__B1 (.DIODE(net755),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2758__B1 (.DIODE(net444),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2793__B1 (.DIODE(net459),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2761__B1 (.DIODE(net450),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2794__A (.DIODE(_1124_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2764__A (.DIODE(_1333_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2796__A (.DIODE(_1374_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2765__A (.DIODE(_1335_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2799__B1 (.DIODE(net532),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2766__B1 (.DIODE(net452),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2802__B1 (.DIODE(net519),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2769__B1 (.DIODE(net432),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2806__A (.DIODE(_1356_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2770__A (.DIODE(_1345_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2807__B1 (.DIODE(net421),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2771__A (.DIODE(_1360_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2810__B1 (.DIODE(net432),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2773__B1 (.DIODE(net416),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2811__A (.DIODE(_1374_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2774__A (.DIODE(_1360_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2814__B1 (.DIODE(net468),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2776__B1 (.DIODE(net424),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2817__B1 (.DIODE(net424),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2777__A (.DIODE(_1360_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2821__A (.DIODE(_1140_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2783__A (.DIODE(_1360_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2829__A (.DIODE(\u_ser_intf.state ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2786__A (.DIODE(_1345_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2831__A (.DIODE(_1374_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2789__B1 (.DIODE(net440),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2839__C1 (.DIODE(\u_ser_intf.state ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2792__B1 (.DIODE(net414),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2855__A (.DIODE(_1374_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2795__B1 (.DIODE(net522),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2858__B1 (.DIODE(\u_ser_intf.state ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2800__B1 (.DIODE(net547),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2874__A (.DIODE(_1417_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2801__A (.DIODE(_1095_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2877__A (.DIODE(_1417_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2802__A (.DIODE(_1374_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2879__A (.DIODE(net91),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2806__B1 (.DIODE(net410),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2880__A (.DIODE(net91),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2809__B1 (.DIODE(net438),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2881__A4 (.DIODE(_0219_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2812__B1 (.DIODE(net459),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2883__A (.DIODE(_1417_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2817__B1 (.DIODE(net498),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2885__A (.DIODE(_0966_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2821__B1 (.DIODE(net446),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2886__A (.DIODE(net403),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2824__B1 (.DIODE(net567),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2887__A (.DIODE(_0966_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2827__B1 (.DIODE(net461),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2888__A (.DIODE(_1426_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2832__B1 (.DIODE(net426),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2890__A2_N (.DIODE(_1424_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2836__B1 (.DIODE(net422),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2891__A (.DIODE(\u_ser_intf.state ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2839__B1 (.DIODE(net436),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2892__A (.DIODE(_1417_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2842__B1 (.DIODE(net412),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2894__A (.DIODE(_1424_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2845__A (.DIODE(_1233_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__2895__A (.DIODE(\u_ser_intf.state ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2849__B1 (.DIODE(net508),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3016__B1 (.DIODE(\u_ser_intf.shift_data[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2853__B1 (.DIODE(net469),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3019__A1 (.DIODE(net98),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2856__B1 (.DIODE(net465),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3019__B1 (.DIODE(_1140_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2859__B1 (.DIODE(net454),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3049__A (.DIODE(_1124_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2864__B1 (.DIODE(net467),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3078__A (.DIODE(_1525_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2865__A (.DIODE(_1374_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3080__A (.DIODE(_1525_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2869__B1 (.DIODE(net434),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3082__A (.DIODE(_1525_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2872__B1 (.DIODE(net430),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3084__A (.DIODE(_1525_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2875__B1 (.DIODE(net457),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3086__A (.DIODE(_1081_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2880__B1 (.DIODE(net448),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3088__B1 (.DIODE(net765),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2884__B1 (.DIODE(net442),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3089__B1 (.DIODE(net777),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2887__B1 (.DIODE(net444),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3090__B1 (.DIODE(net753),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2890__B1 (.DIODE(net450),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3091__A (.DIODE(_1083_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2895__B1 (.DIODE(net452),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3093__B1 (.DIODE(net775),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2899__B1 (.DIODE(net432),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3095__B1 (.DIODE(net809),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2902__B1 (.DIODE(net416),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3096__B1 (.DIODE(net790),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2905__B1 (.DIODE(net424),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3097__B1 (.DIODE(net548),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2909__A (.DIODE(_1180_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3099__B1 (.DIODE(net567),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2918__A (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3101__B1 (.DIODE(net749),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2927__C1 (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3102__B2 (.DIODE(_1084_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2931__A (.DIODE(_1177_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3103__B1 (.DIODE(net739),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2932__A (.DIODE(_1177_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3104__B1 (.DIODE(net811),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2940__A (.DIODE(_1374_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3106__B1 (.DIODE(net782),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2942__A (.DIODE(_1449_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3107__A (.DIODE(_1081_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2945__A (.DIODE(_1449_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3109__B1 (.DIODE(net800),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2947__A1 (.DIODE(\u_ser_intf.reg_ack ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3110__B1 (.DIODE(net421),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2947__B1 (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3111__B1 (.DIODE(net519),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2948__A (.DIODE(_1449_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3113__B1 (.DIODE(net814),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2953__A (.DIODE(_1449_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3115__B1 (.DIODE(net459),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2957__A (.DIODE(_1455_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3116__B1 (.DIODE(net755),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2962__A (.DIODE(_1455_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3117__B1 (.DIODE(net514),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2965__A (.DIODE(_1455_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3120__B1 (.DIODE(net450),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2967__A (.DIODE(net485),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3122__B1 (.DIODE(net479),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2968__A (.DIODE(net528),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3123__B1 (.DIODE(net445),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2969__A1 (.DIODE(wb_req),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3124__B1 (.DIODE(net484),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2969__A2 (.DIODE(_1117_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3126__B1 (.DIODE(net502),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2971__A (.DIODE(_1455_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3128__B1 (.DIODE(net786),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2974__A (.DIODE(net408),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3129__B1 (.DIODE(net751),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2976__A (.DIODE(_1466_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3130__B1 (.DIODE(net488),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2978__A2_N (.DIODE(_1464_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3132__B1 (.DIODE(net631),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2979__A (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3133__A (.DIODE(_1081_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2983__A (.DIODE(_1464_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3135__B1 (.DIODE(net616),
+ sky130_fd_sc_hd__diode_2 ANTENNA__2984__A (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3136__B1 (.DIODE(net539),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3018__A (.DIODE(_1374_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3137__B1 (.DIODE(net744),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3079__A (.DIODE(_1095_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3139__B1 (.DIODE(net736),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3080__A (.DIODE(_1520_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3141__B1 (.DIODE(net429),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3081__A (.DIODE(_1521_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3142__B1 (.DIODE(net722),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3096__A (.DIODE(_1521_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3143__B1 (.DIODE(net731),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3105__B1 (.DIODE(\u_ser_intf.shift_data[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3144__A (.DIODE(_1083_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3108__A1 (.DIODE(net98),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3145__B1 (.DIODE(net725),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3108__B1 (.DIODE(_1180_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3147__B1 (.DIODE(net728),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3109__A (.DIODE(_1521_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3148__B1 (.DIODE(net770),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3110__A (.DIODE(_1534_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3149__B1 (.DIODE(net741),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3112__A (.DIODE(_1534_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3150__A (.DIODE(_1083_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3114__A (.DIODE(_1534_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3151__B1 (.DIODE(net768),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3116__A (.DIODE(_1534_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3153__B1 (.DIODE(net733),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3118__A (.DIODE(_1521_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3154__B1 (.DIODE(net591),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3127__A (.DIODE(_1520_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3155__B1 (.DIODE(net585),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3164__A (.DIODE(_1520_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3156__B1 (.DIODE(net710),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3166__A (.DIODE(_1566_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3156__B2 (.DIODE(_1084_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3168__A (.DIODE(_1566_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3157__B1 (.DIODE(net762),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3170__A (.DIODE(_1566_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3157__B2 (.DIODE(_1084_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3172__A (.DIODE(_1566_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3165__B1 (.DIODE(net765),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3201__A (.DIODE(_1520_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3166__B1 (.DIODE(net708),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3247__A (.DIODE(_1048_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3167__B1 (.DIODE(net753),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3249__A (.DIODE(_1123_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3168__B1 (.DIODE(net775),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3251__B1 (.DIODE(net503),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3171__B1 (.DIODE(net809),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3252__B1 (.DIODE(net520),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3172__B1 (.DIODE(net790),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3253__B1 (.DIODE(net517),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3173__B1 (.DIODE(net548),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3255__A (.DIODE(_1615_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3174__B1 (.DIODE(net796),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3256__B1 (.DIODE(net488),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3179__A2 (.DIODE(_1566_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3256__B2 (.DIODE(_1616_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3179__B1 (.DIODE(net411),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3258__A2 (.DIODE(_1617_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3179__B2 (.DIODE(_1568_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3258__B1 (.DIODE(net515),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3180__A2 (.DIODE(_1566_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3258__B2 (.DIODE(_1616_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3180__B1 (.DIODE(net91),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3259__A2 (.DIODE(_1617_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3180__B2 (.DIODE(_1568_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3259__B1 (.DIODE(net524),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3181__A2 (.DIODE(_1566_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3259__B2 (.DIODE(_1616_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3181__B1 (.DIODE(net739),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3260__A2 (.DIODE(_1617_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3181__B2 (.DIODE(_1568_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3260__B1 (.DIODE(net476),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3182__A2 (.DIODE(_1566_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3260__B2 (.DIODE(_1616_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3182__B1 (.DIODE(net811),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3261__A (.DIODE(_1615_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3182__B2 (.DIODE(_1568_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3262__A2 (.DIODE(_1617_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3185__B1 (.DIODE(net782),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3262__B1 (.DIODE(net492),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3186__B1 (.DIODE(net800),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3262__B2 (.DIODE(_1618_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3187__B1 (.DIODE(net421),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3264__B1 (.DIODE(net400),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3188__B1 (.DIODE(net519),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3264__B2 (.DIODE(_1618_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3191__B1 (.DIODE(net532),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3265__B2 (.DIODE(_1126_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3192__B1 (.DIODE(net459),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3266__B1 (.DIODE(net522),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3193__B1 (.DIODE(net755),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3266__B2 (.DIODE(_1618_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3194__B1 (.DIODE(net820),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3267__B1 (.DIODE(net424),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3197__B1 (.DIODE(net450),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3267__B2 (.DIODE(_1618_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3198__B1 (.DIODE(net479),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3268__A (.DIODE(_1615_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3199__B1 (.DIODE(net445),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3269__B1 (.DIODE(net414),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3200__B1 (.DIODE(net484),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3270__A (.DIODE(_1123_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3205__B1 (.DIODE(net502),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3272__B1 (.DIODE(net432),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3206__B1 (.DIODE(net786),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3273__B1 (.DIODE(net452),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3207__B1 (.DIODE(net751),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3274__B1 (.DIODE(net450),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3208__B1 (.DIODE(net488),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3275__A (.DIODE(_1615_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3211__B1 (.DIODE(net631),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3276__B1 (.DIODE(net444),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3212__B1 (.DIODE(net616),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3278__B1 (.DIODE(net442),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3213__B1 (.DIODE(net539),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3279__B1 (.DIODE(net448),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3214__B1 (.DIODE(net744),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3280__B1 (.DIODE(net457),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3217__B1 (.DIODE(net736),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3283__B1 (.DIODE(net430),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3218__B1 (.DIODE(net429),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3285__B1 (.DIODE(net434),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3219__B1 (.DIODE(net722),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3286__B1 (.DIODE(net440),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3220__B1 (.DIODE(net731),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3287__B1 (.DIODE(net454),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3223__B1 (.DIODE(net725),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3289__B1 (.DIODE(net465),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3224__B1 (.DIODE(net728),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3291__B1 (.DIODE(net469),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3225__B1 (.DIODE(net770),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3292__B1 (.DIODE(net508),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3226__B1 (.DIODE(net741),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3293__B1 (.DIODE(net412),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3229__B1 (.DIODE(net768),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3295__B1 (.DIODE(net436),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3230__B1 (.DIODE(net733),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3296__A (.DIODE(_1123_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3231__B1 (.DIODE(net591),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3298__B1 (.DIODE(net422),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3232__B1 (.DIODE(net585),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3299__B1 (.DIODE(net426),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3233__B1 (.DIODE(net710),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3300__B1 (.DIODE(net461),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3234__B1 (.DIODE(net762),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3302__B1 (.DIODE(net420),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3241__B1 (.DIODE(net33),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3304__B1 (.DIODE(net446),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3242__B1 (.DIODE(net32),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3305__B1 (.DIODE(net498),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3243__B1 (.DIODE(net30),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3306__B1 (.DIODE(net459),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3247__B1 (.DIODE(net28),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3308__B1 (.DIODE(net438),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3248__B1 (.DIODE(net27),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3310__B1 (.DIODE(net410),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3249__B1 (.DIODE(net26),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3311__B1 (.DIODE(net547),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3250__B1 (.DIODE(net25),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3312__B1 (.DIODE(net416),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3273__B1 (.DIODE(net11),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3314__B1 (.DIODE(net467),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3274__B1 (.DIODE(net10),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3316__B1 (.DIODE(net567),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3276__B1 (.DIODE(net39),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3317__B1 (.DIODE(net552),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3280__B1 (.DIODE(net37),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3318__B1 (.DIODE(net418),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3281__B1 (.DIODE(net36),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3319__B1 (.DIODE(net549),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3282__B1 (.DIODE(net35),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3319__B2 (.DIODE(_1126_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3285__B1 (.DIODE(net34),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3320__B1 (.DIODE(net563),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3286__B1 (.DIODE(net31),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3320__B2 (.DIODE(_1126_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3287__B1 (.DIODE(net20),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3324__A (.DIODE(_1641_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3288__B1 (.DIODE(net9),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3327__A (.DIODE(_1644_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3292__A2 (.DIODE(_1106_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3328__B1 (.DIODE(net503),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3292__B1 (.DIODE(net33),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3329__B1 (.DIODE(net520),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3293__A2 (.DIODE(_1106_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3330__B1 (.DIODE(net517),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3293__B1 (.DIODE(net32),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3331__B1 (.DIODE(net488),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3294__A2 (.DIODE(_1106_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3332__A (.DIODE(_1641_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3294__B1 (.DIODE(net30),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3333__A (.DIODE(_1644_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3298__B1 (.DIODE(net28),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3334__B1 (.DIODE(net515),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3299__B1 (.DIODE(net27),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3335__B1 (.DIODE(net524),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3300__B1 (.DIODE(net26),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3336__B1 (.DIODE(net476),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3302__B1 (.DIODE(net25),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3337__B1 (.DIODE(net492),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3324__B1 (.DIODE(net11),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3339__A (.DIODE(_1648_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3325__B1 (.DIODE(net10),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3341__A (.DIODE(_1650_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3328__B1 (.DIODE(net39),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3342__B1 (.DIODE(net400),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3331__B1 (.DIODE(net37),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3343__B1 (.DIODE(net91),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3332__B1 (.DIODE(net36),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3344__B1 (.DIODE(net522),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3334__B1 (.DIODE(net35),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3345__B1 (.DIODE(net424),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3336__B1 (.DIODE(net34),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3346__A (.DIODE(_1648_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3337__B1 (.DIODE(net31),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3347__A (.DIODE(_1650_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3338__B1 (.DIODE(net20),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3348__B1 (.DIODE(net414),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3339__B1 (.DIODE(net9),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3349__B1 (.DIODE(net432),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3341__A (.DIODE(_1628_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3350__B1 (.DIODE(net452),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3343__A (.DIODE(_1628_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3351__B1 (.DIODE(net450),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3346__B1 (.DIODE(net765),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3352__A (.DIODE(_1648_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3347__B1 (.DIODE(net777),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3353__A (.DIODE(_1650_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3348__B1 (.DIODE(net753),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3354__B1 (.DIODE(net444),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3349__B1 (.DIODE(net775),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3355__B1 (.DIODE(net442),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3352__B1 (.DIODE(net576),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3356__B1 (.DIODE(net448),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3353__B1 (.DIODE(net790),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3357__B1 (.DIODE(net457),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3354__B1 (.DIODE(net548),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3358__A (.DIODE(_1648_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3355__B1 (.DIODE(net567),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3359__A (.DIODE(_1650_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3359__B1 (.DIODE(net411),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3360__B1 (.DIODE(net430),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3361__B1 (.DIODE(net739),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3361__B1 (.DIODE(net434),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3362__B1 (.DIODE(net811),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3362__B1 (.DIODE(net440),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3363__B1 (.DIODE(net782),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3363__B1 (.DIODE(net454),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3364__A (.DIODE(_1628_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3365__A (.DIODE(_1658_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3365__A (.DIODE(_1639_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3367__A (.DIODE(_1660_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3367__B1 (.DIODE(net800),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3368__B1 (.DIODE(net465),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3368__B1 (.DIODE(net421),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3369__B1 (.DIODE(net469),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3369__B1 (.DIODE(net519),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3370__B1 (.DIODE(net508),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3370__B1 (.DIODE(net814),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3371__B1 (.DIODE(net412),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3371__A (.DIODE(_1639_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3372__A (.DIODE(_1658_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3373__B1 (.DIODE(net459),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3373__A (.DIODE(_1660_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -6211,2567 +5819,3627 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3375__B1 (.DIODE(net514),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3375__B1 (.DIODE(net422),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3376__B1 (.DIODE(net426),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3377__B1 (.DIODE(net461),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3378__A (.DIODE(_1658_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3379__A (.DIODE(_1660_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3380__B1 (.DIODE(net420),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3381__B1 (.DIODE(net446),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3382__B1 (.DIODE(net498),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3383__B1 (.DIODE(net459),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3384__A (.DIODE(_1658_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3385__A (.DIODE(_1660_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3386__B1 (.DIODE(net438),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3387__B1 (.DIODE(net410),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3388__B1 (.DIODE(net547),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3389__B1 (.DIODE(net416),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3392__B1 (.DIODE(net467),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3393__B1 (.DIODE(net567),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3394__B1 (.DIODE(net552),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3395__B1 (.DIODE(net418),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3396__A2 (.DIODE(_1641_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3396__B1 (.DIODE(net549),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3396__B2 (.DIODE(_1644_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3397__A2 (.DIODE(_1641_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3397__B1 (.DIODE(net563),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3397__B2 (.DIODE(_1644_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3404__B1 (.DIODE(net33),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3406__B1 (.DIODE(net30),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3437__B1 (.DIODE(net10),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3443__B1 (.DIODE(net37),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3444__B1 (.DIODE(net36),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3455__A2 (.DIODE(_1148_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3455__B1 (.DIODE(net33),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3456__A2 (.DIODE(_1148_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3457__A2 (.DIODE(_1148_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3457__B1 (.DIODE(net30),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3488__B1 (.DIODE(net10),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3494__B1 (.DIODE(net37),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3495__B1 (.DIODE(net36),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3504__A (.DIODE(_1711_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3506__A (.DIODE(_1711_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3508__A (.DIODE(_1715_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3509__B1 (.DIODE(net503),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3510__B1 (.DIODE(net520),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3511__B1 (.DIODE(net517),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3512__B1 (.DIODE(net488),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3514__A (.DIODE(_1715_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3515__B1 (.DIODE(net515),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3516__B1 (.DIODE(net524),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3517__B1 (.DIODE(net476),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3518__B1 (.DIODE(net46),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3521__A (.DIODE(_1720_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3522__B1 (.DIODE(net400),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3523__A2 (.DIODE(_1715_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3524__B1 (.DIODE(net522),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3525__B1 (.DIODE(net424),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3526__B1 (.DIODE(net414),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3527__A (.DIODE(_1711_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3528__A (.DIODE(_1722_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3529__A (.DIODE(_1720_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3530__B1 (.DIODE(net432),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3531__B1 (.DIODE(net452),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3532__B1 (.DIODE(net450),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3533__B1 (.DIODE(net444),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3534__A (.DIODE(_1722_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3535__A (.DIODE(_1720_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3536__B1 (.DIODE(net442),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3537__B1 (.DIODE(net448),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3538__B1 (.DIODE(net457),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3539__B1 (.DIODE(net430),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3540__A (.DIODE(_1722_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3541__A (.DIODE(_1720_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3542__B1 (.DIODE(net434),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3543__B1 (.DIODE(net440),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3544__B1 (.DIODE(net454),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3545__B1 (.DIODE(net465),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3546__A (.DIODE(_1722_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3548__A (.DIODE(_1730_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3549__B1 (.DIODE(net469),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3550__B1 (.DIODE(net508),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3551__B1 (.DIODE(net412),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3552__B1 (.DIODE(net436),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3553__A (.DIODE(_1711_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3554__A (.DIODE(_1732_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3555__A (.DIODE(_1730_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3556__B1 (.DIODE(net422),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3557__B1 (.DIODE(net426),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3558__B1 (.DIODE(net461),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3559__B1 (.DIODE(net420),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3560__A (.DIODE(_1732_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3561__A (.DIODE(_1730_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3562__B1 (.DIODE(net446),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3563__B1 (.DIODE(net498),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3564__B1 (.DIODE(net459),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3565__B1 (.DIODE(net438),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3566__A (.DIODE(_1732_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3567__A (.DIODE(_1730_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3568__B1 (.DIODE(net410),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3569__B1 (.DIODE(net547),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3570__B1 (.DIODE(net416),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3571__B1 (.DIODE(net467),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3572__A (.DIODE(_1732_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3574__B1 (.DIODE(net567),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3575__B1 (.DIODE(net552),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3576__B1 (.DIODE(net418),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3577__B1 (.DIODE(net549),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3578__B1 (.DIODE(net563),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3578__B2 (.DIODE(_1715_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3580__A (.DIODE(_1741_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3582__A (.DIODE(_1741_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3584__A (.DIODE(_1745_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3585__B1 (.DIODE(net503),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3586__B1 (.DIODE(net520),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3587__B1 (.DIODE(net517),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3588__B1 (.DIODE(net488),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3590__A (.DIODE(_1745_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3591__B1 (.DIODE(net515),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3592__B1 (.DIODE(net524),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3593__B1 (.DIODE(net476),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3594__B1 (.DIODE(net492),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3597__A (.DIODE(_1750_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3598__B1 (.DIODE(net400),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3599__B2 (.DIODE(_1745_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3600__B1 (.DIODE(net522),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3601__B1 (.DIODE(net424),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3602__B1 (.DIODE(net414),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3603__A (.DIODE(_1741_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3604__A (.DIODE(_1752_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3605__A (.DIODE(_1750_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3606__B1 (.DIODE(net432),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3607__B1 (.DIODE(net452),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3608__B1 (.DIODE(net450),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3609__B1 (.DIODE(net444),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3610__A (.DIODE(_1752_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3611__A (.DIODE(_1750_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3612__B1 (.DIODE(net442),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3613__B1 (.DIODE(net448),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3614__B1 (.DIODE(net457),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3615__B1 (.DIODE(net430),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3616__A (.DIODE(_1752_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3617__A (.DIODE(_1750_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3618__B1 (.DIODE(net434),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3619__B1 (.DIODE(net440),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3620__B1 (.DIODE(net454),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3621__B1 (.DIODE(net465),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3622__A (.DIODE(_1752_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3624__A (.DIODE(_1760_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3625__B1 (.DIODE(net469),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3626__B1 (.DIODE(net508),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3627__B1 (.DIODE(net412),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3628__B1 (.DIODE(net436),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3629__A (.DIODE(_1741_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3631__A (.DIODE(_1760_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3632__B1 (.DIODE(net422),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3633__B1 (.DIODE(net426),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3634__B1 (.DIODE(net461),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3635__B1 (.DIODE(net575),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3637__A (.DIODE(_1760_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3638__B1 (.DIODE(net446),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3639__B1 (.DIODE(net498),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3640__B1 (.DIODE(net459),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3641__B1 (.DIODE(net438),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3643__A (.DIODE(_1760_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3644__B1 (.DIODE(net410),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3645__B1 (.DIODE(net547),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3646__B1 (.DIODE(net416),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3647__B1 (.DIODE(net467),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3650__B1 (.DIODE(net567),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3651__B1 (.DIODE(net552),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3652__B1 (.DIODE(net418),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3653__B1 (.DIODE(net549),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3654__B1 (.DIODE(net478),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3654__B2 (.DIODE(_1745_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3655__A1 (.DIODE(_0523_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3655__B1 (.DIODE(net85),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3655__B2 (.DIODE(wb_req),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3664__A1 (.DIODE(net46),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3664__B2 (.DIODE(net45),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3668__A (.DIODE(net93),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3670__A (.DIODE(_1779_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3672__A (.DIODE(net47),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3674__A2 (.DIODE(_1780_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3674__B1 (.DIODE(_1781_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3675__A (.DIODE(\u_glb_ctrl.gen_bit_reg[0].u_bit_reg.data_out ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3676__A (.DIODE(_1006_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3682__C1 (.DIODE(_1791_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3684__A (.DIODE(net96),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3686__A2 (.DIODE(_1780_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3686__B1 (.DIODE(_1794_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3687__A (.DIODE(\u_glb_ctrl.gen_bit_reg[1].u_bit_reg.data_out ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3690__C1 (.DIODE(_1798_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3692__A (.DIODE(net94),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3694__A2 (.DIODE(_1780_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3694__B1 (.DIODE(_1801_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3697__A1 (.DIODE(_1803_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3698__C1 (.DIODE(_1805_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3701__A (.DIODE(_1779_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3703__B1 (.DIODE(_1809_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3708__C1 (.DIODE(_1814_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3711__A (.DIODE(_1816_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3719__C1 (.DIODE(_1824_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3723__B1 (.DIODE(_1827_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3727__C1 (.DIODE(_1831_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3731__B1 (.DIODE(_1834_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3735__C1 (.DIODE(_1838_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3738__A (.DIODE(_1840_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3739__A (.DIODE(\u_ser_intf.reg_rdata[7] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3743__A (.DIODE(_1845_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3754__A1 (.DIODE(_1848_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3756__A (.DIODE(\u_ser_intf.reg_rdata[9] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3759__B1 (.DIODE(_1858_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3760__A1 (.DIODE(_0198_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3772__A (.DIODE(_1845_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__3776__A (.DIODE(\u_ser_intf.reg_rdata[12] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3376__B1 (.DIODE(net450),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3781__A1 (.DIODE(_1872_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3377__A (.DIODE(_1639_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3782__A (.DIODE(\u_glb_ctrl.gen_bit_reg[13].u_bit_reg.data_out ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3379__B1 (.DIODE(net479),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3783__A (.DIODE(\u_ser_intf.reg_rdata[13] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3380__B1 (.DIODE(net445),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3789__A (.DIODE(\u_ser_intf.reg_rdata[14] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3381__B1 (.DIODE(net484),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3790__A (.DIODE(\u_glb_ctrl.gen_bit_reg[14].u_bit_reg.data_out ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3382__B1 (.DIODE(net502),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3795__A (.DIODE(_1840_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3383__A (.DIODE(_1639_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3796__A (.DIODE(\u_ser_intf.reg_rdata[15] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3385__A (.DIODE(_1647_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3797__A (.DIODE(\u_glb_ctrl.gen_bit_reg[15].u_bit_reg.data_out ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3386__B1 (.DIODE(net786),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3799__A (.DIODE(_1845_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3387__B1 (.DIODE(net751),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3800__B2 (.DIODE(_1892_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3388__B1 (.DIODE(net488),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3803__A (.DIODE(\u_ser_intf.reg_rdata[16] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3389__B1 (.DIODE(net631),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3806__A (.DIODE(_1006_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3390__A (.DIODE(_1628_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3807__A (.DIODE(_1898_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3391__A (.DIODE(_1649_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3809__B2 (.DIODE(_1892_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3392__A (.DIODE(_1647_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3812__A (.DIODE(\u_ser_intf.reg_rdata[17] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3393__B1 (.DIODE(net616),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3815__B2 (.DIODE(_1892_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3394__B1 (.DIODE(net539),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3818__A (.DIODE(\u_ser_intf.reg_rdata[18] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3395__B1 (.DIODE(net414),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3821__B2 (.DIODE(_1892_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3396__B1 (.DIODE(net736),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3824__A (.DIODE(_1840_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3397__A (.DIODE(_1649_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3825__A (.DIODE(\u_ser_intf.reg_rdata[19] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3398__A (.DIODE(_1647_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3827__A (.DIODE(\u_clk_ctrl1.gen_bit_reg[19].u_bit_reg.data_out ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3399__B1 (.DIODE(net429),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3828__A (.DIODE(_1845_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3400__B1 (.DIODE(net722),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3829__B2 (.DIODE(_1917_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3401__B1 (.DIODE(net731),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3832__A (.DIODE(\u_ser_intf.reg_rdata[20] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3402__B1 (.DIODE(net725),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3833__A (.DIODE(_1816_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3403__A (.DIODE(_1649_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3835__A (.DIODE(_1898_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3404__A (.DIODE(_1647_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3837__B2 (.DIODE(_1917_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3405__B1 (.DIODE(net728),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3838__C1 (.DIODE(_1925_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3406__B1 (.DIODE(net770),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3840__A (.DIODE(\u_ser_intf.reg_rdata[21] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3407__B1 (.DIODE(net741),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3843__B2 (.DIODE(_1917_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3408__B1 (.DIODE(net768),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3844__C1 (.DIODE(_1930_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3409__A (.DIODE(_1649_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3846__A (.DIODE(\u_ser_intf.reg_rdata[22] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3411__B1 (.DIODE(net733),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3849__B2 (.DIODE(_1917_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3412__B1 (.DIODE(net591),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3850__C1 (.DIODE(_1935_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3413__B1 (.DIODE(net585),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3852__A (.DIODE(_1840_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3414__B1 (.DIODE(net757),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3853__A (.DIODE(\u_ser_intf.reg_rdata[23] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3415__B1 (.DIODE(net762),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3856__A (.DIODE(_1779_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3417__A (.DIODE(_1658_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3858__C1 (.DIODE(_1942_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3419__A (.DIODE(_1658_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3860__A (.DIODE(\u_ser_intf.reg_rdata[24] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3421__A (.DIODE(_1662_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3861__A (.DIODE(_1816_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3422__B1 (.DIODE(net766),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3863__A (.DIODE(_1898_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3423__B1 (.DIODE(net777),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3868__A (.DIODE(\u_ser_intf.reg_rdata[25] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3424__B1 (.DIODE(net753),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3874__A (.DIODE(\u_ser_intf.reg_rdata[26] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3425__B1 (.DIODE(net560),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3881__A (.DIODE(\u_ser_intf.reg_rdata[27] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3427__A (.DIODE(_1662_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3884__A (.DIODE(_1779_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3428__B1 (.DIODE(net809),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3885__B2 (.DIODE(_1965_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3429__B1 (.DIODE(net790),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3888__A (.DIODE(\u_ser_intf.reg_rdata[28] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3430__B1 (.DIODE(net548),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3889__A (.DIODE(_1816_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3431__B1 (.DIODE(net567),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3891__A (.DIODE(_1898_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3435__B1 (.DIODE(net749),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3893__A2 (.DIODE(_1971_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3436__B2 (.DIODE(_1662_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3893__B2 (.DIODE(_1965_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3437__B1 (.DIODE(net739),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3896__A (.DIODE(\u_ser_intf.reg_rdata[29] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3438__B1 (.DIODE(net424),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3899__A2 (.DIODE(_1971_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3439__B1 (.DIODE(net398),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3899__B2 (.DIODE(_1965_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3440__A (.DIODE(_1658_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3902__A (.DIODE(\u_ser_intf.reg_rdata[30] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3443__B1 (.DIODE(net800),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3905__A2 (.DIODE(_1971_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3444__B1 (.DIODE(net421),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3905__B2 (.DIODE(_1965_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3445__B1 (.DIODE(net519),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3911__A2 (.DIODE(_1971_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3446__B1 (.DIODE(net532),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3911__B2 (.DIODE(_1780_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3449__B1 (.DIODE(net459),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3913__A (.DIODE(_1466_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3450__B1 (.DIODE(net436),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3915__B (.DIODE(net53),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3451__B1 (.DIODE(net514),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3917__B (.DIODE(net64),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3452__B1 (.DIODE(net450),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3919__B (.DIODE(net75),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3455__B1 (.DIODE(net479),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3921__B (.DIODE(net78),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3456__B1 (.DIODE(net445),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3924__B (.DIODE(net538),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3457__B1 (.DIODE(net484),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3926__B (.DIODE(net80),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3458__B1 (.DIODE(net502),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3928__B (.DIODE(net81),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3462__B1 (.DIODE(net528),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3930__B (.DIODE(net500),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3463__B1 (.DIODE(net751),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3933__B (.DIODE(net83),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3464__B1 (.DIODE(net488),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3935__B (.DIODE(net84),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3465__B1 (.DIODE(net631),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3937__B (.DIODE(net54),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3466__A (.DIODE(_1658_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3939__B (.DIODE(net55),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3469__B1 (.DIODE(net616),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3942__B (.DIODE(net56),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3470__B1 (.DIODE(net539),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3944__B (.DIODE(net57),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3471__B1 (.DIODE(net744),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3946__B (.DIODE(net58),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3472__B1 (.DIODE(net736),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3948__B (.DIODE(net509),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3475__B1 (.DIODE(net429),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3951__B (.DIODE(net60),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3476__B1 (.DIODE(net722),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3953__B (.DIODE(net61),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3477__B1 (.DIODE(net731),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3955__B (.DIODE(net62),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3478__B1 (.DIODE(net725),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3957__B (.DIODE(net63),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3481__B1 (.DIODE(net728),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3960__B (.DIODE(net65),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3482__B1 (.DIODE(net770),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3962__B (.DIODE(net66),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3483__B1 (.DIODE(net741),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3964__B (.DIODE(net67),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3484__B1 (.DIODE(net497),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3966__B (.DIODE(net68),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3487__B1 (.DIODE(net733),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3969__B (.DIODE(net69),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3488__B1 (.DIODE(net591),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3971__B (.DIODE(net70),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3489__B1 (.DIODE(net585),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3973__B (.DIODE(net71),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3490__B1 (.DIODE(net757),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3975__B (.DIODE(net72),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3491__B1 (.DIODE(net762),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3977__B (.DIODE(net73),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3491__B2 (.DIODE(_1662_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3979__B (.DIODE(net74),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3500__A1 (.DIODE(net567),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3981__B (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3500__B2 (.DIODE(net411),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3986__C1 (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3506__A (.DIODE(_1696_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3992__A1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3508__A (.DIODE(net548),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3992__A2 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3509__A (.DIODE(_1699_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__3997__A (.DIODE(_0149_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3510__A2 (.DIODE(_1697_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4011__A (.DIODE(_0155_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3512__A (.DIODE(_0969_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4013__A (.DIODE(_0156_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3516__A (.DIODE(_1706_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4016__A (.DIODE(_0157_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3517__A2 (.DIODE(_1704_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4018__A (.DIODE(_0158_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3517__B2 (.DIODE(_1707_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4020__A (.DIODE(_0159_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3519__A (.DIODE(\u_ser_intf.reg_rdata[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4022__A (.DIODE(_0160_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3520__A (.DIODE(net96),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4026__A (.DIODE(_0161_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3522__A2 (.DIODE(_1697_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4039__A (.DIODE(_0166_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3523__A (.DIODE(\u_glb_ctrl.gen_bit_reg[1].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4041__A (.DIODE(_0167_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3525__A2 (.DIODE(_1704_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4043__A (.DIODE(_0168_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3525__B1 (.DIODE(_1714_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4046__A (.DIODE(_0169_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3525__B2 (.DIODE(_1707_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4048__A (.DIODE(_0170_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3526__A1 (.DIODE(_1709_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4050__A (.DIODE(wb_req),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3527__A (.DIODE(\u_ser_intf.reg_rdata[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4050__B (.DIODE(_1117_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3528__A (.DIODE(net94),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4052__A (.DIODE(_0171_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3530__A2 (.DIODE(_1697_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4054__A (.DIODE(_0172_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3533__A2 (.DIODE(_1704_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4057__A (.DIODE(_0173_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3533__B2 (.DIODE(_1707_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4059__A (.DIODE(_0174_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3534__C1 (.DIODE(_1722_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4061__A (.DIODE(_0175_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3537__A (.DIODE(_1696_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4063__A (.DIODE(_0176_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3539__A1 (.DIODE(_1724_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4067__A (.DIODE(_0177_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3542__A (.DIODE(_1706_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4069__A (.DIODE(_0178_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3543__A2 (.DIODE(_1704_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4071__A (.DIODE(wb_req),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3545__A (.DIODE(\u_ser_intf.reg_rdata[4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4071__B (.DIODE(_1117_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3547__A (.DIODE(_1733_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4071__C (.DIODE(net528),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3550__B2 (.DIODE(_1699_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4073__A (.DIODE(_0179_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3556__A (.DIODE(\u_ser_intf.reg_rdata[5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4080__A (.DIODE(_0181_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3559__B2 (.DIODE(_1699_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4084__A (.DIODE(_0183_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3564__A (.DIODE(\u_ser_intf.reg_rdata[6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4086__A (.DIODE(_0184_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3567__B2 (.DIODE(_1699_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4089__A (.DIODE(_0185_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3574__A (.DIODE(_1757_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4091__A (.DIODE(_0186_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3587__A (.DIODE(_1706_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4093__A (.DIODE(_0187_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3631__A (.DIODE(_1757_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4096__A (.DIODE(_0188_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3633__A (.DIODE(\u_glb_ctrl.gen_bit_reg[15].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4099__A (.DIODE(_0189_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3642__A (.DIODE(_0969_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4101__A (.DIODE(_0190_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3660__A (.DIODE(_1757_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4107__A (.DIODE(_0193_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3665__B2 (.DIODE(_1834_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4110__A (.DIODE(_0198_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3666__A2 (.DIODE(_1830_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4110__B (.DIODE(_1848_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3669__A (.DIODE(_1733_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4111__A1 (.DIODE(_0198_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3673__B2 (.DIODE(_1834_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4111__A2 (.DIODE(_1848_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3674__A2 (.DIODE(_1830_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4118__A (.DIODE(_1872_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3679__B2 (.DIODE(_1834_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4119__A1 (.DIODE(_1872_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3680__A2 (.DIODE(_1830_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4127__A (.DIODE(_0208_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3685__B2 (.DIODE(_1834_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4137__A (.DIODE(_1048_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3686__A2 (.DIODE(_1830_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4344__A (.DIODE(net99),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3688__A (.DIODE(_1757_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4345__A (.DIODE(net100),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3692__A (.DIODE(_1696_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4346__A (.DIODE(net101),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3697__A (.DIODE(_1733_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4347__A (.DIODE(net102),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3716__A (.DIODE(_1706_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4348__A (.DIODE(net103),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3720__A (.DIODE(_1696_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4349__A (.DIODE(net104),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3725__A (.DIODE(_1733_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4350__A (.DIODE(net105),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3747__B2 (.DIODE(_1697_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4351__A (.DIODE(net106),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3748__A2 (.DIODE(_1707_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4352__A (.DIODE(net107),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3749__A (.DIODE(_1426_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4354__A (.DIODE(clknet_3_7_0_mem_clk),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3751__B (.DIODE(net440),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4355__A0 (.DIODE(\u_async_wb.m_resp_rd_data[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3753__B (.DIODE(net497),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4355__S (.DIODE(_0214_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3755__B (.DIODE(net468),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4356__A0 (.DIODE(\u_async_wb.m_resp_rd_data[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3757__B (.DIODE(net506),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4357__A0 (.DIODE(\u_async_wb.m_resp_rd_data[2] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3760__B (.DIODE(net523),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4357__S (.DIODE(_0214_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3762__B (.DIODE(net491),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4358__A0 (.DIODE(\u_async_wb.m_resp_rd_data[3] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3764__B (.DIODE(net510),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4359__A0 (.DIODE(\u_async_wb.m_resp_rd_data[4] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3766__B (.DIODE(net454),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4359__S (.DIODE(_0214_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3769__B (.DIODE(net429),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4360__A0 (.DIODE(\u_async_wb.m_resp_rd_data[5] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3771__B (.DIODE(net417),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4360__S (.DIODE(_0214_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3773__B (.DIODE(net414),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4361__S (.DIODE(_0214_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3775__B (.DIODE(net539),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4362__S (.DIODE(_0214_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3778__B (.DIODE(net616),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4363__S (.DIODE(_0214_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3780__B (.DIODE(net631),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4364__S (.DIODE(_0214_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3782__B (.DIODE(net488),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4365__S (.DIODE(_0214_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3784__B (.DIODE(net463),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4366__S (.DIODE(_0214_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3787__B (.DIODE(net528),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4367__S (.DIODE(_0214_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3789__B (.DIODE(net502),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4368__A0 (.DIODE(\u_async_wb.m_resp_rd_data[13] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3791__B (.DIODE(net484),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4368__S (.DIODE(_0214_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3793__B (.DIODE(net445),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4369__S (.DIODE(_0214_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3796__B (.DIODE(net479),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4370__S (.DIODE(_0214_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3798__B (.DIODE(net450),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4371__S (.DIODE(_0214_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3800__B (.DIODE(net514),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4372__S (.DIODE(_0214_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3802__B (.DIODE(net436),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4373__S (.DIODE(_0214_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3805__B (.DIODE(net459),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4374__S (.DIODE(_0214_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3807__B (.DIODE(net532),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4375__S (.DIODE(_0214_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3809__B (.DIODE(net519),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4376__S (.DIODE(_0214_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3811__B (.DIODE(net421),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4377__S (.DIODE(_0214_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3813__B (.DIODE(net432),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4378__S (.DIODE(_0214_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3815__B (.DIODE(net398),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4379__S (.DIODE(_0214_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3817__B (.DIODE(net424),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4380__S (.DIODE(_0214_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3822__C1 (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4381__S (.DIODE(_0214_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3828__A1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4382__S (.DIODE(_0214_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3828__A2 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4383__A0 (.DIODE(\u_async_wb.m_resp_rd_data[28] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3832__A (.DIODE(_0983_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4383__S (.DIODE(_0214_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3833__A (.DIODE(_1952_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4384__A0 (.DIODE(\u_async_wb.m_resp_rd_data[29] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3835__B (.DIODE(_0149_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4384__S (.DIODE(_0214_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3841__C (.DIODE(net91),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4385__A0 (.DIODE(\u_async_wb.m_resp_rd_data[30] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3856__A (.DIODE(_1952_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4385__S (.DIODE(_0214_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3892__B (.DIODE(_0172_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4386__A0 (.DIODE(\u_async_wb.m_resp_rd_data[31] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3894__A (.DIODE(_1952_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4386__S (.DIODE(_0214_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3896__B (.DIODE(_0173_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4387__S (.DIODE(_0214_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3898__B (.DIODE(_0174_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4388__S (.DIODE(_0228_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3900__B (.DIODE(_0175_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4389__S (.DIODE(_0228_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3902__B (.DIODE(_0176_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4390__S (.DIODE(_0228_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3905__B (.DIODE(_0177_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4391__S (.DIODE(_0228_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3907__B (.DIODE(_0178_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4392__S (.DIODE(_0228_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3909__B (.DIODE(_0179_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4393__S (.DIODE(_0228_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3913__B (.DIODE(_0180_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4394__S (.DIODE(_0228_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3918__B (.DIODE(_0182_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4395__A1 (.DIODE(\u_ser_intf.reg_rdata[7] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3920__B (.DIODE(_0183_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4395__S (.DIODE(_0228_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3922__B (.DIODE(_0184_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4396__A0 (.DIODE(_0086_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3925__B (.DIODE(_0185_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4396__S (.DIODE(_0228_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3935__A (.DIODE(_1952_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4397__A0 (.DIODE(_0087_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3942__B (.DIODE(_0192_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4397__A1 (.DIODE(\u_ser_intf.reg_rdata[9] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3944__B (.DIODE(_0193_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4397__S (.DIODE(_0228_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3962__A (.DIODE(_1089_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4398__S (.DIODE(_0228_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3964__B (.DIODE(net118),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4399__A0 (.DIODE(_0089_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__3968__A (.DIODE(_1009_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4399__S (.DIODE(_0228_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4175__A (.DIODE(net99),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4400__A0 (.DIODE(_0090_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4176__A (.DIODE(net100),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4400__A1 (.DIODE(\u_ser_intf.reg_rdata[12] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4177__A (.DIODE(net101),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4400__S (.DIODE(_0228_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4178__A (.DIODE(net102),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4401__A0 (.DIODE(_0091_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4179__A (.DIODE(net103),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4401__A1 (.DIODE(\u_ser_intf.reg_rdata[13] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4180__A (.DIODE(net104),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4401__S (.DIODE(_0228_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4181__A (.DIODE(net105),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4402__A0 (.DIODE(_0092_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4182__A (.DIODE(net106),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4402__A1 (.DIODE(\u_ser_intf.reg_rdata[14] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4183__A (.DIODE(net107),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4402__S (.DIODE(_0228_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4185__A (.DIODE(clknet_3_7_0_mem_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4403__A0 (.DIODE(_0093_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4186__A0 (.DIODE(\u_async_wb.m_resp_rd_data[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4403__A1 (.DIODE(\u_ser_intf.reg_rdata[15] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4186__A1 (.DIODE(\reg_rdata[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4403__S (.DIODE(_0228_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4186__S (.DIODE(net719),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4404__A0 (.DIODE(_0094_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4187__A0 (.DIODE(\u_async_wb.m_resp_rd_data[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4404__A1 (.DIODE(\u_ser_intf.reg_rdata[16] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4187__S (.DIODE(net823),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4404__S (.DIODE(_0228_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4188__A0 (.DIODE(\u_async_wb.m_resp_rd_data[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4405__A0 (.DIODE(_0095_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4188__S (.DIODE(net719),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4405__A1 (.DIODE(\u_ser_intf.reg_rdata[17] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4189__A0 (.DIODE(\u_async_wb.m_resp_rd_data[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4405__S (.DIODE(_0228_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4189__S (.DIODE(net719),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4406__A0 (.DIODE(_0096_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4190__A0 (.DIODE(\u_async_wb.m_resp_rd_data[4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4406__A1 (.DIODE(\u_ser_intf.reg_rdata[18] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4190__S (.DIODE(net719),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4406__S (.DIODE(_0228_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4191__A0 (.DIODE(\u_async_wb.m_resp_rd_data[5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4407__A0 (.DIODE(_0097_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4191__S (.DIODE(net719),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4407__A1 (.DIODE(\u_ser_intf.reg_rdata[19] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4192__A0 (.DIODE(\u_async_wb.m_resp_rd_data[6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4407__S (.DIODE(_0228_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4192__S (.DIODE(net717),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4408__A0 (.DIODE(_0098_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4193__A0 (.DIODE(\u_async_wb.m_resp_rd_data[7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4408__A1 (.DIODE(\u_ser_intf.reg_rdata[20] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4193__S (.DIODE(net719),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4408__S (.DIODE(_0228_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4194__A0 (.DIODE(\u_async_wb.m_resp_rd_data[8] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4409__A0 (.DIODE(_0099_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4194__S (.DIODE(net717),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4409__A1 (.DIODE(\u_ser_intf.reg_rdata[21] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4195__A0 (.DIODE(\u_async_wb.m_resp_rd_data[9] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4409__S (.DIODE(_0228_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4195__S (.DIODE(net719),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4410__A0 (.DIODE(_0100_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4196__A0 (.DIODE(\u_async_wb.m_resp_rd_data[10] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4410__A1 (.DIODE(\u_ser_intf.reg_rdata[22] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4196__S (.DIODE(net823),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4410__S (.DIODE(_0228_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4197__A0 (.DIODE(\u_async_wb.m_resp_rd_data[11] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4411__A0 (.DIODE(_0101_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4197__S (.DIODE(net823),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4411__A1 (.DIODE(\u_ser_intf.reg_rdata[23] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4198__A0 (.DIODE(\u_async_wb.m_resp_rd_data[12] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4411__S (.DIODE(_0228_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4198__S (.DIODE(net719),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4412__A0 (.DIODE(_0102_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4199__A0 (.DIODE(\u_async_wb.m_resp_rd_data[13] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4412__A1 (.DIODE(\u_ser_intf.reg_rdata[24] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4199__S (.DIODE(net717),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4412__S (.DIODE(_0228_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4200__A0 (.DIODE(\u_async_wb.m_resp_rd_data[14] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4413__A0 (.DIODE(_0103_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4200__S (.DIODE(net823),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4413__A1 (.DIODE(\u_ser_intf.reg_rdata[25] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4201__A0 (.DIODE(\u_async_wb.m_resp_rd_data[15] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4413__S (.DIODE(_0228_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4201__S (.DIODE(net823),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4414__A0 (.DIODE(_0104_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4202__A0 (.DIODE(\u_async_wb.m_resp_rd_data[16] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4414__A1 (.DIODE(\u_ser_intf.reg_rdata[26] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4202__A1 (.DIODE(\reg_rdata[16] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4414__S (.DIODE(_0228_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4202__S (.DIODE(net719),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4415__A0 (.DIODE(_0105_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4203__A0 (.DIODE(\u_async_wb.m_resp_rd_data[17] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4415__A1 (.DIODE(\u_ser_intf.reg_rdata[27] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4203__A1 (.DIODE(\reg_rdata[17] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4415__S (.DIODE(_0228_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4203__S (.DIODE(net717),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4416__A0 (.DIODE(_0106_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4204__A0 (.DIODE(\u_async_wb.m_resp_rd_data[18] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4416__A1 (.DIODE(\u_ser_intf.reg_rdata[28] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4204__A1 (.DIODE(\reg_rdata[18] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4416__S (.DIODE(_0228_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4205__A0 (.DIODE(\u_async_wb.m_resp_rd_data[19] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4417__A0 (.DIODE(_0107_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4205__A1 (.DIODE(\reg_rdata[19] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4417__A1 (.DIODE(\u_ser_intf.reg_rdata[29] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4205__S (.DIODE(net717),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4417__S (.DIODE(_0228_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4206__A0 (.DIODE(\u_async_wb.m_resp_rd_data[20] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4418__A0 (.DIODE(_0108_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4206__A1 (.DIODE(\reg_rdata[20] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4418__A1 (.DIODE(\u_ser_intf.reg_rdata[30] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4207__A0 (.DIODE(\u_async_wb.m_resp_rd_data[21] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4418__S (.DIODE(_0228_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4207__A1 (.DIODE(\reg_rdata[21] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4419__S (.DIODE(_0228_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4207__S (.DIODE(net717),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4420__S (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4208__A0 (.DIODE(\u_async_wb.m_resp_rd_data[22] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4421__S (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4208__A1 (.DIODE(\reg_rdata[22] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4422__S (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4208__S (.DIODE(net717),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4423__S (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4209__A0 (.DIODE(\u_async_wb.m_resp_rd_data[23] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4424__S (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4209__A1 (.DIODE(\reg_rdata[23] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4425__S (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4210__A0 (.DIODE(\u_async_wb.m_resp_rd_data[24] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4426__S (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4210__A1 (.DIODE(\reg_rdata[24] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4427__S (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4210__S (.DIODE(net823),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4428__S (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4211__A0 (.DIODE(\u_async_wb.m_resp_rd_data[25] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4429__S (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4211__A1 (.DIODE(\reg_rdata[25] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4430__S (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4212__A0 (.DIODE(\u_async_wb.m_resp_rd_data[26] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4431__S (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4212__A1 (.DIODE(\reg_rdata[26] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4432__S (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4213__A0 (.DIODE(\u_async_wb.m_resp_rd_data[27] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4433__S (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4213__A1 (.DIODE(\reg_rdata[27] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4434__S (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4214__A0 (.DIODE(\u_async_wb.m_resp_rd_data[28] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4435__S (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4214__A1 (.DIODE(\reg_rdata[28] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4436__S (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4214__S (.DIODE(net717),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4437__S (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4215__A0 (.DIODE(\u_async_wb.m_resp_rd_data[29] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4438__S (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4215__A1 (.DIODE(\reg_rdata[29] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4439__S (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4216__A0 (.DIODE(\u_async_wb.m_resp_rd_data[30] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4441__S (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4216__S (.DIODE(net717),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4442__S (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4217__A0 (.DIODE(\u_async_wb.m_resp_rd_data[31] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4444__S (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4218__A0 (.DIODE(_0219_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4445__S (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4218__S (.DIODE(net719),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4449__A1 (.DIODE(_0198_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4219__S (.DIODE(_0224_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4458__A1 (.DIODE(\u_glb_ctrl.gen_bit_reg[13].u_bit_reg.data_out ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4220__A1 (.DIODE(\u_ser_intf.reg_rdata[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4460__S (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4220__S (.DIODE(_0224_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4461__S (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4221__A1 (.DIODE(\u_ser_intf.reg_rdata[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4462__S (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4221__S (.DIODE(_0224_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4463__S (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4222__S (.DIODE(_0224_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4464__S (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4223__A1 (.DIODE(\u_ser_intf.reg_rdata[4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4465__S (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4223__S (.DIODE(_0224_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4466__S (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4224__A1 (.DIODE(\u_ser_intf.reg_rdata[5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4467__S (.DIODE(\u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4224__S (.DIODE(_0224_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4468__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4225__A1 (.DIODE(\u_ser_intf.reg_rdata[6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4469__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4225__S (.DIODE(_0224_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4470__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4226__S (.DIODE(_0224_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4471__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4227__S (.DIODE(_0224_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4472__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4228__S (.DIODE(_0224_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4473__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4229__S (.DIODE(_0224_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4474__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4230__S (.DIODE(_0224_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4475__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4231__S (.DIODE(_0224_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4476__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4232__S (.DIODE(_0224_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4477__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4233__S (.DIODE(_0224_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4478__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4234__S (.DIODE(_0224_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4479__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4235__S (.DIODE(_0224_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4480__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4236__S (.DIODE(_0224_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4481__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4237__S (.DIODE(_0224_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4482__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4238__S (.DIODE(_0224_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4483__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4239__S (.DIODE(_0224_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4484__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4240__S (.DIODE(_0224_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4485__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4241__S (.DIODE(_0224_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4486__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4242__S (.DIODE(_0224_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4487__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4243__S (.DIODE(_0224_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4488__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4244__S (.DIODE(_0224_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4489__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4245__S (.DIODE(_0224_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4490__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4246__S (.DIODE(_0224_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4491__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4247__S (.DIODE(_0224_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4492__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4248__S (.DIODE(_0224_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4493__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4249__S (.DIODE(_0224_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4494__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4250__S (.DIODE(_0224_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4495__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4251__S (.DIODE(\u_ser_intf.state ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4496__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4252__S (.DIODE(\u_ser_intf.state ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4497__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4253__S (.DIODE(\u_ser_intf.state ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4498__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4254__S (.DIODE(\u_ser_intf.state ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4499__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4255__S (.DIODE(\u_ser_intf.state ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4501__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4256__S (.DIODE(\u_ser_intf.state ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4501__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4257__S (.DIODE(\u_ser_intf.state ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4502__S0 (.DIODE(net193),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4258__S (.DIODE(\u_ser_intf.state ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4502__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4259__S (.DIODE(\u_ser_intf.state ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4503__S0 (.DIODE(net193),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4260__S (.DIODE(\u_ser_intf.state ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4503__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4261__S (.DIODE(\u_ser_intf.state ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4504__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4262__S (.DIODE(\u_ser_intf.state ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4504__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4263__S (.DIODE(\u_ser_intf.state ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4505__S0 (.DIODE(net193),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4264__S (.DIODE(\u_ser_intf.state ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4505__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4265__S (.DIODE(\u_ser_intf.state ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4506__S0 (.DIODE(net193),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4266__S (.DIODE(\u_ser_intf.state ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4506__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4267__S (.DIODE(\u_ser_intf.state ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4507__S0 (.DIODE(net193),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4268__S (.DIODE(\u_ser_intf.state ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4507__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4269__S (.DIODE(\u_ser_intf.state ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4508__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4273__S (.DIODE(\u_ser_intf.state ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4508__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4286__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4509__S0 (.DIODE(net193),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4287__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4509__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4288__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4510__S0 (.DIODE(net193),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4289__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4510__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4290__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4511__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4291__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4511__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4292__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4512__S0 (.DIODE(net193),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4293__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4512__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4294__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4513__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4295__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4513__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4296__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4514__S0 (.DIODE(net193),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4297__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4514__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4298__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4515__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4299__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4515__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4300__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4516__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4301__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4516__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4302__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4517__S0 (.DIODE(net193),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4303__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4517__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4304__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4518__S0 (.DIODE(net193),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4305__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4518__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4306__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4519__S0 (.DIODE(net193),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4307__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4519__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4308__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4520__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4309__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4520__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4310__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4521__S0 (.DIODE(net193),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4311__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4521__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4312__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4522__S0 (.DIODE(net193),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4313__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4522__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4314__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4523__S0 (.DIODE(net193),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4315__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4523__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4316__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4524__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4317__S (.DIODE(\u_async_wb.u_resp_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4524__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4319__S (.DIODE(\u_ser_intf.state ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4525__S0 (.DIODE(net193),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4320__S (.DIODE(\u_ser_intf.state ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4525__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4321__S (.DIODE(\u_ser_intf.state ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4526__S0 (.DIODE(net193),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4322__S (.DIODE(\u_ser_intf.state ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4526__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4323__S (.DIODE(\u_ser_intf.state ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4527__S0 (.DIODE(net193),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4324__S (.DIODE(\u_ser_intf.state ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4527__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4325__S (.DIODE(\u_ser_intf.state ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4528__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4326__S (.DIODE(\u_ser_intf.state ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4528__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4327__S (.DIODE(\u_ser_intf.state ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4529__S0 (.DIODE(net193),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4328__S (.DIODE(\u_ser_intf.state ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4529__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4329__S (.DIODE(\u_ser_intf.state ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4530__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4330__S (.DIODE(\u_ser_intf.state ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4530__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4331__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4531__S0 (.DIODE(net193),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4331__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4531__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4332__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4532__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4332__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4532__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4333__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4533__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4333__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4533__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4334__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4534__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4334__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4534__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4335__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4535__S0 (.DIODE(net193),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4335__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4535__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4336__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4536__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4336__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4536__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4337__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4537__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4337__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4537__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4338__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4538__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4338__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4538__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4339__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4539__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4339__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4539__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4340__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4540__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4340__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4540__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4341__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4541__S0 (.DIODE(net193),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4341__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4541__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4342__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4542__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4342__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4542__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4343__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4543__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4343__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4543__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4344__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4544__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4344__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4544__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4345__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4545__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4345__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4545__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4346__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4546__S0 (.DIODE(net193),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4346__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4546__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4347__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4548__D (.DIODE(\u_async_wb.u_resp_if.mem$rdreg[0]$d[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4347__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4551__D (.DIODE(\u_async_wb.u_resp_if.mem$rdreg[0]$d[4] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4348__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4552__D (.DIODE(\u_async_wb.u_resp_if.mem$rdreg[0]$d[5] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4348__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4553__D (.DIODE(\u_async_wb.u_resp_if.mem$rdreg[0]$d[6] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4349__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4554__D (.DIODE(\u_async_wb.u_resp_if.mem$rdreg[0]$d[7] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4349__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4555__D (.DIODE(\u_async_wb.u_resp_if.mem$rdreg[0]$d[8] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4350__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4556__D (.DIODE(\u_async_wb.u_resp_if.mem$rdreg[0]$d[9] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4350__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4558__D (.DIODE(\u_async_wb.u_resp_if.mem$rdreg[0]$d[11] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4351__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4559__D (.DIODE(\u_async_wb.u_resp_if.mem$rdreg[0]$d[12] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4351__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4563__D (.DIODE(\u_async_wb.u_resp_if.mem$rdreg[0]$d[16] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4352__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4564__D (.DIODE(\u_async_wb.u_resp_if.mem$rdreg[0]$d[17] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4352__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4565__D (.DIODE(\u_async_wb.u_resp_if.mem$rdreg[0]$d[18] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4353__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4566__D (.DIODE(\u_async_wb.u_resp_if.mem$rdreg[0]$d[19] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4353__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4567__D (.DIODE(\u_async_wb.u_resp_if.mem$rdreg[0]$d[20] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4354__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4568__D (.DIODE(\u_async_wb.u_resp_if.mem$rdreg[0]$d[21] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4354__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4569__D (.DIODE(\u_async_wb.u_resp_if.mem$rdreg[0]$d[22] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4355__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4570__D (.DIODE(\u_async_wb.u_resp_if.mem$rdreg[0]$d[23] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4355__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4571__D (.DIODE(\u_async_wb.u_resp_if.mem$rdreg[0]$d[24] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4356__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4572__D (.DIODE(\u_async_wb.u_resp_if.mem$rdreg[0]$d[25] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4356__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4613__CLK (.DIODE(clknet_leaf_19_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4357__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4614__CLK (.DIODE(clknet_leaf_19_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4357__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4615__CLK (.DIODE(clknet_leaf_19_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4358__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4617__CLK (.DIODE(clknet_leaf_19_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4358__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4633__CLK (.DIODE(clknet_leaf_19_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4359__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4659__CLK (.DIODE(clknet_leaf_19_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4359__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4660__CLK (.DIODE(clknet_leaf_19_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4360__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4663__CLK (.DIODE(clknet_leaf_19_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4360__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4673__CLK (.DIODE(clknet_3_7_0_mem_clk),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4361__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4770__CLK (.DIODE(clknet_leaf_19_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4361__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4771__CLK (.DIODE(clknet_leaf_19_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4362__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4788__CLK (.DIODE(clknet_leaf_19_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4362__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4789__CLK (.DIODE(clknet_leaf_19_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4363__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4790__CLK (.DIODE(clknet_leaf_19_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4363__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4816__CLK (.DIODE(clknet_leaf_19_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4364__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4817__CLK (.DIODE(clknet_leaf_19_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4364__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4828__CLK (.DIODE(clknet_3_7_0_mem_clk),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4365__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4828__D (.DIODE(net117),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4365__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4828__RESET_B (.DIODE(_0236_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4366__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4831__D (.DIODE(\wbm_dat_o1[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4366__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4833__D (.DIODE(net557),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4367__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4843__D (.DIODE(\wbm_dat_o1[13] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4367__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4849__D (.DIODE(\wbm_dat_o1[19] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4368__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4850__D (.DIODE(\wbm_dat_o1[20] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4368__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4851__D (.DIODE(\wbm_dat_o1[21] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4369__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4852__D (.DIODE(\wbm_dat_o1[22] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4369__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4853__D (.DIODE(\wbm_dat_o1[23] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4370__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4854__D (.DIODE(\wbm_dat_o1[24] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4370__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4855__D (.DIODE(\wbm_dat_o1[25] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4371__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4856__D (.DIODE(\wbm_dat_o1[26] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4371__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4857__D (.DIODE(\wbm_dat_o1[27] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4372__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4858__D (.DIODE(\wbm_dat_o1[28] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4372__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4859__D (.DIODE(\wbm_dat_o1[29] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4373__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4860__D (.DIODE(\wbm_dat_o1[30] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4373__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4861__D (.DIODE(\wbm_dat_o1[31] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4374__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4862__D (.DIODE(wbm_ack_o1),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4374__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4886__CLK (.DIODE(clknet_3_7_0_mem_clk),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4375__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4887__RESET_B (.DIODE(_0295_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4375__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4888__CLK (.DIODE(\u_mem_clk_sel.A0 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4376__S0 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4890__CLK (.DIODE(clknet_leaf_40_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4376__S1 (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4891__CLK (.DIODE(clknet_leaf_40_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4501__CLK (.DIODE(clknet_3_3_0_mem_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4892__CLK (.DIODE(clknet_leaf_40_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4522__CLK (.DIODE(clknet_3_7_0_mem_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4893__CLK (.DIODE(clknet_leaf_40_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4523__CLK (.DIODE(clknet_3_7_0_mem_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4894__CLK (.DIODE(clknet_leaf_40_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4524__CLK (.DIODE(clknet_3_7_0_mem_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4896__CLK (.DIODE(clknet_leaf_40_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4529__CLK (.DIODE(clknet_3_7_0_mem_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4897__CLK (.DIODE(clknet_leaf_40_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4532__CLK (.DIODE(clknet_3_7_0_mem_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4898__CLK (.DIODE(clknet_leaf_40_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4555__CLK (.DIODE(clknet_3_7_0_mem_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4899__CLK (.DIODE(clknet_leaf_40_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4556__CLK (.DIODE(clknet_3_7_0_mem_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4900__CLK (.DIODE(clknet_leaf_40_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4561__CLK (.DIODE(clknet_3_7_0_mem_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4901__CLK (.DIODE(clknet_leaf_40_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4562__CLK (.DIODE(clknet_3_7_0_mem_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4925__CLK (.DIODE(\u_mem_clk_sel.A0 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4563__CLK (.DIODE(clknet_3_7_0_mem_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4926__CLK (.DIODE(\u_mem_clk_sel.A0 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4564__CLK (.DIODE(clknet_3_7_0_mem_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4946__CLK (.DIODE(clknet_leaf_46_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4657__CLK (.DIODE(clknet_3_2_0_mem_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4949__CLK (.DIODE(clknet_leaf_46_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4657__D (.DIODE(net117),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4950__CLK (.DIODE(clknet_leaf_46_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4671__CLK (.DIODE(clknet_3_2_0_mem_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4951__CLK (.DIODE(clknet_leaf_46_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4672__CLK (.DIODE(clknet_3_2_0_mem_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4962__CLK (.DIODE(clknet_leaf_46_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4673__CLK (.DIODE(clknet_3_2_0_mem_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4963__CLK (.DIODE(clknet_leaf_46_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4674__CLK (.DIODE(clknet_3_3_0_mem_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__4981__CLK (.DIODE(clknet_leaf_46_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4675__CLK (.DIODE(clknet_3_3_0_mem_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5053__CLK (.DIODE(clknet_leaf_19_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4676__CLK (.DIODE(clknet_3_3_0_mem_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5054__CLK (.DIODE(clknet_leaf_19_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4683__CLK (.DIODE(clknet_3_3_0_mem_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5061__CLK (.DIODE(clknet_leaf_46_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4863__CLK (.DIODE(clknet_3_1_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5064__CLK (.DIODE(\u_mem_clk_sel.A0 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4872__CLK (.DIODE(clknet_3_3_0_mem_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5065__CLK (.DIODE(\u_mem_clk_sel.A0 ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4873__CLK (.DIODE(clknet_3_3_0_mem_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5073__CLK (.DIODE(clknet_3_7_0_mem_clk),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4874__CLK (.DIODE(clknet_3_3_0_mem_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5074__CLK (.DIODE(clknet_3_7_0_mem_clk),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4875__CLK (.DIODE(clknet_3_2_0_mem_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5077__CLK (.DIODE(clknet_3_7_0_mem_clk),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4876__CLK (.DIODE(clknet_3_2_0_mem_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5078__CLK (.DIODE(clknet_3_7_0_mem_clk),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__4912__RESET_B (.DIODE(_0487_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__5115__RESET_B (.DIODE(_0523_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -8786,11 +9454,21 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_1_0_0_mem_clk_A (.DIODE(clknet_0_mem_clk),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_1_0_0_wbm_clk_i_A (.DIODE(clknet_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_1_1_0_mem_clk_A (.DIODE(clknet_0_mem_clk),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_1_1_0_wbm_clk_i_A (.DIODE(clknet_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
@@ -8816,767 +9494,762 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_3_0_0_mem_clk_A (.DIODE(clknet_0_mem_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_0_wbm_clk_i_A (.DIODE(clknet_2_0_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_3_1_0_mem_clk_A (.DIODE(clknet_0_mem_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_10_wbm_clk_i_A (.DIODE(clknet_2_1_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_3_2_0_mem_clk_A (.DIODE(clknet_0_mem_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_11_wbm_clk_i_A (.DIODE(clknet_2_1_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_3_3_0_mem_clk_A (.DIODE(clknet_0_mem_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_12_wbm_clk_i_A (.DIODE(clknet_2_1_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_3_4_0_mem_clk_A (.DIODE(clknet_0_mem_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_13_wbm_clk_i_A (.DIODE(clknet_2_1_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_3_5_0_mem_clk_A (.DIODE(clknet_0_mem_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_14_wbm_clk_i_A (.DIODE(clknet_2_1_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_3_6_0_mem_clk_A (.DIODE(clknet_0_mem_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_15_wbm_clk_i_A (.DIODE(clknet_2_1_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_3_7_0_mem_clk_A (.DIODE(clknet_0_mem_clk),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_16_wbm_clk_i_A (.DIODE(clknet_2_1_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_0_wbm_clk_i_A (.DIODE(clknet_3_0_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_17_wbm_clk_i_A (.DIODE(clknet_2_1_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_10_wbm_clk_i_A (.DIODE(clknet_3_2_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_18_wbm_clk_i_A (.DIODE(clknet_2_1_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_11_wbm_clk_i_A (.DIODE(clknet_3_2_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_19_wbm_clk_i_A (.DIODE(clknet_2_3_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_12_wbm_clk_i_A (.DIODE(clknet_3_2_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_1_wbm_clk_i_A (.DIODE(clknet_2_0_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_13_wbm_clk_i_A (.DIODE(clknet_3_2_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_20_wbm_clk_i_A (.DIODE(clknet_2_3_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_14_wbm_clk_i_A (.DIODE(clknet_3_2_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_21_wbm_clk_i_A (.DIODE(clknet_2_3_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_15_wbm_clk_i_A (.DIODE(clknet_3_2_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_22_wbm_clk_i_A (.DIODE(clknet_2_3_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_16_wbm_clk_i_A (.DIODE(clknet_3_2_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_23_wbm_clk_i_A (.DIODE(clknet_2_3_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_17_wbm_clk_i_A (.DIODE(clknet_3_3_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_24_wbm_clk_i_A (.DIODE(clknet_2_3_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_18_wbm_clk_i_A (.DIODE(clknet_3_3_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_25_wbm_clk_i_A (.DIODE(clknet_2_3_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_19_wbm_clk_i_A (.DIODE(clknet_3_3_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_26_wbm_clk_i_A (.DIODE(clknet_2_3_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_1_wbm_clk_i_A (.DIODE(clknet_3_0_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_27_wbm_clk_i_A (.DIODE(clknet_2_3_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_20_wbm_clk_i_A (.DIODE(clknet_3_3_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_28_wbm_clk_i_A (.DIODE(clknet_2_3_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_21_wbm_clk_i_A (.DIODE(clknet_3_3_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_29_wbm_clk_i_A (.DIODE(clknet_2_3_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_22_wbm_clk_i_A (.DIODE(clknet_3_3_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_2_wbm_clk_i_A (.DIODE(clknet_2_0_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_23_wbm_clk_i_A (.DIODE(clknet_3_6_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_30_wbm_clk_i_A (.DIODE(clknet_2_3_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_24_wbm_clk_i_A (.DIODE(clknet_3_6_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_31_wbm_clk_i_A (.DIODE(clknet_2_3_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_25_wbm_clk_i_A (.DIODE(clknet_3_6_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_32_wbm_clk_i_A (.DIODE(clknet_2_3_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_26_wbm_clk_i_A (.DIODE(clknet_3_6_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_33_wbm_clk_i_A (.DIODE(clknet_2_2_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_27_wbm_clk_i_A (.DIODE(clknet_3_6_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_34_wbm_clk_i_A (.DIODE(clknet_2_2_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_28_wbm_clk_i_A (.DIODE(clknet_3_6_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_35_wbm_clk_i_A (.DIODE(clknet_2_2_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_29_wbm_clk_i_A (.DIODE(clknet_3_6_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_36_wbm_clk_i_A (.DIODE(clknet_2_2_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_2_wbm_clk_i_A (.DIODE(clknet_3_0_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_37_wbm_clk_i_A (.DIODE(clknet_2_2_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_30_wbm_clk_i_A (.DIODE(clknet_3_7_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_38_wbm_clk_i_A (.DIODE(clknet_2_2_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_31_wbm_clk_i_A (.DIODE(clknet_3_7_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_39_wbm_clk_i_A (.DIODE(clknet_2_2_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_32_wbm_clk_i_A (.DIODE(clknet_3_7_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_3_wbm_clk_i_A (.DIODE(clknet_2_0_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_33_wbm_clk_i_A (.DIODE(clknet_3_7_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_40_wbm_clk_i_A (.DIODE(clknet_2_2_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_34_wbm_clk_i_A (.DIODE(clknet_3_7_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_41_wbm_clk_i_A (.DIODE(clknet_2_2_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_35_wbm_clk_i_A (.DIODE(clknet_3_7_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_42_wbm_clk_i_A (.DIODE(clknet_2_2_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_36_wbm_clk_i_A (.DIODE(clknet_3_7_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_43_wbm_clk_i_A (.DIODE(clknet_2_2_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_37_wbm_clk_i_A (.DIODE(clknet_3_6_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_44_wbm_clk_i_A (.DIODE(clknet_2_2_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_38_wbm_clk_i_A (.DIODE(clknet_3_6_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_45_wbm_clk_i_A (.DIODE(clknet_2_2_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_39_wbm_clk_i_A (.DIODE(clknet_3_4_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_46_wbm_clk_i_A (.DIODE(clknet_2_0_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_3_wbm_clk_i_A (.DIODE(clknet_3_0_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_47_wbm_clk_i_A (.DIODE(clknet_2_0_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_40_wbm_clk_i_A (.DIODE(clknet_3_4_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_48_wbm_clk_i_A (.DIODE(clknet_2_0_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_41_wbm_clk_i_A (.DIODE(clknet_3_5_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_49_wbm_clk_i_A (.DIODE(clknet_2_0_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_42_wbm_clk_i_A (.DIODE(clknet_3_5_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_4_wbm_clk_i_A (.DIODE(clknet_2_0_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_43_wbm_clk_i_A (.DIODE(clknet_3_5_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_50_wbm_clk_i_A (.DIODE(clknet_2_0_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_44_wbm_clk_i_A (.DIODE(clknet_3_5_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_51_wbm_clk_i_A (.DIODE(clknet_2_0_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_45_wbm_clk_i_A (.DIODE(clknet_3_5_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_52_wbm_clk_i_A (.DIODE(clknet_2_0_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_46_wbm_clk_i_A (.DIODE(clknet_3_5_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_5_wbm_clk_i_A (.DIODE(clknet_2_0_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_47_wbm_clk_i_A (.DIODE(clknet_3_5_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_6_wbm_clk_i_A (.DIODE(clknet_2_1_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_48_wbm_clk_i_A (.DIODE(clknet_3_5_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_7_wbm_clk_i_A (.DIODE(clknet_2_1_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_49_wbm_clk_i_A (.DIODE(clknet_3_5_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_8_wbm_clk_i_A (.DIODE(clknet_2_1_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_4_wbm_clk_i_A (.DIODE(clknet_3_0_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_9_wbm_clk_i_A (.DIODE(clknet_2_1_0_wbm_clk_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_50_wbm_clk_i_A (.DIODE(clknet_3_4_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold103_A (.DIODE(wbm_dat_i[7]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_51_wbm_clk_i_A (.DIODE(clknet_3_4_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold113_A (.DIODE(wbm_dat_i[15]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_52_wbm_clk_i_A (.DIODE(clknet_3_4_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold11_A (.DIODE(wbm_dat_i[31]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_53_wbm_clk_i_A (.DIODE(clknet_3_4_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold124_A (.DIODE(net408),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_54_wbm_clk_i_A (.DIODE(clknet_3_4_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold12_A (.DIODE(net538),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_55_wbm_clk_i_A (.DIODE(clknet_3_4_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold130_A (.DIODE(net91),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_56_wbm_clk_i_A (.DIODE(clknet_3_4_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold131_A (.DIODE(wbm_we_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_57_wbm_clk_i_A (.DIODE(clknet_3_1_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold132_A (.DIODE(net414),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_58_wbm_clk_i_A (.DIODE(clknet_3_1_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold133_A (.DIODE(net74),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_59_wbm_clk_i_A (.DIODE(clknet_3_1_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold134_A (.DIODE(net446),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_5_wbm_clk_i_A (.DIODE(clknet_3_1_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold135_A (.DIODE(net83),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_60_wbm_clk_i_A (.DIODE(clknet_3_1_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold137_A (.DIODE(net46),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_61_wbm_clk_i_A (.DIODE(clknet_3_1_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold138_A (.DIODE(wbm_adr_i[3]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_62_wbm_clk_i_A (.DIODE(clknet_3_1_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold139_A (.DIODE(net410),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_63_wbm_clk_i_A (.DIODE(clknet_3_0_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold13_A (.DIODE(wbm_dat_i[4]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_64_wbm_clk_i_A (.DIODE(clknet_3_0_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold141_A (.DIODE(net436),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_65_wbm_clk_i_A (.DIODE(clknet_3_0_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold142_A (.DIODE(net57),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_7_wbm_clk_i_A (.DIODE(clknet_3_3_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold143_A (.DIODE(net461),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_8_wbm_clk_i_A (.DIODE(clknet_3_3_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold144_A (.DIODE(net54),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_clkbuf_leaf_9_wbm_clk_i_A (.DIODE(clknet_3_2_0_wbm_clk_i),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold145_A (.DIODE(net426),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold101_A (.DIODE(net498),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold146_A (.DIODE(net55),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold102_A (.DIODE(wbm_dat_i[1]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold148_A (.DIODE(net547),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold107_A (.DIODE(wbm_dat_i[17]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold150_A (.DIODE(net78),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold111_A (.DIODE(wbm_dat_i[3]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold153_A (.DIODE(wbm_sel_i[2]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold115_A (.DIODE(wbm_dat_i[6]),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold119_A (.DIODE(wbm_dat_i[22]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold157_A (.DIODE(net438),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold128_A (.DIODE(wbm_dat_i[4]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold158_A (.DIODE(net80),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold133_A (.DIODE(wbm_dat_i[16]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold15_A (.DIODE(wbm_dat_i[14]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold137_A (.DIODE(wbm_dat_i[25]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold160_A (.DIODE(_0214_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold144_A (.DIODE(wbm_dat_i[11]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold163_A (.DIODE(wbm_adr_i[23]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold153_A (.DIODE(wbm_adr_i[4]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold165_A (.DIODE(net478),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold156_A (.DIODE(wbm_sel_i[0]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold167_A (.DIODE(net68),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold165_A (.DIODE(wbm_adr_i[7]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold168_A (.DIODE(net567),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold16_A (.DIODE(wbm_adr_i[2]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold170_A (.DIODE(wbm_dat_i[12]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold172_A (.DIODE(wbm_adr_i[3]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold172_A (.DIODE(net45),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold176_A (.DIODE(wbm_adr_i[5]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold173_A (.DIODE(net440),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold179_A (.DIODE(net49),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold174_A (.DIODE(net63),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold181_A (.DIODE(wbm_adr_i[6]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold175_A (.DIODE(net58),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold186_A (.DIODE(wbm_adr_i[10]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold176_A (.DIODE(net64),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold190_A (.DIODE(wbm_sel_i[2]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold177_A (.DIODE(net420),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold196_A (.DIODE(wbm_sel_i[3]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold178_A (.DIODE(net84),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold19_A (.DIODE(wbm_dat_i[10]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold179_A (.DIODE(wbm_dat_i[9]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold204_A (.DIODE(wbm_adr_i[9]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold17_A (.DIODE(wbm_dat_i[29]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold214_A (.DIODE(wbm_adr_i[8]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold18_A (.DIODE(net75),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold221_A (.DIODE(wbm_dat_i[12]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold19_A (.DIODE(wbm_dat_i[2]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold226_A (.DIODE(_0487_),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold24_A (.DIODE(net56),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold22_A (.DIODE(wbm_dat_i[9]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold26_A (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold230_A (.DIODE(_0976_),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold27_A (.DIODE(wbm_dat_i[30]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold236_A (.DIODE(wbm_dat_i[13]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold29_A (.DIODE(wbm_dat_i[11]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold263_A (.DIODE(_1235_),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold30_A (.DIODE(net509),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold284_A (.DIODE(_1237_),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold32_A (.DIODE(net66),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold29_A (.DIODE(wbm_dat_i[30]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold33_A (.DIODE(wbm_dat_i[21]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold312_A (.DIODE(net766),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold34_A (.DIODE(net73),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold313_A (.DIODE(net757),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold35_A (.DIODE(wbm_dat_i[28]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold320_A (.DIODE(net454),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold36_A (.DIODE(net65),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold323_A (.DIODE(net491),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold37_A (.DIODE(wbm_dat_i[20]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold326_A (.DIODE(net523),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold39_A (.DIODE(wbm_dat_i[13]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold329_A (.DIODE(net510),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold3_A (.DIODE(wbm_adr_i[2]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold331_A (.DIODE(net440),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold41_A (.DIODE(wbm_dat_i[5]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold334_A (.DIODE(net417),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold43_A (.DIODE(wbm_dat_i[19]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold337_A (.DIODE(net403),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold44_A (.DIODE(net69),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold339_A (.DIODE(net468),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold45_A (.DIODE(wbm_dat_i[24]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold342_A (.DIODE(net414),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold46_A (.DIODE(net70),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold347_A (.DIODE(net411),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold47_A (.DIODE(wbm_dat_i[25]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold349_A (.DIODE(net463),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold49_A (.DIODE(wbm_dat_i[8]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold34_A (.DIODE(wbm_dat_i[8]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold51_A (.DIODE(wbm_dat_i[23]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold353_A (.DIODE(net436),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold52_A (.DIODE(net71),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold358_A (.DIODE(net488),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold53_A (.DIODE(wbm_dat_i[26]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold366_A (.DIODE(net497),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold54_A (.DIODE(net72),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold368_A (.DIODE(net506),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold55_A (.DIODE(wbm_dat_i[27]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold373_A (.DIODE(net560),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold56_A (.DIODE(net62),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold37_A (.DIODE(wbm_dat_i[28]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold57_A (.DIODE(wbm_dat_i[18]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold380_A (.DIODE(net398),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold58_A (.DIODE(net500),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold384_A (.DIODE(net528),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold59_A (.DIODE(net67),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold390_A (.DIODE(wbm_dat_i[27]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold5_A (.DIODE(wbm_sel_i[3]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold392_A (.DIODE(net445),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold60_A (.DIODE(wbm_dat_i[22]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold394_A (.DIODE(net567),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold61_A (.DIODE(net81),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold395_A (.DIODE(wbm_dat_i[26]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold62_A (.DIODE(wbm_dat_i[6]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold396_A (.DIODE(wbm_dat_i[18]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold64_A (.DIODE(wbm_dat_i[10]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold397_A (.DIODE(wbm_dat_i[24]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold65_A (.DIODE(net53),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold398_A (.DIODE(net432),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold66_A (.DIODE(wbm_dat_i[0]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold3_A (.DIODE(wbm_dat_i[29]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold67_A (.DIODE(net61),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold407_A (.DIODE(net576),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold68_A (.DIODE(wbm_dat_i[17]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold409_A (.DIODE(net424),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold70_A (.DIODE(wbm_dat_i[1]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold412_A (.DIODE(net532),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold71_A (.DIODE(net60),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold418_A (.DIODE(net514),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold72_A (.DIODE(wbm_dat_i[16]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold41_A (.DIODE(wbm_dat_i[23]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold77_A (.DIODE(wbm_adr_i[6]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold420_A (.DIODE(net450),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold78_A (.DIODE(net47),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold44_A (.DIODE(net734),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold79_A (.DIODE(wbm_adr_i[4]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold45_A (.DIODE(wbm_dat_i[0]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold7_A (.DIODE(wbm_sel_i[1]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold50_A (.DIODE(wbm_dat_i[19]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold81_A (.DIODE(wbm_sel_i[0]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold55_A (.DIODE(wbm_dat_i[21]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold83_A (.DIODE(wbm_adr_i[8]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold59_A (.DIODE(wbm_dat_i[7]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold85_A (.DIODE(wbm_adr_i[5]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold68_A (.DIODE(wbm_dat_i[15]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold87_A (.DIODE(net528),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold73_A (.DIODE(wbm_dat_i[2]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold89_A (.DIODE(wbm_adr_i[9]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold80_A (.DIODE(wbm_sel_i[1]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold91_A (.DIODE(wbm_adr_i[7]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold84_A (.DIODE(wbm_dat_i[20]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold94_A (.DIODE(net535),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold8_A (.DIODE(wbm_dat_i[31]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold98_A (.DIODE(net85),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold93_A (.DIODE(wbm_dat_i[14]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold99_A (.DIODE(wbm_rst_i),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_hold96_A (.DIODE(wbm_dat_i[5]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_hold9_A (.DIODE(wbm_dat_i[3]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -9761,7 +10434,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input44_A (.DIODE(wbm_adr_i[23]),
+ sky130_fd_sc_hd__diode_2 ANTENNA_input43_A (.DIODE(wbm_adr_i[10]),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -9786,11 +10459,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input85_A (.DIODE(wbm_rst_i),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA_input8_A (.DIODE(bist_sdo),
.VGND(vssd1),
.VNB(vssd1),
@@ -9801,11 +10469,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_input91_A (.DIODE(wbm_we_i),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA_input9_A (.DIODE(func_dout_a[0]),
.VGND(vssd1),
.VNB(vssd1),
@@ -9856,7 +10519,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_output118_A (.DIODE(net118),
+ sky130_fd_sc_hd__diode_2 ANTENNA_output168_A (.DIODE(net168),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_output169_A (.DIODE(net169),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_output170_A (.DIODE(net170),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_output93_A (.DIODE(net93),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -9886,12 +10564,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_rebuffer319_A (.DIODE(_0965_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA_split421_A (.DIODE(net717),
+ sky130_fd_sc_hd__diode_2 ANTENNA_repeater193_A (.DIODE(\u_async_wb.u_cmd_if.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -9901,6 +10574,16 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_u_buf_wb_rst_A (.DIODE(\u_glb_ctrl.gen_bit_reg[0].u_bit_reg.data_out ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_u_clkbuf_bist_A (.DIODE(\u_cpu_clk_sel.X ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA_u_clkbuf_mem_A (.DIODE(\u_mem_clk_sel.X ),
.VGND(vssd1),
.VNB(vssd1),
@@ -9916,6 +10599,16 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 \ANTENNA_u_mem_clk_sel.u_mux_A0 (.DIODE(\u_mem_clk_sel.A0 ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 \ANTENNA_u_mem_clk_sel.u_mux_S (.DIODE(\u_glb_ctrl.gen_bit_reg[14].u_bit_reg.data_out ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 \ANTENNA_u_mem_ref_sel.u_mux_A0 (.DIODE(net41),
.VGND(vssd1),
.VNB(vssd1),
@@ -9935,11 +10628,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_11 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_125 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_0_113 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -9947,11 +10636,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_141 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_0_141 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -9959,19 +10644,19 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_181 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_0_185 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_192 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_206 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_209 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -9983,7 +10668,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_237 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -10003,7 +10688,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_0_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_269 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_0_275 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -10011,43 +10700,67 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_285 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_0_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_292 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_298 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_0_3 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_304 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_312 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_318 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_352 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_324 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_0_330 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_372 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_339 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_0_345 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_0_351 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_0_357 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_0_363 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_0_367 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_0_373 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -10055,19 +10768,19 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_0_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_0_385 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_0_391 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_393 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_409 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_405 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -10075,75 +10788,75 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_0_417 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_421 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_0_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_433 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_0_445 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_451 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_449 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_458 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_461 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_464 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_0_473 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_477 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_489 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_0_480 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_0_501 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_0_495 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_505 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_503 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_51 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_0_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_517 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_0_529 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_0_526 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_533 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_535 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_545 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_541 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_0_549 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_547 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_0_55 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -10155,31 +10868,19 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_563 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_561 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_573 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_575 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_0_585 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_0_581 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_587 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_591 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_597 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_589 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -10187,51 +10888,39 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_603 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_601 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_0_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_0_613 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_0_617 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_619 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_622 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_625 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_0_634 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_631 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_0_642 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_0_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_645 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_657 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_647 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_653 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_0_665 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_0_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_0_669 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -10247,10 +10936,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_7 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_0_701 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -10263,15 +10948,15 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_0_729 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_0_741 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_0_736 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_0_753 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_0_748 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -10279,10 +10964,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_0_76 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_0_769 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -10299,6 +10980,10 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_0_80 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_0_801 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -10327,59 +11012,51 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_0_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_0_85 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_10 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_105 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_100_11 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_111 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_114 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_100_131 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_120 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_139 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_126 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_143 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_100_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_100_149 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_143 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_100_157 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_155 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_163 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_175 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_179 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_100_19 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_100_187 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -10387,23 +11064,19 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_100_197 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_212 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_221 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_224 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_100_233 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_100_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_236 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -10411,39 +11084,31 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_100_244 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_248 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_257 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_253 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_259 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_100_281 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_272 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_100_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_284 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_296 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_100_299 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_3 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_100_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_100_3 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -10451,51 +11116,43 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_323 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_32 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_333 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_321 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_100_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_333 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_345 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_100_348 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_100_357 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_100_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_363 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_365 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_365 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_100_377 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_100_369 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_382 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_373 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_380 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_387 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_388 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -10515,15 +11172,15 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_42 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_421 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_100_425 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_100_430 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -10535,19 +11192,19 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_458 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_456 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_100_462 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_46 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_100_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_464 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_100_475 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_472 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -10583,27 +11240,27 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_537 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_100_537 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_544 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_100_545 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_551 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_550 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_558 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_562 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_100_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_100_574 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_100_582 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_100_586 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -10611,6 +11268,10 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_100_60 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_100_601 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -10619,10 +11280,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_62 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_100_625 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -10675,6 +11332,10 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_100_74 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__decap_6 FILLER_100_749 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -10687,10 +11348,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_100_76 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_100_769 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -10703,6 +11360,10 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_100_80 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__decap_6 FILLER_100_805 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -10727,15 +11388,19 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_89 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_100_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_100_97 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_101_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_10 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_101_103 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -10743,19 +11408,23 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_116 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_113 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_121 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_128 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_129 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_101_134 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_135 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_101_139 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -10767,10 +11436,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_16 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__decap_6 FILLER_101_161 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -10835,7 +11500,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_101_29 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -10843,7 +11508,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_101_3 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -10867,35 +11532,27 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_101_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_101_349 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_101_361 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_101_365 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_101_377 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_37 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_38 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_374 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_381 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_101_389 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -10911,10 +11568,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_101_41 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_101_416 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -10947,11 +11600,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_466 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_101_466 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_101_474 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -10983,11 +11636,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_101_522 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_522 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_101_530 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_528 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -11071,6 +11724,10 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_101_71 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__decap_12 FILLER_101_713 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -11087,10 +11744,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_101_75 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__decap_3 FILLER_101_753 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -11111,11 +11764,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_101_797 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_79 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_8 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_101_797 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -11143,15 +11796,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_89 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_101_95 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_101_97 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_103 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -11159,7 +11808,15 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_113 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_10_125 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_10_129 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -11167,27 +11824,43 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_10_149 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_149 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_155 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_153 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_10_162 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_176 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_168 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_177 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_10_189 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_10_195 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_10_204 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_10_214 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_10_222 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -11195,19 +11868,19 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_241 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_10_230 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_239 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_10_246 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_10_260 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -11215,7 +11888,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_287 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_288 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_10_294 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -11223,63 +11900,67 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_318 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_311 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_10_317 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_326 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_33 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_10_333 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_349 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_343 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_10_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_354 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_10_368 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_360 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_383 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_37 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_10_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_10_375 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_390 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_381 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_10_397 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_392 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_408 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_398 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_415 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_402 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_405 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_10_416 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -11287,155 +11968,127 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_10_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_10_438 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_45 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_446 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_46 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_466 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_10_467 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_475 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_479 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_479 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_491 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_492 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_503 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_515 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_527 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_504 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_531 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_10_539 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_514 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_547 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_10_520 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_550 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_557 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_54 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_56 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_540 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_10_581 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_546 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_587 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_562 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_591 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_575 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_10_603 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_10_582 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_608 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_614 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_624 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_634 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_640 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_10_648 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_617 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_661 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_623 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_668 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_629 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_68 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_10_635 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_680 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_643 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_647 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_659 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_66 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_671 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_683 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_10_695 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_10_692 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -11443,19 +12096,27 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_10_713 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_725 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_10_719 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_737 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_722 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_10_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_732 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_10_738 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_10_751 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -11463,31 +12124,23 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_10_764 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_10_790 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_10_78 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_10_80 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_10_802 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_10_793 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_10_805 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_10_811 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_10_810 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -11507,19 +12160,23 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_10_91 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_11_108 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_12 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_121 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_11_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_133 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_145 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -11527,11 +12184,15 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_11_16 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_11_164 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_11_169 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_169 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -11539,43 +12200,39 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_184 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_191 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_193 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_202 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_212 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_11_217 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_220 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_223 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_228 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_11_252 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_237 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_258 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_11_24 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_26 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_258 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_262 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -11583,15 +12240,15 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_11_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_291 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_297 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_3 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -11599,23 +12256,15 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_11_303 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_316 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_315 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_323 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_331 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_11_327 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -11623,43 +12272,31 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_339 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_345 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_366 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_372 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_373 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_11_386 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_11_395 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_11_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_40 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_39 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_391 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_393 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_401 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_11_403 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -11667,19 +12304,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_412 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_419 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_420 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_11_427 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_430 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -11687,43 +12316,31 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_456 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_11_449 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_462 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_460 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_466 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_467 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_487 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_471 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_11_493 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_499 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_11_501 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_503 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_11_505 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_510 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_11_516 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_11_505 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -11731,19 +12348,15 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_11_529 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_534 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_535 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_541 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_539 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_11_545 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_553 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -11751,31 +12364,19 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_11_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_11_568 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_571 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_11_581 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_582 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_589 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_11_589 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_60 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_600 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_606 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_600 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -11783,83 +12384,87 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_619 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_617 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_625 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_628 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_631 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_635 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_639 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_649 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_647 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_11_661 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_651 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_11_669 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_661 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_668 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_685 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_11_67 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_697 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_673 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_709 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_681 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_11_721 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_11_689 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_698 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_704 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_73 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_11_716 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_741 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_724 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_753 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_11_735 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_11_765 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_743 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_11_777 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_753 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_11_783 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_11_764 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_11_776 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -11895,15 +12500,15 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_11_95 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_11_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_11_99 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_101 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -11911,7 +12516,15 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_12_132 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_110 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_12_122 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_12_134 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -11919,127 +12532,123 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_157 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_164 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_166 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_175 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_12_190 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_181 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_200 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_192 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_214 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_207 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_221 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_211 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_23 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_219 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_12_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_226 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_240 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_12_233 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_248 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_239 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_24 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_263 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_12_243 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_251 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_255 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_283 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_259 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_12_291 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_12_263 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_12_292 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_298 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_12_302 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_311 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_333 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_335 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_347 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_351 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_359 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_12_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_363 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_367 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_368 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_383 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_380 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_12_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_12_394 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_390 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_400 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_397 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_403 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_404 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_12_411 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_415 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -12051,19 +12660,19 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_430 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_12_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_437 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_45 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_461 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_458 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_465 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -12075,27 +12684,27 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_479 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_484 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_483 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_49 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_491 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_12_501 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_498 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_509 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_510 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_12_519 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_522 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -12103,19 +12712,15 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_540 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_535 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_12_547 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_12_544 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_553 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_12_557 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_12_555 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -12123,31 +12728,31 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_563 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_12_571 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_12_584 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_591 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_589 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_600 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_621 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_612 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_627 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_12_616 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_12_620 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_12_628 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -12155,35 +12760,19 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_639 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_640 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_654 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_645 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_12_667 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_657 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_669 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_68 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_681 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_12_693 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_695 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -12191,19 +12780,23 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_704 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_12_711 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_725 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_12_721 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_737 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_12_731 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_12_742 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -12215,19 +12808,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_760 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_769 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_781 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_12_793 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_12_792 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -12235,11 +12820,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_12_805 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_12_811 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_12_804 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -12259,39 +12840,19 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_12_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_12_95 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_12_95 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_108 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_13_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_13_134 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_111 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_113 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_122 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_136 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_15 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_150 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_148 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -12299,39 +12860,51 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_175 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_13_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_179 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_195 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_186 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_13_216 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_194 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_13_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_198 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_247 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_220 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_258 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_228 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_268 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_235 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_242 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_13_249 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_13_255 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_13_261 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -12339,7 +12912,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_287 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_283 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_13_289 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -12347,79 +12924,75 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_301 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_13_307 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_309 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_315 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_13_319 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_13_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_33 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_13_330 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_339 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_355 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_351 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_362 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_366 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_369 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_373 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_376 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_380 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_13_383 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_393 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_40 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_13_401 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_13_417 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_423 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_427 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_427 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_434 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_13_441 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_13_439 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -12427,27 +13000,19 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_13_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_449 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_455 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_461 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_468 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_465 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_474 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_478 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_482 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_472 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -12455,15 +13020,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_13_505 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_513 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_517 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_13_513 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -12471,47 +13032,51 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_13_526 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_13_535 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_532 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_543 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_546 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_550 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_555 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_556 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_559 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_13_561 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_570 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_13_572 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_576 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_578 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_580 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_586 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_584 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_13_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_591 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_13_607 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_597 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_13_609 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -12519,51 +13084,39 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_619 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_617 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_625 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_629 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_631 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_63 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_635 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_662 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_649 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_668 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_65 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_13_673 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_13_661 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_695 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_13_669 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_673 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_685 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_697 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_702 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -12571,39 +13124,35 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_13_721 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_13_721 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_724 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_735 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_741 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_742 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_753 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_13_75 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_765 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_754 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_13_77 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_766 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_13_777 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_783 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_13_778 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -12611,19 +13160,15 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_797 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_800 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_13_809 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_807 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_13_821 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_13_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_13_814 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -12639,7 +13184,15 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_101 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_13_9 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_13_92 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_14_104 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -12647,7 +13200,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_129 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -12655,19 +13208,27 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_14_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_141 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_148 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_14_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_162 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_181 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_170 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_14_178 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_14_186 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -12675,19 +13236,27 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_205 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_200 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_14_216 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_208 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_224 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_215 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_232 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_222 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_14_229 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_14_237 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -12695,23 +13264,27 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_14_246 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_248 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_259 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_14_255 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_14_269 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_268 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_275 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_275 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_282 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_281 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_14_287 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -12719,7 +13292,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_296 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_293 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_14_299 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -12727,27 +13304,47 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_307 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_311 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_322 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_348 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_333 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_360 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_345 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_369 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_14_357 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_14_36 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_14_363 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_14_365 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_14_368 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_14_372 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -12755,171 +13352,167 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_14_38 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_383 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_380 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_395 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_407 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_398 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_416 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_402 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_429 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_14_411 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_14_461 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_469 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_472 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_431 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_477 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_438 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_485 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_445 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_497 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_14_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_509 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_14_521 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_14_470 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_14_529 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_14_480 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_533 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_508 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_545 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_522 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_14_557 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_563 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_14_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_568 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_575 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_570 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_587 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_14_582 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_589 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_592 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_60 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_596 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_14_601 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_618 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_611 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_624 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_619 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_630 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_631 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_14_636 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_14_643 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_14_645 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_653 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_651 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_662 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_67 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_668 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_68 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_683 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_680 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_695 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_14_692 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_699 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_703 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_715 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_14_727 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_725 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_733 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_737 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_14_746 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_14_749 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_755 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_14_754 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -12927,39 +13520,27 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_771 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_14_795 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_14_79 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_80 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_793 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_14_803 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_14_805 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_808 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_811 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_14_813 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_14_825 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_14_83 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_14_816 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -12971,7 +13552,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_14_93 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_14_88 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_15_102 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -12979,43 +13564,59 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_15_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_116 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_12 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_140 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_127 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_152 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_137 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_164 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_147 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_176 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_155 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_189 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_163 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_201 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_167 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_179 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_21 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_187 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_15_194 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_15_201 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_15_209 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_15_213 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -13023,7 +13624,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_15_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_227 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -13031,11 +13632,23 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_261 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_15_24 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_15_271 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_243 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_15_249 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_15_253 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_15_275 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -13047,191 +13660,183 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_307 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_289 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_3 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_15_327 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_313 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_15_33 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_317 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_335 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_320 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_15_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_332 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_15_370 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_15_337 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_15_386 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_343 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_15_393 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_15_355 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_15_38 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_15_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_15_384 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_393 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_426 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_15_405 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_433 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_15_414 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_15_440 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_15_422 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_427 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_15_439 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_463 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_444 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_471 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_449 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_15_478 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_15_463 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_15_49 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_15_471 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_493 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_484 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_15_496 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_505 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_505 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_516 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_15_517 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_52 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_15_534 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_15_522 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_542 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_530 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_55 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_15_533 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_15_553 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_541 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_559 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_545 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_571 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_548 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_15_577 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_564 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_583 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_15_570 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_592 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_15_578 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_15_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_586 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_604 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_599 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_15_608 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_60 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_61 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_606 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_620 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_612 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_626 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_617 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_632 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_639 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_638 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_15_653 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_644 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_15_665 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_650 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_656 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_668 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_671 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -13251,6 +13856,10 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_15_72 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__decap_6 FILLER_15_721 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -13259,47 +13868,43 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_15_729 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_741 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_737 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_753 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_741 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_765 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_762 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_15_777 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_15_773 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_783 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_15_781 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_15_805 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_797 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_15_811 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_15_809 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_815 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_821 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_15_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_822 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -13311,39 +13916,43 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_15_84 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__fill_1 FILLER_15_841 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_85 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_15_90 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_9 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_16_105 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_15_97 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_11 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_120 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_16_131 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_129 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_16_139 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_136 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_145 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_16_15 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -13351,15 +13960,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_16_165 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_160 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_18 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_180 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_185 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -13367,15 +13972,27 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_197 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_200 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_16_222 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_16_207 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_239 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_16_218 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_16_226 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_16_230 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_16_236 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -13383,127 +14000,171 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_16_242 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_16_248 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_16_253 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_265 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_257 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_273 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_264 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_284 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_270 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_294 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_276 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_16_282 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_16_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_16_29 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_32 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_16_300 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_16_342 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_16_309 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_348 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_16_320 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_16_356 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_348 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_368 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_360 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_16_365 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_369 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_399 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_380 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_16_411 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_392 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_419 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_16_404 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_16_428 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_16_412 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_416 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_16_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_428 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_467 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_435 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_472 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_447 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_16_477 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_459 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_16_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_471 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_16_475 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_16_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_487 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_16_543 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_16_493 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_56 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_50 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_16_501 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_16_575 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_16_505 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_16_513 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_16_518 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_16_524 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_16_539 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_16_547 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_16_551 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_16_561 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_16_565 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_16_569 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_16_577 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -13511,59 +14172,35 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_592 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_16_589 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_16_598 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_593 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_6 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_606 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_609 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_617 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_624 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_621 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_16_636 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_627 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_16_645 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_633 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_639 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_64 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_643 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_647 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_653 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_16_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_653 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -13571,15 +14208,19 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_677 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_16_677 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_16_689 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_681 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_16_697 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_687 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_16_699 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -13595,11 +14236,15 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_737 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_74 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_16_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_740 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_16_751 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -13607,39 +14252,31 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_759 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_16_76 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_772 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_778 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_16_80 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_793 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_16_802 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_16_805 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_16_810 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_16_811 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_16_813 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_16_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_16_816 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -13655,27 +14292,35 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_17_104 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_121 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_115 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_125 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_12 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_13 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_126 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_17_146 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_136 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_144 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_17_152 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_17_159 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -13683,15 +14328,23 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_17_177 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_169 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_192 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_177 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_196 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_17_184 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_17_192 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_17_197 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -13703,31 +14356,35 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_17_218 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_17_217 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_17_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_223 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_225 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_244 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_233 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_25 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_239 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_245 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_264 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_17_251 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_17_268 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -13735,19 +14392,27 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_17_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_17_283 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_301 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_293 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_312 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_17_3 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_316 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_304 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_17_311 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_17_318 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -13755,31 +14420,23 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_33 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_17_332 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_17_341 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_337 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_357 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_36 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_17_364 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_17_361 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_372 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_377 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_367 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -13787,27 +14444,19 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_17_400 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_17_393 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_408 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_17_401 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_405 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_423 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_430 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_437 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_420 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -13815,55 +14464,47 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_17_452 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_17_449 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_17_47 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_455 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_479 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_458 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_17_486 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_465 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_492 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_17_48 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_500 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_489 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_17_513 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_17_496 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_519 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_17_508 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_527 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_17_517 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_531 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_546 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_539 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_55 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_17_551 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_17_553 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -13871,43 +14512,31 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_17_564 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_17_569 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_583 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_17_607 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_606 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_615 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_626 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_619 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_17_632 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_625 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_631 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_637 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_17_640 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -13915,47 +14544,43 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_655 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_17_65 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_17_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_662 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_661 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_668 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_667 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_17_673 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_677 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_687 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_685 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_694 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_697 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_706 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_709 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_17_718 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_17_721 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_17_726 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -13963,47 +14588,39 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_74 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_17_741 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_741 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_17_749 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_753 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_755 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_765 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_17_759 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_17_777 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_769 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_783 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_780 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_785 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_17_805 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_797 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_17_812 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_17_809 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_821 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_825 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_17_824 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -14011,10 +14628,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_83 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_17_836 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -14023,23 +14636,15 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_17_87 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_17_92 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_17_96 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_101 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_105 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_116 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_128 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_111 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -14047,15 +14652,23 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_141 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_14 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_165 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_151 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_175 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_157 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_18_164 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_18_168 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -14071,15 +14684,23 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_214 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_205 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_222 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_209 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_233 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_223 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_18_234 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_18_238 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -14087,271 +14708,271 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_18_243 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_248 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_251 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_269 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_260 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_276 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_266 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_18_282 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_278 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_288 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_288 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_18_29 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_29 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_291 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_298 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_3 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_18_302 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_304 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_311 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_315 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_317 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_319 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_329 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_328 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_18_336 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_34 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_346 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_18_340 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_18_353 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_18_358 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_18_361 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_385 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_18_365 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_18_397 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_369 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_410 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_380 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_416 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_394 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_18_424 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_40 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_432 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_401 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_436 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_18_412 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_18_443 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_18_442 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_18_450 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_46 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_454 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_18_470 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_18_462 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_480 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_47 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_484 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_472 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_488 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_479 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_502 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_490 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_516 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_514 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_18_525 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_540 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_531 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_552 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_18_533 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_560 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_539 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_567 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_563 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_18_573 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_57 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_58 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_577 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_584 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_583 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_589 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_587 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_613 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_18_595 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_620 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_601 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_626 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_622 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_632 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_18_633 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_18_638 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_18_641 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_647 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_18_645 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_653 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_65 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_659 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_667 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_665 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_671 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_677 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_678 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_18_689 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_688 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_18_697 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_695 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_70 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_699 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_701 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_18_7 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_713 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_704 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_725 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_716 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_737 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_728 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_18_749 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_742 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_755 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_18_75 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_757 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_752 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_769 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_760 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_781 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_771 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_18_793 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_778 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_18_80 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_18_790 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_18_805 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_18_802 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_18_811 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_18_810 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -14363,6 +14984,10 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_18_83 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_18_831 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -14371,63 +14996,71 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_108 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_18_91 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_113 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_19_106 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_119 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_126 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_127 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_139 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_135 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_15 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_142 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_151 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_149 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_163 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_153 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_167 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_164 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_19_176 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_169 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_173 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_198 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_19_181 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_209 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_192 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_220 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_196 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_2 FILLER_19_225 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_203 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_19_217 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_19_223 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -14435,19 +15068,23 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_19_247 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_239 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_253 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_245 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_262 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_249 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_27 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_258 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_19_270 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -14455,111 +15092,135 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_19_289 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_281 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_3 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_292 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_303 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_296 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_317 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_300 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_325 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_307 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_332 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_31 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_19_337 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_314 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_19_344 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_19_326 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_372 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_19_334 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_19_383 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_339 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_39 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_345 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_391 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_357 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_19_400 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_360 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_413 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_19_367 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_43 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_19_375 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_437 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_388 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_444 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_41 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_19_459 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_19_414 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_465 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_427 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_474 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_434 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_481 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_19_446 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_485 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_19_449 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_489 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_3 FILLER_19_457 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_19_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_462 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_52 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_469 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_526 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_480 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_19_533 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_488 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_19_495 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_19_503 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_19_507 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_19_51 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_19_531 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_19_540 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -14567,115 +15228,135 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_19_554 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_55 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_19_564 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_19_553 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_559 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_577 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_563 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_588 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_19_575 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_612 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_581 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_619 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_584 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_625 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_591 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_631 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_595 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_600 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_19_61 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_649 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_611 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_655 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_615 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_19_66 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_19_617 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_667 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_625 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_19_646 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_673 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_19_658 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_685 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_664 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_697 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_668 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_709 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_19_679 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_72 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_694 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_19_721 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_7 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_19_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_19_718 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_19_726 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_741 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_19_729 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_753 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_73 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_19_765 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_19_735 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_19_777 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_19_739 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_19_743 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_19_746 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_19_756 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_19_773 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_19_779 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -14695,6 +15376,10 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_19_81 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_19_821 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -14707,10 +15392,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_83 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__decap_4 FILLER_19_836 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -14719,27 +15400,23 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_19_95 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_108 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_107 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_113 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_111 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_12 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_122 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_126 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_138 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_154 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_150 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -14747,23 +15424,15 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_178 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_175 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_191 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_199 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_204 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_1_217 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_22 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_1_215 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -14771,11 +15440,19 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_1_234 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_234 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_260 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_1_246 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_1_252 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_1_259 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -14783,79 +15460,115 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_28 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_284 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_287 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_1_290 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_311 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_316 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_322 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_323 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_1_330 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_1_329 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_1_345 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_335 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_353 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_339 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_375 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_345 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_381 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_349 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_388 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_359 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_396 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_36 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_1_403 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_365 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_430 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_371 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_44 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_377 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_1_442 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_1_383 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_3 FILLER_1_449 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_391 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_455 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_395 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_469 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_401 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_8 FILLER_1_496 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_413 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_1_425 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_1_437 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_1_444 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_1_454 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_1_466 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_1_478 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_1_490 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_1_502 (.VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_1_508 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -14863,15 +15576,15 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_528 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_520 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_540 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_524 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_546 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_545 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -14879,83 +15592,75 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_563 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_564 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_569 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_57 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_57 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_576 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_575 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_2 FILLER_1_588 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_581 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_1_593 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_587 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_599 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_593 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_1_603 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_599 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_61 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_605 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_612 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_611 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_617 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_615 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_621 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_619 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_628 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_62 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_632 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_625 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_635 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_631 (.VGND(vssd1),
+ sky130_fd_sc_hd__fill_1 FILLER_1_639 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_637 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_642 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_643 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_648 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_655 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_667 (.VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_671 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_660 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -14963,43 +15668,43 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_685 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_687 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_697 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_1_693 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_709 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_701 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_1_721 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_713 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__fill_1 FILLER_1_727 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_1_720 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_729 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_732 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_741 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_739 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_753 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_751 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_12 FILLER_1_765 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_12 FILLER_1_763 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_6 FILLER_1_777 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_8 FILLER_1_775 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
@@ -15043,67 +15748,79 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_9 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_1_98 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_1_94 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_6 FILLER_20_102 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_105 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_128 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__decap_4 FILLER_20_117 (.VGND(vssd1),
+ sky130_fd_sc_hd__decap_4 FILLER_20_135 (.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));