blob: 503282a3acaac68c605b0cd4f83c8c8ce57089ae [file] [log] [blame]
(DELAYFILE
(SDFVERSION "3.0")
(DESIGN "user_proj_example")
(DATE "Tue Mar 22 08:21:42 2022")
(VENDOR "Parallax")
(PROGRAM "STA")
(VERSION "2.3.1")
(DIVIDER .)
(VOLTAGE 1.800::1.800)
(PROCESS "1.000::1.000")
(TEMPERATURE 25.000::25.000)
(TIMESCALE 1ns)
(CELL
(CELLTYPE "user_proj_example")
(INSTANCE)
(DELAY
(ABSOLUTE
(INTERCONNECT la_data_in[0] input1.A (0.014:0.014:0.014) (0.006:0.006:0.006))
(INTERCONNECT la_data_in[0] ANTENNA_input1_A.DIODE (0.014:0.014:0.014) (0.006:0.006:0.006))
(INTERCONNECT la_data_in[64] input2.A (0.019:0.019:0.019) (0.008:0.008:0.008))
(INTERCONNECT la_data_in[64] ANTENNA_input2_A.DIODE (0.019:0.019:0.019) (0.008:0.008:0.008))
(INTERCONNECT la_data_in[65] input3.A (0.017:0.017:0.017) (0.008:0.008:0.008))
(INTERCONNECT la_data_in[65] ANTENNA_input3_A.DIODE (0.017:0.017:0.017) (0.008:0.008:0.008))
(INTERCONNECT la_oenb[32] input4.A (0.016:0.016:0.016) (0.007:0.007:0.007))
(INTERCONNECT la_oenb[32] ANTENNA_input4_A.DIODE (0.016:0.016:0.016) (0.007:0.007:0.007))
(INTERCONNECT la_oenb[64] input5.A (0.020:0.020:0.020) (0.009:0.009:0.009))
(INTERCONNECT la_oenb[64] ANTENNA_input5_A.DIODE (0.020:0.020:0.020) (0.009:0.009:0.009))
(INTERCONNECT la_oenb[65] input6.A (0.017:0.017:0.017) (0.007:0.007:0.007))
(INTERCONNECT la_oenb[65] ANTENNA_input6_A.DIODE (0.017:0.017:0.017) (0.007:0.007:0.007))
(INTERCONNECT wb_clk_i _149_.A1 (0.181:0.181:0.181) (0.093:0.093:0.093))
(INTERCONNECT wb_clk_i ANTENNA__149__A1.DIODE (0.181:0.181:0.181) (0.093:0.093:0.093))
(INTERCONNECT wb_rst_i input7.A (0.035:0.035:0.035) (0.016:0.016:0.016))
(INTERCONNECT wb_rst_i ANTENNA_input7_A.DIODE (0.036:0.036:0.036) (0.016:0.016:0.016))
(INTERCONNECT wbs_cyc_i input8.A (0.026:0.026:0.026) (0.012:0.012:0.012))
(INTERCONNECT wbs_cyc_i ANTENNA_input8_A.DIODE (0.026:0.026:0.026) (0.012:0.012:0.012))
(INTERCONNECT wbs_dat_i[0] input9.A (0.029:0.029:0.029) (0.013:0.013:0.013))
(INTERCONNECT wbs_dat_i[0] ANTENNA_input9_A.DIODE (0.029:0.029:0.029) (0.013:0.013:0.013))
(INTERCONNECT wbs_dat_i[1] input10.A (0.030:0.030:0.030) (0.014:0.014:0.014))
(INTERCONNECT wbs_dat_i[1] ANTENNA_input10_A.DIODE (0.030:0.030:0.030) (0.014:0.014:0.014))
(INTERCONNECT wbs_dat_i[2] input11.A (0.033:0.033:0.033) (0.015:0.015:0.015))
(INTERCONNECT wbs_dat_i[2] ANTENNA_input11_A.DIODE (0.033:0.033:0.033) (0.015:0.015:0.015))
(INTERCONNECT wbs_dat_i[3] input12.A (0.032:0.032:0.032) (0.015:0.015:0.015))
(INTERCONNECT wbs_dat_i[3] ANTENNA_input12_A.DIODE (0.032:0.032:0.032) (0.015:0.015:0.015))
(INTERCONNECT wbs_dat_i[4] input13.A (0.036:0.036:0.036) (0.017:0.017:0.017))
(INTERCONNECT wbs_dat_i[4] ANTENNA_input13_A.DIODE (0.036:0.036:0.036) (0.017:0.017:0.017))
(INTERCONNECT wbs_dat_i[5] input14.A (0.039:0.039:0.039) (0.018:0.018:0.018))
(INTERCONNECT wbs_dat_i[5] ANTENNA_input14_A.DIODE (0.039:0.039:0.039) (0.018:0.018:0.018))
(INTERCONNECT wbs_dat_i[6] input15.A (0.037:0.037:0.037) (0.017:0.017:0.017))
(INTERCONNECT wbs_dat_i[6] ANTENNA_input15_A.DIODE (0.037:0.037:0.037) (0.017:0.017:0.017))
(INTERCONNECT wbs_dat_i[7] input16.A (0.036:0.036:0.036) (0.017:0.017:0.017))
(INTERCONNECT wbs_dat_i[7] ANTENNA_input16_A.DIODE (0.036:0.036:0.036) (0.017:0.017:0.017))
(INTERCONNECT wbs_sel_i[0] input17.A (0.031:0.031:0.031) (0.014:0.014:0.014))
(INTERCONNECT wbs_sel_i[0] ANTENNA_input17_A.DIODE (0.031:0.031:0.031) (0.014:0.014:0.014))
(INTERCONNECT wbs_stb_i input18.A (0.025:0.025:0.025) (0.011:0.011:0.011))
(INTERCONNECT wbs_stb_i ANTENNA_input18_A.DIODE (0.025:0.025:0.025) (0.011:0.011:0.011))
(INTERCONNECT wbs_we_i input19.A (0.027:0.027:0.027) (0.012:0.012:0.012))
(INTERCONNECT wbs_we_i ANTENNA_input19_A.DIODE (0.027:0.027:0.027) (0.012:0.012:0.012))
(INTERCONNECT _111_.X _112_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _112_.X _476_.A (0.159:0.159:0.159) (0.141:0.141:0.141))
(INTERCONNECT _112_.X _475_.A (0.159:0.159:0.159) (0.141:0.141:0.141))
(INTERCONNECT _112_.X _474_.A (0.158:0.158:0.158) (0.141:0.141:0.141))
(INTERCONNECT _112_.X _473_.A (0.158:0.158:0.158) (0.140:0.140:0.140))
(INTERCONNECT _112_.X _472_.A (0.157:0.157:0.157) (0.140:0.140:0.140))
(INTERCONNECT _112_.X _471_.A (0.156:0.156:0.156) (0.139:0.139:0.139))
(INTERCONNECT _112_.X _470_.A (0.155:0.155:0.155) (0.138:0.138:0.138))
(INTERCONNECT _112_.X _469_.A (0.154:0.154:0.154) (0.137:0.137:0.137))
(INTERCONNECT _112_.X _468_.A (0.153:0.153:0.153) (0.136:0.136:0.136))
(INTERCONNECT _112_.X _467_.A (0.151:0.151:0.151) (0.135:0.135:0.135))
(INTERCONNECT _112_.X _466_.A (0.150:0.150:0.150) (0.133:0.133:0.133))
(INTERCONNECT _112_.X _465_.A (0.148:0.148:0.148) (0.132:0.132:0.132))
(INTERCONNECT _112_.X _464_.A (0.146:0.146:0.146) (0.130:0.130:0.130))
(INTERCONNECT _112_.X _463_.A (0.149:0.149:0.149) (0.133:0.133:0.133))
(INTERCONNECT _112_.X _462_.A (0.152:0.152:0.152) (0.136:0.136:0.136))
(INTERCONNECT _112_.X _461_.A (0.155:0.155:0.155) (0.138:0.138:0.138))
(INTERCONNECT _112_.X _460_.A (0.158:0.158:0.158) (0.140:0.140:0.140))
(INTERCONNECT _112_.X _459_.A (0.160:0.160:0.160) (0.143:0.143:0.143))
(INTERCONNECT _112_.X _458_.A (0.163:0.163:0.163) (0.145:0.145:0.145))
(INTERCONNECT _112_.X _457_.A (0.165:0.165:0.165) (0.147:0.147:0.147))
(INTERCONNECT _112_.X _456_.A (0.167:0.167:0.167) (0.149:0.149:0.149))
(INTERCONNECT _112_.X _455_.A (0.169:0.169:0.169) (0.150:0.150:0.150))
(INTERCONNECT _112_.X _454_.A (0.171:0.171:0.171) (0.152:0.152:0.152))
(INTERCONNECT _112_.X _453_.A (0.173:0.173:0.173) (0.154:0.154:0.154))
(INTERCONNECT _112_.X _452_.A (0.174:0.174:0.174) (0.155:0.155:0.155))
(INTERCONNECT _112_.X _451_.A (0.176:0.176:0.176) (0.156:0.156:0.156))
(INTERCONNECT _112_.X _450_.A (0.177:0.177:0.177) (0.157:0.157:0.157))
(INTERCONNECT _112_.X _449_.A (0.178:0.178:0.178) (0.158:0.158:0.158))
(INTERCONNECT _112_.X _448_.A (0.179:0.179:0.179) (0.159:0.159:0.159))
(INTERCONNECT _112_.X _447_.A (0.180:0.180:0.180) (0.160:0.160:0.160))
(INTERCONNECT _112_.X _446_.A (0.181:0.181:0.181) (0.161:0.161:0.161))
(INTERCONNECT _112_.X _445_.A (0.181:0.181:0.181) (0.161:0.161:0.161))
(INTERCONNECT _112_.X _444_.A (0.182:0.182:0.182) (0.162:0.162:0.162))
(INTERCONNECT _112_.X _443_.A (0.182:0.182:0.182) (0.162:0.162:0.162))
(INTERCONNECT _112_.X _442_.A (0.182:0.182:0.182) (0.162:0.162:0.162))
(INTERCONNECT _112_.X _441_.A (0.182:0.182:0.182) (0.162:0.162:0.162))
(INTERCONNECT _112_.X _130_.B1 (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _112_.X output49.A (0.159:0.159:0.159) (0.142:0.142:0.142))
(INTERCONNECT _112_.X ANTENNA_output49_A.DIODE (0.159:0.159:0.159) (0.142:0.142:0.142))
(INTERCONNECT _112_.X ANTENNA__130__B1.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _112_.X ANTENNA__441__A.DIODE (0.182:0.182:0.182) (0.162:0.162:0.162))
(INTERCONNECT _112_.X ANTENNA__442__A.DIODE (0.182:0.182:0.182) (0.162:0.162:0.162))
(INTERCONNECT _112_.X ANTENNA__443__A.DIODE (0.182:0.182:0.182) (0.162:0.162:0.162))
(INTERCONNECT _112_.X ANTENNA__444__A.DIODE (0.182:0.182:0.182) (0.161:0.161:0.161))
(INTERCONNECT _112_.X ANTENNA__445__A.DIODE (0.181:0.181:0.181) (0.161:0.161:0.161))
(INTERCONNECT _112_.X ANTENNA__446__A.DIODE (0.181:0.181:0.181) (0.161:0.161:0.161))
(INTERCONNECT _112_.X ANTENNA__447__A.DIODE (0.180:0.180:0.180) (0.160:0.160:0.160))
(INTERCONNECT _112_.X ANTENNA__448__A.DIODE (0.179:0.179:0.179) (0.159:0.159:0.159))
(INTERCONNECT _112_.X ANTENNA__449__A.DIODE (0.178:0.178:0.178) (0.158:0.158:0.158))
(INTERCONNECT _112_.X ANTENNA__450__A.DIODE (0.177:0.177:0.177) (0.157:0.157:0.157))
(INTERCONNECT _112_.X ANTENNA__451__A.DIODE (0.176:0.176:0.176) (0.156:0.156:0.156))
(INTERCONNECT _112_.X ANTENNA__452__A.DIODE (0.174:0.174:0.174) (0.155:0.155:0.155))
(INTERCONNECT _112_.X ANTENNA__453__A.DIODE (0.172:0.172:0.172) (0.153:0.153:0.153))
(INTERCONNECT _112_.X ANTENNA__454__A.DIODE (0.171:0.171:0.171) (0.152:0.152:0.152))
(INTERCONNECT _112_.X ANTENNA__455__A.DIODE (0.169:0.169:0.169) (0.150:0.150:0.150))
(INTERCONNECT _112_.X ANTENNA__456__A.DIODE (0.167:0.167:0.167) (0.148:0.148:0.148))
(INTERCONNECT _112_.X ANTENNA__457__A.DIODE (0.164:0.164:0.164) (0.146:0.146:0.146))
(INTERCONNECT _112_.X ANTENNA__458__A.DIODE (0.162:0.162:0.162) (0.144:0.144:0.144))
(INTERCONNECT _112_.X ANTENNA__459__A.DIODE (0.160:0.160:0.160) (0.142:0.142:0.142))
(INTERCONNECT _112_.X ANTENNA__460__A.DIODE (0.157:0.157:0.157) (0.140:0.140:0.140))
(INTERCONNECT _112_.X ANTENNA__461__A.DIODE (0.155:0.155:0.155) (0.138:0.138:0.138))
(INTERCONNECT _112_.X ANTENNA__462__A.DIODE (0.152:0.152:0.152) (0.135:0.135:0.135))
(INTERCONNECT _112_.X ANTENNA__463__A.DIODE (0.149:0.149:0.149) (0.133:0.133:0.133))
(INTERCONNECT _112_.X ANTENNA__464__A.DIODE (0.146:0.146:0.146) (0.130:0.130:0.130))
(INTERCONNECT _112_.X ANTENNA__465__A.DIODE (0.148:0.148:0.148) (0.132:0.132:0.132))
(INTERCONNECT _112_.X ANTENNA__466__A.DIODE (0.150:0.150:0.150) (0.134:0.134:0.134))
(INTERCONNECT _112_.X ANTENNA__467__A.DIODE (0.151:0.151:0.152) (0.135:0.135:0.135))
(INTERCONNECT _112_.X ANTENNA__468__A.DIODE (0.153:0.153:0.153) (0.136:0.136:0.136))
(INTERCONNECT _112_.X ANTENNA__469__A.DIODE (0.154:0.154:0.154) (0.137:0.137:0.137))
(INTERCONNECT _112_.X ANTENNA__470__A.DIODE (0.155:0.155:0.155) (0.138:0.138:0.138))
(INTERCONNECT _112_.X ANTENNA__471__A.DIODE (0.156:0.156:0.156) (0.139:0.139:0.139))
(INTERCONNECT _112_.X ANTENNA__472__A.DIODE (0.157:0.157:0.157) (0.140:0.140:0.140))
(INTERCONNECT _112_.X ANTENNA__473__A.DIODE (0.158:0.158:0.158) (0.140:0.140:0.140))
(INTERCONNECT _112_.X ANTENNA__474__A.DIODE (0.158:0.158:0.158) (0.141:0.141:0.141))
(INTERCONNECT _112_.X ANTENNA__475__A.DIODE (0.159:0.159:0.159) (0.141:0.141:0.141))
(INTERCONNECT _112_.X ANTENNA__476__A.DIODE (0.159:0.159:0.159) (0.142:0.142:0.142))
(INTERCONNECT _113_.X _117_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _113_.X _120_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _113_.X _128_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _113_.X _131_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _113_.X _136_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _114_.X _115_.D (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _114_.X _169_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _114_.X _174_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _114_.X _199_.S0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _114_.X _201_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _115_.X _117_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _115_.X _143_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _115_.X _200_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _116_.X _117_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _116_.X _118_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _116_.X _132_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _116_.X _142_.B_N (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _116_.X _205_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _117_.X _134_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _117_.X _204_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _118_.X _122_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _118_.X _141_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _118_.X _171_.D_N (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _118_.X _211_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _118_.X _217_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _119_.X _121_.A (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT _119_.X _128_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _119_.X _136_.A_N (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _119_.X _171_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _119_.X _210_.C_N (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _120_.X _121_.B_N (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _120_.X _142_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _120_.X _171_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _120_.X _210_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _120_.X _214_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _121_.X _122_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _121_.X _211_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _121_.X _218_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _122_.Y _133_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _122_.Y _144_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _123_.X _126_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _123_.X _140_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _123_.X _219_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _123_.X _221_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _124_.X _125_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _124_.X _189_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _124_.X _191_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _124_.X _195_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _125_.Y _126_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _125_.Y _221_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _125_.Y _223_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _126_.Y _133_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _126_.Y _209_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _126_.Y _215_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _127_.Y _129_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _127_.Y _205_.B_N (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _128_.Y _129_.B1_N (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _128_.Y _146_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _128_.Y _207_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _128_.Y _214_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _128_.Y ANTENNA__214__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _128_.Y ANTENNA__207__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _128_.Y ANTENNA__146__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _128_.Y ANTENNA__129__B1_N.DIODE (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT _129_.X _133_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _130_.X _132_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _130_.X _208_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _130_.X _217_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _131_.Y _132_.C_N (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _131_.Y _208_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _131_.Y _217_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _132_.X _133_.D_N (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _132_.X _151_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _132_.X _162_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _132_.X _164_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _133_.X _134_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _134_.X _135_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _135_.X _231_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _136_.X _141_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _136_.X _208_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _137_.X _139_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _137_.X _188_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _137_.X _190_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _137_.X _192_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _137_.X _219_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _138_.X _139_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _138_.X _188_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _138_.X _192_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _138_.X _196_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _138_.X _222_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _139_.X _140_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _139_.X _198_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _140_.Y _141_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _140_.Y _217_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _141_.X _144_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _141_.X _211_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _142_.X _143_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _142_.X _147_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _142_.X _176_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _143_.Y _144_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _144_.X _145_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _145_.X _232_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _146_.X _147_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _146_.X _218_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _147_.X _148_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _147_.X _177_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _147_.X _180_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _147_.X _183_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _147_.X _186_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _148_.Y _233_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _149_.X _150_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _150_.X clkbuf_0_net58.A (0.014:0.014:0.014) (0.013:0.013:0.013))
(INTERCONNECT _150_.X ANTENNA_clkbuf_0_net58_A.DIODE (0.014:0.014:0.014) (0.013:0.013:0.013))
(INTERCONNECT _151_.X _152_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _151_.X _154_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _151_.X _156_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _151_.X _158_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _151_.X _160_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _152_.X _153_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _153_.X _224_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _154_.X _155_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _155_.X _225_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _156_.X _157_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _157_.X _226_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _158_.X _159_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _159_.X _227_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _160_.X _161_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _161_.X _228_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _162_.X _163_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _163_.X _229_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _164_.X _165_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _165_.X _230_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _166_.X _170_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _166_.X _181_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _166_.X _183_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _166_.X _185_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _166_.X _201_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _166_.X ANTENNA__201__B1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _166_.X ANTENNA__185__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _166_.X ANTENNA__183__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _166_.X ANTENNA__181__B1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _166_.X ANTENNA__170__A.DIODE (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT _167_.X _168_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _167_.X _174_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _167_.X _199_.S1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _167_.X _201_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _167_.X _202_.S1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _168_.X _170_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _168_.X _179_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _168_.X _180_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _168_.X _181_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _168_.X _185_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _169_.X _170_.D_N (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _169_.X _177_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _169_.X _179_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _169_.X _181_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _169_.X _185_.C (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _170_.X _171_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _171_.X _172_.S (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _172_.X _173_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _173_.X _234_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _174_.X _175_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _174_.X _182_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _174_.X _200_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _175_.Y _176_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _175_.Y _210_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _176_.Y _177_.A0 (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _176_.Y _180_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _176_.Y _183_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _176_.Y _186_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _176_.Y _215_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _176_.Y ANTENNA__215__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _176_.Y ANTENNA__186__B1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _176_.Y ANTENNA__183__B1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _176_.Y ANTENNA__180__B1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _176_.Y ANTENNA__177__A0.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _177_.X _178_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _178_.X _235_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _179_.Y _180_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _180_.X _236_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _181_.Y _182_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _181_.Y _200_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _182_.Y _183_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _183_.X _237_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _184_.Y _186_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _184_.Y _187_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _184_.Y _204_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _184_.Y ANTENNA__204__A1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _184_.Y ANTENNA__187__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _184_.Y ANTENNA__186__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _185_.Y _187_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _185_.Y _203_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _185_.Y _204_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _186_.X _187_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _187_.Y _238_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _188_.Y _189_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _188_.Y _193_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _188_.Y _197_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _189_.Y _194_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _189_.Y _198_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _189_.Y _222_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _190_.X _194_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _191_.X _194_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _191_.X _223_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _192_.X _193_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _193_.X _194_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _194_.X _209_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _195_.Y _198_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _196_.X _197_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _197_.X _198_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _198_.X _209_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _199_.X _200_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _200_.X _204_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _201_.X _203_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _202_.X _203_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _203_.X _204_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _204_.X _209_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _205_.X _208_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _206_.Y _207_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _207_.Y _208_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _208_.X _209_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _209_.X _212_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _210_.X _211_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _211_.X _212_.S (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _212_.X _213_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _213_.X _239_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _214_.Y _215_.C_N (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _214_.Y _216_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _215_.X _216_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _216_.X _240_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _217_.X _218_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _218_.X _219_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _218_.X _222_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _218_.X _223_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _219_.X _220_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _220_.X _241_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _221_.X _222_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _221_.X _223_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _222_.X _242_.D (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _223_.X _243_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _224_.Q _152_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _224_.Q _192_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _225_.Q _154_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _225_.Q _192_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _226_.Q _156_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _226_.Q _190_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _227_.Q _158_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _227_.Q _190_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _228_.Q _160_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _228_.Q _196_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _229_.Q _162_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _229_.Q _196_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _230_.Q _164_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _230_.Q _198_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _231_.Q _117_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _231_.Q _119_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _231_.Q _123_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _231_.Q _131_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _232_.Q _113_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _232_.Q _123_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _233_.Q _116_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _233_.Q _123_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _233_.Q _128_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _234_.Q _172_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _234_.Q output62.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _235_.Q _114_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _235_.Q _202_.S0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _236_.Q _115_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _236_.Q _167_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _237_.Q _115_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _237_.Q _166_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _237_.Q _174_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _238_.Q _115_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _238_.Q _170_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _238_.Q _175_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _238_.Q _184_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _239_.Q _477_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _239_.Q _212_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _239_.Q output57.A (0.026:0.026:0.026) (0.025:0.025:0.025))
(INTERCONNECT _239_.Q ANTENNA_output57_A.DIODE (0.026:0.026:0.026) (0.025:0.025:0.025))
(INTERCONNECT _239_.Q ANTENNA__212__A1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _239_.Q ANTENNA__477__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _240_.Q _216_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _240_.Q output61.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _241_.Q _124_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _241_.Q _137_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _241_.Q _196_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _242_.Q _124_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _242_.Q _138_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _243_.Q _125_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _243_.Q _139_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _243_.Q _191_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _243_.Q _195_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _243_.Q _223_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _243_.Q ANTENNA__223__B2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _243_.Q ANTENNA__195__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _243_.Q ANTENNA__191__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _243_.Q ANTENNA__139__C.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _243_.Q ANTENNA__125__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _244__63.LO io_oeb[37] (0.000:0.000:0.000))
(INTERCONNECT _245__64.LO io_out[1] (0.000:0.000:0.000))
(INTERCONNECT _246__65.LO io_out[2] (0.000:0.000:0.000))
(INTERCONNECT _247__66.LO io_out[3] (0.000:0.000:0.000))
(INTERCONNECT _248__67.LO io_out[4] (0.000:0.000:0.000))
(INTERCONNECT _249__68.LO io_out[5] (0.000:0.000:0.000))
(INTERCONNECT _250__69.LO io_out[6] (0.000:0.000:0.000))
(INTERCONNECT _251__70.LO io_out[7] (0.000:0.000:0.000))
(INTERCONNECT _252__71.LO io_out[9] (0.000:0.000:0.000))
(INTERCONNECT _253__72.LO io_out[10] (0.000:0.000:0.000))
(INTERCONNECT _254__73.LO io_out[11] (0.000:0.000:0.000))
(INTERCONNECT _255__74.LO io_out[12] (0.000:0.000:0.000))
(INTERCONNECT _256__75.LO io_out[13] (0.000:0.000:0.000))
(INTERCONNECT _257__76.LO io_out[14] (0.000:0.000:0.000))
(INTERCONNECT _258__77.LO io_out[15] (0.000:0.000:0.000))
(INTERCONNECT _259__78.LO io_out[16] (0.000:0.000:0.000))
(INTERCONNECT _260__79.LO io_out[17] (0.000:0.000:0.000))
(INTERCONNECT _261__80.LO io_out[18] (0.000:0.000:0.000))
(INTERCONNECT _262__81.LO io_out[19] (0.000:0.000:0.000))
(INTERCONNECT _263__82.LO io_out[20] (0.000:0.000:0.000))
(INTERCONNECT _264__83.LO io_out[21] (0.000:0.000:0.000))
(INTERCONNECT _265__84.LO io_out[22] (0.000:0.000:0.000))
(INTERCONNECT _266__85.LO io_out[23] (0.000:0.000:0.000))
(INTERCONNECT _267__86.LO io_out[24] (0.000:0.000:0.000))
(INTERCONNECT _268__87.LO io_out[25] (0.000:0.000:0.000))
(INTERCONNECT _269__88.LO io_out[26] (0.000:0.000:0.000))
(INTERCONNECT _270__89.LO io_out[27] (0.000:0.000:0.000))
(INTERCONNECT _271__90.LO io_out[28] (0.000:0.000:0.000))
(INTERCONNECT _272__91.LO io_out[29] (0.000:0.000:0.000))
(INTERCONNECT _273__92.LO io_out[30] (0.000:0.000:0.000))
(INTERCONNECT _274__93.LO io_out[31] (0.000:0.000:0.000))
(INTERCONNECT _275__94.LO io_out[32] (0.000:0.000:0.000))
(INTERCONNECT _276__95.LO io_out[33] (0.000:0.000:0.000))
(INTERCONNECT _277__96.LO io_out[34] (0.000:0.000:0.000))
(INTERCONNECT _278__97.LO io_out[35] (0.000:0.000:0.000))
(INTERCONNECT _279__98.LO io_out[36] (0.000:0.000:0.000))
(INTERCONNECT _280__99.LO io_out[37] (0.000:0.000:0.000))
(INTERCONNECT _281__100.LO irq[0] (0.000:0.000:0.000))
(INTERCONNECT _282__101.LO irq[1] (0.000:0.000:0.000))
(INTERCONNECT _283__102.LO irq[2] (0.000:0.000:0.000))
(INTERCONNECT _284__103.LO la_data_out[1] (0.000:0.000:0.000))
(INTERCONNECT _285__104.LO la_data_out[2] (0.000:0.000:0.000))
(INTERCONNECT _286__105.LO la_data_out[3] (0.000:0.000:0.000))
(INTERCONNECT _287__106.LO la_data_out[4] (0.000:0.000:0.000))
(INTERCONNECT _288__107.LO la_data_out[5] (0.000:0.000:0.000))
(INTERCONNECT _289__108.LO la_data_out[6] (0.000:0.000:0.000))
(INTERCONNECT _290__109.LO la_data_out[7] (0.000:0.000:0.000))
(INTERCONNECT _291__110.LO la_data_out[9] (0.000:0.000:0.000))
(INTERCONNECT _292__111.LO la_data_out[10] (0.000:0.000:0.000))
(INTERCONNECT _293__112.LO la_data_out[11] (0.000:0.000:0.000))
(INTERCONNECT _294__113.LO la_data_out[12] (0.000:0.000:0.000))
(INTERCONNECT _295__114.LO la_data_out[13] (0.000:0.000:0.000))
(INTERCONNECT _296__115.LO la_data_out[14] (0.000:0.000:0.000))
(INTERCONNECT _297__116.LO la_data_out[15] (0.000:0.000:0.000))
(INTERCONNECT _298__117.LO la_data_out[16] (0.000:0.000:0.000))
(INTERCONNECT _299__118.LO la_data_out[17] (0.000:0.000:0.000))
(INTERCONNECT _300__119.LO la_data_out[18] (0.000:0.000:0.000))
(INTERCONNECT _301__120.LO la_data_out[19] (0.000:0.000:0.000))
(INTERCONNECT _302__121.LO la_data_out[20] (0.000:0.000:0.000))
(INTERCONNECT _303__122.LO la_data_out[21] (0.000:0.000:0.000))
(INTERCONNECT _304__123.LO la_data_out[22] (0.000:0.000:0.000))
(INTERCONNECT _305__124.LO la_data_out[23] (0.000:0.000:0.000))
(INTERCONNECT _306__125.LO la_data_out[24] (0.000:0.000:0.000))
(INTERCONNECT _307__126.LO la_data_out[25] (0.000:0.000:0.000))
(INTERCONNECT _308__127.LO la_data_out[26] (0.000:0.000:0.000))
(INTERCONNECT _309__128.LO la_data_out[27] (0.000:0.000:0.000))
(INTERCONNECT _310__129.LO la_data_out[28] (0.000:0.000:0.000))
(INTERCONNECT _311__130.LO la_data_out[29] (0.000:0.000:0.000))
(INTERCONNECT _312__131.LO la_data_out[30] (0.000:0.000:0.000))
(INTERCONNECT _313__132.LO la_data_out[31] (0.000:0.000:0.000))
(INTERCONNECT _314__133.LO la_data_out[32] (0.000:0.000:0.000))
(INTERCONNECT _315__134.LO la_data_out[33] (0.000:0.000:0.000))
(INTERCONNECT _316__135.LO la_data_out[34] (0.000:0.000:0.000))
(INTERCONNECT _317__136.LO la_data_out[35] (0.000:0.000:0.000))
(INTERCONNECT _318__137.LO la_data_out[36] (0.000:0.000:0.000))
(INTERCONNECT _319__138.LO la_data_out[37] (0.000:0.000:0.000))
(INTERCONNECT _320__139.LO la_data_out[38] (0.000:0.000:0.000))
(INTERCONNECT _321__140.LO la_data_out[39] (0.000:0.000:0.000))
(INTERCONNECT _322__141.LO la_data_out[40] (0.000:0.000:0.000))
(INTERCONNECT _323__142.LO la_data_out[41] (0.000:0.000:0.000))
(INTERCONNECT _324__143.LO la_data_out[42] (0.000:0.000:0.000))
(INTERCONNECT _325__144.LO la_data_out[43] (0.000:0.000:0.000))
(INTERCONNECT _326__145.LO la_data_out[44] (0.000:0.000:0.000))
(INTERCONNECT _327__146.LO la_data_out[45] (0.000:0.000:0.000))
(INTERCONNECT _328__147.LO la_data_out[46] (0.000:0.000:0.000))
(INTERCONNECT _329__148.LO la_data_out[47] (0.000:0.000:0.000))
(INTERCONNECT _330__149.LO la_data_out[48] (0.000:0.000:0.000))
(INTERCONNECT _331__150.LO la_data_out[49] (0.000:0.000:0.000))
(INTERCONNECT _332__151.LO la_data_out[50] (0.000:0.000:0.000))
(INTERCONNECT _333__152.LO la_data_out[51] (0.000:0.000:0.000))
(INTERCONNECT _334__153.LO la_data_out[52] (0.000:0.000:0.000))
(INTERCONNECT _335__154.LO la_data_out[53] (0.000:0.000:0.000))
(INTERCONNECT _336__155.LO la_data_out[54] (0.000:0.000:0.000))
(INTERCONNECT _337__156.LO la_data_out[55] (0.000:0.000:0.000))
(INTERCONNECT _338__157.LO la_data_out[56] (0.000:0.000:0.000))
(INTERCONNECT _339__158.LO la_data_out[57] (0.000:0.000:0.000))
(INTERCONNECT _340__159.LO la_data_out[58] (0.000:0.000:0.000))
(INTERCONNECT _341__160.LO la_data_out[59] (0.000:0.000:0.000))
(INTERCONNECT _342__161.LO la_data_out[60] (0.000:0.000:0.000))
(INTERCONNECT _343__162.LO la_data_out[61] (0.000:0.000:0.000))
(INTERCONNECT _344__163.LO la_data_out[62] (0.000:0.000:0.000))
(INTERCONNECT _345__164.LO la_data_out[63] (0.000:0.000:0.000))
(INTERCONNECT _346__165.LO la_data_out[64] (0.000:0.000:0.000))
(INTERCONNECT _347__166.LO la_data_out[65] (0.000:0.000:0.000))
(INTERCONNECT _348__167.LO la_data_out[66] (0.000:0.000:0.000))
(INTERCONNECT _349__168.LO la_data_out[67] (0.000:0.000:0.000))
(INTERCONNECT _350__169.LO la_data_out[68] (0.000:0.000:0.000))
(INTERCONNECT _351__170.LO la_data_out[69] (0.000:0.000:0.000))
(INTERCONNECT _352__171.LO la_data_out[70] (0.000:0.000:0.000))
(INTERCONNECT _353__172.LO la_data_out[71] (0.000:0.000:0.000))
(INTERCONNECT _354__173.LO la_data_out[72] (0.000:0.000:0.000))
(INTERCONNECT _355__174.LO la_data_out[73] (0.000:0.000:0.000))
(INTERCONNECT _356__175.LO la_data_out[74] (0.000:0.000:0.000))
(INTERCONNECT _357__176.LO la_data_out[75] (0.000:0.000:0.000))
(INTERCONNECT _358__177.LO la_data_out[76] (0.000:0.000:0.000))
(INTERCONNECT _359__178.LO la_data_out[77] (0.000:0.000:0.000))
(INTERCONNECT _360__179.LO la_data_out[78] (0.000:0.000:0.000))
(INTERCONNECT _361__180.LO la_data_out[79] (0.000:0.000:0.000))
(INTERCONNECT _362__181.LO la_data_out[80] (0.000:0.000:0.000))
(INTERCONNECT _363__182.LO la_data_out[81] (0.000:0.000:0.000))
(INTERCONNECT _364__183.LO la_data_out[82] (0.000:0.000:0.000))
(INTERCONNECT _365__184.LO la_data_out[83] (0.000:0.000:0.000))
(INTERCONNECT _366__185.LO la_data_out[84] (0.000:0.000:0.000))
(INTERCONNECT _367__186.LO la_data_out[85] (0.000:0.000:0.000))
(INTERCONNECT _368__187.LO la_data_out[86] (0.000:0.000:0.000))
(INTERCONNECT _369__188.LO la_data_out[87] (0.000:0.000:0.000))
(INTERCONNECT _370__189.LO la_data_out[88] (0.000:0.000:0.000))
(INTERCONNECT _371__190.LO la_data_out[89] (0.000:0.000:0.000))
(INTERCONNECT _372__191.LO la_data_out[90] (0.000:0.000:0.000))
(INTERCONNECT _373__192.LO la_data_out[91] (0.000:0.000:0.000))
(INTERCONNECT _374__193.LO la_data_out[92] (0.000:0.000:0.000))
(INTERCONNECT _375__194.LO la_data_out[93] (0.000:0.000:0.000))
(INTERCONNECT _376__195.LO la_data_out[94] (0.000:0.000:0.000))
(INTERCONNECT _377__196.LO la_data_out[95] (0.000:0.000:0.000))
(INTERCONNECT _378__197.LO la_data_out[96] (0.000:0.000:0.000))
(INTERCONNECT _379__198.LO la_data_out[97] (0.000:0.000:0.000))
(INTERCONNECT _380__199.LO la_data_out[98] (0.000:0.000:0.000))
(INTERCONNECT _381__200.LO la_data_out[99] (0.000:0.000:0.000))
(INTERCONNECT _382__201.LO la_data_out[100] (0.000:0.000:0.000))
(INTERCONNECT _383__202.LO la_data_out[101] (0.000:0.000:0.000))
(INTERCONNECT _384__203.LO la_data_out[102] (0.000:0.000:0.000))
(INTERCONNECT _385__204.LO la_data_out[103] (0.000:0.000:0.000))
(INTERCONNECT _386__205.LO la_data_out[104] (0.000:0.000:0.000))
(INTERCONNECT _387__206.LO la_data_out[105] (0.000:0.000:0.000))
(INTERCONNECT _388__207.LO la_data_out[106] (0.000:0.000:0.000))
(INTERCONNECT _389__208.LO la_data_out[107] (0.000:0.000:0.000))
(INTERCONNECT _390__209.LO la_data_out[108] (0.000:0.000:0.000))
(INTERCONNECT _391__210.LO la_data_out[109] (0.000:0.000:0.000))
(INTERCONNECT _392__211.LO la_data_out[110] (0.000:0.000:0.000))
(INTERCONNECT _393__212.LO la_data_out[111] (0.000:0.000:0.000))
(INTERCONNECT _394__213.LO la_data_out[112] (0.000:0.000:0.000))
(INTERCONNECT _395__214.LO la_data_out[113] (0.000:0.000:0.000))
(INTERCONNECT _396__215.LO la_data_out[114] (0.000:0.000:0.000))
(INTERCONNECT _397__216.LO la_data_out[115] (0.000:0.000:0.000))
(INTERCONNECT _398__217.LO la_data_out[116] (0.000:0.000:0.000))
(INTERCONNECT _399__218.LO la_data_out[117] (0.000:0.000:0.000))
(INTERCONNECT _400__219.LO la_data_out[118] (0.000:0.000:0.000))
(INTERCONNECT _401__220.LO la_data_out[119] (0.000:0.000:0.000))
(INTERCONNECT _402__221.LO la_data_out[120] (0.000:0.000:0.000))
(INTERCONNECT _403__222.LO la_data_out[121] (0.000:0.000:0.000))
(INTERCONNECT _404__223.LO la_data_out[122] (0.000:0.000:0.000))
(INTERCONNECT _405__224.LO la_data_out[123] (0.000:0.000:0.000))
(INTERCONNECT _406__225.LO la_data_out[124] (0.000:0.000:0.000))
(INTERCONNECT _407__226.LO la_data_out[125] (0.000:0.000:0.000))
(INTERCONNECT _408__227.LO la_data_out[126] (0.000:0.000:0.000))
(INTERCONNECT _409__228.LO la_data_out[127] (0.000:0.000:0.000))
(INTERCONNECT _410__229.LO wbs_dat_o[1] (0.000:0.000:0.000))
(INTERCONNECT _411__230.LO wbs_dat_o[2] (0.000:0.000:0.000))
(INTERCONNECT _412__231.LO wbs_dat_o[3] (0.000:0.000:0.000))
(INTERCONNECT _413__232.LO wbs_dat_o[4] (0.000:0.000:0.000))
(INTERCONNECT _414__233.LO wbs_dat_o[5] (0.000:0.000:0.000))
(INTERCONNECT _415__234.LO wbs_dat_o[6] (0.000:0.000:0.000))
(INTERCONNECT _416__235.LO wbs_dat_o[7] (0.000:0.000:0.000))
(INTERCONNECT _417__236.LO wbs_dat_o[8] (0.000:0.000:0.000))
(INTERCONNECT _418__237.LO wbs_dat_o[9] (0.000:0.000:0.000))
(INTERCONNECT _419__238.LO wbs_dat_o[10] (0.000:0.000:0.000))
(INTERCONNECT _420__239.LO wbs_dat_o[11] (0.000:0.000:0.000))
(INTERCONNECT _421__240.LO wbs_dat_o[12] (0.000:0.000:0.000))
(INTERCONNECT _422__241.LO wbs_dat_o[13] (0.000:0.000:0.000))
(INTERCONNECT _423__242.LO wbs_dat_o[14] (0.000:0.000:0.000))
(INTERCONNECT _424__243.LO wbs_dat_o[15] (0.000:0.000:0.000))
(INTERCONNECT _425__244.LO wbs_dat_o[16] (0.000:0.000:0.000))
(INTERCONNECT _426__245.LO wbs_dat_o[17] (0.000:0.000:0.000))
(INTERCONNECT _427__246.LO wbs_dat_o[18] (0.000:0.000:0.000))
(INTERCONNECT _428__247.LO wbs_dat_o[19] (0.000:0.000:0.000))
(INTERCONNECT _429__248.LO wbs_dat_o[20] (0.000:0.000:0.000))
(INTERCONNECT _430__249.LO wbs_dat_o[21] (0.000:0.000:0.000))
(INTERCONNECT _431__250.LO wbs_dat_o[22] (0.000:0.000:0.000))
(INTERCONNECT _432__251.LO wbs_dat_o[23] (0.000:0.000:0.000))
(INTERCONNECT _433__252.LO wbs_dat_o[24] (0.000:0.000:0.000))
(INTERCONNECT _434__253.LO wbs_dat_o[25] (0.000:0.000:0.000))
(INTERCONNECT _435__254.LO wbs_dat_o[26] (0.000:0.000:0.000))
(INTERCONNECT _436__255.LO wbs_dat_o[27] (0.000:0.000:0.000))
(INTERCONNECT _437__256.LO wbs_dat_o[28] (0.000:0.000:0.000))
(INTERCONNECT _438__257.LO wbs_dat_o[29] (0.000:0.000:0.000))
(INTERCONNECT _439__258.LO wbs_dat_o[30] (0.000:0.000:0.000))
(INTERCONNECT _440__259.LO wbs_dat_o[31] (0.000:0.000:0.000))
(INTERCONNECT _441_.X output20.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _442_.X output31.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _443_.X output42.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _444_.X output50.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _445_.X output51.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _446_.X output52.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _447_.X output53.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _448_.X output54.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _449_.X output55.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _450_.X output56.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _451_.X output21.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _452_.X output22.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _453_.X output23.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _454_.X output24.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _455_.X output25.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _456_.X output26.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _457_.X output27.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _458_.X output28.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _459_.X output29.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _460_.X output30.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _461_.X output32.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _462_.X output33.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _463_.X output34.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _464_.X output35.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _465_.X output36.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _466_.X output37.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _467_.X output38.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _468_.X output39.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _469_.X output40.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _470_.X output41.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _471_.X output43.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _472_.X output44.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _473_.X output45.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _474_.X output46.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _475_.X output47.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _476_.X output48.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _477_.X output59.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _477_.X ANTENNA_output59_A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _478_.X output60.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_0_net58.X clkbuf_1_0_0_net58.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_0_net58.X clkbuf_1_1_0_net58.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_1_0_0_net58.X clkbuf_1_0_1_net58.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_1_0_1_net58.X _228_.CLK (0.024:0.024:0.024) (0.023:0.023:0.023))
(INTERCONNECT clkbuf_1_0_1_net58.X _229_.CLK (0.024:0.024:0.024) (0.023:0.023:0.023))
(INTERCONNECT clkbuf_1_0_1_net58.X _230_.CLK (0.024:0.024:0.024) (0.023:0.023:0.023))
(INTERCONNECT clkbuf_1_0_1_net58.X _232_.CLK (0.024:0.024:0.024) (0.023:0.023:0.023))
(INTERCONNECT clkbuf_1_0_1_net58.X _233_.CLK (0.024:0.024:0.024) (0.023:0.023:0.023))
(INTERCONNECT clkbuf_1_0_1_net58.X _234_.CLK (0.024:0.024:0.024) (0.023:0.023:0.023))
(INTERCONNECT clkbuf_1_0_1_net58.X _235_.CLK (0.024:0.024:0.024) (0.023:0.023:0.023))
(INTERCONNECT clkbuf_1_0_1_net58.X _240_.CLK (0.024:0.024:0.024) (0.023:0.023:0.023))
(INTERCONNECT clkbuf_1_0_1_net58.X _478_.A (0.023:0.023:0.023) (0.022:0.022:0.022))
(INTERCONNECT clkbuf_1_0_1_net58.X ANTENNA__478__A.DIODE (0.023:0.023:0.023) (0.022:0.022:0.022))
(INTERCONNECT clkbuf_1_0_1_net58.X ANTENNA__240__CLK.DIODE (0.023:0.023:0.023) (0.023:0.023:0.023))
(INTERCONNECT clkbuf_1_0_1_net58.X ANTENNA__235__CLK.DIODE (0.023:0.023:0.023) (0.023:0.023:0.023))
(INTERCONNECT clkbuf_1_0_1_net58.X ANTENNA__234__CLK.DIODE (0.021:0.021:0.021) (0.021:0.021:0.021))
(INTERCONNECT clkbuf_1_0_1_net58.X ANTENNA__233__CLK.DIODE (0.023:0.023:0.023) (0.022:0.022:0.022))
(INTERCONNECT clkbuf_1_0_1_net58.X ANTENNA__232__CLK.DIODE (0.021:0.021:0.021) (0.020:0.020:0.020))
(INTERCONNECT clkbuf_1_0_1_net58.X ANTENNA__230__CLK.DIODE (0.021:0.021:0.021) (0.020:0.020:0.020))
(INTERCONNECT clkbuf_1_0_1_net58.X ANTENNA__229__CLK.DIODE (0.023:0.023:0.023) (0.023:0.023:0.023))
(INTERCONNECT clkbuf_1_0_1_net58.X ANTENNA__228__CLK.DIODE (0.021:0.021:0.021) (0.020:0.020:0.020))
(INTERCONNECT clkbuf_1_1_0_net58.X clkbuf_1_1_1_net58.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_1_1_1_net58.X output58.A (0.017:0.017:0.017) (0.017:0.017:0.017))
(INTERCONNECT clkbuf_1_1_1_net58.X _224_.CLK (0.031:0.031:0.031) (0.030:0.030:0.030))
(INTERCONNECT clkbuf_1_1_1_net58.X _225_.CLK (0.031:0.031:0.031) (0.030:0.030:0.030))
(INTERCONNECT clkbuf_1_1_1_net58.X _226_.CLK (0.032:0.032:0.032) (0.031:0.031:0.031))
(INTERCONNECT clkbuf_1_1_1_net58.X _227_.CLK (0.031:0.031:0.031) (0.030:0.030:0.030))
(INTERCONNECT clkbuf_1_1_1_net58.X _231_.CLK (0.032:0.032:0.032) (0.031:0.031:0.031))
(INTERCONNECT clkbuf_1_1_1_net58.X _236_.CLK (0.032:0.032:0.032) (0.031:0.031:0.031))
(INTERCONNECT clkbuf_1_1_1_net58.X _237_.CLK (0.032:0.032:0.032) (0.031:0.031:0.031))
(INTERCONNECT clkbuf_1_1_1_net58.X _238_.CLK (0.031:0.031:0.031) (0.030:0.030:0.030))
(INTERCONNECT clkbuf_1_1_1_net58.X _239_.CLK (0.031:0.031:0.031) (0.030:0.030:0.030))
(INTERCONNECT clkbuf_1_1_1_net58.X _241_.CLK (0.031:0.031:0.031) (0.030:0.030:0.030))
(INTERCONNECT clkbuf_1_1_1_net58.X _242_.CLK (0.032:0.032:0.032) (0.031:0.031:0.031))
(INTERCONNECT clkbuf_1_1_1_net58.X _243_.CLK (0.030:0.030:0.030) (0.029:0.029:0.029))
(INTERCONNECT clkbuf_1_1_1_net58.X ANTENNA__243__CLK.DIODE (0.026:0.026:0.026) (0.025:0.025:0.025))
(INTERCONNECT clkbuf_1_1_1_net58.X ANTENNA__242__CLK.DIODE (0.024:0.024:0.024) (0.024:0.024:0.024))
(INTERCONNECT clkbuf_1_1_1_net58.X ANTENNA__241__CLK.DIODE (0.030:0.030:0.030) (0.029:0.029:0.029))
(INTERCONNECT clkbuf_1_1_1_net58.X ANTENNA__239__CLK.DIODE (0.025:0.025:0.025) (0.024:0.024:0.024))
(INTERCONNECT clkbuf_1_1_1_net58.X ANTENNA__238__CLK.DIODE (0.028:0.028:0.028) (0.027:0.027:0.027))
(INTERCONNECT clkbuf_1_1_1_net58.X ANTENNA__237__CLK.DIODE (0.026:0.026:0.026) (0.025:0.025:0.025))
(INTERCONNECT clkbuf_1_1_1_net58.X ANTENNA__236__CLK.DIODE (0.027:0.027:0.027) (0.026:0.026:0.026))
(INTERCONNECT clkbuf_1_1_1_net58.X ANTENNA__231__CLK.DIODE (0.025:0.025:0.025) (0.024:0.024:0.024))
(INTERCONNECT clkbuf_1_1_1_net58.X ANTENNA__227__CLK.DIODE (0.027:0.027:0.027) (0.026:0.026:0.026))
(INTERCONNECT clkbuf_1_1_1_net58.X ANTENNA__226__CLK.DIODE (0.025:0.025:0.025) (0.024:0.024:0.024))
(INTERCONNECT clkbuf_1_1_1_net58.X ANTENNA__225__CLK.DIODE (0.029:0.029:0.029) (0.029:0.029:0.029))
(INTERCONNECT clkbuf_1_1_1_net58.X ANTENNA__224__CLK.DIODE (0.029:0.029:0.029) (0.028:0.028:0.028))
(INTERCONNECT clkbuf_1_1_1_net58.X ANTENNA_output58_A.DIODE (0.017:0.017:0.017) (0.017:0.017:0.017))
(INTERCONNECT hold1.X _154_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold2.X _152_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input1.X _206_.A (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT input1.X _172_.A0 (0.012:0.012:0.012) (0.012:0.012:0.012))
(INTERCONNECT input1.X _146_.A (0.011:0.011:0.011) (0.011:0.011:0.011))
(INTERCONNECT input1.X _141_.A2 (0.010:0.010:0.010) (0.009:0.009:0.009))
(INTERCONNECT input1.X _129_.A1 (0.012:0.012:0.012) (0.011:0.011:0.011))
(INTERCONNECT input1.X ANTENNA__129__A1.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
(INTERCONNECT input1.X ANTENNA__141__A2.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT input1.X ANTENNA__146__A.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
(INTERCONNECT input1.X ANTENNA__172__A0.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
(INTERCONNECT input1.X ANTENNA__206__A.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
(INTERCONNECT input10.X _202_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT input10.X hold1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input11.X _202_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input11.X _156_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input12.X _202_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input12.X _158_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input13.X _199_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input13.X _160_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input14.X _199_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input14.X _162_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input15.X _199_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input15.X _164_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input16.X _199_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input17.X _130_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input18.X _127_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input19.X _130_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input2.X _149_.A0 (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT input2.X ANTENNA__149__A0.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT input3.X _111_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input4.X _127_.B1 (0.006:0.006:0.006) (0.005:0.005:0.005))
(INTERCONNECT input4.X ANTENNA__127__B1.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
(INTERCONNECT input5.X _149_.S (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT input5.X ANTENNA__149__S.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT input6.X _111_.S (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input7.X _111_.A1 (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT input7.X ANTENNA__111__A1.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT input8.X _127_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input9.X _202_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input9.X hold2.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT output20.X io_oeb[0] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output21.X io_oeb[10] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output22.X io_oeb[11] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output23.X io_oeb[12] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output24.X io_oeb[13] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output25.X io_oeb[14] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output26.X io_oeb[15] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output27.X io_oeb[16] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output28.X io_oeb[17] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output29.X io_oeb[18] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output30.X io_oeb[19] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output31.X io_oeb[1] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output32.X io_oeb[20] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output33.X io_oeb[21] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output34.X io_oeb[22] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output35.X io_oeb[23] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output36.X io_oeb[24] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output37.X io_oeb[25] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output38.X io_oeb[26] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output39.X io_oeb[27] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output40.X io_oeb[28] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output41.X io_oeb[29] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output42.X io_oeb[2] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output43.X io_oeb[30] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output44.X io_oeb[31] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output45.X io_oeb[32] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output46.X io_oeb[33] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output47.X io_oeb[34] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output48.X io_oeb[35] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output49.X io_oeb[36] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output50.X io_oeb[3] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output51.X io_oeb[4] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output52.X io_oeb[5] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output53.X io_oeb[6] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output54.X io_oeb[7] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output55.X io_oeb[8] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output56.X io_oeb[9] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output57.X io_out[0] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output58.X io_out[8] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output59.X la_data_out[0] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output60.X la_data_out[8] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output61.X wbs_ack_o (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output62.X wbs_dat_o[0] (0.001:0.001:0.001) (0.001:0.001:0.001))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _111_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.178:0.178:0.178) (0.325:0.325:0.325))
(IOPATH A1 X (0.222:0.222:0.222) (0.357:0.357:0.357))
(IOPATH S X (0.244:0.244:0.244) (0.370:0.370:0.370))
(IOPATH S X (0.189:0.189:0.189) (0.354:0.354:0.354))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_12")
(INSTANCE _112_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.258:0.258:0.258) (0.197:0.197:0.198))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _113_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.311:0.311:0.311) (0.204:0.204:0.204))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _114_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.319:0.319:0.319) (0.206:0.206:0.206))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_1")
(INSTANCE _115_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.221:0.221:0.221) (0.628:0.628:0.628))
(IOPATH B X (0.207:0.207:0.207) (0.607:0.607:0.607))
(IOPATH C X (0.245:0.245:0.245) (0.593:0.593:0.593))
(IOPATH D X (0.263:0.263:0.263) (0.546:0.546:0.546))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _116_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.274:0.274:0.274) (0.189:0.189:0.189))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and4b_1")
(INSTANCE _117_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.344:0.344:0.344) (0.274:0.274:0.274))
(IOPATH B X (0.274:0.274:0.274) (0.230:0.230:0.230))
(IOPATH C X (0.264:0.264:0.264) (0.270:0.271:0.271))
(IOPATH D X (0.288:0.288:0.288) (0.273:0.273:0.273))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _118_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.362:0.362:0.362) (0.251:0.251:0.251))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _119_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.328:0.328:0.328) (0.227:0.227:0.227))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _120_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.334:0.334:0.334) (0.246:0.246:0.246))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2b_1")
(INSTANCE _121_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.306:0.306:0.306) (0.373:0.373:0.373))
(IOPATH B_N X (0.349:0.349:0.349) (0.373:0.373:0.373))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _122_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.240:0.240:0.240) (0.146:0.146:0.146))
(IOPATH B Y (0.214:0.214:0.214) (0.116:0.116:0.116))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3b_1")
(INSTANCE _123_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.272:0.272:0.272) (0.509:0.509:0.509))
(IOPATH B X (0.256:0.256:0.256) (0.478:0.478:0.478))
(IOPATH C_N X (0.326:0.326:0.326) (0.502:0.502:0.502))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _124_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.304:0.304:0.304) (0.357:0.357:0.357))
(IOPATH B X (0.303:0.303:0.303) (0.341:0.341:0.341))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _125_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.328:0.328:0.328) (0.181:0.181:0.181))
(IOPATH B Y (0.314:0.314:0.314) (0.163:0.163:0.163))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _126_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.396:0.396:0.397) (0.175:0.175:0.175))
(IOPATH B Y (0.365:0.367:0.370) (0.193:0.193:0.193))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _127_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.359:0.359:0.359) (0.155:0.155:0.155))
(IOPATH A2 Y (0.378:0.378:0.378) (0.156:0.156:0.156))
(IOPATH B1 Y (0.378:0.378:0.378) (0.153:0.153:0.153))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand3b_1")
(INSTANCE _128_)
(DELAY
(ABSOLUTE
(IOPATH A_N Y (0.346:0.346:0.346) (0.385:0.385:0.385))
(IOPATH B Y (0.370:0.370:0.370) (0.378:0.378:0.378))
(IOPATH C Y (0.355:0.355:0.355) (0.364:0.364:0.364))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21ba_1")
(INSTANCE _129_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.174:0.174:0.174) (0.210:0.210:0.210))
(IOPATH A2 X (0.161:0.162:0.162) (0.187:0.193:0.200))
(IOPATH B1_N X (0.325:0.327:0.329) (0.194:0.196:0.198))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _130_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.226:0.226:0.226) (0.223:0.223:0.223))
(IOPATH A2 X (0.227:0.227:0.227) (0.243:0.243:0.243))
(IOPATH B1 X (0.240:0.240:0.240) (0.244:0.244:0.244))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _131_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.351:0.351:0.351) (0.191:0.191:0.191))
(IOPATH B Y (0.314:0.314:0.314) (0.149:0.149:0.149))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3b_1")
(INSTANCE _132_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.314:0.314:0.314) (0.538:0.539:0.539))
(IOPATH B X (0.331:0.331:0.331) (0.526:0.526:0.526))
(IOPATH C_N X (0.357:0.362:0.368) (0.535:0.535:0.535))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4b_1")
(INSTANCE _133_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.141:0.141:0.141) (0.511:0.514:0.518))
(IOPATH B X (0.177:0.177:0.177) (0.507:0.510:0.512))
(IOPATH C X (0.087:0.088:0.089) (0.443:0.444:0.444))
(IOPATH D_N X (0.207:0.207:0.207) (0.449:0.450:0.450))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _134_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.166:0.166:0.166) (0.271:0.272:0.273))
(IOPATH B X (0.135:0.136:0.138) (0.257:0.257:0.257))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _135_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.168:0.168:0.168) (0.143:0.143:0.143))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2b_1")
(INSTANCE _136_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.302:0.302:0.302) (0.269:0.269:0.269))
(IOPATH B X (0.242:0.242:0.242) (0.252:0.252:0.252))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _137_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.280:0.280:0.280) (0.232:0.232:0.232))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _138_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.347:0.347:0.347) (0.231:0.231:0.231))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3_1")
(INSTANCE _139_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.255:0.255:0.255) (0.484:0.484:0.484))
(IOPATH B X (0.267:0.267:0.267) (0.483:0.483:0.483))
(IOPATH C X (0.258:0.258:0.258) (0.439:0.439:0.439))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _140_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.268:0.268:0.268) (0.133:0.133:0.133))
(IOPATH B Y (0.244:0.244:0.244) (0.109:0.109:0.109))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _141_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.322:0.322:0.322) (0.303:0.303:0.303))
(IOPATH A2 X (0.319:0.319:0.319) (0.321:0.321:0.321))
(IOPATH A3 X (0.279:0.279:0.279) (0.300:0.300:0.300))
(IOPATH B1 X (0.260:0.260:0.260) (0.271:0.274:0.277))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2b_1")
(INSTANCE _142_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.274:0.274:0.274) (0.351:0.351:0.351))
(IOPATH B_N X (0.310:0.310:0.310) (0.351:0.351:0.351))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _143_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.287:0.287:0.287) (0.123:0.123:0.123))
(IOPATH B Y (0.261:0.261:0.261) (0.129:0.129:0.129))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3_1")
(INSTANCE _144_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.193:0.193:0.193) (0.434:0.438:0.441))
(IOPATH B X (0.192:0.192:0.192) (0.419:0.420:0.420))
(IOPATH C X (0.202:0.202:0.202) (0.373:0.375:0.376))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _145_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.118:0.118:0.118) (0.126:0.126:0.126))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _146_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.221:0.221:0.221) (0.315:0.315:0.315))
(IOPATH B X (0.222:0.223:0.225) (0.378:0.380:0.382))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _147_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.300:0.300:0.300) (0.240:0.241:0.241))
(IOPATH B X (0.316:0.317:0.317) (0.278:0.278:0.278))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkinv_2")
(INSTANCE _148_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.072:0.072:0.072) (0.090:0.090:0.090))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_2")
(INSTANCE _149_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.199:0.199:0.199) (0.305:0.305:0.305))
(IOPATH A1 X (0.230:0.230:0.230) (0.315:0.315:0.315))
(IOPATH S X (0.256:0.256:0.256) (0.366:0.366:0.366))
(IOPATH S X (0.187:0.187:0.187) (0.303:0.303:0.303))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_1")
(INSTANCE _150_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.436:0.437:0.438) (0.275:0.275:0.275))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _151_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.254:0.254:0.254) (0.229:0.229:0.229))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _152_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.151:0.151:0.151) (0.311:0.311:0.311))
(IOPATH A1 X (0.153:0.153:0.153) (0.310:0.310:0.310))
(IOPATH S X (0.239:0.239:0.239) (0.357:0.357:0.357))
(IOPATH S X (0.176:0.176:0.176) (0.348:0.348:0.348))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _153_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.130:0.130:0.130) (0.123:0.123:0.123))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _154_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.133:0.133:0.133) (0.291:0.291:0.291))
(IOPATH A1 X (0.143:0.143:0.143) (0.300:0.300:0.300))
(IOPATH S X (0.230:0.230:0.230) (0.347:0.347:0.347))
(IOPATH S X (0.166:0.166:0.166) (0.338:0.338:0.338))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _155_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.115:0.116:0.116) (0.114:0.114:0.114))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _156_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.164:0.164:0.164) (0.305:0.305:0.305))
(IOPATH A1 X (0.162:0.162:0.162) (0.313:0.313:0.313))
(IOPATH S X (0.239:0.239:0.239) (0.356:0.356:0.356))
(IOPATH S X (0.175:0.175:0.175) (0.347:0.347:0.347))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _157_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.146:0.147:0.147) (0.132:0.132:0.132))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _158_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.161:0.161:0.161) (0.302:0.302:0.302))
(IOPATH A1 X (0.151:0.151:0.151) (0.307:0.307:0.307))
(IOPATH S X (0.236:0.236:0.236) (0.353:0.353:0.353))
(IOPATH S X (0.172:0.172:0.172) (0.344:0.344:0.344))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _159_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.141:0.142:0.142) (0.129:0.129:0.129))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _160_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.187:0.187:0.187) (0.329:0.329:0.329))
(IOPATH A1 X (0.200:0.200:0.200) (0.347:0.347:0.347))
(IOPATH S X (0.269:0.269:0.269) (0.385:0.385:0.385))
(IOPATH S X (0.205:0.205:0.205) (0.376:0.376:0.376))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _161_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.180:0.180:0.180) (0.153:0.154:0.154))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _162_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.183:0.183:0.183) (0.321:0.321:0.321))
(IOPATH A1 X (0.162:0.162:0.162) (0.318:0.318:0.318))
(IOPATH S X (0.282:0.282:0.282) (0.382:0.382:0.383))
(IOPATH S X (0.213:0.213:0.213) (0.386:0.386:0.386))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _163_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.128:0.129:0.129) (0.124:0.124:0.124))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _164_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.208:0.208:0.208) (0.344:0.344:0.344))
(IOPATH A1 X (0.206:0.206:0.206) (0.352:0.352:0.352))
(IOPATH S X (0.312:0.312:0.312) (0.410:0.410:0.410))
(IOPATH S X (0.243:0.243:0.243) (0.412:0.412:0.412))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _165_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.161:0.162:0.162) (0.145:0.145:0.145))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _166_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.404:0.404:0.404) (0.252:0.252:0.252))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _167_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.246:0.246:0.246) (0.210:0.210:0.210))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _168_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.397:0.397:0.397) (0.301:0.301:0.301))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _169_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.388:0.388:0.388) (0.312:0.312:0.312))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4b_1")
(INSTANCE _170_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.268:0.268:0.268) (0.661:0.661:0.661))
(IOPATH B X (0.254:0.254:0.254) (0.631:0.631:0.631))
(IOPATH C X (0.221:0.221:0.221) (0.569:0.569:0.569))
(IOPATH D_N X (0.282:0.282:0.282) (0.559:0.559:0.559))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4b_1")
(INSTANCE _171_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.186:0.186:0.186) (0.563:0.563:0.563))
(IOPATH B X (0.185:0.185:0.185) (0.547:0.547:0.547))
(IOPATH C X (0.136:0.137:0.137) (0.504:0.505:0.505))
(IOPATH D_N X (0.222:0.222:0.222) (0.484:0.484:0.484))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _172_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.219:0.219:0.219) (0.351:0.351:0.351))
(IOPATH A1 X (0.173:0.173:0.173) (0.325:0.325:0.325))
(IOPATH S X (0.243:0.243:0.244) (0.345:0.346:0.346))
(IOPATH S X (0.157:0.158:0.159) (0.354:0.354:0.354))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _173_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.129:0.129:0.130) (0.124:0.125:0.125))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3_1")
(INSTANCE _174_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.201:0.201:0.201) (0.447:0.447:0.447))
(IOPATH B X (0.230:0.230:0.230) (0.444:0.444:0.444))
(IOPATH C X (0.242:0.242:0.242) (0.427:0.427:0.427))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _175_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.235:0.235:0.235) (0.128:0.128:0.128))
(IOPATH B Y (0.226:0.226:0.226) (0.099:0.099:0.100))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _176_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.550:0.554:0.557) (0.222:0.222:0.222))
(IOPATH B Y (0.559:0.559:0.559) (0.210:0.210:0.210))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _177_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.252:0.252:0.252) (0.350:0.351:0.352))
(IOPATH A1 X (0.201:0.201:0.201) (0.338:0.338:0.338))
(IOPATH S X (0.270:0.270:0.270) (0.381:0.381:0.381))
(IOPATH S X (0.218:0.218:0.218) (0.374:0.374:0.374))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _178_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.122:0.124:0.126) (0.119:0.119:0.119))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xnor2_1")
(INSTANCE _179_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.253:0.253:0.253) (0.247:0.247:0.247))
(IOPATH A Y (0.376:0.376:0.376) (0.217:0.217:0.217))
(IOPATH B Y (0.251:0.251:0.251) (0.234:0.234:0.234))
(IOPATH B Y (0.353:0.353:0.353) (0.202:0.202:0.202))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _180_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.233:0.233:0.233) (0.274:0.274:0.274))
(IOPATH A2 X (0.206:0.206:0.206) (0.273:0.273:0.273))
(IOPATH B1 X (0.245:0.245:0.245) (0.242:0.243:0.243))
(IOPATH B2 X (0.170:0.186:0.203) (0.234:0.242:0.250))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21ai_1")
(INSTANCE _181_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.289:0.289:0.289) (0.193:0.193:0.193))
(IOPATH A2 Y (0.274:0.274:0.274) (0.167:0.167:0.167))
(IOPATH B1 Y (0.229:0.229:0.229) (0.213:0.213:0.213))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _182_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.102:0.102:0.102) (0.081:0.081:0.081))
(IOPATH B Y (0.108:0.117:0.126) (0.090:0.095:0.100))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _183_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.263:0.263:0.263) (0.301:0.301:0.301))
(IOPATH A2 X (0.224:0.224:0.224) (0.286:0.287:0.287))
(IOPATH B1 X (0.264:0.264:0.264) (0.255:0.256:0.257))
(IOPATH B2 X (0.160:0.162:0.164) (0.227:0.229:0.230))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _184_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.188:0.188:0.188) (0.153:0.153:0.153))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor3_1")
(INSTANCE _185_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.671:0.671:0.671) (0.255:0.255:0.255))
(IOPATH B Y (0.651:0.651:0.651) (0.226:0.226:0.226))
(IOPATH C Y (0.605:0.605:0.605) (0.217:0.217:0.217))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _186_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.223:0.223:0.223) (0.237:0.237:0.237))
(IOPATH A2 X (0.245:0.245:0.245) (0.263:0.264:0.264))
(IOPATH B1 X (0.231:0.231:0.231) (0.261:0.262:0.262))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21ai_1")
(INSTANCE _187_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.310:0.310:0.310) (0.174:0.174:0.174))
(IOPATH A2 Y (0.318:0.324:0.329) (0.256:0.256:0.256))
(IOPATH B1 Y (0.201:0.201:0.202) (0.164:0.165:0.165))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _188_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.161:0.161:0.161) (0.169:0.169:0.169))
(IOPATH B Y (0.194:0.194:0.194) (0.189:0.189:0.189))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _189_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.261:0.261:0.261) (0.254:0.254:0.254))
(IOPATH B Y (0.254:0.256:0.257) (0.200:0.202:0.204))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _190_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.147:0.147:0.147) (0.294:0.294:0.294))
(IOPATH A1 X (0.158:0.158:0.158) (0.309:0.309:0.309))
(IOPATH S X (0.244:0.244:0.244) (0.367:0.367:0.367))
(IOPATH S X (0.197:0.197:0.197) (0.350:0.350:0.350))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _191_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.233:0.233:0.233) (0.217:0.217:0.217))
(IOPATH B X (0.223:0.223:0.223) (0.235:0.236:0.236))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _192_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.298:0.298:0.298) (0.315:0.315:0.315))
(IOPATH A2 X (0.227:0.227:0.227) (0.285:0.285:0.285))
(IOPATH B1 X (0.215:0.215:0.215) (0.236:0.236:0.236))
(IOPATH B2 X (0.260:0.260:0.260) (0.285:0.285:0.285))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _193_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.248:0.249:0.250) (0.234:0.235:0.237))
(IOPATH B X (0.253:0.253:0.253) (0.237:0.237:0.237))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a211o_1")
(INSTANCE _194_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.180:0.180:0.181) (0.319:0.321:0.324))
(IOPATH A2 X (0.130:0.131:0.131) (0.305:0.305:0.305))
(IOPATH B1 X (0.125:0.126:0.126) (0.280:0.280:0.280))
(IOPATH C1 X (0.137:0.137:0.137) (0.252:0.253:0.253))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _195_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.158:0.158:0.158) (0.164:0.164:0.164))
(IOPATH B Y (0.163:0.163:0.163) (0.153:0.153:0.153))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _196_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.316:0.316:0.316) (0.326:0.326:0.326))
(IOPATH A2 X (0.245:0.245:0.245) (0.297:0.297:0.297))
(IOPATH B1 X (0.249:0.249:0.249) (0.256:0.256:0.256))
(IOPATH B2 X (0.255:0.255:0.255) (0.279:0.279:0.279))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _197_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.176:0.177:0.178) (0.193:0.195:0.196))
(IOPATH B X (0.187:0.187:0.187) (0.202:0.202:0.202))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a221o_1")
(INSTANCE _198_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.242:0.242:0.242) (0.381:0.381:0.381))
(IOPATH A2 X (0.273:0.273:0.274) (0.459:0.462:0.464))
(IOPATH B1 X (0.230:0.230:0.231) (0.373:0.377:0.381))
(IOPATH B2 X (0.244:0.244:0.244) (0.414:0.414:0.414))
(IOPATH C1 X (0.191:0.191:0.191) (0.324:0.324:0.325))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux4_1")
(INSTANCE _199_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.265:0.265:0.265) (0.523:0.523:0.523))
(IOPATH A1 X (0.271:0.271:0.271) (0.533:0.533:0.533))
(IOPATH A2 X (0.284:0.284:0.284) (0.532:0.532:0.532))
(IOPATH A3 X (0.272:0.272:0.272) (0.514:0.514:0.514))
(IOPATH S0 X (0.410:0.410:0.410) (0.634:0.634:0.634))
(IOPATH S0 X (0.333:0.333:0.333) (0.525:0.525:0.525))
(IOPATH S1 X (0.268:0.268:0.268) (0.358:0.358:0.358))
(IOPATH S1 X (0.230:0.230:0.230) (0.283:0.283:0.283))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _200_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.215:0.215:0.215) (0.275:0.275:0.275))
(IOPATH A2 X (0.224:0.231:0.238) (0.296:0.305:0.314))
(IOPATH B1 X (0.186:0.187:0.187) (0.234:0.236:0.239))
(IOPATH B2 X (0.208:0.208:0.208) (0.282:0.282:0.282))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21a_1")
(INSTANCE _201_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.195:0.195:0.195) (0.230:0.230:0.230))
(IOPATH A2 X (0.188:0.188:0.188) (0.238:0.238:0.238))
(IOPATH B1 X (0.221:0.221:0.221) (0.199:0.199:0.199))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux4_1")
(INSTANCE _202_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.284:0.284:0.284) (0.545:0.545:0.545))
(IOPATH A1 X (0.305:0.305:0.305) (0.565:0.565:0.565))
(IOPATH A2 X (0.292:0.292:0.292) (0.546:0.546:0.546))
(IOPATH A3 X (0.285:0.285:0.285) (0.532:0.532:0.532))
(IOPATH S0 X (0.376:0.376:0.376) (0.609:0.609:0.609))
(IOPATH S0 X (0.274:0.274:0.274) (0.501:0.501:0.501))
(IOPATH S1 X (0.287:0.287:0.287) (0.378:0.378:0.378))
(IOPATH S1 X (0.249:0.249:0.249) (0.303:0.303:0.303))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3_1")
(INSTANCE _203_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.229:0.229:0.229) (0.421:0.426:0.430))
(IOPATH B X (0.123:0.123:0.124) (0.362:0.362:0.362))
(IOPATH C X (0.130:0.131:0.131) (0.342:0.344:0.347))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o2111a_1")
(INSTANCE _204_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.310:0.310:0.310) (0.328:0.328:0.328))
(IOPATH A2 X (0.346:0.346:0.346) (0.335:0.341:0.346))
(IOPATH B1 X (0.287:0.287:0.287) (0.199:0.200:0.201))
(IOPATH C1 X (0.282:0.282:0.282) (0.194:0.195:0.195))
(IOPATH D1 X (0.253:0.255:0.256) (0.182:0.182:0.182))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2b_1")
(INSTANCE _205_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.177:0.177:0.177) (0.278:0.278:0.278))
(IOPATH B_N X (0.210:0.216:0.222) (0.295:0.296:0.296))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _206_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.161:0.161:0.161) (0.137:0.137:0.137))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _207_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.265:0.265:0.265) (0.109:0.109:0.109))
(IOPATH B Y (0.345:0.348:0.350) (0.159:0.161:0.164))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a221o_1")
(INSTANCE _208_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.286:0.286:0.286) (0.386:0.390:0.395))
(IOPATH A2 X (0.243:0.243:0.243) (0.417:0.418:0.418))
(IOPATH B1 X (0.203:0.203:0.203) (0.349:0.349:0.349))
(IOPATH B2 X (0.218:0.218:0.219) (0.378:0.378:0.378))
(IOPATH C1 X (0.220:0.222:0.224) (0.321:0.331:0.341))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a311o_1")
(INSTANCE _209_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.234:0.234:0.234) (0.294:0.297:0.300))
(IOPATH A2 X (0.157:0.158:0.159) (0.309:0.310:0.310))
(IOPATH A3 X (0.184:0.185:0.185) (0.367:0.368:0.368))
(IOPATH B1 X (0.141:0.141:0.141) (0.329:0.331:0.333))
(IOPATH C1 X (0.128:0.128:0.129) (0.282:0.283:0.283))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3b_1")
(INSTANCE _210_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.263:0.263:0.263) (0.499:0.499:0.499))
(IOPATH B X (0.239:0.239:0.239) (0.445:0.448:0.452))
(IOPATH C_N X (0.299:0.299:0.299) (0.476:0.476:0.476))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _211_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.223:0.223:0.223) (0.244:0.244:0.244))
(IOPATH A2 X (0.210:0.210:0.210) (0.260:0.260:0.260))
(IOPATH A3 X (0.189:0.189:0.189) (0.267:0.267:0.267))
(IOPATH B1 X (0.155:0.155:0.155) (0.224:0.225:0.225))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _212_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.125:0.126:0.128) (0.285:0.286:0.288))
(IOPATH A1 X (0.183:0.183:0.183) (0.322:0.322:0.322))
(IOPATH S X (0.199:0.200:0.200) (0.326:0.326:0.327))
(IOPATH S X (0.140:0.140:0.141) (0.314:0.314:0.315))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _213_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.133:0.134:0.135) (0.123:0.123:0.123))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _214_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.236:0.236:0.236) (0.245:0.245:0.245))
(IOPATH B Y (0.325:0.328:0.331) (0.245:0.249:0.252))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3b_1")
(INSTANCE _215_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.227:0.227:0.227) (0.449:0.451:0.454))
(IOPATH B X (0.250:0.250:0.250) (0.443:0.444:0.444))
(IOPATH C_N X (0.262:0.262:0.263) (0.423:0.425:0.426))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21a_1")
(INSTANCE _216_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.149:0.149:0.149) (0.197:0.197:0.197))
(IOPATH A2 X (0.147:0.149:0.151) (0.231:0.233:0.234))
(IOPATH B1 X (0.133:0.134:0.135) (0.131:0.131:0.131))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a211o_1")
(INSTANCE _217_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.194:0.194:0.194) (0.287:0.292:0.298))
(IOPATH A2 X (0.164:0.164:0.164) (0.320:0.320:0.321))
(IOPATH B1 X (0.152:0.152:0.152) (0.286:0.288:0.291))
(IOPATH C1 X (0.161:0.161:0.161) (0.283:0.283:0.283))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3b_1")
(INSTANCE _218_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.365:0.366:0.367) (0.261:0.261:0.262))
(IOPATH B X (0.330:0.330:0.330) (0.272:0.273:0.273))
(IOPATH C X (0.356:0.356:0.356) (0.314:0.314:0.314))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _219_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.192:0.192:0.192) (0.324:0.325:0.325))
(IOPATH A1 X (0.189:0.189:0.189) (0.351:0.351:0.351))
(IOPATH S X (0.243:0.243:0.243) (0.367:0.367:0.367))
(IOPATH S X (0.196:0.196:0.196) (0.350:0.350:0.350))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _220_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.123:0.123:0.124) (0.118:0.118:0.119))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _221_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.191:0.191:0.191) (0.309:0.309:0.309))
(IOPATH B X (0.201:0.201:0.201) (0.272:0.275:0.277))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o22a_1")
(INSTANCE _222_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.316:0.316:0.316) (0.327:0.327:0.327))
(IOPATH A2 X (0.277:0.277:0.277) (0.295:0.295:0.295))
(IOPATH B1 X (0.249:0.249:0.249) (0.266:0.266:0.266))
(IOPATH B2 X (0.267:0.267:0.268) (0.295:0.298:0.300))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o32a_1")
(INSTANCE _223_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.270:0.270:0.270) (0.410:0.413:0.415))
(IOPATH A2 X (0.214:0.214:0.214) (0.379:0.379:0.379))
(IOPATH A3 X (0.202:0.202:0.202) (0.330:0.330:0.330))
(IOPATH B1 X (0.256:0.256:0.256) (0.242:0.242:0.243))
(IOPATH B2 X (0.247:0.247:0.247) (0.244:0.244:0.244))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _224_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.501:0.501:0.501) (0.470:0.470:0.470))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.024:0.024:0.024))
(HOLD (negedge D) (posedge CLK) (0.069:0.069:0.069))
(SETUP (posedge D) (posedge CLK) (-0.007:-0.007:-0.007))
(SETUP (negedge D) (posedge CLK) (-0.018:-0.018:-0.018))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _225_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.500:0.500:0.500) (0.469:0.469:0.469))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.027:0.027:0.027))
(HOLD (negedge D) (posedge CLK) (0.072:0.072:0.072))
(SETUP (posedge D) (posedge CLK) (-0.011:-0.011:-0.011))
(SETUP (negedge D) (posedge CLK) (-0.021:-0.021:-0.021))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _226_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.521:0.521:0.521) (0.482:0.482:0.482))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.020:0.020:0.020))
(HOLD (negedge D) (posedge CLK) (0.064:0.064:0.064))
(SETUP (posedge D) (posedge CLK) (-0.002:-0.002:-0.002))
(SETUP (negedge D) (posedge CLK) (-0.013:-0.013:-0.013))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _227_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.504:0.504:0.504) (0.472:0.472:0.472))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.021:0.021:0.021))
(HOLD (negedge D) (posedge CLK) (0.065:0.065:0.065))
(SETUP (posedge D) (posedge CLK) (-0.004:-0.004:-0.004))
(SETUP (negedge D) (posedge CLK) (-0.015:-0.015:-0.015))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _228_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.486:0.486:0.486) (0.442:0.442:0.442))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.001:0.001:0.001))
(HOLD (negedge D) (posedge CLK) (0.025:0.025:0.025))
(SETUP (posedge D) (posedge CLK) (0.019:0.019:0.019))
(SETUP (negedge D) (posedge CLK) (0.029:0.029:0.029))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _229_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.448:0.448:0.448) (0.421:0.421:0.421))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.013:0.013:0.013))
(HOLD (negedge D) (posedge CLK) (0.038:0.038:0.038))
(SETUP (posedge D) (posedge CLK) (0.005:0.005:0.005))
(SETUP (negedge D) (posedge CLK) (0.016:0.016:0.016))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _230_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.481:0.481:0.481) (0.439:0.439:0.439))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.007:0.007:0.007))
(HOLD (negedge D) (posedge CLK) (0.032:0.032:0.032))
(SETUP (posedge D) (posedge CLK) (0.012:0.012:0.012))
(SETUP (negedge D) (posedge CLK) (0.022:0.022:0.022))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _231_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.595:0.595:0.595) (0.521:0.521:0.521))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.017:0.017:0.017))
(HOLD (negedge D) (posedge CLK) (0.062:0.062:0.062))
(SETUP (posedge D) (posedge CLK) (0.000:0.000:0.000))
(SETUP (negedge D) (posedge CLK) (-0.011:-0.011:-0.011))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _232_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.455:0.455:0.455) (0.425:0.425:0.425))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.019:0.019:0.019))
(HOLD (negedge D) (posedge CLK) (0.043:0.043:0.043))
(SETUP (posedge D) (posedge CLK) (-0.000:-0.000:-0.000))
(SETUP (negedge D) (posedge CLK) (0.010:0.010:0.010))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _233_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.486:0.486:0.486) (0.442:0.442:0.442))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.024:0.024:0.024))
(HOLD (negedge D) (posedge CLK) (0.032:0.032:0.032))
(SETUP (posedge D) (posedge CLK) (-0.006:-0.006:-0.006))
(SETUP (negedge D) (posedge CLK) (0.021:0.021:0.021))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _234_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.464:0.464:0.464) (0.431:0.431:0.431))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.014:0.014:0.014))
(HOLD (negedge D) (posedge CLK) (0.038:0.038:0.038))
(SETUP (posedge D) (posedge CLK) (0.005:0.005:0.005))
(SETUP (negedge D) (posedge CLK) (0.016:0.016:0.016))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _235_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.459:0.459:0.459) (0.427:0.427:0.427))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.014:0.014:0.014))
(HOLD (negedge D) (posedge CLK) (0.039:0.039:0.039))
(SETUP (posedge D) (posedge CLK) (0.005:0.005:0.005))
(SETUP (negedge D) (posedge CLK) (0.015:0.015:0.015))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _236_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.495:0.495:0.495) (0.467:0.467:0.467))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.031:0.030:0.029))
(HOLD (negedge D) (posedge CLK) (0.070:0.070:0.069))
(SETUP (posedge D) (posedge CLK) (-0.014:-0.013:-0.012))
(SETUP (negedge D) (posedge CLK) (-0.019:-0.019:-0.019))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _237_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.524:0.524:0.524) (0.482:0.482:0.482))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.027:0.026:0.025))
(HOLD (negedge D) (posedge CLK) (0.065:0.065:0.065))
(SETUP (posedge D) (posedge CLK) (-0.010:-0.009:-0.008))
(SETUP (negedge D) (posedge CLK) (-0.014:-0.014:-0.014))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _238_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.605:0.605:0.605) (0.525:0.525:0.525))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.000:-0.008:-0.016))
(HOLD (negedge D) (posedge CLK) (0.035:0.023:0.012))
(SETUP (posedge D) (posedge CLK) (0.018:0.026:0.035))
(SETUP (negedge D) (posedge CLK) (0.017:0.029:0.041))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_4")
(INSTANCE _239_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.646:0.646:0.646) (0.572:0.572:0.572))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.021:0.021:0.021))
(HOLD (negedge D) (posedge CLK) (0.066:0.066:0.066))
(SETUP (posedge D) (posedge CLK) (-0.001:-0.001:-0.001))
(SETUP (negedge D) (posedge CLK) (-0.010:-0.010:-0.010))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _240_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.489:0.489:0.489) (0.444:0.444:0.444))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.023:0.023:0.023))
(HOLD (negedge D) (posedge CLK) (0.045:0.044:0.043))
(SETUP (posedge D) (posedge CLK) (-0.004:-0.004:-0.004))
(SETUP (negedge D) (posedge CLK) (0.009:0.010:0.011))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _241_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.556:0.556:0.556) (0.500:0.500:0.500))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.026:0.026:0.026))
(HOLD (negedge D) (posedge CLK) (0.070:0.070:0.070))
(SETUP (posedge D) (posedge CLK) (-0.009:-0.009:-0.009))
(SETUP (negedge D) (posedge CLK) (-0.020:-0.020:-0.020))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _242_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.564:0.564:0.564) (0.505:0.505:0.505))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.009:0.009:0.009))
(HOLD (negedge D) (posedge CLK) (0.047:0.044:0.042))
(SETUP (posedge D) (posedge CLK) (0.009:0.009:0.009))
(SETUP (negedge D) (posedge CLK) (0.005:0.007:0.010))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _243_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.685:0.685:0.685) (0.566:0.566:0.566))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.022:0.021:0.021))
(HOLD (negedge D) (posedge CLK) (0.058:0.057:0.056))
(SETUP (posedge D) (posedge CLK) (-0.005:-0.004:-0.004))
(SETUP (negedge D) (posedge CLK) (-0.007:-0.006:-0.005))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _441_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.153:0.153:0.153) (0.196:0.196:0.196))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _442_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.139:0.139:0.139) (0.187:0.187:0.187))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _443_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.135:0.135:0.135) (0.184:0.184:0.184))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _444_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.134:0.134:0.134) (0.183:0.183:0.183))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _445_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.134:0.134:0.134) (0.182:0.182:0.182))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _446_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.135:0.135:0.135) (0.183:0.183:0.183))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _447_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.134:0.134:0.134) (0.183:0.183:0.183))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _448_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.134:0.134:0.134) (0.182:0.182:0.182))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _449_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.134:0.134:0.134) (0.182:0.182:0.182))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _450_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.134:0.134:0.134) (0.182:0.182:0.182))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _451_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.136:0.136:0.136) (0.183:0.183:0.183))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _452_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.134:0.134:0.134) (0.181:0.181:0.181))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _453_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.134:0.134:0.134) (0.180:0.180:0.180))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _454_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.133:0.133:0.133) (0.180:0.180:0.180))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _455_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.133:0.133:0.133) (0.179:0.179:0.179))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _456_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.133:0.133:0.133) (0.178:0.178:0.178))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _457_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.132:0.132:0.132) (0.177:0.177:0.177))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _458_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.136:0.136:0.136) (0.180:0.180:0.180))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _459_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.132:0.132:0.132) (0.176:0.176:0.176))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _460_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.132:0.132:0.132) (0.175:0.175:0.175))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _461_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.132:0.132:0.132) (0.174:0.174:0.174))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _462_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.132:0.132:0.132) (0.174:0.174:0.174))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _463_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.132:0.132:0.132) (0.173:0.173:0.173))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _464_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.134:0.134:0.134) (0.174:0.174:0.174))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _465_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.131:0.131:0.131) (0.172:0.172:0.172))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _466_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.131:0.131:0.131) (0.172:0.172:0.172))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _467_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.131:0.131:0.131) (0.173:0.173:0.173))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _468_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.131:0.131:0.131) (0.173:0.173:0.173))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _469_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.132:0.132:0.132) (0.174:0.174:0.174))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _470_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.135:0.135:0.135) (0.177:0.177:0.177))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _471_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.131:0.131:0.131) (0.174:0.174:0.174))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _472_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.132:0.132:0.132) (0.175:0.175:0.175))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _473_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.133:0.133:0.133) (0.176:0.176:0.176))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _474_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.134:0.134:0.134) (0.177:0.177:0.177))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _475_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.136:0.136:0.136) (0.179:0.179:0.179))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _476_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.142:0.142:0.142) (0.183:0.183:0.183))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _477_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.209:0.209:0.209) (0.177:0.177:0.177))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _478_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.201:0.201:0.201) (0.238:0.238:0.238))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_0_net58)
(DELAY
(ABSOLUTE
(IOPATH A X (0.239:0.239:0.239) (0.244:0.244:0.244))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE clkbuf_1_0_0_net58)
(DELAY
(ABSOLUTE
(IOPATH A X (0.108:0.108:0.108) (0.105:0.105:0.105))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE clkbuf_1_0_1_net58)
(DELAY
(ABSOLUTE
(IOPATH A X (0.380:0.380:0.380) (0.302:0.302:0.302))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE clkbuf_1_1_0_net58)
(DELAY
(ABSOLUTE
(IOPATH A X (0.109:0.109:0.109) (0.106:0.106:0.106))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE clkbuf_1_1_1_net58)
(DELAY
(ABSOLUTE
(IOPATH A X (0.618:0.618:0.618) (0.477:0.477:0.477))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1")
(INSTANCE hold1)
(DELAY
(ABSOLUTE
(IOPATH A X (0.288:0.288:0.288) (0.264:0.264:0.264))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s50_1")
(INSTANCE hold2)
(DELAY
(ABSOLUTE
(IOPATH A X (0.538:0.538:0.538) (0.465:0.465:0.465))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE input1)
(DELAY
(ABSOLUTE
(IOPATH A X (0.282:0.282:0.282) (0.207:0.207:0.207))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input10)
(DELAY
(ABSOLUTE
(IOPATH A X (0.143:0.143:0.143) (0.111:0.111:0.111))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input11)
(DELAY
(ABSOLUTE
(IOPATH A X (0.142:0.142:0.142) (0.111:0.111:0.111))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input12)
(DELAY
(ABSOLUTE
(IOPATH A X (0.143:0.143:0.143) (0.111:0.111:0.111))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input13)
(DELAY
(ABSOLUTE
(IOPATH A X (0.128:0.128:0.128) (0.104:0.104:0.104))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input14)
(DELAY
(ABSOLUTE
(IOPATH A X (0.174:0.174:0.174) (0.128:0.128:0.128))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input15)
(DELAY
(ABSOLUTE
(IOPATH A X (0.157:0.157:0.157) (0.119:0.119:0.119))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input16)
(DELAY
(ABSOLUTE
(IOPATH A X (0.143:0.143:0.143) (0.112:0.112:0.112))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input17)
(DELAY
(ABSOLUTE
(IOPATH A X (0.096:0.096:0.096) (0.085:0.085:0.085))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input18)
(DELAY
(ABSOLUTE
(IOPATH A X (0.107:0.107:0.107) (0.092:0.092:0.092))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input19)
(DELAY
(ABSOLUTE
(IOPATH A X (0.104:0.104:0.104) (0.090:0.090:0.090))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
(INSTANCE input2)
(DELAY
(ABSOLUTE
(IOPATH A X (0.207:0.207:0.207) (0.188:0.188:0.188))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input3)
(DELAY
(ABSOLUTE
(IOPATH A X (0.087:0.087:0.087) (0.080:0.080:0.080))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE input4)
(DELAY
(ABSOLUTE
(IOPATH A X (0.230:0.230:0.230) (0.194:0.194:0.194))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
(INSTANCE input5)
(DELAY
(ABSOLUTE
(IOPATH A X (0.175:0.175:0.175) (0.164:0.164:0.164))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input6)
(DELAY
(ABSOLUTE
(IOPATH A X (0.098:0.098:0.098) (0.086:0.086:0.086))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_6")
(INSTANCE input7)
(DELAY
(ABSOLUTE
(IOPATH A X (0.213:0.213:0.213) (0.168:0.168:0.168))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input8)
(DELAY
(ABSOLUTE
(IOPATH A X (0.101:0.101:0.101) (0.088:0.088:0.088))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input9)
(DELAY
(ABSOLUTE
(IOPATH A X (0.160:0.160:0.160) (0.120:0.120:0.120))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output20)
(DELAY
(ABSOLUTE
(IOPATH A X (0.207:0.207:0.207) (0.179:0.179:0.179))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output21)
(DELAY
(ABSOLUTE
(IOPATH A X (0.201:0.201:0.201) (0.175:0.175:0.175))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output22)
(DELAY
(ABSOLUTE
(IOPATH A X (0.199:0.199:0.199) (0.174:0.174:0.174))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output23)
(DELAY
(ABSOLUTE
(IOPATH A X (0.199:0.199:0.199) (0.174:0.174:0.174))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output24)
(DELAY
(ABSOLUTE
(IOPATH A X (0.199:0.199:0.199) (0.174:0.174:0.174))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output25)
(DELAY
(ABSOLUTE
(IOPATH A X (0.199:0.199:0.199) (0.174:0.174:0.174))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output26)
(DELAY
(ABSOLUTE
(IOPATH A X (0.199:0.199:0.199) (0.174:0.174:0.174))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output27)
(DELAY
(ABSOLUTE
(IOPATH A X (0.199:0.199:0.199) (0.174:0.174:0.174))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output28)
(DELAY
(ABSOLUTE
(IOPATH A X (0.202:0.202:0.202) (0.175:0.175:0.175))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output29)
(DELAY
(ABSOLUTE
(IOPATH A X (0.199:0.199:0.199) (0.174:0.174:0.174))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output30)
(DELAY
(ABSOLUTE
(IOPATH A X (0.199:0.199:0.199) (0.174:0.174:0.174))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output31)
(DELAY
(ABSOLUTE
(IOPATH A X (0.201:0.201:0.201) (0.175:0.175:0.175))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output32)
(DELAY
(ABSOLUTE
(IOPATH A X (0.199:0.199:0.199) (0.174:0.174:0.174))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output33)
(DELAY
(ABSOLUTE
(IOPATH A X (0.199:0.199:0.199) (0.174:0.174:0.174))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output34)
(DELAY
(ABSOLUTE
(IOPATH A X (0.199:0.199:0.199) (0.174:0.174:0.174))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output35)
(DELAY
(ABSOLUTE
(IOPATH A X (0.201:0.201:0.201) (0.175:0.175:0.175))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output36)
(DELAY
(ABSOLUTE
(IOPATH A X (0.199:0.199:0.199) (0.174:0.174:0.174))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output37)
(DELAY
(ABSOLUTE
(IOPATH A X (0.198:0.198:0.198) (0.173:0.173:0.173))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output38)
(DELAY
(ABSOLUTE
(IOPATH A X (0.199:0.199:0.199) (0.174:0.174:0.174))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output39)
(DELAY
(ABSOLUTE
(IOPATH A X (0.198:0.198:0.198) (0.173:0.173:0.173))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output40)
(DELAY
(ABSOLUTE
(IOPATH A X (0.199:0.199:0.199) (0.174:0.174:0.174))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output41)
(DELAY
(ABSOLUTE
(IOPATH A X (0.201:0.201:0.201) (0.175:0.175:0.175))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output42)
(DELAY
(ABSOLUTE
(IOPATH A X (0.199:0.199:0.199) (0.174:0.174:0.174))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output43)
(DELAY
(ABSOLUTE
(IOPATH A X (0.198:0.198:0.198) (0.174:0.174:0.174))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output44)
(DELAY
(ABSOLUTE
(IOPATH A X (0.198:0.198:0.198) (0.174:0.174:0.174))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output45)
(DELAY
(ABSOLUTE
(IOPATH A X (0.199:0.199:0.199) (0.174:0.174:0.174))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output46)
(DELAY
(ABSOLUTE
(IOPATH A X (0.200:0.200:0.200) (0.174:0.174:0.174))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output47)
(DELAY
(ABSOLUTE
(IOPATH A X (0.200:0.200:0.200) (0.175:0.175:0.175))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output48)
(DELAY
(ABSOLUTE
(IOPATH A X (0.204:0.204:0.204) (0.177:0.177:0.177))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output49)
(DELAY
(ABSOLUTE
(IOPATH A X (0.299:0.299:0.299) (0.295:0.295:0.295))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output50)
(DELAY
(ABSOLUTE
(IOPATH A X (0.199:0.199:0.199) (0.174:0.174:0.174))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output51)
(DELAY
(ABSOLUTE
(IOPATH A X (0.200:0.200:0.200) (0.174:0.174:0.174))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output52)
(DELAY
(ABSOLUTE
(IOPATH A X (0.199:0.199:0.199) (0.174:0.174:0.174))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output53)
(DELAY
(ABSOLUTE
(IOPATH A X (0.199:0.199:0.199) (0.174:0.174:0.174))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output54)
(DELAY
(ABSOLUTE
(IOPATH A X (0.199:0.199:0.199) (0.174:0.174:0.174))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output55)
(DELAY
(ABSOLUTE
(IOPATH A X (0.199:0.199:0.199) (0.174:0.174:0.174))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output56)
(DELAY
(ABSOLUTE
(IOPATH A X (0.199:0.199:0.199) (0.174:0.174:0.174))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output57)
(DELAY
(ABSOLUTE
(IOPATH A X (0.262:0.262:0.262) (0.218:0.218:0.218))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE output58)
(DELAY
(ABSOLUTE
(IOPATH A X (0.405:0.405:0.405) (0.377:0.377:0.377))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output59)
(DELAY
(ABSOLUTE
(IOPATH A X (0.222:0.222:0.222) (0.194:0.194:0.194))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE output60)
(DELAY
(ABSOLUTE
(IOPATH A X (0.331:0.331:0.331) (0.217:0.217:0.217))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output61)
(DELAY
(ABSOLUTE
(IOPATH A X (0.234:0.234:0.234) (0.194:0.194:0.194))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output62)
(DELAY
(ABSOLUTE
(IOPATH A X (0.229:0.229:0.229) (0.189:0.189:0.189))
)
)
)
)