blob: 4e96c540282d44afe5577166a9a4d9b4757505a2 [file] [log] [blame]
library ("sky130_fd_sc_hvl__tt_150C_3v30_lv1v80") {
define(clk_width,library,string);
define(def_sim_opt,library,string);
define(default_arc_mode,library,string);
define(default_constraint_arc_mode,library,string);
define(driver_model,library,string);
define(leakage_sim_opt,library,string);
define(min_pulse_width_mode,library,string);
define(simulator,library,string);
define(switching_power_split_model,library,string);
define(tran_timestep,library,string);
technology("cmos");
delay_model : "table_lookup";
bus_naming_style : "%s[%d]";
time_unit : "1ns";
voltage_unit : "1V";
leakage_power_unit : "1nW";
current_unit : "1mA";
pulling_resistance_unit : "1kohm";
capacitive_load_unit(1.0000000000, "pf");
revision : 1.0000000000;
default_cell_leakage_power : 0.0000000000;
default_fanout_load : 0.0000000000;
default_inout_pin_cap : 0.0000000000;
default_input_pin_cap : 0.0000000000;
default_max_transition : 3.7500000000;
default_output_pin_cap : 0.0000000000;
default_arc_mode : "worst_edges";
default_constraint_arc_mode : "worst";
default_leakage_power_density : 0.0000000000;
default_operating_conditions : "tt_150C_3v30_lv1v80";
clk_width : "0.0000000400";
operating_conditions ("tt_150C_3v30") {
voltage : 3.3000000000;
process : 1.0000000000;
temperature : 150.00000000;
tree_type : "balanced_tree";
}
power_lut_template ("power_inputs_1") {
variable_1 : "input_transition_time";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11");
}
power_lut_template ("power_outputs_1") {
variable_1 : "input_transition_time";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15");
index_2("1, 2, 3, 4, 5, 6, 7");
}
power_lut_template ("power_outputs_2") {
variable_1 : "input_transition_time";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13");
index_2("1, 2, 3, 4, 5, 6, 7, 8, 9");
}
power_lut_template ("power_outputs_3") {
variable_1 : "input_transition_time";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15");
index_2("1, 2, 3, 4, 5, 6, 7, 8, 9");
}
power_lut_template ("power_outputs_4") {
variable_1 : "input_transition_time";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11");
index_2("1, 2, 3, 4, 5, 6, 7");
}
power_lut_template ("power_outputs_5") {
variable_1 : "input_transition_time";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11");
index_2("1, 2, 3, 4, 5, 6, 7, 8, 9");
}
lu_table_template ("del_1_11_7") {
variable_1 : "input_net_transition";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11");
index_2("1, 2, 3, 4, 5, 6, 7");
}
lu_table_template ("del_1_11_9") {
variable_1 : "input_net_transition";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11");
index_2("1, 2, 3, 4, 5, 6, 7, 8, 9");
}
lu_table_template ("del_1_13_9") {
variable_1 : "input_net_transition";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13");
index_2("1, 2, 3, 4, 5, 6, 7, 8, 9");
}
lu_table_template ("del_1_15_7") {
variable_1 : "input_net_transition";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15");
index_2("1, 2, 3, 4, 5, 6, 7");
}
lu_table_template ("del_1_15_9") {
variable_1 : "input_net_transition";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15");
index_2("1, 2, 3, 4, 5, 6, 7, 8, 9");
}
lu_table_template ("driver_waveform_template") {
variable_1 : "input_net_transition";
variable_2 : "normalized_voltage";
index_1("1, 2");
index_2("1, 2");
}
normalized_driver_waveform ("driver_waveform_template") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0000000000, 0.5000000000, 1.0000000000");
driver_waveform_name : "ramp";
values("0.0000000000, 0.0008333333, 0.0016666667", \
"0.0000000000, 0.0108333330, 0.0216666670", \
"0.0000000000, 0.0216666670, 0.0433333330", \
"0.0000000000, 0.0600000000, 0.1200000000", \
"0.0000000000, 0.1800000000, 0.3600000000", \
"0.0000000000, 0.3600000000, 0.7200000000", \
"0.0000000000, 0.7200000000, 1.4400000000", \
"0.0000000000, 1.0800000000, 2.1600000000", \
"0.0000000000, 2.0833333000, 4.1666667000", \
"0.0000000000, 2.6041667000, 5.2083333000", \
"0.0000000000, 3.1249999000, 6.2499998000");
}
library_features("report_delay_calculation");
voltage_map("VSS", 0.0000000000);
voltage_map("LOWHVPWR", 3.3000000000);
voltage_map("LVPWR", 1.8000000000);
voltage_map("VGND", 0.0000000000);
voltage_map("VNB", 0.0000000000);
voltage_map("VPB", 3.3000000000);
voltage_map("VPWR", 3.3000000000);
driver_model : "ramp";
in_place_swap_mode : "match_footprint";
input_threshold_pct_fall : 50.000000000;
input_threshold_pct_rise : 50.000000000;
min_pulse_width_mode : "max";
nom_process : 1.0000000000;
nom_temperature : 150.00000000;
nom_voltage : 3.3000000000;
output_threshold_pct_fall : 50.000000000;
output_threshold_pct_rise : 50.000000000;
simulation : "true";
slew_derate_from_library : 1.0000000000;
slew_lower_threshold_pct_fall : 20.000000000;
slew_lower_threshold_pct_rise : 20.000000000;
slew_upper_threshold_pct_fall : 80.00000000;
slew_upper_threshold_pct_rise : 80.00000000;
switching_power_split_model : "true";
tran_timestep : "0.0000000000";
cell ("sky130_fd_sc_hvl__lsbufhv2hv_hl_1") {
leakage_power () {
value : 1.2672573000;
when : "A";
}
leakage_power () {
value : 1.5195836000;
when : "!A";
}
area : 70.32960000;
cell_footprint : "sky130_fd_sc_hvl__lsbufhv2hv_hl";
cell_leakage_power : 1.3934200000;
is_level_shifter : "true";
level_shifter_type : "HL";
pg_pin ("LOWHVPWR") {
pg_type : "primary_power";
voltage_name : "LOWHVPWR";
}
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0025670000;
clock : "false";
input_voltage_range(1.3200000000, 5.5000000000);
direction : "input";
fall_capacitance : 0.0025080000;
input_signal_level : "VPWR";
level_shifter_data_pin : "true";
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0026260000;
}
pin ("X") {
output_voltage_range(1.0000000000, 5.5000000000);
direction : "output";
function : "A";
internal_power () {
fall_power ("power_outputs_4") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0014632930, 0.0042824520, 0.0125329600, 0.0366787900, 0.1073436000, 0.3141503000");
values("0.0646710000, 0.0594693000, 0.0449021000, 0.0011168000, -0.1295516000, -0.5140893000, -1.6400225000", \
"0.0666278000, 0.0612465000, 0.0466071000, 0.0027394000, -0.1279110000, -0.5125992000, -1.6384424000", \
"0.0660932000, 0.0606662000, 0.0460421000, 0.0022619000, -0.1285957000, -0.5130553000, -1.6390260000", \
"0.0654630000, 0.0600076000, 0.0451442000, 0.0015861000, -0.1291778000, -0.5136975000, -1.6395991000", \
"0.0690592000, 0.0635516000, 0.0482151000, 0.0038858000, -0.1269230000, -0.5113598000, -1.6373430000", \
"0.0784077000, 0.0723456000, 0.0562403000, 0.0108095000, -0.1209251000, -0.5054757000, -1.6316145000", \
"0.0986791000, 0.0918728000, 0.0737476000, 0.0280702000, -0.1049904000, -0.4905410000, -1.6166722000", \
"0.1209341000, 0.1137885000, 0.0948386000, 0.0455634000, -0.0877824000, -0.4742765000, -1.6008283000", \
"0.1833828000, 0.1755457000, 0.1546368000, 0.1018623000, -0.0370069000, -0.4256033000, -1.5538588000", \
"0.2160074000, 0.2079405000, 0.1863522000, 0.1321720000, -0.0086715000, -0.3993828000, -1.5285900000", \
"0.2483279000, 0.2401176000, 0.2180693000, 0.1624123000, 0.0198931000, -0.3724928000, -1.5028817000");
}
related_pin : "A";
rise_power ("power_outputs_4") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0014632930, 0.0042824520, 0.0125329600, 0.0366787900, 0.1073436000, 0.3141503000");
values("0.0435905000, 0.0488963000, 0.0641431000, 0.1086360000, 0.2401331000, 0.6194631000, 1.7343837000", \
"0.0415819000, 0.0466905000, 0.0619401000, 0.1069475000, 0.2374322000, 0.6194283000, 1.7335818000", \
"0.0412668000, 0.0464449000, 0.0618479000, 0.1067326000, 0.2375578000, 0.6186968000, 1.7327305000", \
"0.0407484000, 0.0457861000, 0.0611253000, 0.1060941000, 0.2364327000, 0.6185226000, 1.7327019000", \
"0.0431561000, 0.0479385000, 0.0626154000, 0.1070683000, 0.2374716000, 0.6185856000, 1.7340105000", \
"0.0524936000, 0.0568538000, 0.0707905000, 0.1136629000, 0.2429000000, 0.6243832000, 1.7391155000", \
"0.0748240000, 0.0784065000, 0.0902552000, 0.1312956000, 0.2580788000, 0.6397479000, 1.7522036000", \
"0.0975581000, 0.1007294000, 0.1117390000, 0.1514218000, 0.2760776000, 0.6555749000, 1.7683044000", \
"0.1617628000, 0.1639812000, 0.1731685000, 0.2089601000, 0.3288600000, 0.7037737000, 1.8159533000", \
"0.1949048000, 0.1971594000, 0.2055898000, 0.2404180000, 0.3590003000, 0.7301913000, 1.8414495000", \
"0.2279587000, 0.2301668000, 0.2381886000, 0.2710469000, 0.3888875000, 0.7572610000, 1.8663155000");
}
}
max_capacitance : 0.3141500000;
max_transition : 3.7519380000;
power_down_function : "(!LOWHVPWR+!VPWR+VGND)";
related_ground_pin : "VGND";
related_power_pin : "LOWHVPWR";
timing () {
cell_fall ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0014632900, 0.0042824500, 0.0125330000, 0.0366788000, 0.1073440000, 0.3141500000");
values("0.1739387000, 0.1872339000, 0.2206677000, 0.3020672000, 0.5140376000, 1.1239891000, 2.9010665000", \
"0.1787175000, 0.1914784000, 0.2248629000, 0.3061132000, 0.5179073000, 1.1255280000, 2.9064180000", \
"0.1810241000, 0.1941571000, 0.2272131000, 0.3087469000, 0.5204814000, 1.1300990000, 2.9034519000", \
"0.1927099000, 0.2053082000, 0.2386376000, 0.3200396000, 0.5317783000, 1.1414792000, 2.9175704000", \
"0.2368322000, 0.2493815000, 0.2817923000, 0.3623806000, 0.5738083000, 1.1818702000, 2.9604437000", \
"0.2903148000, 0.3034003000, 0.3368190000, 0.4186686000, 0.6298604000, 1.2379836000, 3.0126186000", \
"0.3671234000, 0.3810111000, 0.4156575000, 0.5000445000, 0.7135735000, 1.3191258000, 3.0958749000", \
"0.4278789000, 0.4427759000, 0.4787313000, 0.5651286000, 0.7812079000, 1.3869010000, 3.1635381000", \
"0.5558963000, 0.5724429000, 0.6131889000, 0.7074405000, 0.9342965000, 1.5457357000, 3.3155134000", \
"0.6093607000, 0.6269905000, 0.6700316000, 0.7673492000, 1.0012958000, 1.6191825000, 3.3895178000", \
"0.6581651000, 0.6765343000, 0.7213103000, 0.8226832000, 1.0612630000, 1.6896017000, 3.4607492000");
}
cell_rise ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0014632900, 0.0042824500, 0.0125330000, 0.0366788000, 0.1073440000, 0.3141500000");
values("0.1562812000, 0.1677892000, 0.1972847000, 0.2675051000, 0.4531359000, 0.9894937000, 2.5583908000", \
"0.1577927000, 0.1692857000, 0.1984397000, 0.2687426000, 0.4542006000, 0.9922925000, 2.5593729000", \
"0.1598040000, 0.1713302000, 0.2007483000, 0.2710043000, 0.4565751000, 0.9929921000, 2.5625737000", \
"0.1699531000, 0.1813667000, 0.2103923000, 0.2806651000, 0.4662397000, 1.0027934000, 2.5701319000", \
"0.2084580000, 0.2196273000, 0.2480440000, 0.3177421000, 0.5036060000, 1.0398689000, 2.6114537000", \
"0.2489159000, 0.2609707000, 0.2912313000, 0.3628749000, 0.5472169000, 1.0841530000, 2.6552034000", \
"0.2986989000, 0.3123257000, 0.3448164000, 0.4207220000, 0.6067090000, 1.1419430000, 2.7127081000", \
"0.3319131000, 0.3466595000, 0.3808489000, 0.4606162000, 0.6499916000, 1.1833811000, 2.7510665000", \
"0.3855434000, 0.4022845000, 0.4421424000, 0.5314753000, 0.7355769000, 1.2768765000, 2.8417386000", \
"0.4021909000, 0.4197822000, 0.4621130000, 0.5559464000, 0.7648098000, 1.3132709000, 2.8745304000", \
"0.4137965000, 0.4321596000, 0.4764405000, 0.5733716000, 0.7891690000, 1.3434261000, 2.9120517000");
}
fall_transition ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0014632900, 0.0042824500, 0.0125330000, 0.0366788000, 0.1073440000, 0.3141500000");
values("0.0570420000, 0.0686635000, 0.1009361000, 0.1915227000, 0.4685963000, 1.3015794000, 3.7517909000", \
"0.0572020000, 0.0687403000, 0.1009976000, 0.1915031000, 0.4685163000, 1.3022184000, 3.7501096000", \
"0.0570043000, 0.0684139000, 0.1009541000, 0.1914833000, 0.4685853000, 1.3016611000, 3.7480214000", \
"0.0569787000, 0.0689744000, 0.1006839000, 0.1913076000, 0.4688878000, 1.3005780000, 3.7508889000", \
"0.0581129000, 0.0696469000, 0.1018418000, 0.1927362000, 0.4688854000, 1.3022978000, 3.7419885000", \
"0.0683658000, 0.0795697000, 0.1114189000, 0.1985076000, 0.4697412000, 1.3018276000, 3.7501682000", \
"0.0832899000, 0.0943317000, 0.1251588000, 0.2123563000, 0.4766572000, 1.3016681000, 3.7463312000", \
"0.0982377000, 0.1091189000, 0.1390306000, 0.2260870000, 0.4850847000, 1.3044830000, 3.7519383000", \
"0.1339433000, 0.1461423000, 0.1766519000, 0.2631859000, 0.5176664000, 1.3167264000, 3.7388896000", \
"0.1514577000, 0.1645052000, 0.1954721000, 0.2819980000, 0.5359075000, 1.3287732000, 3.7509849000", \
"0.1668788000, 0.1802264000, 0.2139431000, 0.2988691000, 0.5546327000, 1.3452348000, 3.7451167000");
}
related_pin : "A";
rise_transition ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0014632900, 0.0042824500, 0.0125330000, 0.0366788000, 0.1073440000, 0.3141500000");
values("0.0545844000, 0.0653037000, 0.0955794000, 0.1827926000, 0.4529614000, 1.2648614000, 3.6347829000", \
"0.0541050000, 0.0651200000, 0.0954882000, 0.1828151000, 0.4533643000, 1.2630834000, 3.6326180000", \
"0.0541226000, 0.0652974000, 0.0956295000, 0.1829198000, 0.4522762000, 1.2620279000, 3.6334038000", \
"0.0541374000, 0.0651956000, 0.0955511000, 0.1826284000, 0.4533105000, 1.2631087000, 3.6354737000", \
"0.0572036000, 0.0677431000, 0.0976451000, 0.1839496000, 0.4536021000, 1.2649047000, 3.6335162000", \
"0.0678572000, 0.0787253000, 0.1094912000, 0.1915252000, 0.4547225000, 1.2644765000, 3.6335583000", \
"0.0864247000, 0.0967971000, 0.1274992000, 0.2080700000, 0.4616481000, 1.2649859000, 3.6372392000", \
"0.1029977000, 0.1138652000, 0.1445443000, 0.2244175000, 0.4706623000, 1.2670824000, 3.6338119000", \
"0.1411175000, 0.1531944000, 0.1855044000, 0.2657336000, 0.5054114000, 1.2798361000, 3.6344070000", \
"0.1580123000, 0.1702943000, 0.2016832000, 0.2851583000, 0.5235113000, 1.2924541000, 3.6385175000", \
"0.1746612000, 0.1866525000, 0.2221372000, 0.3023072000, 0.5413970000, 1.3079756000, 3.6450740000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
}
}
cell ("sky130_fd_sc_hvl__lsbufhv2hv_lh_1") {
leakage_power () {
value : 2.4224815000;
when : "A";
}
leakage_power () {
value : 3.0639056000;
when : "!A";
}
area : 85.95840000;
cell_footprint : "sky130_fd_sc_hvl__lsbufhv2hv_lh";
cell_leakage_power : 2.7431940000;
input_voltage_range(1.3200000000, 5.5000000000);
output_voltage_range(1.6500000000, 5.5000000000);
is_level_shifter : "true";
level_shifter_type : "LH";
pg_pin ("LOWHVPWR") {
pg_type : "primary_power";
voltage_name : "LOWHVPWR";
}
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0029850000;
clock : "false";
direction : "input";
fall_capacitance : 0.0028830000;
input_signal_level : "LOWHVPWR";
level_shifter_data_pin : "true";
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "LOWHVPWR";
rise_capacitance : 0.0030870000;
}
pin ("X") {
direction : "output";
function : "A";
internal_power () {
fall_power ("power_outputs_5") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0011149030, 0.0024860180, 0.0055433370, 0.0123605700, 0.0275616700, 0.0614571700, 0.1370376000, 0.3055672000");
values("0.4950757000, 0.4899631000, 0.4792640000, 0.4571051000, 0.4151483000, 0.3281016000, 0.1384724000, -0.2787092000, -1.1994838000", \
"0.4957780000, 0.4904759000, 0.4792909000, 0.4572980000, 0.4154229000, 0.3284038000, 0.1387011000, -0.2786176000, -1.1992720000", \
"0.4953753000, 0.4898447000, 0.4792372000, 0.4569242000, 0.4148769000, 0.3280015000, 0.1383365000, -0.2785616000, -1.1997644000", \
"0.4942232000, 0.4889872000, 0.4780494000, 0.4556108000, 0.4138941000, 0.3267930000, 0.1372450000, -0.2802436000, -1.2008495000", \
"0.4956168000, 0.4900755000, 0.4794163000, 0.4571104000, 0.4150860000, 0.3282250000, 0.1384916000, -0.2786235000, -1.1994806000", \
"0.5027412000, 0.4971579000, 0.4865151000, 0.4642360000, 0.4223260000, 0.3353094000, 0.1456581000, -0.2715178000, -1.1923080000", \
"0.5301113000, 0.5249395000, 0.5144763000, 0.4921436000, 0.4502703000, 0.3631370000, 0.1733331000, -0.2437668000, -1.1644885000", \
"0.5615219000, 0.5566040000, 0.5457700000, 0.5234627000, 0.4815499000, 0.3944977000, 0.2044690000, -0.2124642000, -1.1332992000", \
"0.6456449000, 0.6405667000, 0.6290832000, 0.6074889000, 0.5655818000, 0.4783440000, 0.2883220000, -0.1284537000, -1.0495214000", \
"0.6884846000, 0.6837318000, 0.6726552000, 0.6506767000, 0.6087900000, 0.5216891000, 0.3316307000, -0.0853737000, -1.0065644000", \
"0.7311721000, 0.7263570000, 0.7152568000, 0.6934128000, 0.6522466000, 0.5656071000, 0.3752935000, -0.0415312000, -0.9628355000");
}
related_pin : "A";
rise_power ("power_outputs_5") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0011149030, 0.0024860180, 0.0055433370, 0.0123605700, 0.0275616700, 0.0614571700, 0.1370376000, 0.3055672000");
values("0.2275681000, 0.2387463000, 0.2635099000, 0.3113088000, 0.3872059000, 0.4917928000, 0.6787831000, 1.0859516000, 1.9951115000", \
"0.2259178000, 0.2373013000, 0.2627264000, 0.3103215000, 0.3854481000, 0.4904144000, 0.6774002000, 1.0849958000, 1.9940480000", \
"0.2251863000, 0.2364931000, 0.2620741000, 0.3099980000, 0.3857904000, 0.4901427000, 0.6773782000, 1.0848568000, 1.9942397000", \
"0.2250366000, 0.2361416000, 0.2611694000, 0.3103172000, 0.3856823000, 0.4899392000, 0.6770550000, 1.0846976000, 1.9935657000", \
"0.2259544000, 0.2370563000, 0.2620863000, 0.3112280000, 0.3865984000, 0.4908625000, 0.6779639000, 1.0853252000, 1.9941807000", \
"0.2312140000, 0.2425099000, 0.2679800000, 0.3158240000, 0.3920620000, 0.4963632000, 0.6835168000, 1.0908659000, 2.0000217000", \
"0.2480358000, 0.2587458000, 0.2839113000, 0.3319808000, 0.4087462000, 0.5133154000, 0.7002275000, 1.1077746000, 2.0153204000", \
"0.3180860000, 0.3213373000, 0.3286579000, 0.3512617000, 0.4280186000, 0.5330109000, 0.7197128000, 1.1269460000, 2.0358944000", \
"0.4442824000, 0.4476619000, 0.4547556000, 0.4712641000, 0.5087963000, 0.5911273000, 0.7769812000, 1.1844259000, 2.0934687000", \
"0.4780478000, 0.4812590000, 0.4887411000, 0.5052130000, 0.5421802000, 0.6254917000, 0.8078097000, 1.2159469000, 2.1243082000", \
"0.5106562000, 0.5136671000, 0.5213901000, 0.5375414000, 0.5744646000, 0.6578147000, 0.8401817000, 1.2479347000, 2.1566214000");
}
}
max_capacitance : 0.3055670000;
max_transition : 3.7486290000;
power_down_function : "(!LOWHVPWR+!VPWR+VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_11_9") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0011149000, 0.0024860200, 0.0055433400, 0.0123606000, 0.0275617000, 0.0614572000, 0.1370380000, 0.3055670000");
values("1.4254057000, 1.4397491000, 1.4691031000, 1.5250597000, 1.6271798000, 1.8096959000, 2.1560600000, 2.8399183000, 4.3152313000", \
"1.4274246000, 1.4424536000, 1.4714947000, 1.5269869000, 1.6285493000, 1.8112667000, 2.1574962000, 2.8408025000, 4.3156390000", \
"1.4301416000, 1.4447987000, 1.4737610000, 1.5292972000, 1.6297423000, 1.8138409000, 2.1601132000, 2.8435816000, 4.3190780000", \
"1.4402588000, 1.4548279000, 1.4840275000, 1.5396456000, 1.6411820000, 1.8238459000, 2.1701470000, 2.8539973000, 4.3293600000", \
"1.4834891000, 1.4981586000, 1.5270839000, 1.5826535000, 1.6830691000, 1.8671356000, 2.2135722000, 2.8970932000, 4.3719055000", \
"1.5572553000, 1.5716023000, 1.6010347000, 1.6563676000, 1.7566252000, 1.9407418000, 2.2872320000, 2.9708094000, 4.4457038000", \
"1.7068643000, 1.7216362000, 1.7510184000, 1.8068288000, 1.9082167000, 2.0923054000, 2.4375277000, 3.1212184000, 4.5963611000", \
"1.8433454000, 1.8581449000, 1.8879376000, 1.9433664000, 2.0448581000, 2.2275357000, 2.5737779000, 3.2582148000, 4.7334927000", \
"2.1527653000, 2.1678657000, 2.1962461000, 2.2515125000, 2.3543196000, 2.5381262000, 2.8836113000, 3.5662049000, 5.0411790000", \
"2.2953186000, 2.3101601000, 2.3396771000, 2.3957110000, 2.4953684000, 2.6795632000, 3.0245535000, 3.7083947000, 5.1836064000", \
"2.4302840000, 2.4449149000, 2.4744673000, 2.5302607000, 2.6306604000, 2.8142750000, 3.1596137000, 3.8428563000, 5.3183542000");
}
cell_rise ("del_1_11_9") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0011149000, 0.0024860200, 0.0055433400, 0.0123606000, 0.0275617000, 0.0614572000, 0.1370380000, 0.3055670000");
values("0.4796565000, 0.4861508000, 0.4994432000, 0.5251891000, 0.5790783000, 0.6962648000, 0.9502455000, 1.5113621000, 2.7576628000", \
"0.4819439000, 0.4886256000, 0.5017453000, 0.5275043000, 0.5814613000, 0.6980320000, 0.9529859000, 1.5136249000, 2.7586113000", \
"0.4851027000, 0.4916447000, 0.5049358000, 0.5310226000, 0.5846060000, 0.7018379000, 0.9559242000, 1.5168793000, 2.7630174000", \
"0.4964976000, 0.5029953000, 0.5162094000, 0.5420061000, 0.5958427000, 0.7131978000, 0.9672365000, 1.5282062000, 2.7746103000", \
"0.5343821000, 0.5408795000, 0.5540941000, 0.5798922000, 0.6337272000, 0.7510838000, 1.0051230000, 1.5660897000, 2.8114049000", \
"0.5894550000, 0.5959942000, 0.6092779000, 0.6353272000, 0.6889137000, 0.8062031000, 1.0601249000, 1.6212517000, 2.8667397000", \
"0.6608520000, 0.6675079000, 0.6806746000, 0.7062999000, 0.7602744000, 0.8777695000, 1.1320392000, 1.6925173000, 2.9379317000", \
"0.7009707000, 0.7075828000, 0.7208950000, 0.7466242000, 0.8005839000, 0.9174202000, 1.1720088000, 1.7329739000, 2.9793525000", \
"0.7451808000, 0.7520913000, 0.7653183000, 0.7914938000, 0.8456509000, 0.9630058000, 1.2173178000, 1.7778686000, 3.0237448000", \
"0.7468380000, 0.7538060000, 0.7672566000, 0.7939598000, 0.8471837000, 0.9644998000, 1.2187062000, 1.7796566000, 3.0248057000", \
"0.7394401000, 0.7464657000, 0.7604463000, 0.7871054000, 0.8405331000, 0.9572408000, 1.2126615000, 1.7730516000, 3.0188136000");
}
fall_transition ("del_1_11_9") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0011149000, 0.0024860200, 0.0055433400, 0.0123606000, 0.0275617000, 0.0614572000, 0.1370380000, 0.3055670000");
values("0.1759999000, 0.1898794000, 0.2143698000, 0.2594195000, 0.3430931000, 0.5151619000, 0.8892403000, 1.7449578000, 3.7479371000", \
"0.1763223000, 0.1885878000, 0.2123968000, 0.2571306000, 0.3453515000, 0.5162933000, 0.8890649000, 1.7439792000, 3.7398893000", \
"0.1768340000, 0.1894092000, 0.2121503000, 0.2598098000, 0.3436995000, 0.5170341000, 0.8892277000, 1.7451524000, 3.7480148000", \
"0.1775910000, 0.1900561000, 0.2148570000, 0.2599420000, 0.3452362000, 0.5164090000, 0.8900607000, 1.7455952000, 3.7485024000", \
"0.1772047000, 0.1890920000, 0.2131037000, 0.2591315000, 0.3438194000, 0.5169185000, 0.8890146000, 1.7446485000, 3.7472825000", \
"0.1771557000, 0.1888084000, 0.2146097000, 0.2591819000, 0.3444703000, 0.5161295000, 0.8894756000, 1.7446884000, 3.7478958000", \
"0.1781640000, 0.1903149000, 0.2146737000, 0.2579279000, 0.3460152000, 0.5168706000, 0.8900315000, 1.7485494000, 3.7485828000", \
"0.1774203000, 0.1890353000, 0.2136343000, 0.2581261000, 0.3467463000, 0.5151912000, 0.8899769000, 1.7444527000, 3.7454995000", \
"0.1767266000, 0.1893416000, 0.2144021000, 0.2589204000, 0.3418158000, 0.5155072000, 0.8894069000, 1.7444219000, 3.7455767000", \
"0.1777028000, 0.1897765000, 0.2134200000, 0.2581773000, 0.3439532000, 0.5153588000, 0.8907825000, 1.7453723000, 3.7486285000", \
"0.1765175000, 0.1880927000, 0.2126043000, 0.2572544000, 0.3422138000, 0.5168401000, 0.8888138000, 1.7448877000, 3.7479526000");
}
related_pin : "A";
rise_transition ("del_1_11_9") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0011149000, 0.0024860200, 0.0055433400, 0.0123606000, 0.0275617000, 0.0614572000, 0.1370380000, 0.3055670000");
values("0.0434066000, 0.0495225000, 0.0629464000, 0.0941910000, 0.1692745000, 0.3393366000, 0.7190337000, 1.5608849000, 3.4476098000", \
"0.0436946000, 0.0496499000, 0.0631610000, 0.0943077000, 0.1691990000, 0.3397782000, 0.7188286000, 1.5644462000, 3.4481782000", \
"0.0434062000, 0.0494098000, 0.0630108000, 0.0943075000, 0.1693259000, 0.3400825000, 0.7188952000, 1.5626633000, 3.4444032000", \
"0.0434080000, 0.0493697000, 0.0628593000, 0.0944990000, 0.1691559000, 0.3395589000, 0.7179006000, 1.5642539000, 3.4474124000", \
"0.0434074000, 0.0493686000, 0.0628591000, 0.0944996000, 0.1691540000, 0.3395773000, 0.7178908000, 1.5652426000, 3.4452616000", \
"0.0434985000, 0.0495033000, 0.0631057000, 0.0944391000, 0.1689622000, 0.3396615000, 0.7181671000, 1.5649080000, 3.4458407000", \
"0.0441908000, 0.0506099000, 0.0638415000, 0.0948148000, 0.1688251000, 0.3397524000, 0.7188817000, 1.5650358000, 3.4497836000", \
"0.0449231000, 0.0509380000, 0.0644719000, 0.0952732000, 0.1696893000, 0.3403950000, 0.7187784000, 1.5603262000, 3.4475283000", \
"0.0470100000, 0.0529708000, 0.0660055000, 0.0966167000, 0.1699565000, 0.3398896000, 0.7190535000, 1.5637215000, 3.4468102000", \
"0.0479880000, 0.0539291000, 0.0677196000, 0.0974261000, 0.1705091000, 0.3406224000, 0.7189487000, 1.5605182000, 3.4466490000", \
"0.0492675000, 0.0551680000, 0.0687953000, 0.0980076000, 0.1709022000, 0.3404237000, 0.7191968000, 1.5617088000, 3.4533973000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
}
}
cell ("sky130_fd_sc_hvl__lsbufhv2lv_1") {
leakage_power () {
value : 26.116650200;
when : "A";
}
leakage_power () {
value : 31.537933200;
when : "!A";
}
area : 66.42240000;
cell_footprint : "sky130_fd_sc_hvl__lsbufhv2lv";
cell_leakage_power : 28.827290000;
dont_touch : "true";
dont_use : "true";
is_level_shifter : "true";
level_shifter_type : "HL";
pg_pin ("LVPWR") {
pg_type : "primary_power";
voltage_name : "LVPWR";
}
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0020500000;
clock : "false";
input_voltage_range(1.3200000000, 5.5000000000);
direction : "input";
fall_capacitance : 0.0019990000;
input_signal_level : "VPWR";
level_shifter_data_pin : "true";
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0021000000;
}
pin ("X") {
output_voltage_range(1.6000000000, 1.9500000000);
direction : "output";
function : "A";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0005000000, 0.0016679090, 0.0055638410, 0.0185599600, 0.0619126500, 0.2065293000, 0.6889442000");
values("0.2585969000, 0.2579157000, 0.2556294000, 0.2397688000, 0.1712135000, -0.0642791000, -0.8464952000", \
"0.2590024000, 0.2583741000, 0.2558672000, 0.2401362000, 0.1714741000, -0.0641558000, -0.8462720000", \
"0.2587621000, 0.2580853000, 0.2557394000, 0.2398896000, 0.1712869000, -0.0643889000, -0.8467251000", \
"0.2583636000, 0.2576982000, 0.2552248000, 0.2394478000, 0.1707989000, -0.0645933000, -0.8468601000", \
"0.2593935000, 0.2587137000, 0.2561142000, 0.2402736000, 0.1718289000, -0.0636489000, -0.8458581000", \
"0.2648242000, 0.2641681000, 0.2617042000, 0.2459773000, 0.1773213000, -0.0581215000, -0.8405246000", \
"0.2872080000, 0.2865238000, 0.2844027000, 0.2691042000, 0.2006806000, -0.0350122000, -0.8171572000", \
"0.3080467000, 0.3075947000, 0.3061570000, 0.2918617000, 0.2239624000, -0.0120022000, -0.7945575000", \
"0.3870600000, 0.3851311000, 0.3787734000, 0.3571515000, 0.2866128000, 0.0504649000, -0.7325392000", \
"0.4214938000, 0.4195755000, 0.4132805000, 0.3916733000, 0.3195692000, 0.0837799000, -0.6994125000", \
"0.4545282000, 0.4525318000, 0.4462493000, 0.4246207000, 0.3524485000, 0.1162263000, -0.6671974000", \
"0.4934070000, 0.4914410000, 0.4850401000, 0.4636135000, 0.3913617000, 0.1547445000, -0.6288972000", \
"0.5192528000, 0.5173032000, 0.5108464000, 0.4894381000, 0.4171885000, 0.1803927000, -0.6034193000", \
"0.5820186000, 0.5800996000, 0.5738017000, 0.5522467000, 0.4799262000, 0.2421127000, -0.5413941000", \
"0.6429553000, 0.6409770000, 0.6346370000, 0.6131288000, 0.5408202000, 0.3025482000, -0.4813259000");
}
related_pin : "A";
rise_power ("power_outputs_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0005000000, 0.0016679090, 0.0055638410, 0.0185599600, 0.0619126500, 0.2065293000, 0.6889442000");
values("0.1728995000, 0.1750002000, 0.1853041000, 0.2122376000, 0.2832120000, 0.5147197000, 1.2883539000", \
"0.1715805000, 0.1737561000, 0.1841803000, 0.2113262000, 0.2820181000, 0.5145344000, 1.2881620000", \
"0.1712308000, 0.1739619000, 0.1846534000, 0.2116696000, 0.2823147000, 0.5147642000, 1.2884848000", \
"0.1717032000, 0.1736462000, 0.1840397000, 0.2109897000, 0.2817387000, 0.5138223000, 1.2868270000", \
"0.1720496000, 0.1741767000, 0.1847965000, 0.2117404000, 0.2824805000, 0.5140870000, 1.2874618000", \
"0.1757803000, 0.1779504000, 0.1885815000, 0.2156432000, 0.2862877000, 0.5185474000, 1.2922452000", \
"0.1857765000, 0.1879631000, 0.1985585000, 0.2258082000, 0.2964183000, 0.5284017000, 1.3021696000", \
"0.2059751000, 0.2070787000, 0.2131015000, 0.2382191000, 0.3089549000, 0.5410720000, 1.3149127000", \
"0.2484550000, 0.2494682000, 0.2556163000, 0.2759944000, 0.3449764000, 0.5774725000, 1.3510412000", \
"0.2692979000, 0.2709734000, 0.2768603000, 0.2973469000, 0.3671690000, 0.5986916000, 1.3710219000", \
"0.2909378000, 0.2925094000, 0.2983134000, 0.3188165000, 0.3892874000, 0.6202038000, 1.3939221000", \
"0.3165983000, 0.3182124000, 0.3242126000, 0.3446088000, 0.4151338000, 0.6461273000, 1.4192373000", \
"0.3344328000, 0.3362485000, 0.3421312000, 0.3625404000, 0.4328894000, 0.6635513000, 1.4373775000", \
"0.3811179000, 0.3825838000, 0.3884774000, 0.4089532000, 0.4793373000, 0.7093591000, 1.4840007000", \
"0.4290860000, 0.4308964000, 0.4366005000, 0.4571987000, 0.5275860000, 0.7593658000, 1.5316625000");
}
}
max_capacitance : 0.6889440000;
max_transition : 5.0354470000;
power_down_function : "(!LVPWR+!VPWR+VGND)";
related_ground_pin : "VGND";
related_power_pin : "LVPWR";
timing () {
cell_fall ("del_1_15_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0005000000, 0.0016679100, 0.0055638400, 0.0185600000, 0.0619126000, 0.2065290000, 0.6889440000");
values("0.5826215000, 0.5909756000, 0.6125249000, 0.6661314000, 0.8257742000, 1.3579745000, 3.1418368000", \
"0.5841842000, 0.5925100000, 0.6138702000, 0.6677098000, 0.8272372000, 1.3597323000, 3.1464642000", \
"0.5863804000, 0.5946960000, 0.6162453000, 0.6698448000, 0.8294157000, 1.3619484000, 3.1480836000", \
"0.5963477000, 0.6045615000, 0.6259908000, 0.6798455000, 0.8392565000, 1.3717496000, 3.1582075000", \
"0.6384888000, 0.6467183000, 0.6679862000, 0.7218899000, 0.8815705000, 1.4138075000, 3.1988479000", \
"0.7098788000, 0.7180969000, 0.7395417000, 0.7934144000, 0.9527410000, 1.4850610000, 3.2713516000", \
"0.8471165000, 0.8554629000, 0.8768946000, 0.9310168000, 1.0904067000, 1.6221665000, 3.4080090000", \
"0.9635969000, 0.9720857000, 0.9936096000, 1.0475723000, 1.2069056000, 1.7376852000, 3.5213439000", \
"1.2388182000, 1.2472899000, 1.2693032000, 1.3236620000, 1.4827880000, 2.0123136000, 3.7971488000", \
"1.3664639000, 1.3751381000, 1.3973896000, 1.4520208000, 1.6113298000, 2.1405331000, 3.9229597000", \
"1.4857061000, 1.4943639000, 1.5169727000, 1.5718884000, 1.7312698000, 2.2600921000, 4.0411972000", \
"1.6217632000, 1.6305464000, 1.6534871000, 1.7089369000, 1.8684934000, 2.3964661000, 4.1770023000", \
"1.7085772000, 1.7174550000, 1.7403797000, 1.7962731000, 1.9559250000, 2.4838474000, 4.2631845000", \
"1.9151796000, 1.9244661000, 1.9479260000, 2.0042605000, 2.1643030000, 2.6912801000, 4.4716524000", \
"2.1105855000, 2.1200040000, 2.1441455000, 2.2011923000, 2.3616247000, 2.8882112000, 4.6658699000");
}
cell_rise ("del_1_15_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0005000000, 0.0016679100, 0.0055638400, 0.0185600000, 0.0619126000, 0.2065290000, 0.6889440000");
values("0.5216210000, 0.5355021000, 0.5691208000, 0.6421262000, 0.8579753000, 1.5693591000, 3.9509276000", \
"0.5242837000, 0.5378131000, 0.5716502000, 0.6446572000, 0.8607701000, 1.5720477000, 3.9525703000", \
"0.5267523000, 0.5412859000, 0.5752652000, 0.6481005000, 0.8645124000, 1.5749102000, 3.9482595000", \
"0.5377181000, 0.5519058000, 0.5853611000, 0.6583080000, 0.8744408000, 1.5847937000, 3.9599946000", \
"0.5751273000, 0.5891896000, 0.6231853000, 0.6961628000, 0.9118562000, 1.6233871000, 3.9974273000", \
"0.6290548000, 0.6429784000, 0.6767067000, 0.7496074000, 0.9659907000, 1.6763508000, 4.0463672000", \
"0.6949158000, 0.7091981000, 0.7424267000, 0.8152522000, 1.0312445000, 1.7426205000, 4.1207868000", \
"0.7335025000, 0.7467120000, 0.7803326000, 0.8530415000, 1.0687509000, 1.7792280000, 4.1517185000", \
"0.7710587000, 0.7843676000, 0.8170897000, 0.8894702000, 1.1062272000, 1.8169873000, 4.1902766000", \
"0.7708725000, 0.7846825000, 0.8168619000, 0.8890953000, 1.1061120000, 1.8166140000, 4.1926778000", \
"0.7619602000, 0.7758759000, 0.8078737000, 0.8800613000, 1.0965940000, 1.8071584000, 4.1762558000", \
"0.7435160000, 0.7574167000, 0.7893068000, 0.8613674000, 1.0777755000, 1.7889483000, 4.1558579000", \
"0.7280546000, 0.7419284000, 0.7738553000, 0.8459245000, 1.0622488000, 1.7737772000, 4.1405515000", \
"0.6812519000, 0.6944921000, 0.7266451000, 0.7987628000, 1.0163118000, 1.7272538000, 4.0976174000", \
"0.6236555000, 0.6373829000, 0.6695390000, 0.7418315000, 0.9590970000, 1.6707041000, 4.0400052000");
}
fall_transition ("del_1_15_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0005000000, 0.0016679100, 0.0055638400, 0.0185600000, 0.0619126000, 0.2065290000, 0.6889440000");
values("0.0240998000, 0.0306708000, 0.0507031000, 0.1112858000, 0.3219557000, 1.0455513000, 3.4554342000", \
"0.0240357000, 0.0311830000, 0.0505971000, 0.1110891000, 0.3221709000, 1.0443252000, 3.4568390000", \
"0.0239567000, 0.0314549000, 0.0507253000, 0.1112238000, 0.3219792000, 1.0442757000, 3.4662948000", \
"0.0243768000, 0.0309342000, 0.0505725000, 0.1111670000, 0.3221679000, 1.0442179000, 3.4672245000", \
"0.0241229000, 0.0310163000, 0.0505208000, 0.1107489000, 0.3215625000, 1.0463836000, 3.4699297000", \
"0.0243351000, 0.0309744000, 0.0506114000, 0.1112461000, 0.3221666000, 1.0441645000, 3.4627778000", \
"0.0237720000, 0.0313258000, 0.0506020000, 0.1113690000, 0.3217363000, 1.0480392000, 3.4665950000", \
"0.0241677000, 0.0312330000, 0.0511097000, 0.1111740000, 0.3221150000, 1.0449671000, 3.4687797000", \
"0.0258209000, 0.0322534000, 0.0518865000, 0.1120728000, 0.3218619000, 1.0475210000, 3.4496546000", \
"0.0252843000, 0.0323799000, 0.0522266000, 0.1122960000, 0.3223698000, 1.0408416000, 3.4630450000", \
"0.0269803000, 0.0334415000, 0.0536195000, 0.1130080000, 0.3220716000, 1.0436803000, 3.4533245000", \
"0.0272645000, 0.0339450000, 0.0540575000, 0.1133841000, 0.3221944000, 1.0416589000, 3.4530088000", \
"0.0275600000, 0.0342874000, 0.0543620000, 0.1137113000, 0.3226241000, 1.0425916000, 3.4583947000", \
"0.0273998000, 0.0347590000, 0.0554848000, 0.1149507000, 0.3240688000, 1.0467635000, 3.4541838000", \
"0.0285847000, 0.0356372000, 0.0570783000, 0.1156659000, 0.3236873000, 1.0447924000, 3.4474079000");
}
related_pin : "A";
rise_transition ("del_1_15_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0005000000, 0.0016679100, 0.0055638400, 0.0185600000, 0.0619126000, 0.2065290000, 0.6889440000");
values("0.0466797000, 0.0597708000, 0.0804740000, 0.1574839000, 0.4640164000, 1.5093355000, 5.0012061000", \
"0.0469546000, 0.0581195000, 0.0808528000, 0.1578018000, 0.4633694000, 1.5126856000, 5.0205884000", \
"0.0481560000, 0.0578068000, 0.0810338000, 0.1577458000, 0.4659992000, 1.5090379000, 5.0226419000", \
"0.0468602000, 0.0592151000, 0.0805919000, 0.1575296000, 0.4635138000, 1.5091779000, 5.0101576000", \
"0.0469044000, 0.0578105000, 0.0808848000, 0.1573269000, 0.4641278000, 1.5090853000, 5.0218425000", \
"0.0467955000, 0.0580966000, 0.0808578000, 0.1576841000, 0.4657672000, 1.5091132000, 5.0255746000", \
"0.0466118000, 0.0575457000, 0.0802880000, 0.1574484000, 0.4638952000, 1.5094119000, 4.9990732000", \
"0.0466271000, 0.0577159000, 0.0802471000, 0.1573801000, 0.4634179000, 1.5100198000, 5.0253952000", \
"0.0457255000, 0.0575745000, 0.0794109000, 0.1578735000, 0.4662961000, 1.5146338000, 5.0263136000", \
"0.0465442000, 0.0557915000, 0.0784271000, 0.1573103000, 0.4668134000, 1.5071002000, 5.0135034000", \
"0.0459240000, 0.0555803000, 0.0784038000, 0.1572054000, 0.4667995000, 1.5178450000, 5.0223341000", \
"0.0452901000, 0.0564094000, 0.0779358000, 0.1572264000, 0.4659034000, 1.5096913000, 5.0049374000", \
"0.0451213000, 0.0562300000, 0.0777546000, 0.1573087000, 0.4650641000, 1.5098480000, 5.0025819000", \
"0.0444454000, 0.0560986000, 0.0780821000, 0.1576582000, 0.4679789000, 1.5086823000, 5.0354472000", \
"0.0462998000, 0.0568804000, 0.0784137000, 0.1578635000, 0.4687305000, 1.5070662000, 4.9960116000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
}
}
cell ("sky130_fd_sc_hvl__lsbufhv2lv_simple_1") {
leakage_power () {
value : 0.5852463000;
when : "A";
}
leakage_power () {
value : 0.8296915000;
when : "!A";
}
area : 70.32960000;
cell_footprint : "sky130_fd_sc_hvl__lsbufhv2lv_simple";
cell_leakage_power : 0.7074689000;
is_level_shifter : "true";
level_shifter_type : "HL";
pg_pin ("LVPWR") {
pg_type : "primary_power";
voltage_name : "LVPWR";
}
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0022490000;
clock : "false";
input_voltage_range(1.3200000000, 5.5000000000);
direction : "input";
fall_capacitance : 0.0021610000;
input_signal_level : "VPWR";
level_shifter_data_pin : "true";
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0023370000;
}
pin ("X") {
output_voltage_range(1.0000000000, 1.9500000000);
direction : "output";
function : "A";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0005000000, 0.0013778660, 0.0037970290, 0.0104635900, 0.0288348600, 0.0794611400, 0.2189736000");
values("0.0208953000, 0.0197713000, 0.0164489000, 0.0073949000, -0.0230136000, -0.1038799000, -0.3299168000", \
"0.0218950000, 0.0207475000, 0.0174455000, 0.0072629000, -0.0221092000, -0.1040088000, -0.3300654000", \
"0.0216593000, 0.0204291000, 0.0170868000, 0.0070020000, -0.0224019000, -0.1042595000, -0.3302847000", \
"0.0209596000, 0.0197594000, 0.0164667000, 0.0062736000, -0.0231269000, -0.1049931000, -0.3307517000", \
"0.0205887000, 0.0194342000, 0.0160149000, 0.0058477000, -0.0235221000, -0.1053770000, -0.3313354000", \
"0.0202409000, 0.0190193000, 0.0155977000, 0.0053977000, -0.0239418000, -0.1057294000, -0.3317433000", \
"0.0200489000, 0.0187857000, 0.0153349000, 0.0050640000, -0.0241884000, -0.1059867000, -0.3319476000", \
"0.0200318000, 0.0187647000, 0.0152111000, 0.0049291000, -0.0243204000, -0.1059808000, -0.3318807000", \
"0.0204362000, 0.0191524000, 0.0157359000, 0.0053951000, -0.0239038000, -0.1055502000, -0.3313725000", \
"0.0203803000, 0.0190436000, 0.0156963000, 0.0058751000, -0.0237179000, -0.1053234000, -0.3310961000", \
"0.0211169000, 0.0196557000, 0.0157280000, 0.0059528000, -0.0233702000, -0.1049402000, -0.3306806000", \
"0.0218243000, 0.0204112000, 0.0164252000, 0.0059645000, -0.0228787000, -0.1044782000, -0.3302677000", \
"0.0221900000, 0.0207400000, 0.0167611000, 0.0062013000, -0.0225926000, -0.1042149000, -0.3299817000", \
"0.0231019000, 0.0216131000, 0.0176374000, 0.0069224000, -0.0220178000, -0.1036231000, -0.3293650000", \
"0.0240310000, 0.0225218000, 0.0185403000, 0.0077276000, -0.0214270000, -0.1028385000, -0.3285876000");
}
related_pin : "A";
rise_power ("power_outputs_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0005000000, 0.0013778660, 0.0037970290, 0.0104635900, 0.0288348600, 0.0794611400, 0.2189736000");
values("0.0096033000, 0.0112606000, 0.0149964000, 0.0261323000, 0.0573099000, 0.1332814000, 0.3647009000", \
"0.0085807000, 0.0102243000, 0.0143719000, 0.0252644000, 0.0546324000, 0.1356942000, 0.3590166000", \
"0.0085703000, 0.0101834000, 0.0143618000, 0.0252028000, 0.0546809000, 0.1359196000, 0.3595728000", \
"0.0083697000, 0.0099787000, 0.0141549000, 0.0250519000, 0.0547674000, 0.1355645000, 0.3591243000", \
"0.0075162000, 0.0090797000, 0.0132702000, 0.0242848000, 0.0539650000, 0.1351802000, 0.3585677000", \
"0.0072162000, 0.0087990000, 0.0129347000, 0.0239391000, 0.0536310000, 0.1350091000, 0.3585393000", \
"0.0075797000, 0.0090068000, 0.0130640000, 0.0237425000, 0.0533652000, 0.1348806000, 0.3587813000", \
"0.0075149000, 0.0089976000, 0.0130725000, 0.0239595000, 0.0534998000, 0.1347246000, 0.3585914000", \
"0.0080144000, 0.0095500000, 0.0134502000, 0.0243114000, 0.0538502000, 0.1350716000, 0.3589474000", \
"0.0084186000, 0.0097782000, 0.0136993000, 0.0246744000, 0.0545854000, 0.1353254000, 0.3592195000", \
"0.0088620000, 0.0101890000, 0.0141250000, 0.0250200000, 0.0549440000, 0.1354727000, 0.3595973000", \
"0.0094038000, 0.0108361000, 0.0146151000, 0.0253767000, 0.0554486000, 0.1359374000, 0.3599352000", \
"0.0097342000, 0.0111540000, 0.0149923000, 0.0257945000, 0.0556798000, 0.1361551000, 0.3603391000", \
"0.0107504000, 0.0121201000, 0.0158797000, 0.0266620000, 0.0564834000, 0.1380798000, 0.3611694000", \
"0.0117536000, 0.0130156000, 0.0167687000, 0.0276058000, 0.0572989000, 0.1395487000, 0.3618641000");
}
}
max_capacitance : 0.2189740000;
max_transition : 5.0000210000;
power_down_function : "(!LVPWR+!VPWR+VGND)";
related_ground_pin : "VGND";
related_power_pin : "LVPWR";
timing () {
cell_fall ("del_1_15_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0005000000, 0.0013778700, 0.0037970300, 0.0104636000, 0.0288349000, 0.0794611000, 0.2189740000");
values("0.3859876000, 0.4113483000, 0.4700673000, 0.5983991000, 0.9055851000, 1.7277855000, 3.9799355000", \
"0.3961703000, 0.4207532000, 0.4807653000, 0.6082681000, 0.9140744000, 1.7371594000, 3.9892063000", \
"0.3993700000, 0.4243333000, 0.4819740000, 0.6104544000, 0.9171012000, 1.7399313000, 3.9935895000", \
"0.4150546000, 0.4400145000, 0.4984042000, 0.6261560000, 0.9330292000, 1.7526122000, 3.9977941000", \
"0.4866814000, 0.5113241000, 0.5684569000, 0.6968223000, 1.0042333000, 1.8228378000, 4.0720516000", \
"0.6088625000, 0.6335147000, 0.6901535000, 0.8183839000, 1.1262723000, 1.9476218000, 4.1955377000", \
"0.8620012000, 0.8860931000, 0.9433196000, 1.0706321000, 1.3775027000, 2.2021813000, 4.4521262000", \
"1.1076554000, 1.1322654000, 1.1897154000, 1.3183037000, 1.6265500000, 2.4461861000, 4.7091744000", \
"1.7256255000, 1.7531805000, 1.8167480000, 1.9530724000, 2.2666553000, 3.0868617000, 5.3444187000", \
"2.0265130000, 2.0549000000, 2.1205711000, 2.2597528000, 2.5776015000, 3.3978917000, 5.6527472000", \
"2.3189841000, 2.3483211000, 2.4161437000, 2.5588980000, 2.8773190000, 3.7010239000, 5.9548211000", \
"2.6634219000, 2.6945797000, 2.7633076000, 2.9086284000, 3.2291561000, 4.0549226000, 6.3146186000", \
"2.8896270000, 2.9207363000, 2.9916087000, 3.1388929000, 3.4617400000, 4.2872763000, 6.5359904000", \
"3.4447902000, 3.4777159000, 3.5517533000, 3.7034333000, 4.0301402000, 4.8569340000, 7.1034219000", \
"3.9905923000, 4.0250403000, 4.1023784000, 4.2578971000, 4.5889150000, 5.4157989000, 7.6686222000");
}
cell_rise ("del_1_15_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0005000000, 0.0013778700, 0.0037970300, 0.0104636000, 0.0288349000, 0.0794611000, 0.2189740000");
values("0.1741813000, 0.1921557000, 0.2351845000, 0.3407389000, 0.6242079000, 1.3983602000, 3.5374785000", \
"0.1767911000, 0.1949984000, 0.2375772000, 0.3437866000, 0.6247215000, 1.4007899000, 3.5408447000", \
"0.1801013000, 0.1978121000, 0.2409942000, 0.3470952000, 0.6309707000, 1.4094044000, 3.5383030000", \
"0.1907423000, 0.2087639000, 0.2518930000, 0.3577427000, 0.6403618000, 1.4175430000, 3.5542729000", \
"0.2150674000, 0.2334221000, 0.2771984000, 0.3843196000, 0.6679562000, 1.4472060000, 3.5839332000", \
"0.2097550000, 0.2295470000, 0.2773089000, 0.3894009000, 0.6742455000, 1.4541252000, 3.5903878000", \
"0.1482458000, 0.1699391000, 0.2214949000, 0.3363846000, 0.6274842000, 1.4046021000, 3.5431467000", \
"0.0586766000, 0.0823469000, 0.1370156000, 0.2548076000, 0.5452057000, 1.3244799000, 3.4670489000", \
"-0.2544508000, -0.2266935000, -0.1651647000, -0.0378914000, 0.2553522000, 1.0422080000, 3.1717755000", \
"-0.4339752000, -0.4054473000, -0.3406522000, -0.2085421000, 0.0866169000, 0.8642759000, 2.9995377000", \
"-0.6226122000, -0.5910268000, -0.5252355000, -0.3880157000, -0.0905870000, 0.6863525000, 2.8284681000", \
"-0.8541688000, -0.8225720000, -0.7524081000, -0.6117319000, -0.3112451000, 0.4665457000, 2.6063689000", \
"-1.0121074000, -0.9787134000, -0.9067093000, -0.7630723000, -0.4615347000, 0.3159553000, 2.4618572000", \
"-1.4129798000, -1.3786704000, -1.3032564000, -1.1525643000, -0.8441320000, -0.0672161000, 2.0794546000", \
"-1.8251702000, -1.7895008000, -1.7095235000, -1.5521732000, -1.2403889000, -0.4609313000, 1.6829242000");
}
fall_transition ("del_1_15_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0005000000, 0.0013778700, 0.0037970300, 0.0104636000, 0.0288349000, 0.0794611000, 0.2189740000");
values("0.1079764000, 0.1285573000, 0.1810270000, 0.3214296000, 0.7205636000, 1.8555102000, 4.9783280000", \
"0.1080229000, 0.1284911000, 0.1807044000, 0.3198324000, 0.7196438000, 1.8554234000, 4.9779749000", \
"0.1073358000, 0.1292081000, 0.1813293000, 0.3209281000, 0.7206245000, 1.8529764000, 4.9831765000", \
"0.1078680000, 0.1292056000, 0.1808312000, 0.3202777000, 0.7207958000, 1.8581642000, 4.9857412000", \
"0.1082394000, 0.1271301000, 0.1808517000, 0.3205783000, 0.7187971000, 1.8615091000, 4.9793183000", \
"0.1082365000, 0.1291206000, 0.1806197000, 0.3197252000, 0.7199980000, 1.8612485000, 4.9833777000", \
"0.1084791000, 0.1291775000, 0.1817616000, 0.3212967000, 0.7198523000, 1.8550990000, 4.9825712000", \
"0.1162531000, 0.1362003000, 0.1872944000, 0.3253756000, 0.7211544000, 1.8508277000, 5.0000208000", \
"0.1399561000, 0.1607941000, 0.2132536000, 0.3460227000, 0.7302324000, 1.8521392000, 4.9820525000", \
"0.1504506000, 0.1707471000, 0.2231569000, 0.3561821000, 0.7372009000, 1.8630772000, 4.9985904000", \
"0.1601963000, 0.1794286000, 0.2314022000, 0.3631923000, 0.7466324000, 1.8621777000, 4.9798472000", \
"0.1686539000, 0.1904850000, 0.2426623000, 0.3719781000, 0.7511179000, 1.8684281000, 4.9995075000", \
"0.1730154000, 0.1955552000, 0.2486056000, 0.3771782000, 0.7527055000, 1.8639321000, 4.9811328000", \
"0.1871337000, 0.2098182000, 0.2635092000, 0.3920445000, 0.7610547000, 1.8680300000, 4.9818794000", \
"0.1976137000, 0.2217752000, 0.2776533000, 0.4065574000, 0.7699502000, 1.8714810000, 4.9960041000");
}
related_pin : "A";
rise_transition ("del_1_15_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0005000000, 0.0013778700, 0.0037970300, 0.0104636000, 0.0288349000, 0.0794611000, 0.2189740000");
values("0.0727634000, 0.0917801000, 0.1440113000, 0.2890565000, 0.6985325000, 1.8370656000, 4.9526223000", \
"0.0727619000, 0.0917360000, 0.1439693000, 0.2891370000, 0.6977584000, 1.8321393000, 4.9523328000", \
"0.0730457000, 0.0919516000, 0.1438766000, 0.2898128000, 0.6978115000, 1.8344103000, 4.9327675000", \
"0.0732343000, 0.0915786000, 0.1440789000, 0.2896677000, 0.6975351000, 1.8326385000, 4.9337889000", \
"0.0783702000, 0.0961189000, 0.1461249000, 0.2903912000, 0.6988048000, 1.8363597000, 4.9498694000", \
"0.0879417000, 0.1065652000, 0.1570130000, 0.2980174000, 0.6992151000, 1.8270851000, 4.9479341000", \
"0.1029125000, 0.1211085000, 0.1698064000, 0.3058878000, 0.7060897000, 1.8267035000, 4.9526356000", \
"0.1135479000, 0.1324719000, 0.1815768000, 0.3140990000, 0.7120510000, 1.8314046000, 4.9503450000", \
"0.1417303000, 0.1595156000, 0.2113980000, 0.3359568000, 0.7185154000, 1.8389604000, 4.9341873000", \
"0.1533000000, 0.1712763000, 0.2197745000, 0.3463648000, 0.7220554000, 1.8392503000, 4.9633102000", \
"0.1619636000, 0.1846831000, 0.2316183000, 0.3568775000, 0.7268220000, 1.8414021000, 4.9626365000", \
"0.1711655000, 0.1926373000, 0.2445523000, 0.3677222000, 0.7331602000, 1.8421327000, 4.9474593000", \
"0.1784548000, 0.2012212000, 0.2506563000, 0.3758537000, 0.7358137000, 1.8430407000, 4.9546620000", \
"0.1934981000, 0.2176904000, 0.2683405000, 0.3937276000, 0.7475675000, 1.8410580000, 4.9613020000", \
"0.2035631000, 0.2275729000, 0.2837294000, 0.4112962000, 0.7572652000, 1.8454571000, 4.9536988000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
}
}
cell ("sky130_fd_sc_hvl__lsbuflv2hv_1") {
leakage_power () {
value : 15.911743000;
when : "A";
}
leakage_power () {
value : 15.905429900;
when : "!A";
}
area : 85.95840000;
cell_footprint : "sky130_fd_sc_hvl__lsbuflv2hv";
cell_leakage_power : 15.908590000;
input_voltage_range(1.2800000000, 1.9500000000);
output_voltage_range(1.6500000000, 5.5000000000);
is_level_shifter : "true";
level_shifter_type : "LH";
pg_pin ("LVPWR") {
pg_type : "primary_power";
voltage_name : "LVPWR";
}
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0025730000;
clock : "false";
direction : "input";
fall_capacitance : 0.0024940000;
input_signal_level : "LVPWR";
level_shifter_data_pin : "true";
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "LVPWR";
rise_capacitance : 0.0026520000;
}
pin ("X") {
direction : "output";
function : "A";
internal_power () {
fall_power ("power_outputs_2") {
index_1("0.0100000000, 0.0173500000, 0.0260200000, 0.0390300000, 0.0585500000, 0.0878200000, 0.1317200000, 0.1975700000, 0.2963400000, 0.4444900000, 0.6667000000, 1.0000000000, 1.5000000000");
index_2("0.0005000000, 0.0011190250, 0.0025044330, 0.0056050460, 0.0125443700, 0.0280749300, 0.0628330700, 0.1406235000, 0.3147225000");
values("0.2244548000, 0.2242167000, 0.2246987000, 0.2251755000, 0.2135925000, 0.1531161000, -0.0308819000, -0.4541036000, -1.4019232000", \
"0.2245057000, 0.2241882000, 0.2248821000, 0.2248805000, 0.2138872000, 0.1529229000, -0.0309602000, -0.4542191000, -1.4019330000", \
"0.2241694000, 0.2237676000, 0.2244640000, 0.2245816000, 0.2137585000, 0.1526880000, -0.0312108000, -0.4544849000, -1.4023532000", \
"0.2242223000, 0.2240321000, 0.2244806000, 0.2242226000, 0.2134342000, 0.1527907000, -0.0312155000, -0.4544680000, -1.4024856000", \
"0.2241815000, 0.2238407000, 0.2243297000, 0.2246981000, 0.2132859000, 0.1527318000, -0.0312800000, -0.4545256000, -1.4025063000", \
"0.2239426000, 0.2235359000, 0.2243336000, 0.2243797000, 0.2135036000, 0.1524679000, -0.0314207000, -0.4547160000, -1.4023986000", \
"0.2238261000, 0.2235905000, 0.2240627000, 0.2245742000, 0.2129997000, 0.1524980000, -0.0314985000, -0.4546956000, -1.4026629000", \
"0.2239560000, 0.2236659000, 0.2243754000, 0.2244454000, 0.2133527000, 0.1524156000, -0.0314620000, -0.4546244000, -1.4030395000", \
"0.2241473000, 0.2238608000, 0.2243400000, 0.2248611000, 0.2133066000, 0.1528001000, -0.0311893000, -0.4544448000, -1.4022545000", \
"0.2255272000, 0.2251986000, 0.2258294000, 0.2263183000, 0.2149516000, 0.1542124000, -0.0297019000, -0.4530504000, -1.4007006000", \
"0.2288355000, 0.2285280000, 0.2293271000, 0.2294427000, 0.2187657000, 0.1577349000, -0.0261517000, -0.4493818000, -1.3970927000", \
"0.2328829000, 0.2328994000, 0.2336738000, 0.2342846000, 0.2234194000, 0.1628723000, -0.0209371000, -0.4441425000, -1.3919440000", \
"0.2703314000, 0.2668751000, 0.2594862000, 0.2426317000, 0.2310583000, 0.1704761000, -0.0132284000, -0.4364582000, -1.3842271000");
}
related_pin : "A";
rise_power ("power_outputs_2") {
index_1("0.0100000000, 0.0173500000, 0.0260200000, 0.0390300000, 0.0585500000, 0.0878200000, 0.1317200000, 0.1975700000, 0.2963400000, 0.4444900000, 0.6667000000, 1.0000000000, 1.5000000000");
index_2("0.0005000000, 0.0011190250, 0.0025044330, 0.0056050460, 0.0125443700, 0.0280749300, 0.0628330700, 0.1406235000, 0.3147225000");
values("0.3611979000, 0.3641324000, 0.3721116000, 0.3910836000, 0.4339452000, 0.5228087000, 0.7122116000, 1.1316935000, 2.0709265000", \
"0.3609804000, 0.3642936000, 0.3718054000, 0.3913586000, 0.4336508000, 0.5231864000, 0.7122533000, 1.1314709000, 2.0697458000", \
"0.3611711000, 0.3641707000, 0.3720610000, 0.3909096000, 0.4337651000, 0.5232148000, 0.7116809000, 1.1313599000, 2.0700104000", \
"0.3610776000, 0.3639772000, 0.3719940000, 0.3910679000, 0.4335943000, 0.5230302000, 0.7120664000, 1.1314858000, 2.0705200000", \
"0.3609097000, 0.3637753000, 0.3716830000, 0.3906966000, 0.4333819000, 0.5225710000, 0.7116913000, 1.1311683000, 2.0701657000", \
"0.3609222000, 0.3641336000, 0.3716592000, 0.3909402000, 0.4335718000, 0.5227426000, 0.7120165000, 1.1320652000, 2.0704092000", \
"0.3608314000, 0.3640661000, 0.3716166000, 0.3909206000, 0.4335157000, 0.5230579000, 0.7120869000, 1.1319290000, 2.0706176000", \
"0.3607420000, 0.3635619000, 0.3716263000, 0.3907947000, 0.4332236000, 0.5228555000, 0.7118627000, 1.1304815000, 2.0687714000", \
"0.3606328000, 0.3637413000, 0.3714928000, 0.3905907000, 0.4331130000, 0.5224324000, 0.7119116000, 1.1305152000, 2.0698393000", \
"0.3607881000, 0.3640226000, 0.3716128000, 0.3908069000, 0.4333625000, 0.5222849000, 0.7118832000, 1.1311007000, 2.0697335000", \
"0.3615993000, 0.3646853000, 0.3722480000, 0.3916646000, 0.4342300000, 0.5234612000, 0.7127045000, 1.1318857000, 2.0696352000", \
"0.3632091000, 0.3664081000, 0.3739105000, 0.3932322000, 0.4358519000, 0.5254910000, 0.7144408000, 1.1335496000, 2.0726254000", \
"0.3662619000, 0.3692556000, 0.3770851000, 0.3962593000, 0.4385761000, 0.5281105000, 0.7171164000, 1.1365752000, 2.0757668000");
}
}
max_capacitance : 0.3147220000;
max_transition : 3.7564050000;
power_down_function : "(!LVPWR+!VPWR+VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_13_9") {
index_1("0.0100000000, 0.0173500000, 0.0260200000, 0.0390300000, 0.0585500000, 0.0878200000, 0.1317200000, 0.1975700000, 0.2963400000, 0.4444900000, 0.6667000000, 1.0000000000, 1.5000000000");
index_2("0.0005000000, 0.0011190200, 0.0025044300, 0.0056050500, 0.0125444000, 0.0280749000, 0.0628331000, 0.1406240000, 0.3147220000");
values("0.4093211000, 0.4170497000, 0.4328309000, 0.4641068000, 0.5269901000, 0.6607309000, 0.9557395000, 1.6150948000, 3.0897955000", \
"0.4116395000, 0.4194888000, 0.4352821000, 0.4665975000, 0.5293636000, 0.6631571000, 0.9581259000, 1.6175181000, 3.0926088000", \
"0.4144681000, 0.4221685000, 0.4380226000, 0.4693409000, 0.5321554000, 0.6659504000, 0.9608829000, 1.6200680000, 3.0945617000", \
"0.4191703000, 0.4268247000, 0.4425649000, 0.4738780000, 0.5367291000, 0.6703601000, 0.9655081000, 1.6247594000, 3.0998739000", \
"0.4259384000, 0.4335593000, 0.4493492000, 0.4806304000, 0.5434969000, 0.6771972000, 0.9722291000, 1.6315228000, 3.1065952000", \
"0.4364277000, 0.4442167000, 0.4600599000, 0.4913858000, 0.5541780000, 0.6879790000, 0.9829159000, 1.6421653000, 3.1165839000", \
"0.4533330000, 0.4610600000, 0.4768376000, 0.5081125000, 0.5710000000, 0.7047615000, 0.9996930000, 1.6590004000, 3.1339491000", \
"0.4794759000, 0.4872141000, 0.5030176000, 0.5344233000, 0.5971687000, 0.7309653000, 1.0259874000, 1.6851940000, 3.1596892000", \
"0.5183695000, 0.5261008000, 0.5418756000, 0.5731490000, 0.6360386000, 0.7698033000, 1.0647566000, 1.7241063000, 3.1991638000", \
"0.5750252000, 0.5827105000, 0.5985487000, 0.6298463000, 0.6926951000, 0.8265150000, 1.1214513000, 1.7806797000, 3.2553404000", \
"0.6508251000, 0.6584987000, 0.6743247000, 0.7056760000, 0.7683606000, 0.9021248000, 1.1976018000, 1.8565911000, 3.3316552000", \
"0.7432782000, 0.7510097000, 0.7667976000, 0.7981018000, 0.8607475000, 0.9944982000, 1.2899480000, 1.9489139000, 3.4239480000", \
"0.8568075000, 0.8643944000, 0.8801603000, 0.9115997000, 0.9744825000, 1.1082367000, 1.4036089000, 2.0624593000, 3.5377810000");
}
cell_rise ("del_1_13_9") {
index_1("0.0100000000, 0.0173500000, 0.0260200000, 0.0390300000, 0.0585500000, 0.0878200000, 0.1317200000, 0.1975700000, 0.2963400000, 0.4444900000, 0.6667000000, 1.0000000000, 1.5000000000");
index_2("0.0005000000, 0.0011190200, 0.0025044300, 0.0056050500, 0.0125444000, 0.0280749000, 0.0628331000, 0.1406240000, 0.3147220000");
values("1.4379471000, 1.4472236000, 1.4646649000, 1.4981096000, 1.5620403000, 1.6880474000, 1.9608610000, 2.5680562000, 3.9263144000", \
"1.4408098000, 1.4497540000, 1.4675345000, 1.5012014000, 1.5647247000, 1.6909708000, 1.9628370000, 2.5697702000, 3.9277150000", \
"1.4434168000, 1.4527745000, 1.4701103000, 1.5034602000, 1.5673400000, 1.6934443000, 1.9662487000, 2.5731022000, 3.9312749000", \
"1.4485272000, 1.4572483000, 1.4752497000, 1.5087448000, 1.5724713000, 1.6988509000, 1.9716495000, 2.5787086000, 3.9378527000", \
"1.4550819000, 1.4638939000, 1.4817878000, 1.5151569000, 1.5790562000, 1.7054116000, 1.9781632000, 2.5840547000, 3.9412429000", \
"1.4657532000, 1.4747037000, 1.4924304000, 1.5263855000, 1.5901807000, 1.7150507000, 1.9881512000, 2.5957874000, 3.9532990000", \
"1.4809175000, 1.4897626000, 1.5072125000, 1.5411544000, 1.6052035000, 1.7309381000, 2.0037936000, 2.6113687000, 3.9694372000", \
"1.5038782000, 1.5126187000, 1.5306083000, 1.5640095000, 1.6275019000, 1.7536879000, 2.0267268000, 2.6344449000, 3.9926533000", \
"1.5326721000, 1.5416074000, 1.5593803000, 1.5932421000, 1.6569219000, 1.7829347000, 2.0547435000, 2.6631806000, 4.0193355000", \
"1.5652252000, 1.5741479000, 1.5918828000, 1.6249402000, 1.6889761000, 1.8148895000, 2.0881308000, 2.6949423000, 4.0523354000", \
"1.6022899000, 1.6111533000, 1.6286469000, 1.6628328000, 1.7267129000, 1.8526036000, 2.1251978000, 2.7321114000, 4.0901820000", \
"1.6428147000, 1.6517636000, 1.6694864000, 1.7034993000, 1.7673025000, 1.8922033000, 2.1656335000, 2.7727820000, 4.1312931000", \
"1.6838363000, 1.6928260000, 1.7102453000, 1.7439625000, 1.8073665000, 1.9337596000, 2.2065767000, 2.8134299000, 4.1713237000");
}
fall_transition ("del_1_13_9") {
index_1("0.0100000000, 0.0173500000, 0.0260200000, 0.0390300000, 0.0585500000, 0.0878200000, 0.1317200000, 0.1975700000, 0.2963400000, 0.4444900000, 0.6667000000, 1.0000000000, 1.5000000000");
index_2("0.0005000000, 0.0011190200, 0.0025044300, 0.0056050500, 0.0125444000, 0.0280749000, 0.0628331000, 0.1406240000, 0.3147220000");
values("0.0437502000, 0.0510387000, 0.0666355000, 0.1001870000, 0.1771276000, 0.3556506000, 0.7590594000, 1.6618718000, 3.6873686000", \
"0.0437711000, 0.0509628000, 0.0665052000, 0.1002225000, 0.1771767000, 0.3554280000, 0.7590121000, 1.6669741000, 3.6971788000", \
"0.0437459000, 0.0509294000, 0.0665282000, 0.1001864000, 0.1770703000, 0.3552636000, 0.7589546000, 1.6638979000, 3.6984047000", \
"0.0436960000, 0.0509668000, 0.0665646000, 0.1002518000, 0.1772022000, 0.3555144000, 0.7590844000, 1.6662318000, 3.6980159000", \
"0.0437058000, 0.0509906000, 0.0666206000, 0.1002365000, 0.1771749000, 0.3556713000, 0.7589747000, 1.6661187000, 3.6987624000", \
"0.0437501000, 0.0508833000, 0.0664653000, 0.1002149000, 0.1771285000, 0.3553441000, 0.7589718000, 1.6657750000, 3.6982080000", \
"0.0437474000, 0.0510458000, 0.0666335000, 0.1001599000, 0.1770975000, 0.3555206000, 0.7588760000, 1.6655066000, 3.6986421000", \
"0.0438846000, 0.0510824000, 0.0664912000, 0.1003816000, 0.1771892000, 0.3554240000, 0.7591654000, 1.6636752000, 3.6986656000", \
"0.0437632000, 0.0510591000, 0.0666404000, 0.1001548000, 0.1770888000, 0.3555217000, 0.7589338000, 1.6666800000, 3.6990320000", \
"0.0437735000, 0.0509928000, 0.0665863000, 0.1001101000, 0.1772000000, 0.3550332000, 0.7589846000, 1.6647252000, 3.6981671000", \
"0.0441261000, 0.0513517000, 0.0668239000, 0.1005801000, 0.1773923000, 0.3554298000, 0.7592799000, 1.6665664000, 3.6997587000", \
"0.0446461000, 0.0518857000, 0.0674410000, 0.1009009000, 0.1773664000, 0.3555397000, 0.7592996000, 1.6652245000, 3.6985190000", \
"0.0453852000, 0.0528083000, 0.0681630000, 0.1015815000, 0.1778964000, 0.3547055000, 0.7594282000, 1.6614066000, 3.6983663000");
}
related_pin : "A";
rise_transition ("del_1_13_9") {
index_1("0.0100000000, 0.0173500000, 0.0260200000, 0.0390300000, 0.0585500000, 0.0878200000, 0.1317200000, 0.1975700000, 0.2963400000, 0.4444900000, 0.6667000000, 1.0000000000, 1.5000000000");
index_2("0.0005000000, 0.0011190200, 0.0025044300, 0.0056050500, 0.0125444000, 0.0280749000, 0.0628331000, 0.1406240000, 0.3147220000");
values("0.0775036000, 0.0854609000, 0.1011269000, 0.1349170000, 0.2060415000, 0.3746885000, 0.7755847000, 1.6900806000, 3.7564054000", \
"0.0779012000, 0.0852211000, 0.1007419000, 0.1355405000, 0.2059036000, 0.3748648000, 0.7753886000, 1.6937103000, 3.7491708000", \
"0.0775359000, 0.0853208000, 0.1010571000, 0.1350782000, 0.2060490000, 0.3749493000, 0.7763053000, 1.6895516000, 3.7410532000", \
"0.0774737000, 0.0850332000, 0.1011230000, 0.1339795000, 0.2059458000, 0.3751580000, 0.7747404000, 1.6925005000, 3.7464796000", \
"0.0777974000, 0.0851495000, 0.1004294000, 0.1350548000, 0.2060573000, 0.3750881000, 0.7759438000, 1.6910323000, 3.7458285000", \
"0.0785688000, 0.0855305000, 0.1016483000, 0.1355238000, 0.2058125000, 0.3751416000, 0.7756072000, 1.6916811000, 3.7430832000", \
"0.0775665000, 0.0849471000, 0.1019567000, 0.1348409000, 0.2062417000, 0.3748886000, 0.7764899000, 1.6907281000, 3.7427679000", \
"0.0787251000, 0.0848213000, 0.1008494000, 0.1346920000, 0.2055431000, 0.3751257000, 0.7766074000, 1.6909355000, 3.7470471000", \
"0.0777962000, 0.0852952000, 0.1008661000, 0.1356134000, 0.2058322000, 0.3748383000, 0.7757125000, 1.6911412000, 3.7433994000", \
"0.0791631000, 0.0863723000, 0.1012136000, 0.1345947000, 0.2054172000, 0.3751042000, 0.7747456000, 1.6896918000, 3.7445420000", \
"0.0779194000, 0.0851442000, 0.1021633000, 0.1351459000, 0.2059753000, 0.3742364000, 0.7770141000, 1.6908906000, 3.7409071000", \
"0.0786610000, 0.0854594000, 0.1015426000, 0.1354900000, 0.2057822000, 0.3751291000, 0.7765133000, 1.6909044000, 3.7477004000", \
"0.0776187000, 0.0852733000, 0.1009958000, 0.1351692000, 0.2059335000, 0.3751597000, 0.7747789000, 1.6902921000, 3.7421399000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
}
}
cell ("sky130_fd_sc_hvl__lsbuflv2hv_clkiso_hlkg_3") {
leakage_power () {
value : 11643.622000;
when : "A&SLEEP_B";
}
leakage_power () {
value : 11634.951000;
when : "!A&SLEEP_B";
}
leakage_power () {
value : 43.120355300;
when : "!A&!SLEEP_B";
}
leakage_power () {
value : 70.64343070;
when : "A&!SLEEP_B";
}
area : 203.17440000;
cell_footprint : "sky130_fd_sc_hvl__lsbuflv2hv_clkiso_hlkg";
cell_leakage_power : 5848.0840000;
input_voltage_range(1.2800000000, 1.9500000000);
output_voltage_range(1.6500000000, 5.5000000000);
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
is_level_shifter : "true";
level_shifter_type : "LH";
pg_pin ("LVPWR") {
pg_type : "primary_power";
voltage_name : "LVPWR";
}
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0050960000;
clock : "false";
direction : "input";
fall_capacitance : 0.0049740000;
input_signal_level : "LVPWR";
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.1176361000, 0.1169566000, 0.1162311000, 0.1165951000, 0.1180093000, 0.1224380000, 0.1314240000, 0.1424026000, 0.1732306000, 0.1891716000, 0.2051124000");
}
rise_power ("power_inputs_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.1011917000, 0.1005717000, 0.0999068000, 0.1002715000, 0.1015809000, 0.1060549000, 0.1150816000, 0.1262693000, 0.1575907000, 0.1738119000, 0.1900331000");
}
}
level_shifter_data_pin : "true";
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "LVPWR";
rise_capacitance : 0.0052170000;
}
pin ("SLEEP_B") {
capacitance : 0.0027930000;
clock : "false";
direction : "input";
fall_capacitance : 0.0027310000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0421854000, 0.0202357000, -0.0017736000, -0.0179686000, -0.0234289000, -0.0298349000, -0.0214132000, -0.0118906000, 0.0525950000, 0.0758583000, 0.0991216000");
}
rise_power ("power_inputs_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.2748001000, 0.2721104000, 0.2692031000, 0.2763133000, 0.2987390000, 0.3149340000, 0.3474027000, 0.3871851000, 0.4982009000, 0.5557917000, 0.6133825000");
}
}
level_shifter_enable_pin : "true";
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0028540000;
}
pin ("X") {
direction : "output";
function : "(A&SLEEP_B)";
internal_power () {
fall_power ("power_outputs_4") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0018413800, 0.0067813600, 0.0249741000, 0.0919738000, 0.3387180000, 1.2474200000");
values("0.4002824000, 0.3904961000, 0.3574562000, 0.2540956000, -0.1147604000, -1.4788926000, -6.5133308000", \
"0.4220906000, 0.4123075000, 0.3792609000, 0.2758924000, -0.0929982000, -1.4571756000, -6.4907602000", \
"0.4439218000, 0.4337776000, 0.4008463000, 0.2985605000, -0.0721064000, -1.4355451000, -6.4693355000", \
"0.4598226000, 0.4500481000, 0.4170097000, 0.3137170000, -0.0553397000, -1.4194481000, -6.4530177000", \
"0.4661044000, 0.4561042000, 0.4232728000, 0.3205192000, -0.0495142000, -1.4128436000, -6.4469028000", \
"0.4773568000, 0.4675088000, 0.4344682000, 0.3314678000, -0.0383869000, -1.4015590000, -6.4357356000", \
"0.4861377000, 0.4763814000, 0.4432602000, 0.3398938000, -0.0291141000, -1.3930253000, -6.4269125000", \
"0.4982639000, 0.4885529000, 0.4554653000, 0.3527232000, -0.0171871000, -1.3812394000, -6.4148769000", \
"0.5007814000, 0.4904744000, 0.4576240000, 0.3550896000, -0.0153476000, -1.3791397000, -6.4131946000", \
"0.5164391000, 0.5062733000, 0.4716251000, 0.3669271000, -0.0014546000, -1.3657241000, -6.3998296000", \
"0.5378872000, 0.5279310000, 0.4933541000, 0.3848460000, 0.0118514000, -1.3520579000, -6.3860653000");
}
related_pin : "SLEEP_B";
rise_power ("power_outputs_4") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0018413800, 0.0067813600, 0.0249741000, 0.0919738000, 0.3387180000, 1.2474200000");
values("0.3743789000, 0.3802306000, 0.4020898000, 0.5007941000, 0.8679052000, 2.2173488000, 7.1881800000", \
"0.3771832000, 0.3827203000, 0.4045983000, 0.5031700000, 0.8704578000, 2.2198905000, 7.1901267000", \
"0.3799153000, 0.3854081000, 0.4082871000, 0.5051046000, 0.8731734000, 2.2227587000, 7.1923573000", \
"0.3727242000, 0.3781540000, 0.4010496000, 0.4978410000, 0.8659316000, 2.2155057000, 7.1852003000", \
"0.3522772000, 0.3578489000, 0.3796872000, 0.4772020000, 0.8459796000, 2.1928805000, 7.1623727000", \
"0.3429419000, 0.3485929000, 0.3716548000, 0.4681739000, 0.8372491000, 2.1861161000, 7.1562551000", \
"0.3314313000, 0.3372094000, 0.3600465000, 0.4581838000, 0.8273161000, 2.1756336000, 7.1452271000", \
"0.3153792000, 0.3206552000, 0.3428482000, 0.4412496000, 0.8116718000, 2.1615170000, 7.1293549000", \
"0.3135937000, 0.3184410000, 0.3388068000, 0.4295752000, 0.7874544000, 2.1292766000, 7.0976935000", \
"0.3041840000, 0.3086845000, 0.3292529000, 0.4201921000, 0.7776488000, 2.1140188000, 7.0820764000", \
"0.2945452000, 0.2994690000, 0.3196524000, 0.4096671000, 0.7665819000, 2.0945757000, 7.0651249000");
}
}
internal_power () {
fall_power ("power_outputs_4") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0018413800, 0.0067813600, 0.0249741000, 0.0919738000, 0.3387180000, 1.2474200000");
values("0.4678604000, 0.4584171000, 0.4278689000, 0.3285279000, -0.0318533000, -1.3701420000, -6.3155020000", \
"0.4679528000, 0.4586752000, 0.4277927000, 0.3289406000, -0.0320161000, -1.3699692000, -6.3153916000", \
"0.4684918000, 0.4594992000, 0.4284190000, 0.3295728000, -0.0310966000, -1.3692228000, -6.3145993000", \
"0.4675324000, 0.4582713000, 0.4271933000, 0.3285615000, -0.0324760000, -1.3704531000, -6.3157604000", \
"0.4676535000, 0.4586041000, 0.4276588000, 0.3287324000, -0.0322489000, -1.3702230000, -6.3155565000", \
"0.4678743000, 0.4586529000, 0.4276951000, 0.3289975000, -0.0320700000, -1.3701234000, -6.3155305000", \
"0.4675817000, 0.4584311000, 0.4275509000, 0.3282636000, -0.0326589000, -1.3704998000, -6.3157743000", \
"0.4698160000, 0.4602272000, 0.4273838000, 0.3267765000, -0.0336501000, -1.3717285000, -6.3169658000", \
"0.4770968000, 0.4676294000, 0.4352349000, 0.3304224000, -0.0329178000, -1.3706277000, -6.3159648000", \
"0.4769403000, 0.4674503000, 0.4350954000, 0.3300316000, -0.0325720000, -1.3706996000, -6.3160615000", \
"0.4780802000, 0.4685514000, 0.4363703000, 0.3317411000, -0.0314768000, -1.3694685000, -6.3147586000");
}
related_pin : "A";
rise_power ("power_outputs_4") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0018413800, 0.0067813600, 0.0249741000, 0.0919738000, 0.3387180000, 1.2474200000");
values("0.5509892000, 0.5563241000, 0.5784489000, 0.6739756000, 1.0369844000, 2.3670676000, 7.2656968000", \
"0.5511037000, 0.5560898000, 0.5787332000, 0.6748421000, 1.0388573000, 2.3693802000, 7.2675522000", \
"0.5515101000, 0.5566596000, 0.5786988000, 0.6752475000, 1.0378492000, 2.3674312000, 7.2692418000", \
"0.5505092000, 0.5560890000, 0.5788634000, 0.6741849000, 1.0376776000, 2.3676542000, 7.2681571000", \
"0.5508360000, 0.5559183000, 0.5780365000, 0.6755810000, 1.0384842000, 2.3683246000, 7.2720058000", \
"0.5517624000, 0.5570929000, 0.5786682000, 0.6755295000, 1.0384188000, 2.3676800000, 7.2687068000", \
"0.5527960000, 0.5581111000, 0.5805099000, 0.6761422000, 1.0392810000, 2.3708778000, 7.2717911000", \
"0.5535385000, 0.5587015000, 0.5812397000, 0.6773043000, 1.0392689000, 2.3710122000, 7.2685625000", \
"0.5697647000, 0.5748334000, 0.5961429000, 0.6883054000, 1.0508940000, 2.3780154000, 7.2761783000", \
"0.5728475000, 0.5776558000, 0.5987608000, 0.6923434000, 1.0532849000, 2.3769711000, 7.2761280000", \
"0.5747998000, 0.5798828000, 0.6013501000, 0.6928513000, 1.0544124000, 2.3790428000, 7.2799710000");
}
}
max_capacitance : 1.2474160000;
max_transition : 3.7523010000;
power_down_function : "(!LVPWR+!VPWR+VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0018413800, 0.0067813600, 0.0249741000, 0.0919738000, 0.3387180000, 1.2474200000");
values("0.5501489000, 0.5555084000, 0.5723854000, 0.6242939000, 0.7812351000, 1.3151825000, 3.2727072000", \
"0.5533305000, 0.5585425000, 0.5755478000, 0.6276474000, 0.7843556000, 1.3191950000, 3.2730554000", \
"0.5576030000, 0.5629411000, 0.5798468000, 0.6318832000, 0.7888545000, 1.3237791000, 3.2805290000", \
"0.5746422000, 0.5798595000, 0.5968765000, 0.6488501000, 0.8057663000, 1.3411566000, 3.2966598000", \
"0.6197421000, 0.6249422000, 0.6421372000, 0.6941652000, 0.8507688000, 1.3848348000, 3.3453571000", \
"0.6621885000, 0.6673937000, 0.6843879000, 0.7362944000, 0.8933164000, 1.4291297000, 3.3852856000", \
"0.7183167000, 0.7235133000, 0.7404261000, 0.7923665000, 0.9493140000, 1.4832588000, 3.4379559000", \
"0.7608894000, 0.7660205000, 0.7829714000, 0.8350670000, 0.9920081000, 1.5262840000, 3.4828367000", \
"0.8514888000, 0.8567168000, 0.8737003000, 0.9257530000, 1.0824346000, 1.6164877000, 3.5754282000", \
"0.8900617000, 0.8952391000, 0.9123110000, 0.9643368000, 1.1211438000, 1.6559408000, 3.6102172000", \
"0.9245791000, 0.9296287000, 0.9467479000, 0.9986777000, 1.1555553000, 1.6899869000, 3.6427545000");
}
cell_rise ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0018413800, 0.0067813600, 0.0249741000, 0.0919738000, 0.3387180000, 1.2474200000");
values("0.6981044000, 0.7022797000, 0.7162463000, 0.7554548000, 0.8633114000, 1.2154420000, 2.5013287000", \
"0.7010432000, 0.7053299000, 0.7193169000, 0.7586089000, 0.8664678000, 1.2188940000, 2.5047553000", \
"0.7056296000, 0.7099304000, 0.7236518000, 0.7632490000, 0.8709830000, 1.2229983000, 2.5068039000", \
"0.7211497000, 0.7254309000, 0.7394287000, 0.7787243000, 0.8866287000, 1.2381114000, 2.5266660000", \
"0.7562272000, 0.7604819000, 0.7743096000, 0.8138442000, 0.9215700000, 1.2730356000, 2.5621407000", \
"0.7867156000, 0.7909856000, 0.8047086000, 0.8440758000, 0.9520185000, 1.3039163000, 2.5922184000", \
"0.8241129000, 0.8284054000, 0.8424063000, 0.8815733000, 0.9893989000, 1.3415543000, 2.6260072000", \
"0.8495205000, 0.8538376000, 0.8676673000, 0.9071061000, 1.0146688000, 1.3671259000, 2.6543827000", \
"0.8913723000, 0.8955228000, 0.9096254000, 0.9488237000, 1.0569565000, 1.4084396000, 2.6949156000", \
"0.9045664000, 0.9089901000, 0.9226808000, 0.9622440000, 1.0698230000, 1.4217052000, 2.7052613000", \
"0.9136470000, 0.9179748000, 0.9317793000, 0.9710244000, 1.0789002000, 1.4311066000, 2.7165625000");
}
fall_transition ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0018413800, 0.0067813600, 0.0249741000, 0.0919738000, 0.3387180000, 1.2474200000");
values("0.0528211000, 0.0570794000, 0.0725360000, 0.1249518000, 0.3113288000, 1.0376182000, 3.7499959000", \
"0.0527267000, 0.0572001000, 0.0725938000, 0.1250855000, 0.3115920000, 1.0378622000, 3.7448133000", \
"0.0529646000, 0.0573756000, 0.0728710000, 0.1250547000, 0.3117147000, 1.0380974000, 3.7416368000", \
"0.0525893000, 0.0572673000, 0.0729060000, 0.1248016000, 0.3119685000, 1.0387218000, 3.7408658000", \
"0.0529698000, 0.0573162000, 0.0725444000, 0.1249176000, 0.3116049000, 1.0379794000, 3.7523007000", \
"0.0525994000, 0.0572988000, 0.0728643000, 0.1247889000, 0.3117117000, 1.0379348000, 3.7506500000", \
"0.0525474000, 0.0568644000, 0.0723428000, 0.1253170000, 0.3115593000, 1.0386096000, 3.7456189000", \
"0.0527532000, 0.0570602000, 0.0726354000, 0.1250964000, 0.3114237000, 1.0395093000, 3.7387626000", \
"0.0529361000, 0.0571694000, 0.0724853000, 0.1247525000, 0.3119039000, 1.0370029000, 3.7518717000", \
"0.0530614000, 0.0574608000, 0.0727131000, 0.1249179000, 0.3117574000, 1.0398689000, 3.7451837000", \
"0.0525230000, 0.0573091000, 0.0727876000, 0.1246186000, 0.3118528000, 1.0382512000, 3.7383949000");
}
related_pin : "A";
rise_transition ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0018413800, 0.0067813600, 0.0249741000, 0.0919738000, 0.3387180000, 1.2474200000");
values("0.0481813000, 0.0513317000, 0.0626127000, 0.0996939000, 0.2299277000, 0.7454974000, 2.6761517000", \
"0.0481874000, 0.0514308000, 0.0626706000, 0.1000113000, 0.2300523000, 0.7457234000, 2.6806903000", \
"0.0484548000, 0.0515945000, 0.0626695000, 0.0998855000, 0.2297946000, 0.7452993000, 2.6785172000", \
"0.0482063000, 0.0514593000, 0.0626883000, 0.1000021000, 0.2300653000, 0.7457472000, 2.6778317000", \
"0.0487305000, 0.0518906000, 0.0628284000, 0.1000271000, 0.2296868000, 0.7457832000, 2.6831617000", \
"0.0484844000, 0.0517263000, 0.0626235000, 0.0999112000, 0.2303052000, 0.7455150000, 2.6773994000", \
"0.0482174000, 0.0513395000, 0.0625497000, 0.0996058000, 0.2299403000, 0.7458418000, 2.6812303000", \
"0.0481637000, 0.0514878000, 0.0625532000, 0.0998895000, 0.2299048000, 0.7447021000, 2.6777571000", \
"0.0476609000, 0.0515147000, 0.0626787000, 0.0995318000, 0.2298312000, 0.7459411000, 2.6861331000", \
"0.0480525000, 0.0517769000, 0.0629153000, 0.1001117000, 0.2297978000, 0.7455949000, 2.6782647000", \
"0.0477173000, 0.0510803000, 0.0625994000, 0.0995833000, 0.2299413000, 0.7449237000, 2.6767049000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0018413800, 0.0067813600, 0.0249741000, 0.0919738000, 0.3387180000, 1.2474200000");
values("1.2444873000, 1.2498805000, 1.2674408000, 1.3196975000, 1.4774969000, 2.0114950000, 3.9676107000", \
"1.2470774000, 1.2524715000, 1.2700094000, 1.3222459000, 1.4800589000, 2.0140738000, 3.9701091000", \
"1.2502166000, 1.2556403000, 1.2730331000, 1.3258217000, 1.4830379000, 2.0172073000, 3.9726937000", \
"1.2616556000, 1.2670455000, 1.2845888000, 1.3374175000, 1.4945638000, 2.0286957000, 3.9847663000", \
"1.3027638000, 1.3081463000, 1.3257402000, 1.3782224000, 1.5358407000, 2.0699571000, 4.0243573000", \
"1.3709097000, 1.3762702000, 1.3936668000, 1.4462188000, 1.6040620000, 2.1381787000, 4.0914392000", \
"1.5175482000, 1.5228681000, 1.5403240000, 1.5929127000, 1.7506475000, 2.2846458000, 4.2373984000", \
"1.6550149000, 1.6604145000, 1.6778547000, 1.7307172000, 1.8878794000, 2.4220186000, 4.3781023000", \
"1.9774531000, 1.9828172000, 2.0003788000, 2.0530431000, 2.2102933000, 2.7442242000, 4.6965960000", \
"2.1256563000, 2.1310427000, 2.1486233000, 2.2011766000, 2.3586895000, 2.8927114000, 4.8477617000", \
"2.2676463000, 2.2730635000, 2.2904971000, 2.3434679000, 2.5004181000, 3.0345813000, 4.9898254000");
}
cell_rise ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0018413800, 0.0067813600, 0.0249741000, 0.0919738000, 0.3387180000, 1.2474200000");
values("0.5946686000, 0.5989266000, 0.6127726000, 0.6524258000, 0.7602255000, 1.1122826000, 2.3962268000", \
"0.5972380000, 0.6015206000, 0.6153900000, 0.6550252000, 0.7628019000, 1.1148729000, 2.3987690000", \
"0.6003368000, 0.6046640000, 0.6185261000, 0.6580033000, 0.7657974000, 1.1178870000, 2.4031610000", \
"0.6118412000, 0.6161685000, 0.6300329000, 0.6694897000, 0.7772889000, 1.1293849000, 2.4148135000", \
"0.6504637000, 0.6549825000, 0.6687274000, 0.7081591000, 0.8161729000, 1.1681404000, 2.4560731000", \
"0.6998570000, 0.7041490000, 0.7181916000, 0.7577061000, 0.8655544000, 1.2169520000, 2.5042575000", \
"0.7597586000, 0.7640526000, 0.7778720000, 0.8171447000, 0.9251196000, 1.2762996000, 2.5614195000", \
"0.7902225000, 0.7946263000, 0.8085624000, 0.8481870000, 0.9561822000, 1.3080769000, 2.5953442000", \
"0.8117827000, 0.8161940000, 0.8301049000, 0.8700594000, 0.9785909000, 1.3305296000, 2.6136413000", \
"0.8025807000, 0.8068800000, 0.8209856000, 0.8611805000, 0.9695323000, 1.3218251000, 2.6048286000", \
"0.7847454000, 0.7892931000, 0.8034985000, 0.8435954000, 0.9523959000, 1.3043436000, 2.5896267000");
}
fall_transition ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0018413800, 0.0067813600, 0.0249741000, 0.0919738000, 0.3387180000, 1.2474200000");
values("0.0600996000, 0.0645639000, 0.0794256000, 0.1323174000, 0.3169126000, 1.0378737000, 3.7504164000", \
"0.0600967000, 0.0645631000, 0.0794232000, 0.1324409000, 0.3168713000, 1.0378463000, 3.7504315000", \
"0.0595624000, 0.0639724000, 0.0802095000, 0.1324531000, 0.3165761000, 1.0388517000, 3.7498209000", \
"0.0601022000, 0.0645623000, 0.0794226000, 0.1325434000, 0.3167505000, 1.0380604000, 3.7504368000", \
"0.0595961000, 0.0639630000, 0.0797986000, 0.1320354000, 0.3169303000, 1.0388516000, 3.7470609000", \
"0.0598461000, 0.0647644000, 0.0802458000, 0.1323444000, 0.3166235000, 1.0382064000, 3.7423438000", \
"0.0594297000, 0.0643842000, 0.0796311000, 0.1324927000, 0.3163789000, 1.0389789000, 3.7379060000", \
"0.0596324000, 0.0643445000, 0.0795549000, 0.1324130000, 0.3165466000, 1.0385107000, 3.7503503000", \
"0.0597758000, 0.0642186000, 0.0802326000, 0.1321388000, 0.3170441000, 1.0379299000, 3.7371395000", \
"0.0603744000, 0.0648792000, 0.0797394000, 0.1326702000, 0.3171395000, 1.0388425000, 3.7404861000", \
"0.0603218000, 0.0648141000, 0.0800386000, 0.1329672000, 0.3170245000, 1.0371581000, 3.7492889000");
}
related_pin : "SLEEP_B";
rise_transition ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0018413800, 0.0067813600, 0.0249741000, 0.0919738000, 0.3387180000, 1.2474200000");
values("0.0490717000, 0.0523122000, 0.0632817000, 0.1003668000, 0.2299207000, 0.7451428000, 2.6807834000", \
"0.0491008000, 0.0521702000, 0.0632759000, 0.1003864000, 0.2297982000, 0.7452876000, 2.6806104000", \
"0.0485088000, 0.0518169000, 0.0630036000, 0.1002445000, 0.2301352000, 0.7455576000, 2.6805596000", \
"0.0485115000, 0.0518164000, 0.0629946000, 0.1001939000, 0.2301522000, 0.7455919000, 2.6804461000", \
"0.0487219000, 0.0521034000, 0.0629879000, 0.1002502000, 0.2298482000, 0.7455395000, 2.6808484000", \
"0.0486054000, 0.0518661000, 0.0631188000, 0.1002307000, 0.2303057000, 0.7455531000, 2.6805567000", \
"0.0488394000, 0.0521403000, 0.0629368000, 0.0997993000, 0.2304759000, 0.7454786000, 2.6814357000", \
"0.0489283000, 0.0521913000, 0.0636868000, 0.1009359000, 0.2304243000, 0.7459057000, 2.6755116000", \
"0.0508121000, 0.0540394000, 0.0653543000, 0.1024042000, 0.2314853000, 0.7447499000, 2.6804163000", \
"0.0510982000, 0.0555012000, 0.0665797000, 0.1032864000, 0.2322859000, 0.7460218000, 2.6805689000", \
"0.0520651000, 0.0553522000, 0.0664733000, 0.1039034000, 0.2325741000, 0.7461083000, 2.6792781000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
}
}
cell ("sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1") {
leakage_power () {
value : 22.196186200;
when : "!A&SLEEP_B";
}
leakage_power () {
value : 21.392098800;
when : "!A&!SLEEP_B";
}
leakage_power () {
value : 21.876474000;
when : "A&SLEEP_B";
}
leakage_power () {
value : 23.074367300;
when : "A&!SLEEP_B";
}
area : 113.30880000;
cell_footprint : "sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon";
cell_leakage_power : 22.134780000;
input_voltage_range(1.2800000000, 1.9500000000);
output_voltage_range(1.6500000000, 5.5000000000);
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
is_level_shifter : "true";
level_shifter_type : "LH";
pg_pin ("LVPWR") {
pg_type : "primary_power";
voltage_name : "LVPWR";
}
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0027780000;
clock : "false";
direction : "input";
fall_capacitance : 0.0027180000;
input_signal_level : "LVPWR";
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0363181000, 0.0358681000, 0.0353839000, 0.0361073000, 0.0384615000, 0.0409667000, 0.0460192000, 0.0515052000, 0.0668699000, 0.0748256000, 0.0827813000");
}
rise_power ("power_inputs_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0400912000, 0.0393077000, 0.0384621000, 0.0409515000, 0.0488275000, 0.0549963000, 0.0673730000, 0.0730365000, 0.0888909000, 0.0971022000, 0.1053135000");
}
}
level_shifter_data_pin : "true";
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "LVPWR";
rise_capacitance : 0.0028370000;
}
pin ("SLEEP_B") {
capacitance : 0.0202740000;
clock : "false";
direction : "input";
fall_capacitance : 0.0202750000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0693875000, 0.0695844000, 0.0698010000, 0.0713459000, 0.0762685000, 0.0914343000, 0.1218063000, 0.1552195000, 0.2484159000, 0.2967750000, 0.3451340000");
}
rise_power ("power_inputs_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0071427000, -0.0081743000, -0.0092887000, -0.0082071000, -0.0047381000, 0.0098535000, 0.0390757000, 0.0724131000, 0.1653955000, 0.2136441000, 0.2618927000");
}
}
level_shifter_enable_pin : "true";
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0202720000;
}
pin ("X") {
direction : "output";
function : "(A&SLEEP_B)";
internal_power () {
fall_power ("power_outputs_4") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0013179000, 0.0034737200, 0.0091560300, 0.0241335000, 0.0636110000, 0.1676660000");
values("0.1890627000, 0.1821184000, 0.1646402000, 0.1239944000, 0.0346153000, -0.1875127000, -0.7615679000", \
"0.1891383000, 0.1823244000, 0.1649250000, 0.1241737000, 0.0346477000, -0.1873445000, -0.7616358000", \
"0.1896098000, 0.1825031000, 0.1651344000, 0.1243917000, 0.0350637000, -0.1869247000, -0.7609246000", \
"0.1885587000, 0.1814352000, 0.1639650000, 0.1235371000, 0.0340308000, -0.1877993000, -0.7619760000", \
"0.1866464000, 0.1797134000, 0.1623978000, 0.1218455000, 0.0324535000, -0.1894106000, -0.7637000000", \
"0.1865752000, 0.1794985000, 0.1621728000, 0.1215679000, 0.0321029000, -0.1898704000, -0.7639608000", \
"0.1861147000, 0.1793651000, 0.1620974000, 0.1215146000, 0.0325278000, -0.1895974000, -0.7637051000", \
"0.1859538000, 0.1793781000, 0.1618750000, 0.1213171000, 0.0318154000, -0.1902536000, -0.7644787000", \
"0.1873797000, 0.1799370000, 0.1630406000, 0.1223890000, 0.0329054000, -0.1894479000, -0.7632438000", \
"0.1877340000, 0.1807257000, 0.1634012000, 0.1231300000, 0.0336339000, -0.1882163000, -0.7627049000", \
"0.1919531000, 0.1847312000, 0.1669552000, 0.1252869000, 0.0342883000, -0.1875424000, -0.7618988000");
}
related_pin : "A";
rise_power ("power_outputs_4") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0013179000, 0.0034737200, 0.0091560300, 0.0241335000, 0.0636110000, 0.1676660000");
values("0.0527159000, 0.0609293000, 0.0839097000, 0.1404591000, 0.2540260000, 0.4747854000, 1.0358584000", \
"0.0532571000, 0.0615818000, 0.0845623000, 0.1407552000, 0.2542299000, 0.4752337000, 1.0365921000", \
"0.0538043000, 0.0621105000, 0.0853078000, 0.1415332000, 0.2549626000, 0.4759932000, 1.0370374000", \
"0.0513622000, 0.0596435000, 0.0825959000, 0.1389041000, 0.2523466000, 0.4733338000, 1.0343248000", \
"0.0440114000, 0.0520835000, 0.0755016000, 0.1319418000, 0.2448705000, 0.4660613000, 1.0269518000", \
"0.0393296000, 0.0475445000, 0.0706941000, 0.1269506000, 0.2406987000, 0.4611246000, 1.0223531000", \
"0.0330440000, 0.0397973000, 0.0635169000, 0.1197035000, 0.2324729000, 0.4535951000, 1.0147950000", \
"0.0556677000, 0.0597592000, 0.0710539000, 0.1193157000, 0.2318808000, 0.4526601000, 1.0135328000", \
"0.0949381000, 0.0989722000, 0.1102211000, 0.1407725000, 0.2313574000, 0.4523919000, 1.0134762000", \
"0.1043708000, 0.1083530000, 0.1196025000, 0.1501099000, 0.2310027000, 0.4521053000, 1.0129481000", \
"0.1095467000, 0.1135513000, 0.1247370000, 0.1553442000, 0.2365868000, 0.4524008000, 1.0134188000");
}
}
internal_power () {
fall_power ("power_outputs_4") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0013179000, 0.0034737200, 0.0091560300, 0.0241335000, 0.0636110000, 0.1676660000");
values("0.3118344000, 0.3043404000, 0.2869072000, 0.2448567000, 0.1523389000, -0.0723419000, -0.6474008000", \
"0.3127772000, 0.3058453000, 0.2881728000, 0.2460186000, 0.1533032000, -0.0712270000, -0.6465632000", \
"0.3115961000, 0.3048235000, 0.2871898000, 0.2450642000, 0.1524351000, -0.0720149000, -0.6473655000", \
"0.3105553000, 0.3033398000, 0.2855957000, 0.2435381000, 0.1509777000, -0.0736559000, -0.6486494000", \
"0.3114150000, 0.3040213000, 0.2863159000, 0.2443294000, 0.1518395000, -0.0729984000, -0.6477921000", \
"0.3031911000, 0.2964479000, 0.2784537000, 0.2369083000, 0.1443875000, -0.0803735000, -0.6556006000", \
"0.2927464000, 0.2857566000, 0.2679252000, 0.2259332000, 0.1336536000, -0.0912363000, -0.6661832000", \
"0.2978325000, 0.2910687000, 0.2735036000, 0.2311339000, 0.1387787000, -0.0861099000, -0.6609327000", \
"0.3375479000, 0.3302503000, 0.3127527000, 0.2707057000, 0.1781494000, -0.0464918000, -0.6217877000", \
"0.3614656000, 0.3543787000, 0.3365800000, 0.2946834000, 0.2020436000, -0.0226032000, -0.5978847000", \
"0.3863831000, 0.3796924000, 0.3618436000, 0.3200774000, 0.2273218000, 0.0024700000, -0.5727708000");
}
related_pin : "SLEEP_B";
rise_power ("power_outputs_4") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0013179000, 0.0034737200, 0.0091560300, 0.0241335000, 0.0636110000, 0.1676660000");
values("0.0761543000, 0.0844762000, 0.1077353000, 0.1642180000, 0.2773425000, 0.4981457000, 1.0592355000", \
"0.0760615000, 0.0843832000, 0.1076386000, 0.1640807000, 0.2772508000, 0.4980478000, 1.0589567000", \
"0.0760401000, 0.0845749000, 0.1077941000, 0.1641407000, 0.2771557000, 0.4982917000, 1.0596738000", \
"0.0745308000, 0.0829086000, 0.1062748000, 0.1626532000, 0.2754968000, 0.4966950000, 1.0574929000", \
"0.0775609000, 0.0858209000, 0.1087651000, 0.1647520000, 0.2781749000, 0.4992147000, 1.0601859000", \
"0.0750209000, 0.0833518000, 0.1066715000, 0.1616724000, 0.2737551000, 0.4941120000, 1.0548053000", \
"0.1086845000, 0.1126175000, 0.1237954000, 0.1623276000, 0.2747545000, 0.4950875000, 1.0559025000", \
"0.1374032000, 0.1413980000, 0.1523733000, 0.1827796000, 0.2841075000, 0.5064999000, 1.0676944000", \
"0.2048232000, 0.2085850000, 0.2193010000, 0.2490450000, 0.3303212000, 0.5491103000, 1.1101469000", \
"0.2343730000, 0.2380851000, 0.2485372000, 0.2780450000, 0.3586697000, 0.5728863000, 1.1339542000", \
"0.2621154000, 0.2656358000, 0.2760570000, 0.3049185000, 0.3855741000, 0.5976792000, 1.1586799000");
}
}
max_capacitance : 0.1676660000;
max_transition : 3.7374660000;
power_down_function : "(!LVPWR+!VPWR+VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0013179000, 0.0034737200, 0.0091560300, 0.0241335000, 0.0636110000, 0.1676660000");
values("1.4592115000, 1.4881408000, 1.5511237000, 1.6804377000, 1.9277253000, 2.4016458000, 3.3980760000", \
"1.4625574000, 1.4916014000, 1.5546360000, 1.6842510000, 1.9311370000, 2.4062157000, 3.4007899000", \
"1.4670534000, 1.4959283000, 1.5590798000, 1.6885801000, 1.9356259000, 2.4104736000, 3.4060497000", \
"1.4838275000, 1.5125762000, 1.5758326000, 1.7043840000, 1.9524762000, 2.4282978000, 3.4212166000", \
"1.5324885000, 1.5614392000, 1.6245856000, 1.7538216000, 2.0008488000, 2.4756504000, 3.4713703000", \
"1.5855814000, 1.6138996000, 1.6779654000, 1.8059558000, 2.0539757000, 2.5290083000, 3.5231467000", \
"1.6537519000, 1.6831286000, 1.7463048000, 1.8743271000, 2.1225581000, 2.5985005000, 3.5932655000", \
"1.7045568000, 1.7338676000, 1.7964160000, 1.9245912000, 2.1727344000, 2.6490274000, 3.6437477000", \
"1.8066075000, 1.8351416000, 1.8987425000, 2.0275794000, 2.2736617000, 2.7494129000, 3.7437768000", \
"1.8496540000, 1.8783202000, 1.9421631000, 2.0708975000, 2.3182036000, 2.7929297000, 3.7867518000", \
"1.8855006000, 1.9145616000, 1.9779836000, 2.1073632000, 2.3543498000, 2.8286973000, 3.8237560000");
}
cell_rise ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0013179000, 0.0034737200, 0.0091560300, 0.0241335000, 0.0636110000, 0.1676660000");
values("0.3066762000, 0.3233223000, 0.3619237000, 0.4509710000, 0.6748035000, 1.2554363000, 2.7545737000", \
"0.3104415000, 0.3268704000, 0.3655946000, 0.4544004000, 0.6790267000, 1.2595247000, 2.7578400000", \
"0.3148714000, 0.3311711000, 0.3701297000, 0.4588511000, 0.6836229000, 1.2640207000, 2.7623324000", \
"0.3314704000, 0.3479979000, 0.3866969000, 0.4755678000, 0.7000576000, 1.2805990000, 2.7793521000", \
"0.3767011000, 0.3933648000, 0.4316270000, 0.5210787000, 0.7459911000, 1.3259243000, 2.8248697000", \
"0.4206478000, 0.4372788000, 0.4758915000, 0.5647537000, 0.7886837000, 1.3679767000, 2.8685392000", \
"0.4780751000, 0.4944142000, 0.5333564000, 0.6223611000, 0.8463121000, 1.4275703000, 2.9258427000", \
"0.5192864000, 0.5359591000, 0.5744232000, 0.6634280000, 0.8881667000, 1.4669904000, 2.9667087000", \
"0.5974238000, 0.6139971000, 0.6528670000, 0.7416445000, 0.9663715000, 1.5467002000, 3.0447918000", \
"0.6273923000, 0.6438551000, 0.6826924000, 0.7713233000, 0.9960492000, 1.5763332000, 3.0751770000", \
"0.6529975000, 0.6696804000, 0.7081173000, 0.7972225000, 1.0218163000, 1.6021638000, 3.1002889000");
}
fall_transition ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0013179000, 0.0034737200, 0.0091560300, 0.0241335000, 0.0636110000, 0.1676660000");
values("0.2428630000, 0.2652572000, 0.3133695000, 0.4085061000, 0.5990655000, 1.0326694000, 2.1868158000", \
"0.2425314000, 0.2650052000, 0.3124454000, 0.4076900000, 0.5962436000, 1.0333860000, 2.1879665000", \
"0.2419765000, 0.2648359000, 0.3126354000, 0.4074993000, 0.5964740000, 1.0337787000, 2.1841144000", \
"0.2437265000, 0.2678364000, 0.3137529000, 0.4088438000, 0.6003794000, 1.0340400000, 2.1878079000", \
"0.2439860000, 0.2646312000, 0.3126323000, 0.4071076000, 0.5976412000, 1.0346825000, 2.1831827000", \
"0.2414881000, 0.2677484000, 0.3144514000, 0.4080029000, 0.6001559000, 1.0336609000, 2.1844659000", \
"0.2429036000, 0.2657516000, 0.3163624000, 0.4085458000, 0.6009663000, 1.0343792000, 2.1842745000", \
"0.2425774000, 0.2648839000, 0.3150399000, 0.4106707000, 0.5981255000, 1.0338721000, 2.1823097000", \
"0.2418628000, 0.2643685000, 0.3144849000, 0.4076306000, 0.5972052000, 1.0329350000, 2.1869397000", \
"0.2423517000, 0.2648120000, 0.3141965000, 0.4084443000, 0.5947406000, 1.0333040000, 2.1842408000", \
"0.2459181000, 0.2659251000, 0.3147296000, 0.4104463000, 0.6026630000, 1.0332476000, 2.1829527000");
}
related_pin : "A";
rise_transition ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0013179000, 0.0034737200, 0.0091560300, 0.0241335000, 0.0636110000, 0.1676660000");
values("0.0622554000, 0.0800724000, 0.1241426000, 0.2427612000, 0.5709775000, 1.4366166000, 3.7120058000", \
"0.0623245000, 0.0800766000, 0.1242241000, 0.2433872000, 0.5726289000, 1.4356353000, 3.7076249000", \
"0.0622805000, 0.0806414000, 0.1242342000, 0.2434248000, 0.5726816000, 1.4353173000, 3.7056702000", \
"0.0623344000, 0.0800187000, 0.1242133000, 0.2432882000, 0.5726343000, 1.4360946000, 3.7114900000", \
"0.0624155000, 0.0800953000, 0.1244557000, 0.2431591000, 0.5724664000, 1.4351590000, 3.7139309000", \
"0.0624355000, 0.0799684000, 0.1241622000, 0.2432032000, 0.5710386000, 1.4361672000, 3.7134262000", \
"0.0622585000, 0.0806041000, 0.1242230000, 0.2436508000, 0.5725972000, 1.4354982000, 3.7137851000", \
"0.0624338000, 0.0800957000, 0.1241792000, 0.2431056000, 0.5740874000, 1.4355371000, 3.7079346000", \
"0.0625465000, 0.0803850000, 0.1243093000, 0.2431424000, 0.5725513000, 1.4370188000, 3.7080257000", \
"0.0625188000, 0.0808963000, 0.1244248000, 0.2433592000, 0.5725274000, 1.4380118000, 3.7093741000", \
"0.0627144000, 0.0803159000, 0.1242395000, 0.2429599000, 0.5724053000, 1.4361383000, 3.7031094000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0013179000, 0.0034737200, 0.0091560300, 0.0241335000, 0.0636110000, 0.1676660000");
values("2.7426392000, 2.7729037000, 2.8393712000, 2.9725016000, 3.2300618000, 3.7208074000, 4.7403774000", \
"2.7463731000, 2.7767950000, 2.8433393000, 2.9776375000, 3.2347487000, 3.7263730000, 4.7450044000", \
"2.7494236000, 2.7798197000, 2.8466227000, 2.9803975000, 3.2376579000, 3.7283479000, 4.7480482000", \
"2.7607407000, 2.7910592000, 2.8574147000, 2.9920542000, 3.2487997000, 3.7408463000, 4.7592903000", \
"2.7776408000, 2.8077369000, 2.8742673000, 3.0085958000, 3.2666410000, 3.7571142000, 4.7777924000", \
"2.7501414000, 2.7808809000, 2.8471991000, 2.9814013000, 3.2391023000, 3.7309782000, 4.7486686000", \
"2.6863265000, 2.7165123000, 2.7823117000, 2.9165834000, 3.1747103000, 3.6650400000, 4.6856918000", \
"2.7842668000, 2.8149085000, 2.8816860000, 3.0160192000, 3.2740528000, 3.7640380000, 4.7848951000", \
"3.2739336000, 3.3043155000, 3.3706393000, 3.5049379000, 3.7626249000, 4.2540624000, 5.2720721000", \
"3.5474155000, 3.5780230000, 3.6445971000, 3.7785726000, 4.0359702000, 4.5262518000, 5.5453913000", \
"3.8264423000, 3.8572425000, 3.9240931000, 4.0578687000, 4.3150119000, 4.8054374000, 5.8245648000");
}
cell_rise ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0013179000, 0.0034737200, 0.0091560300, 0.0241335000, 0.0636110000, 0.1676660000");
values("0.2331649000, 0.2498849000, 0.2882825000, 0.3774911000, 0.6021449000, 1.1821758000, 2.6812408000", \
"0.2347968000, 0.2513795000, 0.2897688000, 0.3789951000, 0.6036512000, 1.1836722000, 2.6827283000", \
"0.2371314000, 0.2539340000, 0.2923868000, 0.3815447000, 0.6063497000, 1.1861382000, 2.6852001000", \
"0.2438863000, 0.2605655000, 0.2990731000, 0.3881172000, 0.6127986000, 1.1928991000, 2.6916198000", \
"0.2327915000, 0.2496195000, 0.2881062000, 0.3766939000, 0.6012930000, 1.1812142000, 2.6799807000", \
"0.1818546000, 0.1988163000, 0.2378050000, 0.3279174000, 0.5533692000, 1.1333937000, 2.6320606000", \
"0.0620782000, 0.0790185000, 0.1186189000, 0.2089503000, 0.4333212000, 1.0148714000, 2.5153202000", \
"-0.0732136000, -0.0557642000, -0.0163535000, 0.0744426000, 0.2984084000, 0.8825768000, 2.3860780000", \
"-0.4840554000, -0.4662679000, -0.4257239000, -0.3330483000, -0.1084764000, 0.4764752000, 1.9922004000", \
"-0.7090876000, -0.6910389000, -0.6497766000, -0.5562456000, -0.3323437000, 0.2532206000, 1.7749111000", \
"-0.9389841000, -0.9205576000, -0.8790353000, -0.7846228000, -0.5601072000, 0.0256945000, 1.5530133000");
}
fall_transition ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0013179000, 0.0034737200, 0.0091560300, 0.0241335000, 0.0636110000, 0.1676660000");
values("0.2795487000, 0.3058047000, 0.3559462000, 0.4527780000, 0.6447661000, 1.0818817000, 2.2321935000", \
"0.2824598000, 0.3062385000, 0.3563318000, 0.4527186000, 0.6448227000, 1.0836332000, 2.2348261000", \
"0.2804176000, 0.3021244000, 0.3553807000, 0.4547609000, 0.6441737000, 1.0845534000, 2.2354603000", \
"0.2816921000, 0.3055845000, 0.3541689000, 0.4535815000, 0.6448241000, 1.0817944000, 2.2349236000", \
"0.2789064000, 0.3062634000, 0.3562846000, 0.4525872000, 0.6465788000, 1.0832847000, 2.2316675000", \
"0.2790551000, 0.3030075000, 0.3563459000, 0.4543918000, 0.6441086000, 1.0819996000, 2.2379305000", \
"0.2787174000, 0.3016128000, 0.3558676000, 0.4525453000, 0.6467477000, 1.0832744000, 2.2306925000", \
"0.2820804000, 0.3060361000, 0.3568693000, 0.4529193000, 0.6470441000, 1.0829674000, 2.2325850000", \
"0.2807127000, 0.3057075000, 0.3543256000, 0.4534232000, 0.6453504000, 1.0823767000, 2.2380253000", \
"0.2783377000, 0.3058546000, 0.3562091000, 0.4547984000, 0.6509648000, 1.0831585000, 2.2343811000", \
"0.2789735000, 0.3031229000, 0.3557946000, 0.4527506000, 0.6433236000, 1.0820214000, 2.2366033000");
}
related_pin : "SLEEP_B";
rise_transition ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0013179000, 0.0034737200, 0.0091560300, 0.0241335000, 0.0636110000, 0.1676660000");
values("0.0625208000, 0.0801495000, 0.1238919000, 0.2429029000, 0.5713490000, 1.4361744000, 3.7041481000", \
"0.0625093000, 0.0801791000, 0.1238557000, 0.2429852000, 0.5712869000, 1.4362412000, 3.7113477000", \
"0.0625438000, 0.0801295000, 0.1239934000, 0.2430860000, 0.5717309000, 1.4362184000, 3.7136652000", \
"0.0623604000, 0.0800270000, 0.1237850000, 0.2423941000, 0.5721114000, 1.4355420000, 3.7067438000", \
"0.0643909000, 0.0817740000, 0.1252577000, 0.2439043000, 0.5728743000, 1.4366114000, 3.7133012000", \
"0.0648303000, 0.0825932000, 0.1268676000, 0.2463240000, 0.5722250000, 1.4360227000, 3.7051435000", \
"0.0664402000, 0.0843885000, 0.1290548000, 0.2465347000, 0.5745605000, 1.4382359000, 3.7057788000", \
"0.0679333000, 0.0864259000, 0.1307483000, 0.2478486000, 0.5778271000, 1.4415243000, 3.7136283000", \
"0.0733411000, 0.0918088000, 0.1375123000, 0.2535275000, 0.5791638000, 1.4538327000, 3.7246803000", \
"0.0767389000, 0.0954033000, 0.1410944000, 0.2572001000, 0.5793220000, 1.4588725000, 3.7296326000", \
"0.0809607000, 0.0994715000, 0.1449995000, 0.2604136000, 0.5819816000, 1.4599747000, 3.7374663000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
}
}
cell ("sky130_fd_sc_hvl__lsbuflv2hv_symmetric_1") {
leakage_power () {
value : 15.350243700;
when : "A";
}
leakage_power () {
value : 16.869433800;
when : "!A";
}
area : 89.86560000;
cell_footprint : "sky130_fd_sc_hvl__lsbuflv2hv_symmetric";
cell_leakage_power : 16.109840000;
input_voltage_range(1.2800000000, 1.9500000000);
output_voltage_range(1.6500000000, 5.5000000000);
is_level_shifter : "true";
level_shifter_type : "LH";
pg_pin ("LVPWR") {
pg_type : "primary_power";
voltage_name : "LVPWR";
}
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0026250000;
clock : "false";
direction : "input";
fall_capacitance : 0.0025530000;
input_signal_level : "LVPWR";
level_shifter_data_pin : "true";
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "LVPWR";
rise_capacitance : 0.0026960000;
}
pin ("X") {
direction : "output";
function : "A";
internal_power () {
fall_power ("power_outputs_3") {
index_1("0.0100000000, 0.0173500000, 0.0260200000, 0.0390300000, 0.0585500000, 0.0878200000, 0.1317200000, 0.1975700000, 0.2963400000, 0.4444900000, 0.6667000000, 1.0000000000, 1.5000000000, 2.0000000000, 2.5000000000");
index_2("0.0005000000, 0.0011602600, 0.0026924060, 0.0062477800, 0.0144981000, 0.0336431200, 0.0780695200, 0.1811618000, 0.4203896000");
values("0.2323281000, 0.2290379000, 0.2221679000, 0.2053711000, 0.1626926000, 0.0604608000, -0.1805898000, -0.7413815000, -2.0434779000", \
"0.2326584000, 0.2294316000, 0.2225696000, 0.2057618000, 0.1630848000, 0.0608679000, -0.1801941000, -0.7409604000, -2.0430687000", \
"0.2326288000, 0.2291573000, 0.2223607000, 0.2056151000, 0.1629487000, 0.0606176000, -0.1802431000, -0.7411319000, -2.0432615000", \
"0.2323486000, 0.2289486000, 0.2222158000, 0.2054044000, 0.1628400000, 0.0605496000, -0.1804672000, -0.7412342000, -2.0434070000", \
"0.2323258000, 0.2288233000, 0.2220536000, 0.2053062000, 0.1626596000, 0.0602500000, -0.1805557000, -0.7414581000, -2.0435551000", \
"0.2321068000, 0.2289332000, 0.2219513000, 0.2052460000, 0.1625449000, 0.0602074000, -0.1807204000, -0.7414982000, -2.0436097000", \
"0.2323513000, 0.2291364000, 0.2222757000, 0.2054777000, 0.1627867000, 0.0606607000, -0.1804839000, -0.7412573000, -2.0433757000", \
"0.2326726000, 0.2293188000, 0.2224365000, 0.2056718000, 0.1629879000, 0.0606132000, -0.1801862000, -0.7408527000, -2.0425886000", \
"0.2331423000, 0.2299327000, 0.2229821000, 0.2061677000, 0.1636057000, 0.0612127000, -0.1797482000, -0.7405510000, -2.0425784000", \
"0.2347574000, 0.2311937000, 0.2244197000, 0.2076682000, 0.1650029000, 0.0626145000, -0.1782462000, -0.7390195000, -2.0412016000", \
"0.2369185000, 0.2337080000, 0.2267542000, 0.2099465000, 0.1673266000, 0.0650717000, -0.1759141000, -0.7366256000, -2.0387555000", \
"0.2410052000, 0.2376134000, 0.2306930000, 0.2137502000, 0.1712068000, 0.0688975000, -0.1721261000, -0.7328885000, -2.0349964000", \
"0.2519037000, 0.2479827000, 0.2391727000, 0.2195871000, 0.1772291000, 0.0747577000, -0.1662084000, -0.7269525000, -2.0290392000", \
"0.2606408000, 0.2566608000, 0.2479346000, 0.2281190000, 0.1828508000, 0.0807566000, -0.1602521000, -0.7209927000, -2.0230877000", \
"0.2678037000, 0.2637790000, 0.2550683000, 0.2351896000, 0.1900537000, 0.0867582000, -0.1542592000, -0.7149950000, -2.0170946000");
}
related_pin : "A";
rise_power ("power_outputs_3") {
index_1("0.0100000000, 0.0173500000, 0.0260200000, 0.0390300000, 0.0585500000, 0.0878200000, 0.1317200000, 0.1975700000, 0.2963400000, 0.4444900000, 0.6667000000, 1.0000000000, 1.5000000000, 2.0000000000, 2.5000000000");
index_2("0.0005000000, 0.0011602600, 0.0026924060, 0.0062477800, 0.0144981000, 0.0336431200, 0.0780695200, 0.1811618000, 0.4203896000");
values("0.3305086000, 0.3341076000, 0.3440496000, 0.3688982000, 0.4236775000, 0.5277078000, 0.7669830000, 1.3221805000, 2.6107081000", \
"0.3300469000, 0.3333954000, 0.3434253000, 0.3682712000, 0.4228575000, 0.5272845000, 0.7660970000, 1.3217231000, 2.6116388000", \
"0.3300016000, 0.3334045000, 0.3434619000, 0.3681683000, 0.4227900000, 0.5272517000, 0.7660610000, 1.3219685000, 2.6125649000", \
"0.3296394000, 0.3331959000, 0.3431636000, 0.3681047000, 0.4225550000, 0.5270052000, 0.7659799000, 1.3211562000, 2.6098910000", \
"0.3295294000, 0.3332115000, 0.3430027000, 0.3677512000, 0.4224509000, 0.5273332000, 0.7657584000, 1.3213414000, 2.6097981000", \
"0.3294006000, 0.3328257000, 0.3428511000, 0.3675558000, 0.4221490000, 0.5268081000, 0.7661687000, 1.3221658000, 2.6095058000", \
"0.3286149000, 0.3321138000, 0.3421274000, 0.3670694000, 0.4215582000, 0.5264080000, 0.7650719000, 1.3204903000, 2.6107452000", \
"0.3280340000, 0.3315888000, 0.3415539000, 0.3664791000, 0.4209489000, 0.5253801000, 0.7642178000, 1.3197672000, 2.6105119000", \
"0.3280997000, 0.3315696000, 0.3416724000, 0.3665889000, 0.4209860000, 0.5254747000, 0.7643087000, 1.3198560000, 2.6106140000", \
"0.3284571000, 0.3319198000, 0.3420314000, 0.3669437000, 0.4213736000, 0.5256252000, 0.7646372000, 1.3202256000, 2.6106343000", \
"0.3292197000, 0.3327029000, 0.3427351000, 0.3676796000, 0.4221745000, 0.5264777000, 0.7651989000, 1.3215961000, 2.6121992000", \
"0.3310059000, 0.3346416000, 0.3444698000, 0.3691242000, 0.4237879000, 0.5287871000, 0.7671455000, 1.3226874000, 2.6130506000", \
"0.3438297000, 0.3468075000, 0.3542334000, 0.3732638000, 0.4278193000, 0.5325457000, 0.7710201000, 1.3265172000, 2.6171152000", \
"0.3604721000, 0.3634647000, 0.3709926000, 0.3895910000, 0.4333834000, 0.5366667000, 0.7755617000, 1.3307016000, 2.6193031000", \
"0.3663430000, 0.3693291000, 0.3767291000, 0.3952723000, 0.4395970000, 0.5405752000, 0.7799516000, 1.3351575000, 2.6244320000");
}
}
max_capacitance : 0.4203900000;
max_transition : 4.9958250000;
power_down_function : "(!LVPWR+!VPWR+VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_15_9") {
index_1("0.0100000000, 0.0173500000, 0.0260200000, 0.0390300000, 0.0585500000, 0.0878200000, 0.1317200000, 0.1975700000, 0.2963400000, 0.4444900000, 0.6667000000, 1.0000000000, 1.5000000000, 2.0000000000, 2.5000000000");
index_2("0.0005000000, 0.0011602600, 0.0026924100, 0.0062477800, 0.0144981000, 0.0336431000, 0.0780695000, 0.1811620000, 0.4203900000");
values("0.4750212000, 0.4842898000, 0.5037301000, 0.5429772000, 0.6210013000, 0.7853767000, 1.1613369000, 2.0327720000, 4.0592560000", \
"0.4779833000, 0.4872629000, 0.5066974000, 0.5459408000, 0.6239669000, 0.7883504000, 1.1644197000, 2.0356954000, 4.0637378000", \
"0.4805519000, 0.4896898000, 0.5093578000, 0.5484436000, 0.6264559000, 0.7910572000, 1.1662875000, 2.0387874000, 4.0675920000", \
"0.4850658000, 0.4943128000, 0.5138165000, 0.5531696000, 0.6310056000, 0.7956229000, 1.1715087000, 2.0426669000, 4.0700074000", \
"0.4918710000, 0.5010047000, 0.5206860000, 0.5597596000, 0.6377691000, 0.8023989000, 1.1775205000, 2.0501716000, 4.0783807000", \
"0.5030874000, 0.5123886000, 0.5318602000, 0.5710243000, 0.6490449000, 0.8134217000, 1.1893680000, 2.0606730000, 4.0865342000", \
"0.5202319000, 0.5295332000, 0.5489301000, 0.5881796000, 0.6662011000, 0.8305652000, 1.2066087000, 2.0779087000, 4.1049044000", \
"0.5463588000, 0.5555210000, 0.5752220000, 0.6142409000, 0.6922215000, 0.8569598000, 1.2322903000, 2.1048343000, 4.1321511000", \
"0.5829873000, 0.5923056000, 0.6119726000, 0.6509667000, 0.7290394000, 0.8937265000, 1.2695682000, 2.1411699000, 4.1676662000", \
"0.6322542000, 0.6413859000, 0.6610754000, 0.7001363000, 0.7781452000, 0.9427892000, 1.3179118000, 2.1905999000, 4.2192183000", \
"0.6934138000, 0.7027339000, 0.7224471000, 0.7614676000, 0.8395922000, 1.0043270000, 1.3802368000, 2.2518525000, 4.2776596000", \
"0.7683429000, 0.7775620000, 0.7972588000, 0.8360990000, 0.9140039000, 1.0788381000, 1.4548110000, 2.3264703000, 4.3518966000", \
"0.8618939000, 0.8712028000, 0.8909341000, 0.9298421000, 1.0078962000, 1.1725815000, 1.5483538000, 2.4202595000, 4.4469544000", \
"0.9443665000, 0.9535394000, 0.9732285000, 1.0122131000, 1.0901327000, 1.2549473000, 1.6301451000, 2.5026836000, 4.5298152000", \
"1.0194089000, 1.0287785000, 1.0481842000, 1.0874156000, 1.1652822000, 1.3299867000, 1.7058288000, 2.5777840000, 4.6061222000");
}
cell_rise ("del_1_15_9") {
index_1("0.0100000000, 0.0173500000, 0.0260200000, 0.0390300000, 0.0585500000, 0.0878200000, 0.1317200000, 0.1975700000, 0.2963400000, 0.4444900000, 0.6667000000, 1.0000000000, 1.5000000000, 2.0000000000, 2.5000000000");
index_2("0.0005000000, 0.0011602600, 0.0026924100, 0.0062477800, 0.0144981000, 0.0336431000, 0.0780695000, 0.1811620000, 0.4203900000");
values("0.6140258000, 0.6235826000, 0.6434218000, 0.6836607000, 0.7610540000, 0.9187807000, 1.2725088000, 2.0789157000, 3.9487094000", \
"0.6170908000, 0.6267211000, 0.6465397000, 0.6866824000, 0.7641052000, 0.9221856000, 1.2753724000, 2.0816406000, 3.9497356000", \
"0.6200032000, 0.6295747000, 0.6497889000, 0.6895603000, 0.7669523000, 0.9250867000, 1.2794980000, 2.0848288000, 3.9509143000", \
"0.6246090000, 0.6342223000, 0.6541074000, 0.6942770000, 0.7716406000, 0.9296846000, 1.2837231000, 2.0897510000, 3.9589985000", \
"0.6318004000, 0.6415226000, 0.6612703000, 0.7013343000, 0.7788412000, 0.9366778000, 1.2898187000, 2.0959472000, 3.9660861000", \
"0.6416240000, 0.6511904000, 0.6713743000, 0.7111736000, 0.7885519000, 0.9467018000, 1.3011023000, 2.1059983000, 3.9760883000", \
"0.6556626000, 0.6652238000, 0.6850485000, 0.7248698000, 0.8023273000, 0.9605827000, 1.3138485000, 2.1201401000, 3.9891743000", \
"0.6731220000, 0.6827415000, 0.7026074000, 0.7427798000, 0.8201438000, 0.9782046000, 1.3313871000, 2.1379889000, 4.0042649000", \
"0.6921828000, 0.7017703000, 0.7217106000, 0.7618522000, 0.8392191000, 0.9971335000, 1.3503855000, 2.1570352000, 4.0232018000", \
"0.7143059000, 0.7238717000, 0.7438527000, 0.7839642000, 0.8613903000, 1.0190316000, 1.3724399000, 2.1791442000, 4.0452139000", \
"0.7376954000, 0.7472967000, 0.7671888000, 0.8073699000, 0.8847437000, 1.0427520000, 1.3967791000, 2.2028650000, 4.0716719000", \
"0.7617811000, 0.7711624000, 0.7910011000, 0.8306018000, 0.9081188000, 1.0665054000, 1.4195468000, 2.2261579000, 4.0935824000", \
"0.7833101000, 0.7928372000, 0.8126947000, 0.8529335000, 0.9300893000, 1.0879211000, 1.4418355000, 2.2482696000, 4.1140589000", \
"0.7949014000, 0.8044304000, 0.8245644000, 0.8646641000, 0.9419709000, 1.0999698000, 1.4541693000, 2.2598112000, 4.1293848000", \
"0.8003452000, 0.8099081000, 0.8297434000, 0.8699758000, 0.9470922000, 1.1051041000, 1.4590771000, 2.2646409000, 4.1355697000");
}
fall_transition ("del_1_15_9") {
index_1("0.0100000000, 0.0173500000, 0.0260200000, 0.0390300000, 0.0585500000, 0.0878200000, 0.1317200000, 0.1975700000, 0.2963400000, 0.4444900000, 0.6667000000, 1.0000000000, 1.5000000000, 2.0000000000, 2.5000000000");
index_2("0.0005000000, 0.0011602600, 0.0026924100, 0.0062477800, 0.0144981000, 0.0336431000, 0.0780695000, 0.1811620000, 0.4203900000");
values("0.0555647000, 0.0638356000, 0.0819012000, 0.1207694000, 0.2086386000, 0.4211518000, 0.9342147000, 2.1342352000, 4.9275894000", \
"0.0555671000, 0.0639143000, 0.0818920000, 0.1207707000, 0.2086410000, 0.4212407000, 0.9338424000, 2.1388387000, 4.9300448000", \
"0.0556237000, 0.0638481000, 0.0818574000, 0.1207284000, 0.2082969000, 0.4210252000, 0.9349007000, 2.1345108000, 4.9205471000", \
"0.0554589000, 0.0634808000, 0.0822764000, 0.1209790000, 0.2085524000, 0.4206396000, 0.9344342000, 2.1348872000, 4.9273803000", \
"0.0555983000, 0.0638701000, 0.0818772000, 0.1207448000, 0.2082288000, 0.4211408000, 0.9348076000, 2.1346267000, 4.9294098000", \
"0.0555243000, 0.0637229000, 0.0818854000, 0.1207365000, 0.2085869000, 0.4209052000, 0.9343931000, 2.1331814000, 4.9195545000", \
"0.0555429000, 0.0636936000, 0.0818969000, 0.1207574000, 0.2086179000, 0.4210755000, 0.9340321000, 2.1326958000, 4.9298552000", \
"0.0553749000, 0.0638827000, 0.0819847000, 0.1207447000, 0.2083740000, 0.4212068000, 0.9338186000, 2.1337370000, 4.9204498000", \
"0.0557599000, 0.0637227000, 0.0821894000, 0.1208520000, 0.2083197000, 0.4211981000, 0.9350362000, 2.1382605000, 4.9217470000", \
"0.0556226000, 0.0639267000, 0.0819340000, 0.1207767000, 0.2082153000, 0.4211445000, 0.9347867000, 2.1346749000, 4.9174513000", \
"0.0558826000, 0.0638272000, 0.0823216000, 0.1209946000, 0.2082582000, 0.4212134000, 0.9352226000, 2.1384599000, 4.9389217000", \
"0.0561106000, 0.0641557000, 0.0822236000, 0.1205000000, 0.2084097000, 0.4208249000, 0.9349942000, 2.1395217000, 4.9303566000", \
"0.0562881000, 0.0642275000, 0.0827273000, 0.1211867000, 0.2086702000, 0.4206998000, 0.9352464000, 2.1344737000, 4.9217612000", \
"0.0562405000, 0.0646280000, 0.0826392000, 0.1213972000, 0.2088533000, 0.4222323000, 0.9340564000, 2.1403885000, 4.9227196000", \
"0.0569044000, 0.0645321000, 0.0831297000, 0.1216792000, 0.2088622000, 0.4214756000, 0.9363823000, 2.1339983000, 4.9306474000");
}
related_pin : "A";
rise_transition ("del_1_15_9") {
index_1("0.0100000000, 0.0173500000, 0.0260200000, 0.0390300000, 0.0585500000, 0.0878200000, 0.1317200000, 0.1975700000, 0.2963400000, 0.4444900000, 0.6667000000, 1.0000000000, 1.5000000000, 2.0000000000, 2.5000000000");
index_2("0.0005000000, 0.0011602600, 0.0026924100, 0.0062477800, 0.0144981000, 0.0336431000, 0.0780695000, 0.1811620000, 0.4203900000");
values("0.0658213000, 0.0744663000, 0.0931372000, 0.1345426000, 0.2250233000, 0.4415320000, 0.9591291000, 2.1711746000, 4.9904632000", \
"0.0657769000, 0.0746560000, 0.0932489000, 0.1347108000, 0.2251118000, 0.4412731000, 0.9612169000, 2.1687661000, 4.9903561000", \
"0.0657327000, 0.0746189000, 0.0936070000, 0.1348098000, 0.2251370000, 0.4414307000, 0.9617379000, 2.1812481000, 4.9915867000", \
"0.0658265000, 0.0744547000, 0.0934984000, 0.1345243000, 0.2249522000, 0.4409526000, 0.9608043000, 2.1683504000, 4.9951394000", \
"0.0660220000, 0.0751189000, 0.0936325000, 0.1348583000, 0.2248747000, 0.4412165000, 0.9610629000, 2.1686857000, 4.9901283000", \
"0.0657754000, 0.0746528000, 0.0936413000, 0.1348275000, 0.2251328000, 0.4414737000, 0.9603799000, 2.1696451000, 4.9912994000", \
"0.0657383000, 0.0743539000, 0.0932336000, 0.1343073000, 0.2250458000, 0.4415915000, 0.9610208000, 2.1716335000, 4.9958255000", \
"0.0658254000, 0.0745135000, 0.0934016000, 0.1345192000, 0.2249995000, 0.4407984000, 0.9612072000, 2.1703090000, 4.9904303000", \
"0.0657900000, 0.0741060000, 0.0936775000, 0.1344738000, 0.2248015000, 0.4413121000, 0.9612299000, 2.1701900000, 4.9904627000", \
"0.0657067000, 0.0742281000, 0.0937009000, 0.1346276000, 0.2248639000, 0.4411692000, 0.9613302000, 2.1698046000, 4.9937308000", \
"0.0658140000, 0.0743102000, 0.0935821000, 0.1346084000, 0.2249610000, 0.4412634000, 0.9606860000, 2.1694679000, 4.9892073000", \
"0.0655734000, 0.0743771000, 0.0933056000, 0.1340500000, 0.2247968000, 0.4411276000, 0.9611848000, 2.1689208000, 4.9890567000", \
"0.0656172000, 0.0746061000, 0.0933708000, 0.1345193000, 0.2248400000, 0.4426689000, 0.9597831000, 2.1705453000, 4.9937050000", \
"0.0657765000, 0.0748337000, 0.0937084000, 0.1348813000, 0.2250631000, 0.4413673000, 0.9589446000, 2.1706332000, 4.9948010000", \
"0.0658350000, 0.0743689000, 0.0932291000, 0.1344039000, 0.2247045000, 0.4412505000, 0.9598605000, 2.1711237000, 4.9931801000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
}
}
}