blob: 4d43565256a1dc0b5ab8adee7e4a527ad9977150 [file] [log] [blame]
library ("sky130_fd_sc_hvl__tt_025C_2v97_lv1v80") {
define(clk_width,library,string);
define(def_sim_opt,library,string);
define(default_arc_mode,library,string);
define(default_constraint_arc_mode,library,string);
define(driver_model,library,string);
define(leakage_sim_opt,library,string);
define(min_pulse_width_mode,library,string);
define(simulator,library,string);
define(switching_power_split_model,library,string);
define(tran_timestep,library,string);
technology("cmos");
delay_model : "table_lookup";
bus_naming_style : "%s[%d]";
time_unit : "1ns";
voltage_unit : "1V";
leakage_power_unit : "1nW";
current_unit : "1mA";
pulling_resistance_unit : "1kohm";
capacitive_load_unit(1.0000000000, "pf");
revision : 1.0000000000;
default_cell_leakage_power : 0.0000000000;
default_fanout_load : 0.0000000000;
default_inout_pin_cap : 0.0000000000;
default_input_pin_cap : 0.0000000000;
default_max_transition : 3.7500000000;
default_output_pin_cap : 0.0000000000;
default_arc_mode : "worst_edges";
default_constraint_arc_mode : "worst";
default_leakage_power_density : 0.0000000000;
default_operating_conditions : "tt_025C_2v97_lv1v80";
clk_width : "0.0000000400";
operating_conditions ("tt_025C_2v97") {
voltage : 2.9700000000;
process : 1.0000000000;
temperature : 25.000000000;
tree_type : "balanced_tree";
}
power_lut_template ("power_inputs_1") {
variable_1 : "input_transition_time";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11");
}
power_lut_template ("power_outputs_1") {
variable_1 : "input_transition_time";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15");
index_2("1, 2, 3, 4, 5, 6, 7");
}
power_lut_template ("power_outputs_2") {
variable_1 : "input_transition_time";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13");
index_2("1, 2, 3, 4, 5, 6, 7, 8, 9");
}
power_lut_template ("power_outputs_3") {
variable_1 : "input_transition_time";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11");
index_2("1, 2, 3, 4, 5, 6, 7");
}
power_lut_template ("power_outputs_4") {
variable_1 : "input_transition_time";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11");
index_2("1, 2, 3, 4, 5, 6, 7, 8, 9");
}
power_lut_template ("power_outputs_5") {
variable_1 : "input_transition_time";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15");
index_2("1, 2, 3, 4, 5, 6, 7, 8, 9");
}
lu_table_template ("del_1_11_7") {
variable_1 : "input_net_transition";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11");
index_2("1, 2, 3, 4, 5, 6, 7");
}
lu_table_template ("del_1_11_9") {
variable_1 : "input_net_transition";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11");
index_2("1, 2, 3, 4, 5, 6, 7, 8, 9");
}
lu_table_template ("del_1_13_9") {
variable_1 : "input_net_transition";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13");
index_2("1, 2, 3, 4, 5, 6, 7, 8, 9");
}
lu_table_template ("del_1_15_7") {
variable_1 : "input_net_transition";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15");
index_2("1, 2, 3, 4, 5, 6, 7");
}
lu_table_template ("del_1_15_9") {
variable_1 : "input_net_transition";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15");
index_2("1, 2, 3, 4, 5, 6, 7, 8, 9");
}
lu_table_template ("driver_waveform_template") {
variable_1 : "input_net_transition";
variable_2 : "normalized_voltage";
index_1("1, 2");
index_2("1, 2");
}
normalized_driver_waveform ("driver_waveform_template") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0000000000, 0.5000000000, 1.0000000000");
driver_waveform_name : "ramp";
values("0.0000000000, 0.0008333333, 0.0016666667", \
"0.0000000000, 0.0108333330, 0.0216666670", \
"0.0000000000, 0.0216666670, 0.0433333330", \
"0.0000000000, 0.0600000000, 0.1200000000", \
"0.0000000000, 0.1800000000, 0.3600000000", \
"0.0000000000, 0.3600000000, 0.7200000000", \
"0.0000000000, 0.7200000000, 1.4400000000", \
"0.0000000000, 1.0800000000, 2.1600000000", \
"0.0000000000, 2.0833333000, 4.1666667000", \
"0.0000000000, 2.6041667000, 5.2083333000", \
"0.0000000000, 3.1249999000, 6.2499998000");
}
library_features("report_delay_calculation");
voltage_map("VSS", 0.0000000000);
voltage_map("LOWHVPWR", 2.9700000000);
voltage_map("LVPWR", 1.8000000000);
voltage_map("VGND", 0.0000000000);
voltage_map("VNB", 0.0000000000);
voltage_map("VPB", 2.9700000000);
voltage_map("VPWR", 2.9700000000);
driver_model : "ramp";
in_place_swap_mode : "match_footprint";
input_threshold_pct_fall : 50.000000000;
input_threshold_pct_rise : 50.000000000;
min_pulse_width_mode : "max";
nom_process : 1.0000000000;
nom_temperature : 25.000000000;
nom_voltage : 2.9700000000;
output_threshold_pct_fall : 50.000000000;
output_threshold_pct_rise : 50.000000000;
simulation : "true";
slew_derate_from_library : 1.0000000000;
slew_lower_threshold_pct_fall : 20.000000000;
slew_lower_threshold_pct_rise : 20.000000000;
slew_upper_threshold_pct_fall : 80.00000000;
slew_upper_threshold_pct_rise : 80.00000000;
switching_power_split_model : "true";
tran_timestep : "0.0000000000";
cell ("sky130_fd_sc_hvl__lsbufhv2hv_hl_1") {
leakage_power () {
value : 0.0627546000;
when : "A";
}
leakage_power () {
value : 0.0644694000;
when : "!A";
}
area : 70.32960000;
cell_footprint : "sky130_fd_sc_hvl__lsbufhv2hv_hl";
cell_leakage_power : 0.0636119700;
is_level_shifter : "true";
level_shifter_type : "HL";
pg_pin ("LOWHVPWR") {
pg_type : "primary_power";
voltage_name : "LOWHVPWR";
}
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0025210000;
clock : "false";
input_voltage_range(1.3200000000, 5.5000000000);
direction : "input";
fall_capacitance : 0.0024440000;
input_signal_level : "VPWR";
level_shifter_data_pin : "true";
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0025980000;
}
pin ("X") {
output_voltage_range(1.0000000000, 5.5000000000);
direction : "output";
function : "A";
internal_power () {
fall_power ("power_outputs_3") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0014879910, 0.0044282370, 0.0131783600, 0.0392185600, 0.1167138000, 0.3473382000");
values("0.0496556000, 0.0457796000, 0.0346724000, -0.0025505000, -0.1164857000, -0.4579907000, -1.4750718000", \
"0.0500637000, 0.0460959000, 0.0344662000, -0.0026017000, -0.1164034000, -0.4581015000, -1.4751044000", \
"0.0495402000, 0.0455224000, 0.0340007000, -0.0030742000, -0.1169711000, -0.4585018000, -1.4756245000", \
"0.0487856000, 0.0447175000, 0.0332035000, -0.0039478000, -0.1177638000, -0.4592614000, -1.4762844000", \
"0.0499851000, 0.0457839000, 0.0338134000, -0.0035125000, -0.1172763000, -0.4585040000, -1.4754131000", \
"0.0536368000, 0.0492053000, 0.0368881000, -0.0007832000, -0.1149232000, -0.4561764000, -1.4730174000", \
"0.0626484000, 0.0576009000, 0.0440254000, 0.0062101000, -0.1083213000, -0.4496279000, -1.4664966000", \
"0.0727568000, 0.0675265000, 0.0533590000, 0.0136921000, -0.1004856000, -0.4420674000, -1.4589999000", \
"0.1009654000, 0.0950504000, 0.0795370000, 0.0385341000, -0.0778051000, -0.4197665000, -1.4368954000", \
"0.1161645000, 0.1101553000, 0.0941320000, 0.0523457000, -0.0651417000, -0.4072617000, -1.4248875000", \
"0.1314466000, 0.1251811000, 0.1088197000, 0.0661856000, -0.0519200000, -0.3949376000, -1.4126226000");
}
related_pin : "A";
rise_power ("power_outputs_3") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0014879910, 0.0044282370, 0.0131783600, 0.0392185600, 0.1167138000, 0.3473382000");
values("0.0323351000, 0.0370178000, 0.0506259000, 0.0897248000, 0.2036141000, 0.5434659000, 1.5494715000", \
"0.0307016000, 0.0353437000, 0.0489268000, 0.0882231000, 0.2024091000, 0.5417307000, 1.5481078000", \
"0.0303994000, 0.0349652000, 0.0485917000, 0.0877183000, 0.2020945000, 0.5413161000, 1.5464697000", \
"0.0299113000, 0.0344294000, 0.0480944000, 0.0872450000, 0.2016157000, 0.5404484000, 1.5472610000", \
"0.0300973000, 0.0344579000, 0.0474745000, 0.0863855000, 0.2009895000, 0.5402337000, 1.5469889000", \
"0.0336122000, 0.0377557000, 0.0505731000, 0.0886646000, 0.2028762000, 0.5427880000, 1.5489375000", \
"0.0430181000, 0.0467958000, 0.0589522000, 0.0958938000, 0.2093880000, 0.5477876000, 1.5543087000", \
"0.0530378000, 0.0566076000, 0.0679961000, 0.1048166000, 0.2174045000, 0.5554676000, 1.5621229000", \
"0.0816204000, 0.0846036000, 0.0950835000, 0.1302228000, 0.2412897000, 0.5772395000, 1.5846556000", \
"0.0972400000, 0.0997205000, 0.1092994000, 0.1445075000, 0.2550122000, 0.5896712000, 1.5966047000", \
"0.1128436000, 0.1150442000, 0.1242340000, 0.1581916000, 0.2677141000, 0.6017344000, 1.6087260000");
}
}
max_capacitance : 0.3473380000;
max_transition : 3.7427090000;
power_down_function : "(!LOWHVPWR+!VPWR+VGND)";
related_ground_pin : "VGND";
related_power_pin : "LOWHVPWR";
timing () {
cell_fall ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0014879900, 0.0044282400, 0.0131784000, 0.0392186000, 0.1167140000, 0.3473380000");
values("0.1638144000, 0.1759083000, 0.2069977000, 0.2803052000, 0.4754079000, 1.0453582000, 2.7350739000", \
"0.1658226000, 0.1780062000, 0.2086698000, 0.2824110000, 0.4775317000, 1.0481800000, 2.7389269000", \
"0.1688760000, 0.1809495000, 0.2120086000, 0.2855358000, 0.4805066000, 1.0515133000, 2.7454867000", \
"0.1823128000, 0.1943997000, 0.2254063000, 0.2987684000, 0.4939942000, 1.0640953000, 2.7537568000", \
"0.2322346000, 0.2442041000, 0.2742372000, 0.3474442000, 0.5424496000, 1.1116312000, 2.8067464000", \
"0.2941668000, 0.3067437000, 0.3385948000, 0.4140759000, 0.6088344000, 1.1800199000, 2.8743508000", \
"0.3821453000, 0.3954656000, 0.4296015000, 0.5083116000, 0.7061852000, 1.2760343000, 2.9716816000", \
"0.4552027000, 0.4692456000, 0.5042342000, 0.5853999000, 0.7840952000, 1.3546841000, 3.0501388000", \
"0.6238786000, 0.6399522000, 0.6788207000, 0.7678509000, 0.9736340000, 1.5409551000, 3.2368452000", \
"0.6994008000, 0.7160742000, 0.7575497000, 0.8497215000, 1.0600225000, 1.6298680000, 3.3189795000", \
"0.7705982000, 0.7883151000, 0.8314349000, 0.9266175000, 1.1414290000, 1.7139826000, 3.4037457000");
}
cell_rise ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0014879900, 0.0044282400, 0.0131784000, 0.0392186000, 0.1167140000, 0.3473380000");
values("0.1382551000, 0.1495151000, 0.1776186000, 0.2475880000, 0.4423017000, 1.0198213000, 2.7301990000", \
"0.1401898000, 0.1515218000, 0.1796514000, 0.2495511000, 0.4441543000, 1.0202812000, 2.7325722000", \
"0.1429030000, 0.1541880000, 0.1824307000, 0.2523018000, 0.4474301000, 1.0228530000, 2.7327927000", \
"0.1544838000, 0.1657497000, 0.1938343000, 0.2637389000, 0.4586352000, 1.0335263000, 2.7447919000", \
"0.1931015000, 0.2043322000, 0.2321254000, 0.3016344000, 0.4963732000, 1.0721892000, 2.7859750000", \
"0.2266177000, 0.2390059000, 0.2695119000, 0.3416131000, 0.5366997000, 1.1104452000, 2.8241780000", \
"0.2630224000, 0.2764202000, 0.3097853000, 0.3857400000, 0.5812153000, 1.1552900000, 2.8657186000", \
"0.2833656000, 0.2976196000, 0.3326803000, 0.4123952000, 0.6080424000, 1.1829111000, 2.8935718000", \
"0.3026064000, 0.3188888000, 0.3573108000, 0.4479932000, 0.6517004000, 1.2256204000, 2.9337512000", \
"0.3007880000, 0.3178808000, 0.3583172000, 0.4529189000, 0.6613777000, 1.2381214000, 2.9421161000", \
"0.2937119000, 0.3112420000, 0.3544940000, 0.4525804000, 0.6666562000, 1.2459843000, 2.9553324000");
}
fall_transition ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0014879900, 0.0044282400, 0.0131784000, 0.0392186000, 0.1167140000, 0.3473380000");
values("0.0472876000, 0.0576691000, 0.0850204000, 0.1639731000, 0.4106778000, 1.1604507000, 3.3991745000", \
"0.0472999000, 0.0575730000, 0.0850393000, 0.1636152000, 0.4098722000, 1.1609427000, 3.3957961000", \
"0.0472511000, 0.0577101000, 0.0850738000, 0.1639591000, 0.4105274000, 1.1628167000, 3.4033849000", \
"0.0474139000, 0.0577486000, 0.0849835000, 0.1637169000, 0.4102054000, 1.1606202000, 3.4018968000", \
"0.0480843000, 0.0583301000, 0.0858490000, 0.1643580000, 0.4109522000, 1.1612188000, 3.4041425000", \
"0.0565261000, 0.0667051000, 0.0933717000, 0.1688517000, 0.4113222000, 1.1614036000, 3.4053962000", \
"0.0673107000, 0.0778005000, 0.1062574000, 0.1806244000, 0.4160906000, 1.1595718000, 3.4051473000", \
"0.0761476000, 0.0867278000, 0.1171081000, 0.1886504000, 0.4208161000, 1.1640929000, 3.4037924000", \
"0.0995503000, 0.1107692000, 0.1414523000, 0.2137252000, 0.4337707000, 1.1668460000, 3.4007739000", \
"0.1113603000, 0.1224629000, 0.1520915000, 0.2277879000, 0.4443457000, 1.1709732000, 3.4038388000", \
"0.1216067000, 0.1326290000, 0.1640693000, 0.2399696000, 0.4550562000, 1.1750294000, 3.4018701000");
}
related_pin : "A";
rise_transition ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0014879900, 0.0044282400, 0.0131784000, 0.0392186000, 0.1167140000, 0.3473380000");
values("0.0455628000, 0.0556603000, 0.0841753000, 0.1719950000, 0.4484968000, 1.2776977000, 3.7415586000", \
"0.0456664000, 0.0556727000, 0.0840457000, 0.1717692000, 0.4481926000, 1.2776943000, 3.7379868000", \
"0.0456242000, 0.0556553000, 0.0841648000, 0.1714975000, 0.4485633000, 1.2775854000, 3.7403027000", \
"0.0456220000, 0.0557235000, 0.0843298000, 0.1721630000, 0.4481653000, 1.2764467000, 3.7401922000", \
"0.0489948000, 0.0587605000, 0.0862817000, 0.1731278000, 0.4473709000, 1.2768577000, 3.7403143000", \
"0.0576770000, 0.0685186000, 0.0961878000, 0.1782910000, 0.4490167000, 1.2770361000, 3.7413388000", \
"0.0695285000, 0.0811310000, 0.1101533000, 0.1885144000, 0.4523329000, 1.2775351000, 3.7418877000", \
"0.0790757000, 0.0915229000, 0.1210641000, 0.1984887000, 0.4549810000, 1.2768406000, 3.7390215000", \
"0.1040850000, 0.1164610000, 0.1481875000, 0.2294118000, 0.4704073000, 1.2822742000, 3.7406038000", \
"0.1151846000, 0.1270389000, 0.1607785000, 0.2425340000, 0.4807648000, 1.2862555000, 3.7401349000", \
"0.1257360000, 0.1370278000, 0.1698151000, 0.2568685000, 0.4920350000, 1.2923986000, 3.7427093000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
}
}
cell ("sky130_fd_sc_hvl__lsbufhv2hv_lh_1") {
leakage_power () {
value : 0.1302868000;
when : "A";
}
leakage_power () {
value : 0.1473529000;
when : "!A";
}
area : 85.95840000;
cell_footprint : "sky130_fd_sc_hvl__lsbufhv2hv_lh";
cell_leakage_power : 0.1388199000;
input_voltage_range(1.3200000000, 5.5000000000);
output_voltage_range(1.6500000000, 5.5000000000);
is_level_shifter : "true";
level_shifter_type : "LH";
pg_pin ("LOWHVPWR") {
pg_type : "primary_power";
voltage_name : "LOWHVPWR";
}
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0029410000;
clock : "false";
direction : "input";
fall_capacitance : 0.0028310000;
input_signal_level : "LOWHVPWR";
level_shifter_data_pin : "true";
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "LOWHVPWR";
rise_capacitance : 0.0030510000;
}
pin ("X") {
direction : "output";
function : "A";
internal_power () {
fall_power ("power_outputs_4") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0011368210, 0.0025847260, 0.0058767440, 0.0133616200, 0.0303795400, 0.0690722400, 0.1570456000, 0.3570656000");
values("0.3548169000, 0.3510950000, 0.3432468000, 0.3279983000, 0.2966137000, 0.2227241000, 0.0516129000, -0.3373283000, -1.2202012000", \
"0.3551391000, 0.3510339000, 0.3429165000, 0.3278618000, 0.2963332000, 0.2226510000, 0.0516588000, -0.3375194000, -1.2203956000", \
"0.3551987000, 0.3506203000, 0.3428430000, 0.3276530000, 0.2965215000, 0.2227233000, 0.0516240000, -0.3375839000, -1.2203561000", \
"0.3536072000, 0.3494541000, 0.3413169000, 0.3262666000, 0.2949047000, 0.2211216000, 0.0500242000, -0.3391556000, -1.2219846000", \
"0.3533803000, 0.3491608000, 0.3411310000, 0.3260792000, 0.2946743000, 0.2209228000, 0.0497433000, -0.3392050000, -1.2220585000", \
"0.3562204000, 0.3520429000, 0.3438266000, 0.3288761000, 0.2975316000, 0.2236255000, 0.0524431000, -0.3365015000, -1.2193353000", \
"0.3695462000, 0.3658823000, 0.3576311000, 0.3424924000, 0.3111329000, 0.2374684000, 0.0663572000, -0.3228530000, -1.2057363000", \
"0.3882187000, 0.3843817000, 0.3762009000, 0.3609812000, 0.3296812000, 0.2560058000, 0.0849268000, -0.3043572000, -1.1872388000", \
"0.4277357000, 0.4238270000, 0.4156201000, 0.4005392000, 0.3690259000, 0.2953284000, 0.1241940000, -0.2647784000, -1.1477582000", \
"0.4473548000, 0.4430848000, 0.4350887000, 0.4205380000, 0.3892924000, 0.3154871000, 0.1446752000, -0.2444718000, -1.1275148000", \
"0.4739492000, 0.4698415000, 0.4609689000, 0.4428015000, 0.4086195000, 0.3357445000, 0.1644634000, -0.2246868000, -1.1076958000");
}
related_pin : "A";
rise_power ("power_outputs_4") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0011368210, 0.0025847260, 0.0058767440, 0.0133616200, 0.0303795400, 0.0690722400, 0.1570456000, 0.3570656000");
values("0.1811458000, 0.1912323000, 0.2128582000, 0.2556811000, 0.3219882000, 0.4160221000, 0.5877404000, 0.9714491000, 1.8453288000", \
"0.1802195000, 0.1903240000, 0.2121562000, 0.2547751000, 0.3204637000, 0.4147741000, 0.5869899000, 0.9704469000, 1.8449580000", \
"0.1800649000, 0.1902110000, 0.2121863000, 0.2545337000, 0.3201798000, 0.4147313000, 0.5866248000, 0.9704772000, 1.8446300000", \
"0.1797299000, 0.1895201000, 0.2118958000, 0.2535409000, 0.3199087000, 0.4143854000, 0.5861917000, 0.9700379000, 1.8431613000", \
"0.1794050000, 0.1895116000, 0.2114896000, 0.2538307000, 0.3195301000, 0.4140479000, 0.5859678000, 0.9695084000, 1.8438684000", \
"0.1809598000, 0.1910298000, 0.2128190000, 0.2554590000, 0.3211414000, 0.4154970000, 0.5874257000, 0.9712882000, 1.8454643000", \
"0.1862797000, 0.1965691000, 0.2181459000, 0.2599618000, 0.3269807000, 0.4208860000, 0.5927719000, 0.9764462000, 1.8502933000", \
"0.2468677000, 0.2497602000, 0.2564210000, 0.2712918000, 0.3344756000, 0.4282123000, 0.5999917000, 0.9839090000, 1.8579349000", \
"0.3192767000, 0.3222149000, 0.3289322000, 0.3438454000, 0.3776592000, 0.4532217000, 0.6230948000, 1.0067426000, 1.8797525000", \
"0.3334804000, 0.3363113000, 0.3429949000, 0.3578628000, 0.3916461000, 0.4678670000, 0.6361699000, 1.0200190000, 1.8929047000", \
"0.3473383000, 0.3501634000, 0.3567514000, 0.3716428000, 0.4054490000, 0.4814019000, 0.6499015000, 1.0340136000, 1.9066727000");
}
}
max_capacitance : 0.3570660000;
max_transition : 3.7528690000;
power_down_function : "(!LOWHVPWR+!VPWR+VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_11_9") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0011368200, 0.0025847300, 0.0058767400, 0.0133616000, 0.0303795000, 0.0690722000, 0.1570460000, 0.3570660000");
values("1.2977125000, 1.3146120000, 1.3442062000, 1.3973074000, 1.4968868000, 1.6786914000, 2.0129323000, 2.6853215000, 4.1776431000", \
"1.3002387000, 1.3146704000, 1.3433299000, 1.3984978000, 1.4965760000, 1.6790150000, 2.0132969000, 2.6860112000, 4.1774380000", \
"1.3053857000, 1.3175062000, 1.3491558000, 1.4021958000, 1.5024303000, 1.6844912000, 2.0183455000, 2.6916303000, 4.1834380000", \
"1.3158580000, 1.3301379000, 1.3588001000, 1.4126526000, 1.5127594000, 1.6949246000, 2.0289537000, 2.7015414000, 4.1933057000", \
"1.3641726000, 1.3785109000, 1.4077122000, 1.4617011000, 1.5608060000, 1.7432413000, 2.0776325000, 2.7498048000, 4.2411710000", \
"1.4466315000, 1.4609925000, 1.4897963000, 1.5442686000, 1.6435463000, 1.8253623000, 2.1597137000, 2.8321789000, 4.3237280000", \
"1.6033150000, 1.6176495000, 1.6463174000, 1.7000559000, 1.8003484000, 1.9825577000, 2.3164490000, 2.9890130000, 4.4804254000", \
"1.7507629000, 1.7651086000, 1.7937285000, 1.8475669000, 1.9477309000, 2.1298943000, 2.4638116000, 3.1363638000, 4.6279687000", \
"2.0554913000, 2.0698434000, 2.0983189000, 2.1523905000, 2.2520668000, 2.4342648000, 2.7685123000, 3.4408596000, 4.9316169000", \
"2.1960864000, 2.2103315000, 2.2404103000, 2.2949651000, 2.3928595000, 2.5756262000, 2.9089489000, 3.5820380000, 5.0738307000", \
"2.3293989000, 2.3436917000, 2.3725211000, 2.4260156000, 2.5262645000, 2.7076936000, 3.0421334000, 3.7153904000, 5.2064975000");
}
cell_rise ("del_1_11_9") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0011368200, 0.0025847300, 0.0058767400, 0.0133616000, 0.0303795000, 0.0690722000, 0.1570460000, 0.3570660000");
values("0.4523075000, 0.4588200000, 0.4718650000, 0.4987514000, 0.5565143000, 0.6839945000, 0.9668448000, 1.6037588000, 3.0485119000", \
"0.4552130000, 0.4616934000, 0.4747753000, 0.5013820000, 0.5594752000, 0.6870611000, 0.9699820000, 1.6067974000, 3.0539662000", \
"0.4589926000, 0.4655000000, 0.4786349000, 0.5052517000, 0.5633012000, 0.6908287000, 0.9736671000, 1.6107927000, 3.0574994000", \
"0.4724099000, 0.4787588000, 0.4918854000, 0.5184485000, 0.5763700000, 0.7040010000, 0.9869208000, 1.6239673000, 3.0703606000", \
"0.5150066000, 0.5215154000, 0.5346498000, 0.5612644000, 0.6193226000, 0.7468902000, 1.0297666000, 1.6667587000, 3.1129170000", \
"0.5754768000, 0.5819741000, 0.5950945000, 0.6217016000, 0.6797575000, 0.8072767000, 1.0901304000, 1.7272408000, 3.1737717000", \
"0.6503425000, 0.6566752000, 0.6698707000, 0.6964509000, 0.7542446000, 0.8817850000, 1.1649208000, 1.8017838000, 3.2465219000", \
"0.6929028000, 0.6993966000, 0.7124396000, 0.7393514000, 0.7971272000, 0.9245524000, 1.2076570000, 1.8444671000, 3.2902745000", \
"0.7457738000, 0.7523598000, 0.7655199000, 0.7923489000, 0.8500537000, 0.9773617000, 1.2606449000, 1.8977351000, 3.3430130000", \
"0.7526146000, 0.7591200000, 0.7724245000, 0.7992239000, 0.8569818000, 0.9848562000, 1.2678749000, 1.9044339000, 3.3503242000", \
"0.7510040000, 0.7575165000, 0.7709392000, 0.7978011000, 0.8552826000, 0.9832486000, 1.2664529000, 1.9030884000, 3.3478653000");
}
fall_transition ("del_1_11_9") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0011368200, 0.0025847300, 0.0058767400, 0.0133616000, 0.0303795000, 0.0690722000, 0.1570460000, 0.3570660000");
values("0.1294771000, 0.1407091000, 0.1641883000, 0.2051795000, 0.2890170000, 0.4502715000, 0.7983463000, 1.6189659000, 3.5656358000", \
"0.1296628000, 0.1405066000, 0.1623433000, 0.2049263000, 0.2879742000, 0.4512628000, 0.7981055000, 1.6177140000, 3.5645085000", \
"0.1298730000, 0.1404373000, 0.1630575000, 0.2057066000, 0.2892495000, 0.4510632000, 0.7985087000, 1.6224522000, 3.5707501000", \
"0.1296573000, 0.1404259000, 0.1611737000, 0.2053787000, 0.2894525000, 0.4516218000, 0.7973593000, 1.6164733000, 3.5736230000", \
"0.1298794000, 0.1409670000, 0.1642921000, 0.2050715000, 0.2879397000, 0.4517808000, 0.7977648000, 1.6208261000, 3.5664012000", \
"0.1287651000, 0.1396889000, 0.1615956000, 0.2070792000, 0.2888366000, 0.4506200000, 0.7992236000, 1.6211568000, 3.5750638000", \
"0.1292380000, 0.1399130000, 0.1617702000, 0.2057379000, 0.2893528000, 0.4519890000, 0.7969558000, 1.6204564000, 3.5746404000", \
"0.1291467000, 0.1399896000, 0.1617831000, 0.2055410000, 0.2894273000, 0.4518338000, 0.7974518000, 1.6161875000, 3.5744243000", \
"0.1290247000, 0.1397791000, 0.1616504000, 0.2044655000, 0.2879823000, 0.4530431000, 0.7973212000, 1.6221854000, 3.5747367000", \
"0.1304641000, 0.1411621000, 0.1633863000, 0.2046792000, 0.2886301000, 0.4496635000, 0.7980364000, 1.6191949000, 3.5701140000", \
"0.1294813000, 0.1400777000, 0.1612733000, 0.2059710000, 0.2877034000, 0.4509360000, 0.7987662000, 1.6170364000, 3.5713190000");
}
related_pin : "A";
rise_transition ("del_1_11_9") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0011368200, 0.0025847300, 0.0058767400, 0.0133616000, 0.0303795000, 0.0690722000, 0.1570460000, 0.3570660000");
values("0.0370363000, 0.0426858000, 0.0559251000, 0.0884341000, 0.1668151000, 0.3452938000, 0.7467904000, 1.6606734000, 3.7467411000", \
"0.0369532000, 0.0426971000, 0.0559133000, 0.0886667000, 0.1667908000, 0.3446895000, 0.7476543000, 1.6628837000, 3.7452281000", \
"0.0369610000, 0.0426834000, 0.0559020000, 0.0882384000, 0.1666875000, 0.3452471000, 0.7474643000, 1.6655975000, 3.7528691000", \
"0.0369300000, 0.0427523000, 0.0559776000, 0.0886504000, 0.1664146000, 0.3453100000, 0.7470555000, 1.6653251000, 3.7452983000", \
"0.0369578000, 0.0426972000, 0.0559130000, 0.0882490000, 0.1666646000, 0.3450892000, 0.7473071000, 1.6649840000, 3.7456402000", \
"0.0369640000, 0.0427401000, 0.0559415000, 0.0886818000, 0.1667970000, 0.3452539000, 0.7473760000, 1.6653956000, 3.7440153000", \
"0.0374600000, 0.0429796000, 0.0563293000, 0.0886360000, 0.1666320000, 0.3453198000, 0.7478375000, 1.6606617000, 3.7468384000", \
"0.0377046000, 0.0432046000, 0.0564943000, 0.0886919000, 0.1668323000, 0.3448348000, 0.7471574000, 1.6657281000, 3.7469721000", \
"0.0384613000, 0.0440982000, 0.0572097000, 0.0891718000, 0.1669867000, 0.3448854000, 0.7472069000, 1.6607703000, 3.7440944000", \
"0.0393206000, 0.0448554000, 0.0576968000, 0.0895487000, 0.1669971000, 0.3452507000, 0.7472298000, 1.6611498000, 3.7439905000", \
"0.0399600000, 0.0454372000, 0.0582177000, 0.0897546000, 0.1672497000, 0.3451190000, 0.7472836000, 1.6610361000, 3.7432528000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
}
}
cell ("sky130_fd_sc_hvl__lsbufhv2lv_1") {
leakage_power () {
value : 0.0535597000;
when : "A";
}
leakage_power () {
value : 0.0521762000;
when : "!A";
}
area : 66.42240000;
cell_footprint : "sky130_fd_sc_hvl__lsbufhv2lv";
cell_leakage_power : 0.0528679400;
dont_touch : "true";
dont_use : "true";
is_level_shifter : "true";
level_shifter_type : "HL";
pg_pin ("LVPWR") {
pg_type : "primary_power";
voltage_name : "LVPWR";
}
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0020240000;
clock : "false";
input_voltage_range(1.3200000000, 5.5000000000);
direction : "input";
fall_capacitance : 0.0019730000;
input_signal_level : "VPWR";
level_shifter_data_pin : "true";
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0020760000;
}
pin ("X") {
output_voltage_range(1.6000000000, 1.9500000000);
direction : "output";
function : "A";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0005000000, 0.0016456290, 0.0054161910, 0.0178260800, 0.0586702500, 0.1930989000, 0.6355385000");
values("0.2001894000, 0.1998073000, 0.1968581000, 0.1810098000, 0.1168148000, -0.1016224000, -0.8187444000", \
"0.2005018000, 0.1999728000, 0.1972479000, 0.1813268000, 0.1169838000, -0.1012998000, -0.8186068000", \
"0.2002022000, 0.1998089000, 0.1970899000, 0.1811837000, 0.1169838000, -0.1013999000, -0.8186179000", \
"0.1995590000, 0.1990690000, 0.1962806000, 0.1803781000, 0.1161604000, -0.1022389000, -0.8193380000", \
"0.1997478000, 0.1993189000, 0.1964814000, 0.1805807000, 0.1163664000, -0.1020334000, -0.8190310000", \
"0.2015957000, 0.2011258000, 0.1983851000, 0.1824885000, 0.1182382000, -0.1001837000, -0.8171726000", \
"0.2137744000, 0.2133820000, 0.2107988000, 0.1953153000, 0.1312922000, -0.0873304000, -0.8045593000", \
"0.2235334000, 0.2233276000, 0.2213862000, 0.2072133000, 0.1438769000, -0.0748252000, -0.7921514000", \
"0.2686124000, 0.2668303000, 0.2608314000, 0.2404746000, 0.1731454000, -0.0449321000, -0.7624404000", \
"0.2843181000, 0.2825613000, 0.2764785000, 0.2561379000, 0.1885982000, -0.0300331000, -0.7476611000", \
"0.2997297000, 0.2979491000, 0.2919538000, 0.2715721000, 0.2040398000, -0.0148100000, -0.7325307000", \
"0.3178079000, 0.3160097000, 0.3100821000, 0.2897439000, 0.2221702000, 0.0029951000, -0.7145747000", \
"0.3302615000, 0.3284946000, 0.3224679000, 0.3019264000, 0.2344010000, 0.0145985000, -0.7026365000", \
"0.3598706000, 0.3580872000, 0.3521023000, 0.3317397000, 0.2640885000, 0.0441015000, -0.6733449000", \
"0.3890339000, 0.3872598000, 0.3812076000, 0.3609356000, 0.2932194000, 0.0730397000, -0.6445900000");
}
related_pin : "A";
rise_power ("power_outputs_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0005000000, 0.0016456290, 0.0054161910, 0.0178260800, 0.0586702500, 0.1930989000, 0.6355385000");
values("0.1632922000, 0.1654862000, 0.1750313000, 0.1988034000, 0.2652317000, 0.4814389000, 1.1910220000", \
"0.1625152000, 0.1646752000, 0.1742304000, 0.1979621000, 0.2645214000, 0.4801827000, 1.1903307000", \
"0.1623797000, 0.1647008000, 0.1739913000, 0.1976678000, 0.2638377000, 0.4801663000, 1.1899419000", \
"0.1621904000, 0.1643293000, 0.1737832000, 0.1975129000, 0.2636673000, 0.4801314000, 1.1893300000", \
"0.1626674000, 0.1644024000, 0.1740872000, 0.1976944000, 0.2638977000, 0.4799112000, 1.1896190000", \
"0.1625113000, 0.1646505000, 0.1741648000, 0.1978682000, 0.2640228000, 0.4801320000, 1.1900246000", \
"0.1657937000, 0.1682205000, 0.1779146000, 0.2017142000, 0.2679095000, 0.4840267000, 1.1930793000", \
"0.1781476000, 0.1798701000, 0.1857048000, 0.2069577000, 0.2732534000, 0.4896188000, 1.1989684000", \
"0.1967714000, 0.1984494000, 0.2043264000, 0.2240928000, 0.2892365000, 0.5048136000, 1.2149095000", \
"0.2079912000, 0.2095801000, 0.2158224000, 0.2356008000, 0.3017577000, 0.5169621000, 1.2267487000", \
"0.2176087000, 0.2195476000, 0.2253527000, 0.2450291000, 0.3115568000, 0.5268439000, 1.2363768000", \
"0.2296027000, 0.2311961000, 0.2374526000, 0.2572085000, 0.3236341000, 0.5389250000, 1.2484915000", \
"0.2362285000, 0.2378743000, 0.2439581000, 0.2638682000, 0.3301238000, 0.5455246000, 1.2544009000", \
"0.2576906000, 0.2593348000, 0.2653220000, 0.2851504000, 0.3516828000, 0.5664983000, 1.2749435000", \
"0.2779384000, 0.2797084000, 0.2857362000, 0.3055768000, 0.3719614000, 0.5885021000, 1.2966789000");
}
}
max_capacitance : 0.6355380000;
max_transition : 5.0232300000;
power_down_function : "(!LVPWR+!VPWR+VGND)";
related_ground_pin : "VGND";
related_power_pin : "LVPWR";
timing () {
cell_fall ("del_1_15_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0005000000, 0.0016456300, 0.0054161900, 0.0178261000, 0.0586702000, 0.1930990000, 0.6355380000");
values("0.5288132000, 0.5359796000, 0.5539197000, 0.5979048000, 0.7241976000, 1.1375577000, 2.5041651000", \
"0.5306894000, 0.5378873000, 0.5558490000, 0.5999548000, 0.7263082000, 1.1394720000, 2.5041362000", \
"0.5336923000, 0.5408857000, 0.5587561000, 0.6028817000, 0.7291913000, 1.1426506000, 2.5081583000", \
"0.5458836000, 0.5530499000, 0.5710434000, 0.6151218000, 0.7414760000, 1.1547325000, 2.5190814000", \
"0.5936125000, 0.6008009000, 0.6187863000, 0.6628698000, 0.7892146000, 1.2024849000, 2.5667430000", \
"0.6714227000, 0.6785997000, 0.6965943000, 0.7406784000, 0.8669848000, 1.2802031000, 2.6443063000", \
"0.8177631000, 0.8249632000, 0.8429414000, 0.8870218000, 1.0132460000, 1.4261519000, 2.7923936000", \
"0.9369898000, 0.9441805000, 0.9621712000, 1.0064992000, 1.1328072000, 1.5452993000, 2.9094869000", \
"1.2138265000, 1.2209989000, 1.2391216000, 1.2836103000, 1.4098851000, 1.8220476000, 3.1866636000", \
"1.3426676000, 1.3499047000, 1.3679217000, 1.4123993000, 1.5387540000, 1.9506838000, 3.3144010000", \
"1.4653891000, 1.4726517000, 1.4909283000, 1.5352474000, 1.6617684000, 2.0734681000, 3.4363288000", \
"1.6069663000, 1.6142225000, 1.6323969000, 1.6771811000, 1.8034357000, 2.2149149000, 3.5778847000", \
"1.6978647000, 1.7051797000, 1.7233004000, 1.7681807000, 1.8948600000, 2.3061131000, 3.6695402000", \
"1.9161317000, 1.9235245000, 1.9418449000, 1.9866491000, 2.1135012000, 2.5243541000, 3.8871666000", \
"2.1241657000, 2.1316706000, 2.1502069000, 2.1951168000, 2.3222499000, 2.7333358000, 4.0951327000");
}
cell_rise ("del_1_15_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0005000000, 0.0016456300, 0.0054161900, 0.0178261000, 0.0586702000, 0.1930990000, 0.6355380000");
values("0.4922492000, 0.5068324000, 0.5404855000, 0.6163499000, 0.8447319000, 1.5890217000, 4.0452175000", \
"0.4950093000, 0.5095274000, 0.5431880000, 0.6190464000, 0.8474209000, 1.5908913000, 4.0489989000", \
"0.4989830000, 0.5138467000, 0.5466988000, 0.6226680000, 0.8508909000, 1.5942528000, 4.0381396000", \
"0.5112513000, 0.5259383000, 0.5593601000, 0.6352159000, 0.8635865000, 1.6057826000, 4.0542778000", \
"0.5525556000, 0.5665339000, 0.6005191000, 0.6763860000, 0.9044927000, 1.6484741000, 4.0994577000", \
"0.6070103000, 0.6214487000, 0.6547195000, 0.7305595000, 0.9589395000, 1.7011107000, 4.1445443000", \
"0.6698457000, 0.6840757000, 0.7173097000, 0.7929946000, 1.0213103000, 1.7644173000, 4.2107793000", \
"0.7034995000, 0.7180681000, 0.7506594000, 0.8262793000, 1.0546086000, 1.7975609000, 4.2518216000", \
"0.7365188000, 0.7509525000, 0.7832744000, 0.8589484000, 1.0869871000, 1.8311859000, 4.2872541000", \
"0.7361963000, 0.7502866000, 0.7833108000, 0.8587189000, 1.0868568000, 1.8307521000, 4.2762172000", \
"0.7253184000, 0.7397111000, 0.7722240000, 0.8476409000, 1.0760824000, 1.8190316000, 4.2645549000", \
"0.7052444000, 0.7190236000, 0.7519178000, 0.8273006000, 1.0555996000, 1.7995914000, 4.2408241000", \
"0.6856288000, 0.6995451000, 0.7316363000, 0.8071982000, 1.0354922000, 1.7793566000, 4.2202415000", \
"0.6326549000, 0.6465642000, 0.6786209000, 0.7538614000, 0.9826050000, 1.7264242000, 4.1741695000", \
"0.5658655000, 0.5799170000, 0.6115840000, 0.6866817000, 0.9157372000, 1.6595569000, 4.1042543000");
}
fall_transition ("del_1_15_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0005000000, 0.0016456300, 0.0054161900, 0.0178261000, 0.0586702000, 0.1930990000, 0.6355380000");
values("0.0204981000, 0.0260738000, 0.0416592000, 0.0890212000, 0.2496644000, 0.7978894000, 2.5982094000", \
"0.0205985000, 0.0256997000, 0.0415976000, 0.0889917000, 0.2504532000, 0.7966198000, 2.5970701000", \
"0.0199854000, 0.0254418000, 0.0418436000, 0.0889515000, 0.2504970000, 0.7973069000, 2.5970077000", \
"0.0205991000, 0.0255718000, 0.0415991000, 0.0890358000, 0.2504854000, 0.7952550000, 2.6096003000", \
"0.0200049000, 0.0255121000, 0.0416119000, 0.0890470000, 0.2504944000, 0.7953090000, 2.6098415000", \
"0.0200349000, 0.0255297000, 0.0416110000, 0.0890585000, 0.2505087000, 0.7952254000, 2.6094640000", \
"0.0199854000, 0.0254796000, 0.0414361000, 0.0893531000, 0.2502563000, 0.7975602000, 2.6007940000", \
"0.0201448000, 0.0256007000, 0.0419942000, 0.0893132000, 0.2507658000, 0.7980392000, 2.6014129000", \
"0.0205318000, 0.0257323000, 0.0421353000, 0.0898332000, 0.2506232000, 0.7974480000, 2.5960509000", \
"0.0204200000, 0.0258683000, 0.0420433000, 0.0896640000, 0.2510164000, 0.7973158000, 2.5998141000", \
"0.0210591000, 0.0262489000, 0.0420291000, 0.0900546000, 0.2505062000, 0.7945724000, 2.5964031000", \
"0.0210321000, 0.0260904000, 0.0424335000, 0.0900664000, 0.2506870000, 0.7943642000, 2.5957099000", \
"0.0208737000, 0.0262725000, 0.0423725000, 0.0905271000, 0.2503159000, 0.7943540000, 2.5923919000", \
"0.0214168000, 0.0266706000, 0.0425919000, 0.0905390000, 0.2510224000, 0.7975808000, 2.5919269000", \
"0.0218501000, 0.0270900000, 0.0428427000, 0.0906990000, 0.2515340000, 0.7974196000, 2.5953899000");
}
related_pin : "A";
rise_transition ("del_1_15_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0005000000, 0.0016456300, 0.0054161900, 0.0178261000, 0.0586702000, 0.1930990000, 0.6355380000");
values("0.0447361000, 0.0542312000, 0.0777199000, 0.1606086000, 0.4760556000, 1.5378505000, 5.0228830000", \
"0.0446925000, 0.0542472000, 0.0777431000, 0.1606092000, 0.4760546000, 1.5376315000, 5.0228461000", \
"0.0450868000, 0.0543631000, 0.0777797000, 0.1606371000, 0.4755359000, 1.5310150000, 5.0132445000", \
"0.0444839000, 0.0548433000, 0.0777360000, 0.1605789000, 0.4760380000, 1.5331552000, 5.0159241000", \
"0.0444747000, 0.0545021000, 0.0779716000, 0.1604874000, 0.4760948000, 1.5302870000, 5.0159721000", \
"0.0442057000, 0.0544665000, 0.0774935000, 0.1604942000, 0.4760353000, 1.5322951000, 5.0185456000", \
"0.0438645000, 0.0532883000, 0.0767962000, 0.1603365000, 0.4757004000, 1.5296548000, 5.0024220000", \
"0.0435790000, 0.0534465000, 0.0768259000, 0.1603057000, 0.4758784000, 1.5353699000, 5.0202460000", \
"0.0440880000, 0.0536796000, 0.0765167000, 0.1608173000, 0.4761238000, 1.5388505000, 5.0232303000", \
"0.0434670000, 0.0528311000, 0.0765955000, 0.1601324000, 0.4761746000, 1.5293530000, 5.0171960000", \
"0.0434485000, 0.0535829000, 0.0763551000, 0.1604314000, 0.4753971000, 1.5342949000, 5.0003743000", \
"0.0434082000, 0.0525166000, 0.0765383000, 0.1603272000, 0.4762603000, 1.5329876000, 5.0039515000", \
"0.0437264000, 0.0525195000, 0.0760466000, 0.1607005000, 0.4759869000, 1.5324005000, 5.0162128000", \
"0.0431134000, 0.0526190000, 0.0752932000, 0.1605216000, 0.4763850000, 1.5381217000, 5.0144138000", \
"0.0429056000, 0.0521632000, 0.0750104000, 0.1604434000, 0.4780852000, 1.5382443000, 4.9974802000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
}
}
cell ("sky130_fd_sc_hvl__lsbufhv2lv_simple_1") {
leakage_power () {
value : 0.0273448000;
when : "A";
}
leakage_power () {
value : 0.0279185000;
when : "!A";
}
area : 70.32960000;
cell_footprint : "sky130_fd_sc_hvl__lsbufhv2lv_simple";
cell_leakage_power : 0.0276316600;
is_level_shifter : "true";
level_shifter_type : "HL";
pg_pin ("LVPWR") {
pg_type : "primary_power";
voltage_name : "LVPWR";
}
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0022340000;
clock : "false";
input_voltage_range(1.3200000000, 5.5000000000);
direction : "input";
fall_capacitance : 0.0021330000;
input_signal_level : "VPWR";
level_shifter_data_pin : "true";
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0023360000;
}
pin ("X") {
output_voltage_range(1.0000000000, 1.9500000000);
direction : "output";
function : "A";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0005000000, 0.0013834110, 0.0038276500, 0.0105904200, 0.0293018100, 0.0810728800, 0.2243142000");
values("0.0197588000, 0.0189718000, 0.0152991000, 0.0049958000, -0.0237602000, -0.1074937000, -0.3393897000", \
"0.0204215000, 0.0193329000, 0.0159446000, 0.0056671000, -0.0242805000, -0.1080130000, -0.3402333000", \
"0.0200595000, 0.0189913000, 0.0155981000, 0.0053519000, -0.0246332000, -0.1084707000, -0.3405023000", \
"0.0194310000, 0.0183119000, 0.0149341000, 0.0046798000, -0.0252851000, -0.1091057000, -0.3412039000", \
"0.0192446000, 0.0180645000, 0.0147160000, 0.0043953000, -0.0255441000, -0.1093555000, -0.3413194000", \
"0.0189347000, 0.0178655000, 0.0144146000, 0.0040306000, -0.0258901000, -0.1096317000, -0.3417071000", \
"0.0187330000, 0.0175358000, 0.0141434000, 0.0037857000, -0.0260399000, -0.1097175000, -0.3416958000", \
"0.0185497000, 0.0173351000, 0.0139549000, 0.0034963000, -0.0264545000, -0.1100404000, -0.3419346000", \
"0.0183305000, 0.0170591000, 0.0135809000, 0.0032245000, -0.0265585000, -0.1100922000, -0.3420008000", \
"0.0177751000, 0.0165104000, 0.0132345000, 0.0033343000, -0.0265039000, -0.1099056000, -0.3417713000", \
"0.0182233000, 0.0168325000, 0.0129676000, 0.0030414000, -0.0266318000, -0.1100739000, -0.3419021000", \
"0.0184141000, 0.0170136000, 0.0132231000, 0.0027084000, -0.0266743000, -0.1101033000, -0.3419356000", \
"0.0184648000, 0.0170794000, 0.0132906000, 0.0025973000, -0.0266603000, -0.1101106000, -0.3418991000", \
"0.0186211000, 0.0172290000, 0.0133381000, 0.0027302000, -0.0266735000, -0.1100223000, -0.3417894000", \
"0.0186878000, 0.0173317000, 0.0134710000, 0.0028282000, -0.0269322000, -0.1099169000, -0.3416527000");
}
related_pin : "A";
rise_power ("power_outputs_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0005000000, 0.0013834110, 0.0038276500, 0.0105904200, 0.0293018100, 0.0810728800, 0.2243142000");
values("0.0094492000, 0.0109684000, 0.0148374000, 0.0262145000, 0.0558723000, 0.1387352000, 0.3683921000", \
"0.0086448000, 0.0102762000, 0.0144439000, 0.0254067000, 0.0552385000, 0.1384049000, 0.3683098000", \
"0.0086217000, 0.0102538000, 0.0144352000, 0.0254141000, 0.0553825000, 0.1385339000, 0.3679629000", \
"0.0084346000, 0.0100527000, 0.0142464000, 0.0253508000, 0.0553656000, 0.1383248000, 0.3679488000", \
"0.0076443000, 0.0092321000, 0.0134248000, 0.0245978000, 0.0549040000, 0.1377938000, 0.3676249000", \
"0.0073421000, 0.0088890000, 0.0130597000, 0.0241832000, 0.0545813000, 0.1376190000, 0.3673600000", \
"0.0072748000, 0.0088465000, 0.0129631000, 0.0238567000, 0.0539528000, 0.1373648000, 0.3671326000", \
"0.0071921000, 0.0087484000, 0.0128882000, 0.0239563000, 0.0540170000, 0.1372494000, 0.3670424000", \
"0.0069416000, 0.0084754000, 0.0126758000, 0.0236752000, 0.0538511000, 0.1369426000, 0.3664167000", \
"0.0069442000, 0.0085121000, 0.0125707000, 0.0237267000, 0.0544321000, 0.1369217000, 0.3665551000", \
"0.0069666000, 0.0083956000, 0.0126392000, 0.0237377000, 0.0543303000, 0.1369081000, 0.3664096000", \
"0.0069586000, 0.0085742000, 0.0126634000, 0.0236385000, 0.0541643000, 0.1369238000, 0.3668640000", \
"0.0069933000, 0.0085543000, 0.0127327000, 0.0237450000, 0.0542787000, 0.1367998000, 0.3666090000", \
"0.0070983000, 0.0085379000, 0.0125378000, 0.0238430000, 0.0544195000, 0.1380194000, 0.3669367000", \
"0.0073041000, 0.0087732000, 0.0128335000, 0.0239913000, 0.0543544000, 0.1385983000, 0.3670613000");
}
}
max_capacitance : 0.2243140000;
max_transition : 4.9879050000;
power_down_function : "(!LVPWR+!VPWR+VGND)";
related_ground_pin : "VGND";
related_power_pin : "LVPWR";
timing () {
cell_fall ("del_1_15_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0005000000, 0.0013834100, 0.0038276500, 0.0105904000, 0.0293018000, 0.0810729000, 0.2243140000");
values("0.3771346000, 0.3977462000, 0.4459061000, 0.5528204000, 0.8095632000, 1.5024486000, 3.4123389000", \
"0.3788029000, 0.4001342000, 0.4478118000, 0.5550715000, 0.8124369000, 1.5017280000, 3.4107690000", \
"0.3804247000, 0.4012112000, 0.4499622000, 0.5573574000, 0.8145706000, 1.5065766000, 3.4096843000", \
"0.3980121000, 0.4184903000, 0.4659185000, 0.5741719000, 0.8314250000, 1.5245095000, 3.4354835000", \
"0.4757287000, 0.4969282000, 0.5456197000, 0.6523146000, 0.9096611000, 1.6030829000, 3.5085626000", \
"0.6041305000, 0.6251225000, 0.6721335000, 0.7792779000, 1.0366124000, 1.7294682000, 3.6396264000", \
"0.8697966000, 0.8902238000, 0.9374677000, 1.0407848000, 1.2997574000, 1.9938646000, 3.9048831000", \
"1.1283802000, 1.1483878000, 1.1984044000, 1.3060022000, 1.5644561000, 2.2594617000, 4.1708379000", \
"1.7962269000, 1.8183655000, 1.8725281000, 1.9862194000, 2.2490663000, 2.9406233000, 4.8523043000", \
"2.1168358000, 2.1418594000, 2.1968045000, 2.3133855000, 2.5795035000, 3.2672143000, 5.1830944000", \
"2.4270714000, 2.4535243000, 2.5109035000, 2.6301530000, 2.8984053000, 3.5928773000, 5.5026943000", \
"2.7892904000, 2.8165958000, 2.8771137000, 2.9994114000, 3.2705727000, 3.9668859000, 5.8757976000", \
"3.0253855000, 3.0541201000, 3.1160701000, 3.2403460000, 3.5131407000, 4.2092250000, 6.1138019000", \
"3.6012906000, 3.6321184000, 3.6995604000, 3.8311927000, 4.1050048000, 4.8026130000, 6.7126402000", \
"4.1623625000, 4.1967465000, 4.2672439000, 4.4043187000, 4.6811688000, 5.3792300000, 7.2804807000");
}
cell_rise ("del_1_15_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0005000000, 0.0013834100, 0.0038276500, 0.0105904000, 0.0293018000, 0.0810729000, 0.2243140000");
values("0.1630770000, 0.1806908000, 0.2232946000, 0.3317744000, 0.6274328000, 1.4384685000, 3.6859784000", \
"0.1659478000, 0.1835573000, 0.2263020000, 0.3350996000, 0.6293277000, 1.4412673000, 3.6925434000", \
"0.1696713000, 0.1872903000, 0.2300109000, 0.3388141000, 0.6323371000, 1.4476714000, 3.6887851000", \
"0.1822745000, 0.1997575000, 0.2426173000, 0.3520863000, 0.6469644000, 1.4573833000, 3.7102277000", \
"0.2141395000, 0.2314949000, 0.2747793000, 0.3851685000, 0.6832716000, 1.4960453000, 3.7505732000", \
"0.2243893000, 0.2430669000, 0.2888394000, 0.4023373000, 0.6998186000, 1.5108870000, 3.7552024000", \
"0.1991430000, 0.2193696000, 0.2670425000, 0.3820841000, 0.6829912000, 1.4975879000, 3.7537569000", \
"0.1471434000, 0.1687910000, 0.2183825000, 0.3346658000, 0.6357694000, 1.4521740000, 3.7112393000", \
"-0.0668049000, -0.0418119000, 0.0146509000, 0.1352164000, 0.4353794000, 1.2556999000, 3.5029655000", \
"-0.1981195000, -0.1718873000, -0.1125143000, 0.0123900000, 0.3100001000, 1.1335219000, 3.3786915000", \
"-0.3392793000, -0.3111667000, -0.2491741000, -0.1215020000, 0.1782385000, 0.9965166000, 3.2456535000", \
"-0.5165472000, -0.4864732000, -0.4209640000, -0.2909265000, 0.0125520000, 0.8321488000, 3.0803926000", \
"-0.6399380000, -0.6089236000, -0.5410966000, -0.4067299000, -0.1036363000, 0.7148550000, 2.9603786000", \
"-0.9599128000, -0.9259410000, -0.8542187000, -0.7129735000, -0.4061099000, 0.4056513000, 2.6585758000", \
"-1.2938957000, -1.2585617000, -1.1816174000, -1.0338186000, -0.7250001000, 0.0878499000, 2.3501714000");
}
fall_transition ("del_1_15_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0005000000, 0.0013834100, 0.0038276500, 0.0105904000, 0.0293018000, 0.0810729000, 0.2243140000");
values("0.0880239000, 0.1051111000, 0.1476181000, 0.2604513000, 0.5855831000, 1.5188968000, 4.0851260000", \
"0.0886634000, 0.1040024000, 0.1468171000, 0.2603302000, 0.5857657000, 1.5189157000, 4.0755737000", \
"0.0882458000, 0.1052977000, 0.1467429000, 0.2611460000, 0.5857581000, 1.5196606000, 4.0855195000", \
"0.0876140000, 0.1045925000, 0.1477605000, 0.2606672000, 0.5853122000, 1.5207442000, 4.0809889000", \
"0.0889169000, 0.1050596000, 0.1473171000, 0.2609235000, 0.5855620000, 1.5201532000, 4.0798698000", \
"0.0886384000, 0.1040884000, 0.1479977000, 0.2615311000, 0.5867299000, 1.5135050000, 4.0851051000", \
"0.0883973000, 0.1052021000, 0.1477173000, 0.2614531000, 0.5861209000, 1.5195208000, 4.0891521000", \
"0.0923189000, 0.1085135000, 0.1520930000, 0.2646706000, 0.5872511000, 1.5135513000, 4.0874828000", \
"0.1153784000, 0.1319870000, 0.1724203000, 0.2789543000, 0.5935500000, 1.5098653000, 4.0919743000", \
"0.1259943000, 0.1415533000, 0.1820314000, 0.2880715000, 0.6012442000, 1.5115689000, 4.0808397000", \
"0.1349491000, 0.1518368000, 0.1903617000, 0.2956609000, 0.6034305000, 1.5145060000, 4.0921342000", \
"0.1478429000, 0.1612876000, 0.2005056000, 0.3072784000, 0.6103649000, 1.5228810000, 4.0824459000", \
"0.1533857000, 0.1688509000, 0.2076032000, 0.3134278000, 0.6135605000, 1.5243263000, 4.0824459000", \
"0.1700846000, 0.1870672000, 0.2245077000, 0.3262705000, 0.6225909000, 1.5236068000, 4.0875947000", \
"0.1838015000, 0.2020476000, 0.2406847000, 0.3409873000, 0.6315727000, 1.5290765000, 4.0842889000");
}
related_pin : "A";
rise_transition ("del_1_15_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0005000000, 0.0013834100, 0.0038276500, 0.0105904000, 0.0293018000, 0.0810729000, 0.2243140000");
values("0.0660515000, 0.0847058000, 0.1372424000, 0.2847232000, 0.6945204000, 1.8353878000, 4.9704747000", \
"0.0660861000, 0.0845756000, 0.1372385000, 0.2846141000, 0.6941459000, 1.8354971000, 4.9730851000", \
"0.0660563000, 0.0846505000, 0.1370431000, 0.2841331000, 0.6942822000, 1.8327456000, 4.9734963000", \
"0.0661580000, 0.0848180000, 0.1368652000, 0.2850680000, 0.6940041000, 1.8370040000, 4.9733875000", \
"0.0687169000, 0.0867680000, 0.1380212000, 0.2852102000, 0.6949424000, 1.8319436000, 4.9635540000", \
"0.0756249000, 0.0940642000, 0.1444391000, 0.2886267000, 0.6947869000, 1.8370819000, 4.9655435000", \
"0.0854784000, 0.1041874000, 0.1528159000, 0.2943664000, 0.6978293000, 1.8368954000, 4.9651376000", \
"0.0957126000, 0.1125957000, 0.1601452000, 0.2983583000, 0.7034885000, 1.8304432000, 4.9743174000", \
"0.1189739000, 0.1352265000, 0.1816138000, 0.3108599000, 0.7061152000, 1.8358030000, 4.9702624000", \
"0.1278962000, 0.1457667000, 0.1928386000, 0.3172630000, 0.7084846000, 1.8468235000, 4.9578919000", \
"0.1395530000, 0.1579942000, 0.2031248000, 0.3243870000, 0.7120710000, 1.8478856000, 4.9671125000", \
"0.1495884000, 0.1691938000, 0.2153860000, 0.3341613000, 0.7126794000, 1.8420436000, 4.9784270000", \
"0.1561952000, 0.1749977000, 0.2232458000, 0.3395376000, 0.7166644000, 1.8441962000, 4.9758060000", \
"0.1723896000, 0.1952887000, 0.2378055000, 0.3551263000, 0.7219966000, 1.8495101000, 4.9705142000", \
"0.1898659000, 0.2105144000, 0.2551619000, 0.3705470000, 0.7291646000, 1.8511084000, 4.9879052000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
}
}
cell ("sky130_fd_sc_hvl__lsbuflv2hv_1") {
leakage_power () {
value : 0.1379731000;
when : "A";
}
leakage_power () {
value : 0.1515622000;
when : "!A";
}
area : 85.95840000;
cell_footprint : "sky130_fd_sc_hvl__lsbuflv2hv";
cell_leakage_power : 0.1447677000;
input_voltage_range(1.2800000000, 1.9500000000);
output_voltage_range(1.6500000000, 5.5000000000);
is_level_shifter : "true";
level_shifter_type : "LH";
pg_pin ("LVPWR") {
pg_type : "primary_power";
voltage_name : "LVPWR";
}
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0024930000;
clock : "false";
direction : "input";
fall_capacitance : 0.0024030000;
input_signal_level : "LVPWR";
level_shifter_data_pin : "true";
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "LVPWR";
rise_capacitance : 0.0025830000;
}
pin ("X") {
direction : "output";
function : "A";
internal_power () {
fall_power ("power_outputs_2") {
index_1("0.0100000000, 0.0173500000, 0.0260200000, 0.0390300000, 0.0585500000, 0.0878200000, 0.1317200000, 0.1975700000, 0.2963400000, 0.4444900000, 0.6667000000, 1.0000000000, 1.5000000000");
index_2("0.0005000000, 0.0011288880, 0.0025487750, 0.0057545620, 0.0129925100, 0.0293341700, 0.0662299800, 0.1495324000, 0.3376107000");
values("0.1854519000, 0.1856611000, 0.1862818000, 0.1861823000, 0.1752457000, 0.1260246000, -0.0305189000, -0.3976793000, -1.2270993000", \
"0.1856156000, 0.1853620000, 0.1861169000, 0.1859281000, 0.1754946000, 0.1257182000, -0.0306187000, -0.3977772000, -1.2272033000", \
"0.1854841000, 0.1851528000, 0.1859545000, 0.1856852000, 0.1756161000, 0.1258289000, -0.0307231000, -0.3979297000, -1.2273659000", \
"0.1855131000, 0.1852823000, 0.1860275000, 0.1857974000, 0.1753879000, 0.1256346000, -0.0307358000, -0.3979021000, -1.2273408000", \
"0.1853537000, 0.1851183000, 0.1858679000, 0.1856386000, 0.1752278000, 0.1254770000, -0.0308978000, -0.3980452000, -1.2275029000", \
"0.1851663000, 0.1850995000, 0.1856036000, 0.1856226000, 0.1749109000, 0.1255571000, -0.0310363000, -0.3982152000, -1.2276544000", \
"0.1849549000, 0.1850199000, 0.1855467000, 0.1855402000, 0.1746331000, 0.1254523000, -0.0311328000, -0.3983116000, -1.2277714000", \
"0.1849434000, 0.1847458000, 0.1853636000, 0.1853525000, 0.1747562000, 0.1253001000, -0.0312757000, -0.3984200000, -1.2277296000", \
"0.1851002000, 0.1848332000, 0.1855914000, 0.1854353000, 0.1749876000, 0.1252275000, -0.0311363000, -0.3982152000, -1.2276836000", \
"0.1846251000, 0.1844082000, 0.1851612000, 0.1848800000, 0.1745137000, 0.1247849000, -0.0316160000, -0.3987647000, -1.2282179000", \
"0.1852112000, 0.1853353000, 0.1859016000, 0.1859042000, 0.1750039000, 0.1258669000, -0.0306631000, -0.3978586000, -1.2272084000", \
"0.1867165000, 0.1866529000, 0.1872816000, 0.1875713000, 0.1772339000, 0.1276774000, -0.0287018000, -0.3958359000, -1.2252552000", \
"0.2025885000, 0.1999498000, 0.1939853000, 0.1892868000, 0.1804100000, 0.1310269000, -0.0254911000, -0.3926192000, -1.2220192000");
}
related_pin : "A";
rise_power ("power_outputs_2") {
index_1("0.0100000000, 0.0173500000, 0.0260200000, 0.0390300000, 0.0585500000, 0.0878200000, 0.1317200000, 0.1975700000, 0.2963400000, 0.4444900000, 0.6667000000, 1.0000000000, 1.5000000000");
index_2("0.0005000000, 0.0011288880, 0.0025487750, 0.0057545620, 0.0129925100, 0.0293341700, 0.0662299800, 0.1495324000, 0.3376107000");
values("0.2788798000, 0.2818419000, 0.2890668000, 0.3065326000, 0.3440357000, 0.4218852000, 0.5844585000, 0.9483386000, 1.7703208000", \
"0.2788343000, 0.2817319000, 0.2890588000, 0.3064253000, 0.3439448000, 0.4218264000, 0.5841215000, 0.9482963000, 1.7690225000", \
"0.2786681000, 0.2816461000, 0.2889586000, 0.3062844000, 0.3437375000, 0.4212766000, 0.5843982000, 0.9477723000, 1.7688136000", \
"0.2786634000, 0.2814425000, 0.2887540000, 0.3063852000, 0.3439625000, 0.4213201000, 0.5842436000, 0.9474612000, 1.7701070000", \
"0.2782470000, 0.2812101000, 0.2886021000, 0.3060147000, 0.3434795000, 0.4211273000, 0.5838926000, 0.9470908000, 1.7697335000", \
"0.2781340000, 0.2810392000, 0.2883992000, 0.3055944000, 0.3430219000, 0.4204996000, 0.5836649000, 0.9468318000, 1.7694695000", \
"0.2773878000, 0.2803052000, 0.2877662000, 0.3050694000, 0.3425954000, 0.4202883000, 0.5827399000, 0.9465214000, 1.7684651000", \
"0.2759567000, 0.2788966000, 0.2859960000, 0.3032697000, 0.3408376000, 0.4184877000, 0.5812170000, 0.9457874000, 1.7672368000", \
"0.2738739000, 0.2770342000, 0.2843817000, 0.3017554000, 0.3392914000, 0.4164445000, 0.5795620000, 0.9434051000, 1.7650175000", \
"0.2732423000, 0.2761260000, 0.2833292000, 0.3010051000, 0.3385811000, 0.4154726000, 0.5784445000, 0.9420313000, 1.7644736000", \
"0.2715622000, 0.2744760000, 0.2817939000, 0.2990365000, 0.3369621000, 0.4139229000, 0.5773084000, 0.9407040000, 1.7629476000", \
"0.2709061000, 0.2739872000, 0.2812395000, 0.2984393000, 0.3358185000, 0.4136602000, 0.5760997000, 0.9398984000, 1.7617519000", \
"0.2703402000, 0.2732899000, 0.2805725000, 0.2978652000, 0.3352117000, 0.4129249000, 0.5756712000, 0.9394616000, 1.7599879000");
}
}
max_capacitance : 0.3376110000;
max_transition : 3.7456120000;
power_down_function : "(!LVPWR+!VPWR+VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_13_9") {
index_1("0.0100000000, 0.0173500000, 0.0260200000, 0.0390300000, 0.0585500000, 0.0878200000, 0.1317200000, 0.1975700000, 0.2963400000, 0.4444900000, 0.6667000000, 1.0000000000, 1.5000000000");
index_2("0.0005000000, 0.0011288900, 0.0025487800, 0.0057545600, 0.0129925000, 0.0293342000, 0.0662300000, 0.1495320000, 0.3376110000");
values("0.3978749000, 0.4048166000, 0.4189616000, 0.4468167000, 0.5028147000, 0.6232135000, 0.8915093000, 1.4947382000, 2.8577865000", \
"0.4005928000, 0.4076554000, 0.4216967000, 0.4495815000, 0.5056944000, 0.6259989000, 0.8939812000, 1.4975409000, 2.8601288000", \
"0.4040404000, 0.4109551000, 0.4251176000, 0.4529754000, 0.5089978000, 0.6291404000, 0.8975684000, 1.5009187000, 2.8639999000", \
"0.4093217000, 0.4163786000, 0.4304243000, 0.4583068000, 0.5144242000, 0.6347096000, 0.9027001000, 1.5064312000, 2.8695590000", \
"0.4172938000, 0.4243507000, 0.4383962000, 0.4662787000, 0.5223961000, 0.6426828000, 0.9106709000, 1.5144100000, 2.8775884000", \
"0.4304561000, 0.4375102000, 0.4515620000, 0.4794591000, 0.5355146000, 0.6558947000, 0.9240650000, 1.5274616000, 2.8908405000", \
"0.4499994000, 0.4569614000, 0.4710538000, 0.4989353000, 0.5549500000, 0.6753446000, 0.9436413000, 1.5469370000, 2.9099545000", \
"0.4797445000, 0.4867787000, 0.5008510000, 0.5287468000, 0.5848262000, 0.7051948000, 0.9732805000, 1.5767215000, 2.9400784000", \
"0.5253403000, 0.5324087000, 0.5464459000, 0.5743307000, 0.6304425000, 0.7507583000, 1.0187365000, 1.6224335000, 2.9851781000", \
"0.5927522000, 0.5998044000, 0.6138513000, 0.6417297000, 0.6978486000, 0.8181280000, 1.0861255000, 1.6898600000, 3.0529927000", \
"0.6893375000, 0.6962872000, 0.7103850000, 0.7382542000, 0.7942763000, 0.9146929000, 1.1829564000, 1.7862872000, 3.1492546000", \
"0.8158526000, 0.8227976000, 0.8368539000, 0.8646833000, 0.9208524000, 1.0413366000, 1.3094226000, 1.9131012000, 3.2762313000", \
"0.9747523000, 0.9817694000, 0.9959086000, 1.0238099000, 1.0799281000, 1.2004581000, 1.4687050000, 2.0722533000, 3.4351635000");
}
cell_rise ("del_1_13_9") {
index_1("0.0100000000, 0.0173500000, 0.0260200000, 0.0390300000, 0.0585500000, 0.0878200000, 0.1317200000, 0.1975700000, 0.2963400000, 0.4444900000, 0.6667000000, 1.0000000000, 1.5000000000");
index_2("0.0005000000, 0.0011288900, 0.0025487800, 0.0057545600, 0.0129925000, 0.0293342000, 0.0662300000, 0.1495320000, 0.3376110000");
values("1.3901826000, 1.3987045000, 1.4163977000, 1.4489997000, 1.5097843000, 1.6363215000, 1.9194564000, 2.5557756000, 3.9915879000", \
"1.3930272000, 1.4016202000, 1.4193829000, 1.4518016000, 1.5123893000, 1.6391615000, 1.9235498000, 2.5586275000, 3.9960764000", \
"1.3965582000, 1.4051814000, 1.4227395000, 1.4551761000, 1.5157687000, 1.6418384000, 1.9252404000, 2.5607336000, 3.9976564000", \
"1.4014783000, 1.4098798000, 1.4273138000, 1.4601489000, 1.5209304000, 1.6469850000, 1.9305457000, 2.5667930000, 4.0022279000", \
"1.4088203000, 1.4172820000, 1.4347251000, 1.4674453000, 1.5280287000, 1.6536477000, 1.9370725000, 2.5734854000, 4.0090384000", \
"1.4195419000, 1.4283250000, 1.4458663000, 1.4780883000, 1.5387657000, 1.6643996000, 1.9479132000, 2.5842222000, 4.0198862000", \
"1.4342664000, 1.4430261000, 1.4607571000, 1.4930577000, 1.5540205000, 1.6801684000, 1.9628226000, 2.5989908000, 4.0341721000", \
"1.4530206000, 1.4618019000, 1.4787573000, 1.5114483000, 1.5718344000, 1.6982159000, 1.9827855000, 2.6179428000, 4.0550225000", \
"1.4784917000, 1.4870433000, 1.5045506000, 1.5373856000, 1.5980580000, 1.7239934000, 2.0086846000, 2.6439226000, 4.0785944000", \
"1.5100867000, 1.5187530000, 1.5361832000, 1.5690208000, 1.6297990000, 1.7559757000, 2.0384872000, 2.6752114000, 4.1114299000", \
"1.5477278000, 1.5564504000, 1.5739305000, 1.6066369000, 1.6673445000, 1.7935208000, 2.0766413000, 2.7131024000, 4.1482267000", \
"1.5918626000, 1.6006529000, 1.6179085000, 1.6504933000, 1.7110759000, 1.8370204000, 2.1198843000, 2.7560514000, 4.1908703000", \
"1.6384686000, 1.6470657000, 1.6643030000, 1.6970804000, 1.7576591000, 1.8835837000, 2.1671913000, 2.8032698000, 4.2397472000");
}
fall_transition ("del_1_13_9") {
index_1("0.0100000000, 0.0173500000, 0.0260200000, 0.0390300000, 0.0585500000, 0.0878200000, 0.1317200000, 0.1975700000, 0.2963400000, 0.4444900000, 0.6667000000, 1.0000000000, 1.5000000000");
index_2("0.0005000000, 0.0011288900, 0.0025487800, 0.0057545600, 0.0129925000, 0.0293342000, 0.0662300000, 0.1495320000, 0.3376110000");
values("0.0361186000, 0.0421137000, 0.0550198000, 0.0836595000, 0.1499803000, 0.3053216000, 0.6571244000, 1.4564686000, 3.2601299000", \
"0.0361081000, 0.0420225000, 0.0550887000, 0.0836159000, 0.1502933000, 0.3055748000, 0.6566412000, 1.4538605000, 3.2563388000", \
"0.0360230000, 0.0421810000, 0.0550955000, 0.0837249000, 0.1501326000, 0.3054476000, 0.6563768000, 1.4536330000, 3.2549432000", \
"0.0361081000, 0.0420314000, 0.0550912000, 0.0836168000, 0.1502781000, 0.3055054000, 0.6566869000, 1.4518451000, 3.2575267000", \
"0.0361077000, 0.0420308000, 0.0550915000, 0.0836169000, 0.1502785000, 0.3055038000, 0.6567107000, 1.4520052000, 3.2580434000", \
"0.0360367000, 0.0420456000, 0.0550438000, 0.0835040000, 0.1501810000, 0.3053635000, 0.6569398000, 1.4518299000, 3.2609426000", \
"0.0361351000, 0.0420568000, 0.0549539000, 0.0835931000, 0.1500064000, 0.3052994000, 0.6571307000, 1.4521588000, 3.2583027000", \
"0.0360800000, 0.0421270000, 0.0550662000, 0.0835330000, 0.1502646000, 0.3054890000, 0.6566666000, 1.4568594000, 3.2623366000", \
"0.0361119000, 0.0420140000, 0.0550889000, 0.0836168000, 0.1503013000, 0.3055661000, 0.6566459000, 1.4520871000, 3.2594596000", \
"0.0361146000, 0.0420428000, 0.0551018000, 0.0836215000, 0.1502658000, 0.3054607000, 0.6566852000, 1.4521102000, 3.2532697000", \
"0.0361955000, 0.0421575000, 0.0550040000, 0.0836170000, 0.1500430000, 0.3052315000, 0.6571144000, 1.4565592000, 3.2614060000", \
"0.0364312000, 0.0422092000, 0.0552751000, 0.0838043000, 0.1503515000, 0.3055515000, 0.6566228000, 1.4521393000, 3.2575487000", \
"0.0372268000, 0.0432733000, 0.0559941000, 0.0844207000, 0.1506850000, 0.3040349000, 0.6570410000, 1.4564526000, 3.2528831000");
}
related_pin : "A";
rise_transition ("del_1_13_9") {
index_1("0.0100000000, 0.0173500000, 0.0260200000, 0.0390300000, 0.0585500000, 0.0878200000, 0.1317200000, 0.1975700000, 0.2963400000, 0.4444900000, 0.6667000000, 1.0000000000, 1.5000000000");
index_2("0.0005000000, 0.0011288900, 0.0025487800, 0.0057545600, 0.0129925000, 0.0293342000, 0.0662300000, 0.1495320000, 0.3376110000");
values("0.0610195000, 0.0681712000, 0.0835522000, 0.1132205000, 0.1817724000, 0.3533421000, 0.7584207000, 1.6719252000, 3.7408294000", \
"0.0607265000, 0.0680067000, 0.0829288000, 0.1134055000, 0.1817851000, 0.3535948000, 0.7582646000, 1.6720266000, 3.7452113000", \
"0.0606860000, 0.0680913000, 0.0828152000, 0.1136096000, 0.1819159000, 0.3537291000, 0.7584404000, 1.6727182000, 3.7429326000", \
"0.0608756000, 0.0683987000, 0.0831735000, 0.1133768000, 0.1818142000, 0.3534801000, 0.7594484000, 1.6734774000, 3.7436636000", \
"0.0604466000, 0.0677196000, 0.0827714000, 0.1136552000, 0.1820173000, 0.3536652000, 0.7581472000, 1.6734135000, 3.7439882000", \
"0.0605349000, 0.0680234000, 0.0831493000, 0.1133541000, 0.1819401000, 0.3536171000, 0.7580765000, 1.6734093000, 3.7434553000", \
"0.0606074000, 0.0679806000, 0.0829678000, 0.1132367000, 0.1817911000, 0.3529702000, 0.7577147000, 1.6721722000, 3.7448191000", \
"0.0603098000, 0.0680648000, 0.0832267000, 0.1134325000, 0.1819596000, 0.3538346000, 0.7581454000, 1.6761122000, 3.7432764000", \
"0.0606951000, 0.0680584000, 0.0834246000, 0.1129693000, 0.1823897000, 0.3538107000, 0.7578725000, 1.6731252000, 3.7456118000", \
"0.0603553000, 0.0683932000, 0.0830413000, 0.1133771000, 0.1818063000, 0.3537919000, 0.7571421000, 1.6730360000, 3.7424250000", \
"0.0611547000, 0.0686688000, 0.0833660000, 0.1133207000, 0.1816507000, 0.3543804000, 0.7582612000, 1.6730182000, 3.7453143000", \
"0.0605187000, 0.0679954000, 0.0828612000, 0.1136546000, 0.1820166000, 0.3535467000, 0.7585525000, 1.6720569000, 3.7450310000", \
"0.0603624000, 0.0677638000, 0.0830685000, 0.1136331000, 0.1820528000, 0.3536696000, 0.7580329000, 1.6717030000, 3.7445962000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
}
}
cell ("sky130_fd_sc_hvl__lsbuflv2hv_clkiso_hlkg_3") {
leakage_power () {
value : 648.4985420;
when : "A&SLEEP_B";
}
leakage_power () {
value : 648.6001212;
when : "!A&SLEEP_B";
}
leakage_power () {
value : 0.1637961000;
when : "!A&!SLEEP_B";
}
leakage_power () {
value : 0.1697852000;
when : "A&!SLEEP_B";
}
area : 203.17440000;
cell_footprint : "sky130_fd_sc_hvl__lsbuflv2hv_clkiso_hlkg";
cell_leakage_power : 324.35810000;
input_voltage_range(1.2800000000, 1.9500000000);
output_voltage_range(1.6500000000, 5.5000000000);
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
is_level_shifter : "true";
level_shifter_type : "LH";
pg_pin ("LVPWR") {
pg_type : "primary_power";
voltage_name : "LVPWR";
}
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0048910000;
clock : "false";
direction : "input";
fall_capacitance : 0.0047310000;
input_signal_level : "LVPWR";
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.1135661000, 0.1129942000, 0.1123746000, 0.1123179000, 0.1121410000, 0.1124930000, 0.1131974000, 0.1155300000, 0.1220318000, 0.1254067000, 0.1287816000");
}
rise_power ("power_inputs_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0978862000, 0.0974717000, 0.0970227000, 0.0967985000, 0.0960972000, 0.0966591000, 0.0977832000, 0.1002254000, 0.1070326000, 0.1105661000, 0.1140996000");
}
}
level_shifter_data_pin : "true";
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "LVPWR";
rise_capacitance : 0.0050510000;
}
pin ("SLEEP_B") {
capacitance : 0.0027520000;
clock : "false";
direction : "input";
fall_capacitance : 0.0026830000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0362698000, 0.0341929000, 0.0320416000, 0.0336995000, 0.0414110000, 0.0433381000, 0.0483759000, 0.0565864000, 0.0815849000, 0.0939924000, 0.1063999000");
}
rise_power ("power_inputs_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.2145619000, 0.2135157000, 0.2123823000, 0.2141386000, 0.2196374000, 0.2223693000, 0.2278335000, 0.2374551000, 0.2642713000, 0.2781915000, 0.2921118000");
}
}
level_shifter_enable_pin : "true";
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0028220000;
}
pin ("X") {
direction : "output";
function : "(A&SLEEP_B)";
internal_power () {
fall_power ("power_outputs_3") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0019026400, 0.0072400900, 0.0275506000, 0.1048380000, 0.3989370000, 1.5180700000");
values("0.2589429000, 0.2519630000, 0.2275826000, 0.1437163000, -0.1878902000, -1.4779462000, -6.4144194000", \
"0.2613522000, 0.2544789000, 0.2299516000, 0.1468876000, -0.1850755000, -1.4760327000, -6.4128392000", \
"0.2635856000, 0.2566179000, 0.2322502000, 0.1480139000, -0.1829866000, -1.4735830000, -6.4093349000", \
"0.2608435000, 0.2539246000, 0.2295375000, 0.1458030000, -0.1860531000, -1.4768741000, -6.4134259000", \
"0.2528248000, 0.2458721000, 0.2214932000, 0.1376808000, -0.1940150000, -1.4842528000, -6.4208048000", \
"0.2524343000, 0.2454865000, 0.2211285000, 0.1369090000, -0.1940445000, -1.4847624000, -6.4206118000", \
"0.2546993000, 0.2479084000, 0.2234684000, 0.1395109000, -0.1919699000, -1.4814955000, -6.4180989000", \
"0.2557233000, 0.2488263000, 0.2244963000, 0.1409740000, -0.1909564000, -1.4815603000, -6.4179562000", \
"0.2596137000, 0.2524471000, 0.2283679000, 0.1443386000, -0.1864689000, -1.4774277000, -6.4140412000", \
"0.2729467000, 0.2656473000, 0.2399929000, 0.1493249000, -0.1834137000, -1.4744550000, -6.4109766000", \
"0.2782569000, 0.2709487000, 0.2453380000, 0.1548511000, -0.1805847000, -1.4708632000, -6.4074553000");
}
related_pin : "SLEEP_B";
rise_power ("power_outputs_3") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0019026400, 0.0072400900, 0.0275506000, 0.1048380000, 0.3989370000, 1.5180700000");
values("0.2442910000, 0.2506295000, 0.2742190000, 0.3684368000, 0.7118115000, 2.0014393000, 6.8970537000", \
"0.2453988000, 0.2511712000, 0.2751340000, 0.3696107000, 0.7124937000, 2.0055072000, 6.8916242000", \
"0.2464140000, 0.2520698000, 0.2761987000, 0.3704949000, 0.7135449000, 2.0017393000, 6.8935568000", \
"0.2444110000, 0.2501699000, 0.2741549000, 0.3686250000, 0.7114755000, 2.0045779000, 6.8905919000", \
"0.2391128000, 0.2446955000, 0.2687361000, 0.3631632000, 0.7061012000, 1.9954280000, 6.8853212000", \
"0.2381709000, 0.2437411000, 0.2678102000, 0.3622617000, 0.7052061000, 1.9941197000, 6.8865510000", \
"0.2394449000, 0.2451348000, 0.2689634000, 0.3635410000, 0.7076754000, 2.0000202000, 6.8920250000", \
"0.2451446000, 0.2507170000, 0.2729477000, 0.3633600000, 0.7090110000, 1.9960848000, 6.8895113000", \
"0.2543195000, 0.2598759000, 0.2822378000, 0.3726943000, 0.7170017000, 2.0038169000, 6.8894761000", \
"0.2581508000, 0.2635902000, 0.2854115000, 0.3759023000, 0.7181861000, 2.0020868000, 6.8963985000", \
"0.2621246000, 0.2675546000, 0.2900533000, 0.3802547000, 0.7239073000, 2.0060982000, 6.8986210000");
}
}
internal_power () {
fall_power ("power_outputs_3") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0019026400, 0.0072400900, 0.0275506000, 0.1048380000, 0.3989370000, 1.5180700000");
values("0.3295150000, 0.3231691000, 0.2999677000, 0.2180178000, -0.1132803000, -1.4039301000, -6.3372098000", \
"0.3296936000, 0.3231946000, 0.3000321000, 0.2179043000, -0.1135621000, -1.4039926000, -6.3373545000", \
"0.3298892000, 0.3233399000, 0.3002566000, 0.2180717000, -0.1133369000, -1.4036912000, -6.3371259000", \
"0.3288473000, 0.3227155000, 0.2997723000, 0.2174697000, -0.1140358000, -1.4042553000, -6.3378392000", \
"0.3293323000, 0.3229018000, 0.3000452000, 0.2175379000, -0.1136990000, -1.4039628000, -6.3373191000", \
"0.3287570000, 0.3222399000, 0.2990845000, 0.2166791000, -0.1145606000, -1.4049023000, -6.3382260000", \
"0.3294052000, 0.3228683000, 0.3000645000, 0.2177744000, -0.1134020000, -1.4037729000, -6.3373133000", \
"0.3307620000, 0.3237917000, 0.2987115000, 0.2173560000, -0.1137027000, -1.4042879000, -6.3376515000", \
"0.3375759000, 0.3308099000, 0.3066691000, 0.2191139000, -0.1142677000, -1.4043504000, -6.3377250000", \
"0.3376791000, 0.3309166000, 0.3066691000, 0.2190831000, -0.1139381000, -1.4038053000, -6.3372606000", \
"0.3386136000, 0.3317125000, 0.3071205000, 0.2197434000, -0.1133293000, -1.4031782000, -6.3367884000");
}
related_pin : "A";
rise_power ("power_outputs_3") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0019026400, 0.0072400900, 0.0275506000, 0.1048380000, 0.3989370000, 1.5180700000");
values("0.3923794000, 0.3981661000, 0.4220426000, 0.5165981000, 0.8586711000, 2.1488364000, 7.0334079000", \
"0.3925867000, 0.3983792000, 0.4220748000, 0.5168856000, 0.8598430000, 2.1493972000, 7.0396490000", \
"0.3926364000, 0.3983152000, 0.4219628000, 0.5161408000, 0.8593171000, 2.1497089000, 7.0380498000", \
"0.3920713000, 0.3978316000, 0.4213303000, 0.5152045000, 0.8599367000, 2.1487921000, 7.0385491000", \
"0.3920180000, 0.3980770000, 0.4216203000, 0.5163096000, 0.8588282000, 2.1468250000, 7.0389211000", \
"0.3920341000, 0.3980767000, 0.4218242000, 0.5158043000, 0.8588132000, 2.1502669000, 7.0359674000", \
"0.3933994000, 0.3991604000, 0.4226564000, 0.5170140000, 0.8602739000, 2.1471655000, 7.0372122000", \
"0.3986538000, 0.4041946000, 0.4264760000, 0.5170379000, 0.8611703000, 2.1473997000, 7.0363322000", \
"0.4057198000, 0.4112362000, 0.4336050000, 0.5246680000, 0.8681935000, 2.1548798000, 7.0390978000", \
"0.4080832000, 0.4138965000, 0.4367920000, 0.5281367000, 0.8720489000, 2.1563775000, 7.0422257000", \
"0.4105723000, 0.4159330000, 0.4387924000, 0.5299357000, 0.8729215000, 2.1564823000, 7.0449742000");
}
}
max_capacitance : 1.5180690000;
max_transition : 3.7534610000;
power_down_function : "(!LVPWR+!VPWR+VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0019026400, 0.0072400900, 0.0275506000, 0.1048380000, 0.3989370000, 1.5180700000");
values("0.4860897000, 0.4912147000, 0.5084356000, 0.5601400000, 0.7145396000, 1.2608767000, 3.3224248000", \
"0.4899769000, 0.4950339000, 0.5122654000, 0.5640227000, 0.7183103000, 1.2647271000, 3.3236482000", \
"0.4953800000, 0.5004745000, 0.5176729000, 0.5694202000, 0.7237531000, 1.2701485000, 3.3293928000", \
"0.5155307000, 0.5206291000, 0.5378733000, 0.5895472000, 0.7438235000, 1.2901947000, 3.3542780000", \
"0.5772329000, 0.5823541000, 0.5996389000, 0.6511773000, 0.8056054000, 1.3508792000, 3.4121332000", \
"0.6463523000, 0.6513218000, 0.6686348000, 0.7202514000, 0.8746696000, 1.4198569000, 3.4847896000", \
"0.7455662000, 0.7506684000, 0.7678948000, 0.8194553000, 0.9739509000, 1.5192029000, 3.5858294000", \
"0.8226242000, 0.8277375000, 0.8448773000, 0.8967150000, 1.0511078000, 1.5961898000, 3.6624377000", \
"0.9925264000, 0.9976142000, 1.0148138000, 1.0665656000, 1.2208647000, 1.7672613000, 3.8298040000", \
"1.0679029000, 1.0730205000, 1.0902603000, 1.1417798000, 1.2962762000, 1.8424440000, 3.9039048000", \
"1.1380880000, 1.1432199000, 1.1604492000, 1.2121304000, 1.3665471000, 1.9121609000, 3.9719028000");
}
cell_rise ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0019026400, 0.0072400900, 0.0275506000, 0.1048380000, 0.3989370000, 1.5180700000");
values("0.6165308000, 0.6207690000, 0.6349648000, 0.6752589000, 0.7895843000, 1.1938835000, 2.7253724000", \
"0.6203656000, 0.6246444000, 0.6390342000, 0.6792120000, 0.7935529000, 1.1980759000, 2.7289575000", \
"0.6251859000, 0.6293509000, 0.6436701000, 0.6839336000, 0.7982573000, 1.2025859000, 2.7341835000", \
"0.6421588000, 0.6464536000, 0.6606747000, 0.7010318000, 0.8154517000, 1.2196513000, 2.7486768000", \
"0.6793237000, 0.6836159000, 0.6977551000, 0.7380518000, 0.8526181000, 1.2564502000, 2.7890963000", \
"0.7102974000, 0.7145702000, 0.7287685000, 0.7690670000, 0.8836306000, 1.2871602000, 2.8228448000", \
"0.7456126000, 0.7499208000, 0.7640489000, 0.8042488000, 0.9186045000, 1.3228677000, 2.8564684000", \
"0.7642389000, 0.7685287000, 0.7825972000, 0.8230545000, 0.9372180000, 1.3410263000, 2.8740119000", \
"0.7804037000, 0.7846681000, 0.7986427000, 0.8391841000, 0.9534172000, 1.3577666000, 2.8902916000", \
"0.7777030000, 0.7819613000, 0.7963954000, 0.8369038000, 0.9509186000, 1.3549916000, 2.8836349000", \
"0.7698618000, 0.7740649000, 0.7884019000, 0.8290020000, 0.9428520000, 1.3470881000, 2.8814238000");
}
fall_transition ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0019026400, 0.0072400900, 0.0275506000, 0.1048380000, 0.3989370000, 1.5180700000");
values("0.0418394000, 0.0462245000, 0.0609784000, 0.1088557000, 0.2882950000, 1.0045293000, 3.7506376000", \
"0.0416181000, 0.0462529000, 0.0613004000, 0.1087404000, 0.2877792000, 1.0020941000, 3.7474082000", \
"0.0416006000, 0.0462111000, 0.0613116000, 0.1087757000, 0.2879341000, 1.0039450000, 3.7447063000", \
"0.0418116000, 0.0462880000, 0.0612696000, 0.1088398000, 0.2877340000, 1.0043648000, 3.7451295000", \
"0.0419616000, 0.0464110000, 0.0609869000, 0.1086318000, 0.2877915000, 1.0049338000, 3.7431858000", \
"0.0420475000, 0.0464578000, 0.0610689000, 0.1086347000, 0.2877887000, 1.0034161000, 3.7534611000", \
"0.0416230000, 0.0459476000, 0.0613466000, 0.1090453000, 0.2872841000, 1.0044844000, 3.7489767000", \
"0.0419428000, 0.0460126000, 0.0608829000, 0.1088203000, 0.2871168000, 1.0034367000, 3.7510830000", \
"0.0416047000, 0.0462026000, 0.0613049000, 0.1087585000, 0.2878568000, 1.0024707000, 3.7466106000", \
"0.0418470000, 0.0459306000, 0.0612312000, 0.1091767000, 0.2878783000, 1.0051524000, 3.7442680000", \
"0.0419265000, 0.0461913000, 0.0611910000, 0.1088054000, 0.2878112000, 1.0043586000, 3.7485024000");
}
related_pin : "A";
rise_transition ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0019026400, 0.0072400900, 0.0275506000, 0.1048380000, 0.3989370000, 1.5180700000");
values("0.0376387000, 0.0410077000, 0.0526093000, 0.0899675000, 0.2325448000, 0.8101485000, 3.0277911000", \
"0.0375226000, 0.0409923000, 0.0528670000, 0.0898874000, 0.2321890000, 0.8115219000, 3.0255995000", \
"0.0371541000, 0.0414184000, 0.0529267000, 0.0899132000, 0.2319837000, 0.8102401000, 3.0270755000", \
"0.0376462000, 0.0409639000, 0.0527346000, 0.0897567000, 0.2322701000, 0.8111087000, 3.0232368000", \
"0.0371343000, 0.0405319000, 0.0526829000, 0.0899144000, 0.2323807000, 0.8105377000, 3.0277356000", \
"0.0372062000, 0.0404330000, 0.0526800000, 0.0899178000, 0.2323969000, 0.8112706000, 3.0277270000", \
"0.0375726000, 0.0408572000, 0.0524240000, 0.0896860000, 0.2319906000, 0.8111930000, 3.0274159000", \
"0.0371549000, 0.0405941000, 0.0527323000, 0.0899933000, 0.2326121000, 0.8100238000, 3.0271570000", \
"0.0378277000, 0.0411157000, 0.0526958000, 0.0897286000, 0.2323059000, 0.8107265000, 3.0288090000", \
"0.0374588000, 0.0407734000, 0.0529165000, 0.0898558000, 0.2327535000, 0.8100601000, 3.0238509000", \
"0.0372147000, 0.0410487000, 0.0522904000, 0.0897853000, 0.2324329000, 0.8106000000, 3.0252094000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0019026400, 0.0072400900, 0.0275506000, 0.1048380000, 0.3989370000, 1.5180700000");
values("1.0956380000, 1.1008442000, 1.1185677000, 1.1711104000, 1.3262949000, 1.8724020000, 3.9325254000", \
"1.0992179000, 1.1044136000, 1.1220362000, 1.1749163000, 1.3302729000, 1.8759716000, 3.9353307000", \
"1.1028028000, 1.1080213000, 1.1256470000, 1.1783344000, 1.3337896000, 1.8796731000, 3.9397468000", \
"1.1156180000, 1.1208725000, 1.1385796000, 1.1911575000, 1.3462859000, 1.8917163000, 3.9530330000", \
"1.1626320000, 1.1678869000, 1.1855926000, 1.2381146000, 1.3932620000, 1.9393895000, 3.9998169000", \
"1.2386934000, 1.2439126000, 1.2615370000, 1.3142261000, 1.4696879000, 2.0156010000, 4.0755506000", \
"1.3960547000, 1.4013152000, 1.4189167000, 1.4714874000, 1.6269898000, 2.1727966000, 4.2330335000", \
"1.5394946000, 1.5447140000, 1.5623306000, 1.6151058000, 1.7704233000, 2.3162239000, 4.3759498000", \
"1.8593109000, 1.8645550000, 1.8821493000, 1.9347774000, 2.0902848000, 2.6357911000, 4.6964863000", \
"2.0002380000, 2.0055178000, 2.0231254000, 2.0759168000, 2.2313729000, 2.7771516000, 4.8368620000", \
"2.1315498000, 2.1368358000, 2.1544866000, 2.2073463000, 2.3627693000, 2.9085363000, 4.9709410000");
}
cell_rise ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0019026400, 0.0072400900, 0.0275506000, 0.1048380000, 0.3989370000, 1.5180700000");
values("0.5352993000, 0.5396266000, 0.5537806000, 0.5940552000, 0.7085368000, 1.1127635000, 2.6458273000", \
"0.5385245000, 0.5427916000, 0.5572299000, 0.5974641000, 0.7117454000, 1.1155979000, 2.6505946000", \
"0.5422005000, 0.5464255000, 0.5607321000, 0.6010857000, 0.7154189000, 1.1195654000, 2.6499183000", \
"0.5554867000, 0.5597530000, 0.5741909000, 0.6144275000, 0.7287103000, 1.1324708000, 2.6678550000", \
"0.5989012000, 0.6030471000, 0.6174594000, 0.6577273000, 0.7720203000, 1.1756498000, 2.7091938000", \
"0.6545685000, 0.6587103000, 0.6731211000, 0.7134033000, 0.8277116000, 1.2313892000, 2.7646672000", \
"0.7177967000, 0.7220655000, 0.7361776000, 0.7765649000, 0.8909894000, 1.2947280000, 2.8276548000", \
"0.7531297000, 0.7574392000, 0.7717079000, 0.8121874000, 0.9266330000, 1.3310993000, 2.8657161000", \
"0.7932099000, 0.7975098000, 0.8117003000, 0.8524961000, 0.9669752000, 1.3713559000, 2.9022624000", \
"0.7959567000, 0.8002816000, 0.8143774000, 0.8549098000, 0.9693618000, 1.3738429000, 2.9028149000", \
"0.7913683000, 0.7956842000, 0.8100176000, 0.8507204000, 0.9654119000, 1.3695438000, 2.8987510000");
}
fall_transition ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0019026400, 0.0072400900, 0.0275506000, 0.1048380000, 0.3989370000, 1.5180700000");
values("0.0468247000, 0.0511182000, 0.0660942000, 0.1144782000, 0.2906494000, 1.0030428000, 3.7483758000", \
"0.0468541000, 0.0510936000, 0.0662446000, 0.1141161000, 0.2903105000, 1.0035102000, 3.7415422000", \
"0.0468201000, 0.0511369000, 0.0662296000, 0.1141323000, 0.2898749000, 1.0038986000, 3.7456500000", \
"0.0468502000, 0.0511068000, 0.0660987000, 0.1143420000, 0.2904965000, 1.0030577000, 3.7504533000", \
"0.0468341000, 0.0511166000, 0.0660967000, 0.1144426000, 0.2906776000, 1.0032462000, 3.7397085000", \
"0.0468294000, 0.0511418000, 0.0662320000, 0.1141408000, 0.2899317000, 1.0038848000, 3.7452512000", \
"0.0466387000, 0.0508401000, 0.0660552000, 0.1142100000, 0.2896701000, 1.0064750000, 3.7452152000", \
"0.0468637000, 0.0511672000, 0.0662347000, 0.1139558000, 0.2901780000, 1.0035976000, 3.7417989000", \
"0.0469010000, 0.0512603000, 0.0664052000, 0.1143495000, 0.2902401000, 1.0043955000, 3.7505496000", \
"0.0469265000, 0.0510327000, 0.0663736000, 0.1146879000, 0.2901081000, 1.0029412000, 3.7497516000", \
"0.0472476000, 0.0514832000, 0.0668040000, 0.1142078000, 0.2907361000, 1.0021203000, 3.7499097000");
}
related_pin : "SLEEP_B";
rise_transition ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0019026400, 0.0072400900, 0.0275506000, 0.1048380000, 0.3989370000, 1.5180700000");
values("0.0372331000, 0.0406640000, 0.0527418000, 0.0900110000, 0.2321846000, 0.8109540000, 3.0330622000", \
"0.0376477000, 0.0408918000, 0.0531256000, 0.0899227000, 0.2328266000, 0.8109539000, 3.0244085000", \
"0.0374670000, 0.0415804000, 0.0530117000, 0.0901219000, 0.2322280000, 0.8108856000, 3.0268730000", \
"0.0376477000, 0.0408926000, 0.0531271000, 0.0899312000, 0.2328263000, 0.8110945000, 3.0256402000", \
"0.0374973000, 0.0409433000, 0.0531304000, 0.0899922000, 0.2325013000, 0.8109606000, 3.0281675000", \
"0.0375255000, 0.0409816000, 0.0531599000, 0.0900309000, 0.2324707000, 0.8109955000, 3.0281777000", \
"0.0378364000, 0.0411234000, 0.0529724000, 0.0902565000, 0.2322615000, 0.8113529000, 3.0281164000", \
"0.0376617000, 0.0411732000, 0.0533081000, 0.0904525000, 0.2323741000, 0.8103671000, 3.0247593000", \
"0.0382923000, 0.0418500000, 0.0534927000, 0.0905897000, 0.2328894000, 0.8101289000, 3.0266798000", \
"0.0381648000, 0.0414916000, 0.0538479000, 0.0908985000, 0.2332575000, 0.8096596000, 3.0253007000", \
"0.0382957000, 0.0416358000, 0.0538440000, 0.0910575000, 0.2327609000, 0.8110091000, 3.0240539000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
}
}
cell ("sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1") {
leakage_power () {
value : 0.0697783000;
when : "!A&SLEEP_B";
}
leakage_power () {
value : 0.0697626000;
when : "!A&!SLEEP_B";
}
leakage_power () {
value : 0.0785752000;
when : "A&SLEEP_B";
}
leakage_power () {
value : 0.0922425000;
when : "A&!SLEEP_B";
}
area : 113.30880000;
cell_footprint : "sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon";
cell_leakage_power : 0.0775896500;
input_voltage_range(1.2800000000, 1.9500000000);
output_voltage_range(1.6500000000, 5.5000000000);
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
is_level_shifter : "true";
level_shifter_type : "LH";
pg_pin ("LVPWR") {
pg_type : "primary_power";
voltage_name : "LVPWR";
}
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0026820000;
clock : "false";
direction : "input";
fall_capacitance : 0.0025910000;
input_signal_level : "LVPWR";
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0361799000, 0.0358094000, 0.0354082000, 0.0356965000, 0.0365995000, 0.0373233000, 0.0387713000, 0.0399887000, 0.0433817000, 0.0451429000, 0.0469042000");
}
rise_power ("power_inputs_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0321065000, 0.0316756000, 0.0312090000, 0.0324242000, 0.0362285000, 0.0389925000, 0.0445207000, 0.0458777000, 0.0496600000, 0.0516234000, 0.0535867000");
}
}
level_shifter_data_pin : "true";
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "LVPWR";
rise_capacitance : 0.0027730000;
}
pin ("SLEEP_B") {
capacitance : 0.0202110000;
clock : "false";
direction : "input";
fall_capacitance : 0.0203530000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0565350000, 0.0555607000, 0.0545054000, 0.0550033000, 0.0565619000, 0.0634328000, 0.0771747000, 0.0930201000, 0.1371818000, 0.1601063000, 0.1830308000");
}
rise_power ("power_inputs_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0051757000, -0.0061369000, -0.0071780000, -0.0070726000, -0.0067423000, -0.0000759724, 0.0132569000, 0.0289672000, 0.0727526000, 0.0954817000, 0.1182108000");
}
}
level_shifter_enable_pin : "true";
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0200690000;
}
pin ("X") {
direction : "output";
function : "(A&SLEEP_B)";
internal_power () {
fall_power ("power_outputs_3") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0013367100, 0.0035735700, 0.0095536200, 0.0255408000, 0.0682810000, 0.1825430000");
values("0.1234259000, 0.1178782000, 0.1046879000, 0.0758474000, 0.0063369000, -0.1816787000, -0.6874930000", \
"0.1235760000, 0.1181657000, 0.1047293000, 0.0758789000, 0.0062510000, -0.1819510000, -0.6874103000", \
"0.1238667000, 0.1185467000, 0.1049481000, 0.0759400000, 0.0065114000, -0.1818112000, -0.6873841000", \
"0.1231068000, 0.1179252000, 0.1044245000, 0.0753981000, 0.0059670000, -0.1823679000, -0.6879196000", \
"0.1218024000, 0.1164801000, 0.1030780000, 0.0741178000, 0.0047243000, -0.1835797000, -0.6890398000", \
"0.1210450000, 0.1159387000, 0.1024682000, 0.0735027000, 0.0040569000, -0.1841123000, -0.6896891000", \
"0.1206031000, 0.1151278000, 0.1016822000, 0.0726289000, 0.0032160000, -0.1851825000, -0.6907291000", \
"0.1200557000, 0.1147177000, 0.1013035000, 0.0724546000, 0.0033832000, -0.1850495000, -0.6908022000", \
"0.1204053000, 0.1152241000, 0.1018471000, 0.0729839000, 0.0033901000, -0.1850006000, -0.6905189000", \
"0.1209573000, 0.1152483000, 0.1019432000, 0.0730785000, 0.0041342000, -0.1843258000, -0.6900225000", \
"0.1263005000, 0.1207786000, 0.1071564000, 0.0749152000, 0.0042785000, -0.1842190000, -0.6894105000");
}
related_pin : "A";
rise_power ("power_outputs_3") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0013367100, 0.0035735700, 0.0095536200, 0.0255408000, 0.0682810000, 0.1825430000");
values("0.0436709000, 0.0514207000, 0.0722521000, 0.1214708000, 0.2203981000, 0.4140819000, 0.9125784000", \
"0.0438690000, 0.0517730000, 0.0725267000, 0.1219475000, 0.2211170000, 0.4144307000, 0.9131165000", \
"0.0441014000, 0.0519031000, 0.0731091000, 0.1222445000, 0.2213354000, 0.4147117000, 0.9136410000", \
"0.0426954000, 0.0503990000, 0.0712571000, 0.1204684000, 0.2195680000, 0.4126325000, 0.9113083000", \
"0.0383264000, 0.0460863000, 0.0666737000, 0.1154400000, 0.2140856000, 0.4070986000, 0.9058448000", \
"0.0351405000, 0.0429639000, 0.0635029000, 0.1120896000, 0.2098176000, 0.4030700000, 0.9023265000", \
"0.0367816000, 0.0404638000, 0.0584083000, 0.1068746000, 0.2045425000, 0.3973393000, 0.8965792000", \
"0.0560718000, 0.0597893000, 0.0698369000, 0.1060270000, 0.2035755000, 0.3962247000, 0.8948228000", \
"0.0869541000, 0.0906365000, 0.1005698000, 0.1273449000, 0.2026254000, 0.3955676000, 0.8946935000", \
"0.0932230000, 0.0968130000, 0.1068211000, 0.1335478000, 0.2043127000, 0.3946926000, 0.8932620000", \
"0.0956567000, 0.0992723000, 0.1091611000, 0.1360942000, 0.2072936000, 0.3945464000, 0.8934153000");
}
}
internal_power () {
fall_power ("power_outputs_3") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0013367100, 0.0035735700, 0.0095536200, 0.0255408000, 0.0682810000, 0.1825430000");
values("0.2539271000, 0.2483381000, 0.2346940000, 0.2048699000, 0.1341052000, -0.0543538000, -0.5592065000", \
"0.2527771000, 0.2471897000, 0.2335997000, 0.2035733000, 0.1330336000, -0.0554115000, -0.5602552000", \
"0.2502547000, 0.2452437000, 0.2315455000, 0.2015871000, 0.1309608000, -0.0575901000, -0.5624381000", \
"0.2354135000, 0.2298724000, 0.2162618000, 0.1861487000, 0.1155703000, -0.0727911000, -0.5776572000", \
"0.2201822000, 0.2144692000, 0.2008559000, 0.1709010000, 0.1003476000, -0.0882545000, -0.5931737000", \
"0.2096494000, 0.2040794000, 0.1904074000, 0.1602704000, 0.0898057000, -0.0988284000, -0.6036015000", \
"0.1961713000, 0.1905195000, 0.1769299000, 0.1468210000, 0.0764121000, -0.1122391000, -0.6171814000", \
"0.1913862000, 0.1857084000, 0.1721729000, 0.1420428000, 0.0714976000, -0.1170419000, -0.6219412000", \
"0.2039002000, 0.1984030000, 0.1847431000, 0.1545726000, 0.0840937000, -0.1045519000, -0.6095054000", \
"0.2156431000, 0.2098575000, 0.1962773000, 0.1661572000, 0.0954453000, -0.0931744000, -0.5981202000", \
"0.2291913000, 0.2237285000, 0.2099652000, 0.1795652000, 0.1085127000, -0.0804431000, -0.5858313000");
}
related_pin : "SLEEP_B";
rise_power ("power_outputs_3") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0013367100, 0.0035735700, 0.0095536200, 0.0255408000, 0.0682810000, 0.1825430000");
values("0.0594853000, 0.0673620000, 0.0883372000, 0.1380363000, 0.2376872000, 0.4311209000, 0.9297672000", \
"0.0594492000, 0.0673387000, 0.0883922000, 0.1376992000, 0.2376679000, 0.4309223000, 0.9297882000", \
"0.0596399000, 0.0674872000, 0.0884032000, 0.1381539000, 0.2378188000, 0.4312431000, 0.9304261000", \
"0.0583727000, 0.0664001000, 0.0873748000, 0.1366189000, 0.2361236000, 0.4295627000, 0.9282015000", \
"0.0593431000, 0.0668569000, 0.0870114000, 0.1353943000, 0.2332503000, 0.4259772000, 0.9248416000", \
"0.0584088000, 0.0639094000, 0.0838352000, 0.1302445000, 0.2259297000, 0.4176861000, 0.9164228000", \
"0.0821970000, 0.0857933000, 0.0957435000, 0.1273418000, 0.2213670000, 0.4128402000, 0.9115578000", \
"0.0996479000, 0.1033144000, 0.1131956000, 0.1399243000, 0.2247731000, 0.4166262000, 0.9154527000", \
"0.1385185000, 0.1421500000, 0.1517344000, 0.1781613000, 0.2491710000, 0.4384243000, 0.9371686000", \
"0.1539709000, 0.1574907000, 0.1671778000, 0.1933040000, 0.2644164000, 0.4513726000, 0.9500749000", \
"0.1681248000, 0.1713036000, 0.1809563000, 0.2071271000, 0.2784422000, 0.4643237000, 0.9634000000");
}
}
max_capacitance : 0.1819710000;
max_transition : 3.7529410000;
power_down_function : "(!LVPWR+!VPWR+VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0013367100, 0.0035735700, 0.0095536200, 0.0255408000, 0.0682810000, 0.1825430000");
values("1.4130460000, 1.4418117000, 1.5031490000, 1.6231015000, 1.8483722000, 2.2820541000, 3.2015108000", \
"1.4168309000, 1.4452768000, 1.5074194000, 1.6267835000, 1.8541229000, 2.2872584000, 3.2054250000", \
"1.4215475000, 1.4499121000, 1.5110583000, 1.6311581000, 1.8581952000, 2.2919964000, 3.2110576000", \
"1.4415813000, 1.4699979000, 1.5308925000, 1.6512804000, 1.8784181000, 2.3121440000, 3.2312448000", \
"1.5035336000, 1.5317939000, 1.5938258000, 1.7133143000, 1.9406459000, 2.3738052000, 3.2918825000", \
"1.5814244000, 1.6099325000, 1.6719199000, 1.7915638000, 2.0184947000, 2.4522635000, 3.3699865000", \
"1.6919167000, 1.7201619000, 1.7817761000, 1.9015172000, 2.1286399000, 2.5623297000, 3.4813783000", \
"1.7831762000, 1.8116151000, 1.8723798000, 1.9924784000, 2.2192956000, 2.6538562000, 3.5720409000", \
"1.9753392000, 2.0035809000, 2.0645610000, 2.1840174000, 2.4111526000, 2.8449754000, 3.7638630000", \
"2.0592941000, 2.0884960000, 2.1489950000, 2.2690700000, 2.4949482000, 2.9276712000, 3.8476364000", \
"2.1392494000, 2.1673907000, 2.2282600000, 2.3484528000, 2.5755693000, 3.0084815000, 3.9267078000");
}
cell_rise ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0013367100, 0.0035735700, 0.0095536200, 0.0255408000, 0.0682810000, 0.1825430000");
values("0.2749375000, 0.2910754000, 0.3288365000, 0.4193477000, 0.6536563000, 1.2632250000, 2.8666691000", \
"0.2789780000, 0.2952596000, 0.3330358000, 0.4236645000, 0.6575320000, 1.2666089000, 2.8709244000", \
"0.2836869000, 0.3001560000, 0.3381664000, 0.4284444000, 0.6633457000, 1.2730862000, 2.8757470000", \
"0.3016905000, 0.3180372000, 0.3559370000, 0.4462268000, 0.6810424000, 1.2906191000, 2.8931957000", \
"0.3499390000, 0.3660831000, 0.4038674000, 0.4944293000, 0.7278836000, 1.3375525000, 2.9410849000", \
"0.3964939000, 0.4127464000, 0.4503320000, 0.5408939000, 0.7744462000, 1.3840884000, 2.9870795000", \
"0.4546277000, 0.4710549000, 0.5090037000, 0.5993229000, 0.8324783000, 1.4430799000, 3.0452556000", \
"0.4921694000, 0.5085902000, 0.5465146000, 0.6367339000, 0.8707322000, 1.4786273000, 3.0821359000", \
"0.5501223000, 0.5665053000, 0.6043961000, 0.6946098000, 0.9285281000, 1.5377949000, 3.1403304000", \
"0.5662218000, 0.5825312000, 0.6199435000, 0.7103050000, 0.9442144000, 1.5535231000, 3.1558791000", \
"0.5761384000, 0.5924982000, 0.6298309000, 0.7201432000, 0.9539277000, 1.5618956000, 3.1653211000");
}
fall_transition ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0013367100, 0.0035735700, 0.0095536200, 0.0255408000, 0.0682810000, 0.1825430000");
values("0.1733822000, 0.1923886000, 0.2351236000, 0.3164231000, 0.4899817000, 0.8842027000, 1.9262740000", \
"0.1739147000, 0.1947399000, 0.2378683000, 0.3184643000, 0.4922960000, 0.8834564000, 1.9274398000", \
"0.1735675000, 0.1941010000, 0.2381642000, 0.3209238000, 0.4924243000, 0.8837939000, 1.9287665000", \
"0.1733636000, 0.1936452000, 0.2374497000, 0.3211259000, 0.4924237000, 0.8832304000, 1.9288324000", \
"0.1729681000, 0.1953442000, 0.2375660000, 0.3184453000, 0.4925087000, 0.8841674000, 1.9267541000", \
"0.1729974000, 0.1942652000, 0.2380007000, 0.3187355000, 0.4927727000, 0.8867944000, 1.9283954000", \
"0.1728476000, 0.1929685000, 0.2364468000, 0.3201619000, 0.4924400000, 0.8834534000, 1.9261532000", \
"0.1735553000, 0.1939687000, 0.2367316000, 0.3188129000, 0.4934712000, 0.8840724000, 1.9275779000", \
"0.1734084000, 0.1924400000, 0.2359138000, 0.3169563000, 0.4924786000, 0.8826448000, 1.9256647000", \
"0.1742088000, 0.1932782000, 0.2373229000, 0.3189386000, 0.4900800000, 0.8841484000, 1.9262441000", \
"0.1732546000, 0.1926576000, 0.2352259000, 0.3179046000, 0.4922131000, 0.8829800000, 1.9305817000");
}
related_pin : "A";
rise_transition ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0013367100, 0.0035735700, 0.0095536200, 0.0255408000, 0.0682810000, 0.1825430000");
values("0.0522047000, 0.0685701000, 0.1101066000, 0.2296403000, 0.5578411000, 1.4264563000, 3.7394896000", \
"0.0523328000, 0.0683580000, 0.1103136000, 0.2292409000, 0.5575040000, 1.4229309000, 3.7440788000", \
"0.0525112000, 0.0682722000, 0.1101612000, 0.2295794000, 0.5578793000, 1.4228292000, 3.7424104000", \
"0.0522724000, 0.0682582000, 0.1103532000, 0.2293867000, 0.5578346000, 1.4256523000, 3.7420000000", \
"0.0522452000, 0.0683383000, 0.1101712000, 0.2293632000, 0.5579003000, 1.4248838000, 3.7418628000", \
"0.0524561000, 0.0682206000, 0.1101171000, 0.2289102000, 0.5576872000, 1.4260541000, 3.7308965000", \
"0.0523785000, 0.0681603000, 0.1101495000, 0.2289248000, 0.5565055000, 1.4222743000, 3.7342107000", \
"0.0523581000, 0.0681199000, 0.1101057000, 0.2292690000, 0.5576661000, 1.4249244000, 3.7405421000", \
"0.0524529000, 0.0682649000, 0.1101966000, 0.2291727000, 0.5569121000, 1.4224089000, 3.7429553000", \
"0.0523569000, 0.0682711000, 0.1101565000, 0.2292338000, 0.5565466000, 1.4232070000, 3.7323953000", \
"0.0523875000, 0.0684187000, 0.1099996000, 0.2292280000, 0.5566358000, 1.4239576000, 3.7437053000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0013367100, 0.0035735700, 0.0095536200, 0.0255408000, 0.0682810000, 0.1825430000");
values("3.0192344000, 3.0488585000, 3.1126115000, 3.2383236000, 3.4728485000, 3.9229682000, 4.8615534000", \
"3.0124863000, 3.0420417000, 3.1063256000, 3.2314800000, 3.4671075000, 3.9161181000, 4.8548787000", \
"2.9916878000, 3.0215010000, 3.0852081000, 3.2110172000, 3.4453689000, 3.8957109000, 4.8337476000", \
"2.8640998000, 2.8934990000, 2.9578311000, 3.0830267000, 3.3191918000, 3.7682186000, 4.7066386000", \
"2.7315457000, 2.7612712000, 2.8249281000, 2.9508536000, 3.1852274000, 3.6349621000, 4.5734478000", \
"2.6692824000, 2.6987306000, 2.7628366000, 2.8876128000, 3.1234020000, 3.5722194000, 4.5110609000", \
"2.5873590000, 2.6168294000, 2.6810845000, 2.8061231000, 3.0421343000, 3.4909333000, 4.4293200000", \
"2.6022795000, 2.6319980000, 2.6958868000, 2.8211249000, 3.0576381000, 3.5048668000, 4.4437449000", \
"2.8864486000, 2.9160714000, 2.9798497000, 3.1051159000, 3.3412872000, 3.7890269000, 4.7278275000", \
"3.0801017000, 3.1101532000, 3.1732519000, 3.2991552000, 3.5342163000, 3.9831543000, 4.9226303000", \
"3.2652550000, 3.2954706000, 3.3613383000, 3.4883643000, 3.7249495000, 4.1751615000, 5.1150075000");
}
cell_rise ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0013367100, 0.0035735700, 0.0095536200, 0.0255408000, 0.0682810000, 0.1825430000");
values("0.1972530000, 0.2135976000, 0.2513293000, 0.3418539000, 0.5765726000, 1.1866120000, 2.7893730000", \
"0.1990691000, 0.2154430000, 0.2531753000, 0.3436504000, 0.5786585000, 1.1887142000, 2.7914233000", \
"0.2021769000, 0.2185154000, 0.2562354000, 0.3467744000, 0.5814842000, 1.1914995000, 2.7946123000", \
"0.2112498000, 0.2275482000, 0.2652297000, 0.3557118000, 0.5907334000, 1.2007336000, 2.8031499000", \
"0.2104502000, 0.2270832000, 0.2647907000, 0.3552809000, 0.5892934000, 1.1986714000, 2.8010654000", \
"0.1834625000, 0.2001003000, 0.2380257000, 0.3296836000, 0.5637313000, 1.1715126000, 2.7745897000", \
"0.1097727000, 0.1265926000, 0.1651501000, 0.2559160000, 0.4902040000, 1.0990229000, 2.7024036000", \
"0.0229732000, 0.0402594000, 0.0791159000, 0.1702969000, 0.4037243000, 1.0150160000, 2.6188349000", \
"-0.2510821000, -0.2330411000, -0.1926593000, -0.0997743000, 0.1338379000, 0.7483079000, 2.3573707000", \
"-0.4043889000, -0.3857312000, -0.3443106000, -0.2505408000, -0.0174373000, 0.5991999000, 2.2107334000", \
"-0.5625567000, -0.5435377000, -0.5010581000, -0.4060108000, -0.1725813000, 0.4442013000, 2.0588939000");
}
fall_transition ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0013367100, 0.0035735700, 0.0095536200, 0.0255408000, 0.0682810000, 0.1825430000");
values("0.1950376000, 0.2147624000, 0.2604039000, 0.3450598000, 0.5193684000, 0.9160984000, 1.9620012000", \
"0.1928252000, 0.2160055000, 0.2591711000, 0.3439381000, 0.5213027000, 0.9143286000, 1.9623292000", \
"0.1953537000, 0.2145043000, 0.2587898000, 0.3449797000, 0.5192739000, 0.9153149000, 1.9625681000", \
"0.1923904000, 0.2159796000, 0.2621574000, 0.3458218000, 0.5197562000, 0.9149345000, 1.9626110000", \
"0.1951326000, 0.2142315000, 0.2598984000, 0.3447920000, 0.5194639000, 0.9144123000, 1.9598145000", \
"0.1950904000, 0.2153147000, 0.2591150000, 0.3445710000, 0.5209214000, 0.9129352000, 1.9597146000", \
"0.1926682000, 0.2131673000, 0.2590247000, 0.3449752000, 0.5207805000, 0.9146018000, 1.9616586000", \
"0.1951841000, 0.2161465000, 0.2597159000, 0.3448522000, 0.5198912000, 0.9151405000, 1.9614264000", \
"0.1942221000, 0.2147899000, 0.2591471000, 0.3449992000, 0.5203959000, 0.9147580000, 1.9617405000", \
"0.1936407000, 0.2159145000, 0.2616788000, 0.3467652000, 0.5199527000, 0.9175907000, 1.9576445000", \
"0.2022629000, 0.2244463000, 0.2674245000, 0.3524971000, 0.5285276000, 0.9200856000, 1.9636328000");
}
related_pin : "SLEEP_B";
rise_transition ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0013367100, 0.0035735700, 0.0095536200, 0.0255408000, 0.0682810000, 0.1825430000");
values("0.0523245000, 0.0682146000, 0.1102492000, 0.2294883000, 0.5582305000, 1.4276124000, 3.7369166000", \
"0.0523570000, 0.0683763000, 0.1102751000, 0.2293698000, 0.5584069000, 1.4267623000, 3.7339198000", \
"0.0523038000, 0.0682368000, 0.1102146000, 0.2294772000, 0.5581873000, 1.4273649000, 3.7432439000", \
"0.0523248000, 0.0683495000, 0.1101917000, 0.2290551000, 0.5584819000, 1.4231062000, 3.7414205000", \
"0.0539055000, 0.0696818000, 0.1112354000, 0.2294771000, 0.5576702000, 1.4234014000, 3.7315919000", \
"0.0537452000, 0.0699937000, 0.1123098000, 0.2310422000, 0.5576465000, 1.4226933000, 3.7356047000", \
"0.0551054000, 0.0716862000, 0.1130385000, 0.2304942000, 0.5580114000, 1.4212667000, 3.7340318000", \
"0.0563813000, 0.0730849000, 0.1149414000, 0.2314096000, 0.5582824000, 1.4275247000, 3.7422372000", \
"0.0606099000, 0.0779479000, 0.1204543000, 0.2348549000, 0.5596029000, 1.4307568000, 3.7463193000", \
"0.0627493000, 0.0807660000, 0.1236072000, 0.2374692000, 0.5609962000, 1.4358869000, 3.7446033000", \
"0.0653024000, 0.0837460000, 0.1269301000, 0.2397376000, 0.5622761000, 1.4371000000, 3.7529411000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
}
}
cell ("sky130_fd_sc_hvl__lsbuflv2hv_symmetric_1") {
leakage_power () {
value : 0.1282394000;
when : "A";
}
leakage_power () {
value : 0.1684628000;
when : "!A";
}
area : 89.86560000;
cell_footprint : "sky130_fd_sc_hvl__lsbuflv2hv_symmetric";
cell_leakage_power : 0.1483511000;
input_voltage_range(1.2800000000, 1.9500000000);
output_voltage_range(1.6500000000, 5.5000000000);
is_level_shifter : "true";
level_shifter_type : "LH";
pg_pin ("LVPWR") {
pg_type : "primary_power";
voltage_name : "LVPWR";
}
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0025380000;
clock : "false";
direction : "input";
fall_capacitance : 0.0024500000;
input_signal_level : "LVPWR";
level_shifter_data_pin : "true";
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "LVPWR";
rise_capacitance : 0.0026250000;
}
pin ("X") {
direction : "output";
function : "A";
internal_power () {
fall_power ("power_outputs_5") {
index_1("0.0100000000, 0.0173500000, 0.0260200000, 0.0390300000, 0.0585500000, 0.0878200000, 0.1317200000, 0.1975700000, 0.2963400000, 0.4444900000, 0.6667000000, 1.0000000000, 1.5000000000, 2.0000000000, 2.5000000000");
index_2("0.0005000000, 0.0011707160, 0.0027411500, 0.0064182150, 0.0150278100, 0.0351865800, 0.0823869600, 0.1929034000, 0.4516701000");
values("0.1861381000, 0.1836948000, 0.1788910000, 0.1654174000, 0.1299133000, 0.0426752000, -0.1646347000, -0.6515684000, -1.7924299000", \
"0.1866993000, 0.1842939000, 0.1793640000, 0.1659627000, 0.1303076000, 0.0432021000, -0.1641424000, -0.6510461000, -1.7919161000", \
"0.1864852000, 0.1839772000, 0.1790445000, 0.1658180000, 0.1302171000, 0.0430721000, -0.1643597000, -0.6513203000, -1.7921746000", \
"0.1863048000, 0.1837671000, 0.1789844000, 0.1655325000, 0.1299635000, 0.0427713000, -0.1645065000, -0.6515568000, -1.7924675000", \
"0.1862090000, 0.1838169000, 0.1788889000, 0.1654865000, 0.1298337000, 0.0427216000, -0.1646282000, -0.6516086000, -1.7923305000", \
"0.1860671000, 0.1835338000, 0.1787304000, 0.1652933000, 0.1297467000, 0.0425685000, -0.1648040000, -0.6517274000, -1.7925648000", \
"0.1860928000, 0.1836633000, 0.1785479000, 0.1653050000, 0.1297950000, 0.0426192000, -0.1647609000, -0.6518151000, -1.7924116000", \
"0.1857382000, 0.1833695000, 0.1783636000, 0.1651426000, 0.1296232000, 0.0424155000, -0.1648988000, -0.6519212000, -1.7928176000", \
"0.1856575000, 0.1832699000, 0.1782469000, 0.1649856000, 0.1294451000, 0.0422204000, -0.1650369000, -0.6520717000, -1.7930644000", \
"0.1858450000, 0.1835115000, 0.1786304000, 0.1651641000, 0.1295476000, 0.0424001000, -0.1649944000, -0.6519228000, -1.7928268000", \
"0.1863344000, 0.1839715000, 0.1789781000, 0.1656487000, 0.1300277000, 0.0428825000, -0.1644985000, -0.6513814000, -1.7922404000", \
"0.1877529000, 0.1854098000, 0.1805080000, 0.1670973000, 0.1315547000, 0.0442867000, -0.1630096000, -0.6499271000, -1.7907906000", \
"0.1931102000, 0.1900991000, 0.1830564000, 0.1682322000, 0.1328685000, 0.0456998000, -0.1615762000, -0.6484812000, -1.7893614000", \
"0.1978972000, 0.1949510000, 0.1880929000, 0.1721673000, 0.1345673000, 0.0478158000, -0.1594970000, -0.6463984000, -1.7872620000", \
"0.2008719000, 0.1979060000, 0.1910788000, 0.1751521000, 0.1377026000, 0.0499569000, -0.1573463000, -0.6442191000, -1.7850755000");
}
related_pin : "A";
rise_power ("power_outputs_5") {
index_1("0.0100000000, 0.0173500000, 0.0260200000, 0.0390300000, 0.0585500000, 0.0878200000, 0.1317200000, 0.1975700000, 0.2963400000, 0.4444900000, 0.6667000000, 1.0000000000, 1.5000000000, 2.0000000000, 2.5000000000");
index_2("0.0005000000, 0.0011707160, 0.0027411500, 0.0064182150, 0.0150278100, 0.0351865800, 0.0823869600, 0.1929034000, 0.4516701000");
values("0.2642217000, 0.2677602000, 0.2770191000, 0.2985540000, 0.3456817000, 0.4349618000, 0.6408819000, 1.1232533000, 2.2524285000", \
"0.2637462000, 0.2673044000, 0.2765540000, 0.2981149000, 0.3453757000, 0.4346300000, 0.6401235000, 1.1227217000, 2.2538512000", \
"0.2635660000, 0.2670281000, 0.2763149000, 0.2978973000, 0.3449684000, 0.4344268000, 0.6401419000, 1.1233083000, 2.2514510000", \
"0.2633133000, 0.2667777000, 0.2760638000, 0.2976426000, 0.3447156000, 0.4341835000, 0.6398901000, 1.1230563000, 2.2512073000", \
"0.2629749000, 0.2664802000, 0.2754894000, 0.2973714000, 0.3443666000, 0.4339407000, 0.6392781000, 1.1218804000, 2.2533559000", \
"0.2626162000, 0.2661248000, 0.2752126000, 0.2970110000, 0.3440418000, 0.4335724000, 0.6389472000, 1.1221613000, 2.2526607000", \
"0.2620837000, 0.2657383000, 0.2748885000, 0.2962638000, 0.3437935000, 0.4329261000, 0.6384639000, 1.1217293000, 2.2503022000", \
"0.2607920000, 0.2644577000, 0.2734597000, 0.2950304000, 0.3424967000, 0.4315040000, 0.6371519000, 1.1197774000, 2.2503139000", \
"0.2600672000, 0.2638228000, 0.2730267000, 0.2947556000, 0.3418610000, 0.4308769000, 0.6368963000, 1.1199855000, 2.2484135000", \
"0.2595627000, 0.2633634000, 0.2723991000, 0.2940973000, 0.3411990000, 0.4306761000, 0.6360915000, 1.1192309000, 2.2476690000", \
"0.2595521000, 0.2631671000, 0.2722493000, 0.2938123000, 0.3410273000, 0.4304449000, 0.6364951000, 1.1191188000, 2.2497298000", \
"0.2598398000, 0.2636951000, 0.2728356000, 0.2941382000, 0.3414315000, 0.4309010000, 0.6362386000, 1.1189526000, 2.2494235000", \
"0.2711545000, 0.2740380000, 0.2808550000, 0.2971423000, 0.3414825000, 0.4307458000, 0.6362002000, 1.1193237000, 2.2495721000", \
"0.2802647000, 0.2830963000, 0.2899132000, 0.3062672000, 0.3441406000, 0.4320160000, 0.6371059000, 1.1210782000, 2.2510226000", \
"0.2806976000, 0.2835736000, 0.2904368000, 0.3067722000, 0.3447834000, 0.4326335000, 0.6382726000, 1.1212794000, 2.2493388000");
}
}
max_capacitance : 0.4516700000;
max_transition : 5.0139680000;
power_down_function : "(!LVPWR+!VPWR+VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_15_9") {
index_1("0.0100000000, 0.0173500000, 0.0260200000, 0.0390300000, 0.0585500000, 0.0878200000, 0.1317200000, 0.1975700000, 0.2963400000, 0.4444900000, 0.6667000000, 1.0000000000, 1.5000000000, 2.0000000000, 2.5000000000");
index_2("0.0005000000, 0.0011707200, 0.0027411500, 0.0064182200, 0.0150278000, 0.0351866000, 0.0823870000, 0.1929030000, 0.4516700000");
values("0.4368926000, 0.4456971000, 0.4638893000, 0.4994141000, 0.5692254000, 0.7176808000, 1.0591386000, 1.8595193000, 3.7332271000", \
"0.4400924000, 0.4487927000, 0.4670245000, 0.5026311000, 0.5724028000, 0.7207943000, 1.0622700000, 1.8630100000, 3.7394177000", \
"0.4433903000, 0.4521288000, 0.4701593000, 0.5057800000, 0.5756000000, 0.7240247000, 1.0660312000, 1.8658282000, 3.7424575000", \
"0.4483282000, 0.4571343000, 0.4753076000, 0.5107865000, 0.5806062000, 0.7290855000, 1.0703263000, 1.8709101000, 3.7493866000", \
"0.4565710000, 0.4653657000, 0.4835945000, 0.5191974000, 0.5889713000, 0.7373657000, 1.0788302000, 1.8789723000, 3.7541383000", \
"0.4694817000, 0.4782870000, 0.4964762000, 0.5319953000, 0.6018080000, 0.7502672000, 1.0914863000, 1.8920621000, 3.7677945000", \
"0.4898116000, 0.4985333000, 0.5165924000, 0.5522178000, 0.6220435000, 0.7704499000, 1.1124677000, 1.9122348000, 3.7889332000", \
"0.5197451000, 0.5284788000, 0.5465110000, 0.5821950000, 0.6520199000, 0.8005217000, 1.1419092000, 1.9423898000, 3.8208237000", \
"0.5644438000, 0.5731012000, 0.5911749000, 0.6268021000, 0.6966389000, 0.8451612000, 1.1866503000, 1.9870552000, 3.8626053000", \
"0.6268367000, 0.6355306000, 0.6536756000, 0.6892165000, 0.7590570000, 0.9075899000, 1.2490425000, 2.0495183000, 3.9276369000", \
"0.7084758000, 0.7172079000, 0.7352646000, 0.7708796000, 0.8406860000, 0.9891999000, 1.3311664000, 2.1311373000, 4.0059533000", \
"0.8126081000, 0.8212983000, 0.8393579000, 0.8747509000, 0.9447437000, 1.0932072000, 1.4353257000, 2.2350533000, 4.1114196000", \
"0.9430335000, 0.9517048000, 0.9698748000, 1.0054049000, 1.0753128000, 1.2237307000, 1.5659435000, 2.3657303000, 4.2425765000", \
"1.0558181000, 1.0645602000, 1.0826181000, 1.1181801000, 1.1879873000, 1.3365850000, 1.6778515000, 2.4787681000, 4.3534527000", \
"1.1577681000, 1.1663988000, 1.1846638000, 1.2202869000, 1.2900507000, 1.4387699000, 1.7805033000, 2.5807991000, 4.4560564000");
}
cell_rise ("del_1_15_9") {
index_1("0.0100000000, 0.0173500000, 0.0260200000, 0.0390300000, 0.0585500000, 0.0878200000, 0.1317200000, 0.1975700000, 0.2963400000, 0.4444900000, 0.6667000000, 1.0000000000, 1.5000000000, 2.0000000000, 2.5000000000");
index_2("0.0005000000, 0.0011707200, 0.0027411500, 0.0064182200, 0.0150278000, 0.0351866000, 0.0823870000, 0.1929030000, 0.4516700000");
values("0.5602896000, 0.5697808000, 0.5894005000, 0.6278410000, 0.7022391000, 0.8619656000, 1.2281383000, 2.0722155000, 4.0511545000", \
"0.5637392000, 0.5733586000, 0.5930609000, 0.6315083000, 0.7060446000, 0.8656480000, 1.2315258000, 2.0759778000, 4.0546273000", \
"0.5666909000, 0.5762096000, 0.5958597000, 0.6344988000, 0.7089824000, 0.8686667000, 1.2347862000, 2.0796929000, 4.0554791000", \
"0.5715299000, 0.5810503000, 0.6007020000, 0.6393446000, 0.7138289000, 0.8735039000, 1.2396093000, 2.0845377000, 4.0603911000", \
"0.5792228000, 0.5887316000, 0.6083793000, 0.6469871000, 0.7214714000, 0.8811995000, 1.2470253000, 2.0911313000, 4.0704413000", \
"0.5901601000, 0.5996815000, 0.6193347000, 0.6579801000, 0.7324646000, 0.8920942000, 1.2582232000, 2.1022042000, 4.0801122000", \
"0.6052081000, 0.6145698000, 0.6345974000, 0.6729556000, 0.7474217000, 0.9068334000, 1.2729485000, 2.1178779000, 4.0947459000", \
"0.6236168000, 0.6331670000, 0.6527897000, 0.6914508000, 0.7659002000, 0.9255791000, 1.2915202000, 2.1359308000, 4.1131103000", \
"0.6455547000, 0.6550731000, 0.6747474000, 0.7134061000, 0.7878663000, 0.9473982000, 1.3134778000, 2.1579394000, 4.1377510000", \
"0.6707032000, 0.6803449000, 0.7000395000, 0.7384948000, 0.8130306000, 0.9726253000, 1.3387331000, 2.1837721000, 4.1591952000", \
"0.6987758000, 0.7083273000, 0.7280822000, 0.7664158000, 0.8408829000, 1.0003300000, 1.3666858000, 2.2120163000, 4.1894941000", \
"0.7277041000, 0.7371605000, 0.7569266000, 0.7952291000, 0.8695853000, 1.0294796000, 1.3953380000, 2.2393607000, 4.2186712000", \
"0.7546598000, 0.7643280000, 0.7837035000, 0.8222843000, 0.8968832000, 1.0561266000, 1.4223940000, 2.2667650000, 4.2455515000", \
"0.7707279000, 0.7800691000, 0.7997434000, 0.8382510000, 0.9128728000, 1.0725407000, 1.4384007000, 2.2832110000, 4.2615733000", \
"0.7787247000, 0.7882411000, 0.8079163000, 0.8465751000, 0.9210300000, 1.0806943000, 1.4465691000, 2.2908515000, 4.2659138000");
}
fall_transition ("del_1_15_9") {
index_1("0.0100000000, 0.0173500000, 0.0260200000, 0.0390300000, 0.0585500000, 0.0878200000, 0.1317200000, 0.1975700000, 0.2963400000, 0.4444900000, 0.6667000000, 1.0000000000, 1.5000000000, 2.0000000000, 2.5000000000");
index_2("0.0005000000, 0.0011707200, 0.0027411500, 0.0064182200, 0.0150278000, 0.0351866000, 0.0823870000, 0.1929030000, 0.4516700000");
values("0.0460861000, 0.0532741000, 0.0685962000, 0.1012147000, 0.1758601000, 0.3608721000, 0.8113576000, 1.8727198000, 4.3551958000", \
"0.0458176000, 0.0532844000, 0.0686185000, 0.1010387000, 0.1761250000, 0.3614419000, 0.8090457000, 1.8686719000, 4.3464126000", \
"0.0459086000, 0.0525360000, 0.0685994000, 0.1010874000, 0.1766016000, 0.3616583000, 0.8115391000, 1.8718926000, 4.3479287000", \
"0.0461164000, 0.0532614000, 0.0685770000, 0.1012679000, 0.1760662000, 0.3608363000, 0.8119418000, 1.8709323000, 4.3526867000", \
"0.0461764000, 0.0532825000, 0.0686167000, 0.1010480000, 0.1761248000, 0.3614177000, 0.8115403000, 1.8736561000, 4.3459871000", \
"0.0460926000, 0.0532736000, 0.0685947000, 0.1012232000, 0.1758331000, 0.3608164000, 0.8116031000, 1.8732820000, 4.3461648000", \
"0.0457698000, 0.0529737000, 0.0685417000, 0.1010085000, 0.1766260000, 0.3616743000, 0.8112591000, 1.8722328000, 4.3460315000", \
"0.0454676000, 0.0531873000, 0.0684764000, 0.1013063000, 0.1762337000, 0.3611179000, 0.8121022000, 1.8715716000, 4.3509156000", \
"0.0455234000, 0.0531610000, 0.0685241000, 0.1013394000, 0.1762594000, 0.3613252000, 0.8121905000, 1.8691146000, 4.3540691000", \
"0.0455167000, 0.0531261000, 0.0686378000, 0.1013358000, 0.1761933000, 0.3613680000, 0.8118976000, 1.8719883000, 4.3511457000", \
"0.0459291000, 0.0530482000, 0.0686892000, 0.1014250000, 0.1764539000, 0.3615634000, 0.8122958000, 1.8720892000, 4.3553401000", \
"0.0457411000, 0.0528332000, 0.0682083000, 0.1011912000, 0.1765575000, 0.3615299000, 0.8108356000, 1.8709432000, 4.3490225000", \
"0.0456169000, 0.0532923000, 0.0687401000, 0.1009566000, 0.1763323000, 0.3611710000, 0.8109194000, 1.8732582000, 4.3496238000", \
"0.0458440000, 0.0531013000, 0.0690494000, 0.1015448000, 0.1769381000, 0.3618824000, 0.8089239000, 1.8710260000, 4.3545194000", \
"0.0459587000, 0.0538178000, 0.0692024000, 0.1014912000, 0.1769259000, 0.3615501000, 0.8113297000, 1.8712467000, 4.3542743000");
}
related_pin : "A";
rise_transition ("del_1_15_9") {
index_1("0.0100000000, 0.0173500000, 0.0260200000, 0.0390300000, 0.0585500000, 0.0878200000, 0.1317200000, 0.1975700000, 0.2963400000, 0.4444900000, 0.6667000000, 1.0000000000, 1.5000000000, 2.0000000000, 2.5000000000");
index_2("0.0005000000, 0.0011707200, 0.0027411500, 0.0064182200, 0.0150278000, 0.0351866000, 0.0823870000, 0.1929030000, 0.4516700000");
values("0.0545486000, 0.0627456000, 0.0807401000, 0.1184273000, 0.2056359000, 0.4237939000, 0.9380153000, 2.1514677000, 5.0077231000", \
"0.0545096000, 0.0629104000, 0.0808562000, 0.1182508000, 0.2054098000, 0.4239668000, 0.9380869000, 2.1511879000, 5.0139684000", \
"0.0545818000, 0.0630201000, 0.0805661000, 0.1183638000, 0.2050133000, 0.4225078000, 0.9408541000, 2.1492785000, 4.9973328000", \
"0.0545676000, 0.0630195000, 0.0805537000, 0.1183658000, 0.2050609000, 0.4225347000, 0.9408420000, 2.1494384000, 4.9970801000", \
"0.0546769000, 0.0630887000, 0.0805352000, 0.1183442000, 0.2052390000, 0.4223301000, 0.9387545000, 2.1501010000, 5.0042561000", \
"0.0546375000, 0.0631138000, 0.0805451000, 0.1183663000, 0.2051001000, 0.4226808000, 0.9408252000, 2.1518383000, 5.0104036000", \
"0.0545378000, 0.0626561000, 0.0808434000, 0.1182156000, 0.2051814000, 0.4225655000, 0.9414569000, 2.1543560000, 4.9995323000", \
"0.0545244000, 0.0629400000, 0.0805551000, 0.1179500000, 0.2056070000, 0.4231980000, 0.9380076000, 2.1510991000, 5.0001168000", \
"0.0544861000, 0.0630202000, 0.0805413000, 0.1183548000, 0.2055198000, 0.4227582000, 0.9381668000, 2.1517896000, 5.0059104000", \
"0.0545155000, 0.0628900000, 0.0808639000, 0.1182308000, 0.2055441000, 0.4227494000, 0.9405534000, 2.1510642000, 4.9992503000", \
"0.0543479000, 0.0627256000, 0.0806817000, 0.1185421000, 0.2054714000, 0.4228107000, 0.9411913000, 2.1513209000, 5.0136491000", \
"0.0541294000, 0.0626396000, 0.0807207000, 0.1185331000, 0.2055254000, 0.4224264000, 0.9387925000, 2.1500486000, 5.0046216000", \
"0.0545016000, 0.0630791000, 0.0805518000, 0.1183603000, 0.2059393000, 0.4224162000, 0.9408884000, 2.1515336000, 5.0131050000", \
"0.0546615000, 0.0630217000, 0.0805478000, 0.1183099000, 0.2054739000, 0.4223779000, 0.9379298000, 2.1522102000, 5.0102216000", \
"0.0544700000, 0.0630317000, 0.0805517000, 0.1183606000, 0.2055449000, 0.4225540000, 0.9394374000, 2.1509132000, 5.0022217000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
}
}
}