blob: c62802e9f080faff3cadfeea087cb3a061e698d4 [file] [log] [blame]
library ("sky130_fd_sc_hvl__hvss_lvff_n40C_1v65") {
define(clk_width,library,string);
define(def_sim_opt,library,string);
define(default_arc_mode,library,string);
define(default_constraint_arc_mode,library,string);
define(driver_model,library,string);
define(leakage_sim_opt,library,string);
define(min_pulse_width_mode,library,string);
define(simulator,library,string);
define(switching_power_split_model,library,string);
define(tran_timestep,library,string);
technology("cmos");
delay_model : "table_lookup";
bus_naming_style : "%s[%d]";
time_unit : "1ns";
voltage_unit : "1V";
leakage_power_unit : "1nW";
current_unit : "1mA";
pulling_resistance_unit : "1kohm";
capacitive_load_unit(1.0000000000, "pf");
revision : 1.0000000000;
default_cell_leakage_power : 0.0000000000;
default_fanout_load : 0.0000000000;
default_inout_pin_cap : 0.0000000000;
default_input_pin_cap : 0.0000000000;
default_max_transition : 3.7500000000;
default_output_pin_cap : 0.0000000000;
default_arc_mode : "worst_edges";
default_constraint_arc_mode : "worst";
default_leakage_power_density : 0.0000000000;
default_operating_conditions : "hvss_lvff_n40C_1v65";
clk_width : "0.0000000400";
operating_conditions ("hvss_lvff_n40C_1v65") {
voltage : 1.6500000000;
process : 1.0000000000;
temperature : -40.000000000;
tree_type : "balanced_tree";
}
power_lut_template ("power_inputs_1") {
variable_1 : "input_transition_time";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11");
}
power_lut_template ("power_outputs_1") {
variable_1 : "input_transition_time";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15");
index_2("1, 2, 3, 4, 5, 6, 7");
}
power_lut_template ("power_outputs_2") {
variable_1 : "input_transition_time";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13");
index_2("1, 2, 3, 4, 5, 6, 7, 8, 9");
}
power_lut_template ("power_outputs_3") {
variable_1 : "input_transition_time";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11");
index_2("1, 2, 3, 4, 5, 6, 7");
}
power_lut_template ("power_outputs_4") {
variable_1 : "input_transition_time";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11");
index_2("1, 2, 3, 4, 5, 6, 7, 8, 9");
}
power_lut_template ("power_outputs_5") {
variable_1 : "input_transition_time";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15");
index_2("1, 2, 3, 4, 5, 6, 7, 8, 9");
}
lu_table_template ("del_1_11_7") {
variable_1 : "input_net_transition";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11");
index_2("1, 2, 3, 4, 5, 6, 7");
}
lu_table_template ("del_1_11_9") {
variable_1 : "input_net_transition";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11");
index_2("1, 2, 3, 4, 5, 6, 7, 8, 9");
}
lu_table_template ("del_1_13_9") {
variable_1 : "input_net_transition";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13");
index_2("1, 2, 3, 4, 5, 6, 7, 8, 9");
}
lu_table_template ("del_1_15_7") {
variable_1 : "input_net_transition";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15");
index_2("1, 2, 3, 4, 5, 6, 7");
}
lu_table_template ("del_1_15_9") {
variable_1 : "input_net_transition";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15");
index_2("1, 2, 3, 4, 5, 6, 7, 8, 9");
}
lu_table_template ("driver_waveform_template") {
variable_1 : "input_net_transition";
variable_2 : "normalized_voltage";
index_1("1, 2");
index_2("1, 2");
}
normalized_driver_waveform ("driver_waveform_template") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0000000000, 0.5000000000, 1.0000000000");
driver_waveform_name : "ramp";
values("0.0000000000, 0.0008333333, 0.0016666667", \
"0.0000000000, 0.0108333330, 0.0216666670", \
"0.0000000000, 0.0216666670, 0.0433333330", \
"0.0000000000, 0.0600000000, 0.1200000000", \
"0.0000000000, 0.1800000000, 0.3600000000", \
"0.0000000000, 0.3600000000, 0.7200000000", \
"0.0000000000, 0.7200000000, 1.4400000000", \
"0.0000000000, 1.0800000000, 2.1600000000", \
"0.0000000000, 2.0833333000, 4.1666667000", \
"0.0000000000, 2.6041667000, 5.2083333000", \
"0.0000000000, 3.1249999000, 6.2499998000");
}
library_features("report_delay_calculation");
voltage_map("VSS", 0.0000000000);
voltage_map("LOWHVPWR", 1.6500000000);
voltage_map("LVPWR", 1.6500000000);
voltage_map("VGND", 0.0000000000);
voltage_map("VNB", 0.0000000000);
voltage_map("VPB", 1.6500000000);
voltage_map("VPWR", 1.6500000000);
driver_model : "ramp";
in_place_swap_mode : "match_footprint";
input_threshold_pct_fall : 50.000000000;
input_threshold_pct_rise : 50.000000000;
min_pulse_width_mode : "max";
nom_process : 1.0000000000;
nom_temperature : -40.000000000;
nom_voltage : 1.6500000000;
output_threshold_pct_fall : 50.000000000;
output_threshold_pct_rise : 50.000000000;
simulation : "true";
slew_derate_from_library : 1.0000000000;
slew_lower_threshold_pct_fall : 20.000000000;
slew_lower_threshold_pct_rise : 20.000000000;
slew_upper_threshold_pct_fall : 80.00000000;
slew_upper_threshold_pct_rise : 80.00000000;
switching_power_split_model : "true";
tran_timestep : "0.0000000000";
cell ("sky130_fd_sc_hvl__lsbufhv2hv_hl_1") {
leakage_power () {
value : 0.0136142000;
when : "A";
}
leakage_power () {
value : 0.0136160000;
when : "!A";
}
area : 70.32960000;
cell_footprint : "sky130_fd_sc_hvl__lsbufhv2hv_hl";
cell_leakage_power : 0.0136151100;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
is_level_shifter : "true";
level_shifter_type : "HL";
pg_pin ("LOWHVPWR") {
pg_type : "primary_power";
voltage_name : "LOWHVPWR";
}
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0020070000;
clock : "false";
input_voltage_range(1.3200000000, 5.5000000000);
direction : "input";
fall_capacitance : 0.0019390000;
input_signal_level : "VPWR";
level_shifter_data_pin : "true";
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0020740000;
}
pin ("X") {
output_voltage_range(1.0000000000, 5.5000000000);
direction : "output";
function : "A";
internal_power () {
fall_power ("power_outputs_3") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0016690600, 0.0055715300, 0.0185985000, 0.0620839000, 0.2072440000, 0.6918050000");
values("0.0149294000, 0.0137621000, 0.0089017000, -0.0084055000, -0.0674980000, -0.2649534000, -0.9248185000", \
"0.0149830000, 0.0136763000, 0.0088903000, -0.0084235000, -0.0675368000, -0.2651072000, -0.9246986000", \
"0.0149641000, 0.0135949000, 0.0088528000, -0.0084474000, -0.0675388000, -0.2651580000, -0.9247260000", \
"0.0148903000, 0.0135700000, 0.0087832000, -0.0085125000, -0.0676043000, -0.2651844000, -0.9247898000", \
"0.0147340000, 0.0133817000, 0.0086192000, -0.0086272000, -0.0677102000, -0.2653307000, -0.9248583000", \
"0.0145873000, 0.0132696000, 0.0084734000, -0.0087932000, -0.0678267000, -0.2654778000, -0.9250743000", \
"0.0144334000, 0.0130818000, 0.0082591000, -0.0090046000, -0.0679891000, -0.2655706000, -0.9252032000", \
"0.0143395000, 0.0129888000, 0.0081395000, -0.0091352000, -0.0680723000, -0.2656139000, -0.9253873000", \
"0.0141285000, 0.0127523000, 0.0078783000, -0.0093914000, -0.0682426000, -0.2657360000, -0.9253413000", \
"0.0140808000, 0.0127040000, 0.0078266000, -0.0094654000, -0.0683000000, -0.2657705000, -0.9253667000", \
"0.0139867000, 0.0126545000, 0.0077742000, -0.0095229000, -0.0683565000, -0.2657921000, -0.9253803000");
}
related_pin : "A";
rise_power ("power_outputs_3") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0016690600, 0.0055715300, 0.0185985000, 0.0620839000, 0.2072440000, 0.6918050000");
values("0.0093481000, 0.0111023000, 0.0166959000, 0.0345390000, 0.0931773000, 0.2888707000, 0.9416946000", \
"0.0093304000, 0.0110895000, 0.0166856000, 0.0344230000, 0.0932807000, 0.2887376000, 0.9416029000", \
"0.0093330000, 0.0110763000, 0.0167027000, 0.0345208000, 0.0930859000, 0.2886989000, 0.9420062000", \
"0.0092883000, 0.0110385000, 0.0166260000, 0.0344804000, 0.0931882000, 0.2886685000, 0.9415907000", \
"0.0091636000, 0.0109058000, 0.0164905000, 0.0343323000, 0.0930140000, 0.2885591000, 0.9418109000", \
"0.0090254000, 0.0107616000, 0.0163475000, 0.0341993000, 0.0929679000, 0.2884969000, 0.9413188000", \
"0.0088222000, 0.0105249000, 0.0160436000, 0.0339784000, 0.0928278000, 0.2883578000, 0.9412773000", \
"0.0086590000, 0.0103670000, 0.0158666000, 0.0337673000, 0.0925261000, 0.2882383000, 0.9411639000", \
"0.0084442000, 0.0101861000, 0.0156469000, 0.0335328000, 0.0924644000, 0.2881360000, 0.9411290000", \
"0.0084773000, 0.0101435000, 0.0156438000, 0.0334579000, 0.0923785000, 0.2880253000, 0.9412037000", \
"0.0085769000, 0.0102446000, 0.0155516000, 0.0332659000, 0.0922314000, 0.2880434000, 0.9408983000");
}
}
max_capacitance : 0.1001460000;
max_transition : 25.226464000;
power_down_function : "(!LOWHVPWR+!VPWR+VGND)";
related_ground_pin : "VGND";
related_power_pin : "LOWHVPWR";
timing () {
cell_fall ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0016690600, 0.0055715300, 0.0185985000, 0.0620839000, 0.2072440000, 0.6918050000");
values("0.6943596000, 0.7337267000, 0.8403942000, 1.1268159000, 2.0110100000, 4.9381641000, 14.700225900", \
"0.6998560000, 0.7391759000, 0.8449835000, 1.1321877000, 2.0147883000, 4.9412048000, 14.729820000", \
"0.7058770000, 0.7443436000, 0.8518782000, 1.1380169000, 2.0217830000, 4.9459099000, 14.711710100", \
"0.7289851000, 0.7681844000, 0.8749070000, 1.1633726000, 2.0471693000, 4.9701234000, 14.742957100", \
"0.8105049000, 0.8498110000, 0.9570182000, 1.2438441000, 2.1280342000, 5.0507962000, 14.828696500", \
"0.9346123000, 0.9745044000, 1.0809145000, 1.3676652000, 2.2519053000, 5.1828817000, 14.952590300", \
"1.1875404000, 1.2266472000, 1.3328710000, 1.6191618000, 2.5042941000, 5.4346883000, 15.217510800", \
"1.4451353000, 1.4837475000, 1.5893065000, 1.8757251000, 2.7619333000, 5.6952945000, 15.474334700", \
"2.1562543000, 2.1953392000, 2.3014655000, 2.5872922000, 3.4743617000, 6.4092567000, 16.194363200", \
"2.5067508000, 2.5469458000, 2.6560445000, 2.9447460000, 3.8312464000, 6.7598340000, 16.553615400", \
"2.8409672000, 2.8832923000, 2.9954501000, 3.2876828000, 4.1735220000, 7.0988029000, 16.890565400");
}
cell_rise ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0016690600, 0.0055715300, 0.0185985000, 0.0620839000, 0.2072440000, 0.6918050000");
values("0.5041332000, 0.5449792000, 0.6646041000, 1.0260665000, 2.2019855000, 6.1289702000, 19.233825200", \
"0.5094531000, 0.5509153000, 0.6702077000, 1.0302005000, 2.2074640000, 6.1273551000, 19.200650000", \
"0.5165975000, 0.5577570000, 0.6768807000, 1.0383270000, 2.2191466000, 6.1362919000, 19.244501100", \
"0.5405916000, 0.5815055000, 0.7010349000, 1.0621071000, 2.2429201000, 6.1641634000, 19.257743800", \
"0.6165656000, 0.6574328000, 0.7763821000, 1.1386510000, 2.3161790000, 6.2408425000, 19.324272000", \
"0.7325046000, 0.7733439000, 0.8926063000, 1.2544189000, 2.4349918000, 6.3623706000, 19.456559000", \
"0.9683614000, 1.0090783000, 1.1276697000, 1.4897529000, 2.6686737000, 6.5876660000, 19.682042700", \
"1.2008834000, 1.2413262000, 1.3605519000, 1.7224244000, 2.9030571000, 6.8306378000, 19.952134200", \
"1.7787205000, 1.8227730000, 1.9472388000, 2.3106563000, 3.4918071000, 7.4260922000, 20.534233900", \
"2.0457516000, 2.0915889000, 2.2187880000, 2.5835654000, 3.7631613000, 7.6838553000, 20.792185800", \
"2.2978255000, 2.3462039000, 2.4755309000, 2.8423734000, 4.0234625000, 7.9483389000, 21.035035000");
}
fall_transition ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0016690600, 0.0055715300, 0.0185985000, 0.0620839000, 0.2072440000, 0.6918050000");
values("0.1472436000, 0.1797269000, 0.2793473000, 0.6131226000, 1.7675402000, 5.6572440000, 18.649464200", \
"0.1474192000, 0.1793114000, 0.2821492000, 0.6134810000, 1.7688386000, 5.6536682000, 18.656880500", \
"0.1473201000, 0.1812483000, 0.2801328000, 0.6134441000, 1.7637914000, 5.6497371000, 18.647595500", \
"0.1472170000, 0.1794488000, 0.2800996000, 0.6133324000, 1.7661987000, 5.6530878000, 18.659765300", \
"0.1474210000, 0.1803471000, 0.2794323000, 0.6137081000, 1.7646749000, 5.6548521000, 18.642888100", \
"0.1477121000, 0.1797983000, 0.2797963000, 0.6134513000, 1.7641982000, 5.6601062000, 18.615697400", \
"0.1483205000, 0.1812171000, 0.2804778000, 0.6138170000, 1.7646750000, 5.6522018000, 18.642287700", \
"0.1477883000, 0.1801758000, 0.2807398000, 0.6140359000, 1.7670432000, 5.6612772000, 18.659095600", \
"0.1531304000, 0.1837881000, 0.2847742000, 0.6143613000, 1.7670783000, 5.6558038000, 18.651373800", \
"0.1639804000, 0.1942702000, 0.2922324000, 0.6163123000, 1.7637082000, 5.6659220000, 18.645460300", \
"0.1747754000, 0.2062211000, 0.3012737000, 0.6240049000, 1.7671552000, 5.6653153000, 18.662744200");
}
related_pin : "A";
rise_transition ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0016690600, 0.0055715300, 0.0185985000, 0.0620839000, 0.2072440000, 0.6918050000");
values("0.1388971000, 0.1793366000, 0.3147829000, 0.7824071000, 2.3538944000, 7.6237452000, 25.175916500", \
"0.1379459000, 0.1794627000, 0.3147312000, 0.7791631000, 2.3548379000, 7.6280715000, 25.221163800", \
"0.1374554000, 0.1793830000, 0.3142013000, 0.7818874000, 2.3545781000, 7.6264204000, 25.187041800", \
"0.1376384000, 0.1794978000, 0.3147689000, 0.7808777000, 2.3552726000, 7.6103666000, 25.201746500", \
"0.1389287000, 0.1792768000, 0.3145151000, 0.7808527000, 2.3552236000, 7.6228117000, 25.207302900", \
"0.1383238000, 0.1795030000, 0.3143563000, 0.7806066000, 2.3558622000, 7.6155802000, 25.215600300", \
"0.1381150000, 0.1790307000, 0.3154839000, 0.7813005000, 2.3550881000, 7.6279028000, 25.211912600", \
"0.1408162000, 0.1803022000, 0.3165062000, 0.7823233000, 2.3567641000, 7.6294162000, 25.189143200", \
"0.1609839000, 0.2009179000, 0.3282432000, 0.7853845000, 2.3543882000, 7.6125808000, 25.212571600", \
"0.1726778000, 0.2110577000, 0.3382071000, 0.7886891000, 2.3554443000, 7.6328011000, 25.216280600", \
"0.1820898000, 0.2202609000, 0.3464220000, 0.7960825000, 2.3574089000, 7.6312539000, 25.226464500");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
}
}
cell ("sky130_fd_sc_hvl__lsbufhv2hv_lh_1") {
leakage_power () {
value : 0.0299538000;
when : "A";
}
leakage_power () {
value : 0.0299550000;
when : "!A";
}
area : 85.95840000;
cell_footprint : "sky130_fd_sc_hvl__lsbufhv2hv_lh";
cell_leakage_power : 0.0299543800;
input_voltage_range(1.3200000000, 5.5000000000);
output_voltage_range(1.6500000000, 5.5000000000);
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
is_level_shifter : "true";
level_shifter_type : "LH";
pg_pin ("LOWHVPWR") {
pg_type : "primary_power";
voltage_name : "LOWHVPWR";
}
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0024440000;
clock : "false";
direction : "input";
fall_capacitance : 0.0024350000;
input_signal_level : "LOWHVPWR";
level_shifter_data_pin : "true";
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "LOWHVPWR";
rise_capacitance : 0.0024530000;
}
pin ("X") {
direction : "output";
function : "A";
internal_power () {
fall_power ("power_outputs_4") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0012337800, 0.0030444100, 0.0075122300, 0.0185368000, 0.0457406000, 0.1128670000, 0.2785060000, 0.6872280000");
values("0.0931005000, 0.0924029000, 0.0906362000, 0.0856182000, 0.0718658000, 0.0358598000, -0.0550047000, -0.2803391000, -0.8366708000", \
"0.0931132000, 0.0924569000, 0.0906466000, 0.0855871000, 0.0718186000, 0.0357963000, -0.0550469000, -0.2803631000, -0.8367074000", \
"0.0930853000, 0.0923434000, 0.0905406000, 0.0855646000, 0.0717820000, 0.0358242000, -0.0550673000, -0.2803864000, -0.8367311000", \
"0.0930202000, 0.0923209000, 0.0904794000, 0.0854372000, 0.0717556000, 0.0357662000, -0.0551381000, -0.2804499000, -0.8367955000", \
"0.0928324000, 0.0921600000, 0.0903158000, 0.0853252000, 0.0715563000, 0.0355922000, -0.0553046000, -0.2806191000, -0.8369650000", \
"0.0925814000, 0.0919433000, 0.0902159000, 0.0851799000, 0.0714101000, 0.0354368000, -0.0554676000, -0.2807790000, -0.8371221000", \
"0.0924725000, 0.0918025000, 0.0899470000, 0.0849700000, 0.0711961000, 0.0352386000, -0.0556632000, -0.2809763000, -0.8373213000", \
"0.0922580000, 0.0916148000, 0.0898884000, 0.0848483000, 0.0710854000, 0.0350887000, -0.0557817000, -0.2811067000, -0.8374528000", \
"0.0921412000, 0.0914521000, 0.0896344000, 0.0846137000, 0.0708592000, 0.0348768000, -0.0559856000, -0.2813233000, -0.8376688000", \
"0.0919189000, 0.0913087000, 0.0894971000, 0.0844697000, 0.0707230000, 0.0347065000, -0.0561433000, -0.2814617000, -0.8378075000", \
"0.0919048000, 0.0912305000, 0.0894241000, 0.0843983000, 0.0706459000, 0.0346464000, -0.0562313000, -0.2815345000, -0.8378806000");
}
related_pin : "A";
rise_power ("power_outputs_4") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0012337800, 0.0030444100, 0.0075122300, 0.0185368000, 0.0457406000, 0.1128670000, 0.2785060000, 0.6872280000");
values("0.0611313000, 0.0644665000, 0.0718232000, 0.0873193000, 0.1136094000, 0.1599428000, 0.2521888000, 0.4753459000, 1.0255904000", \
"0.0611614000, 0.0643433000, 0.0719000000, 0.0872245000, 0.1135753000, 0.1598307000, 0.2521587000, 0.4755354000, 1.0266239000", \
"0.0611056000, 0.0642805000, 0.0719135000, 0.0872791000, 0.1135465000, 0.1598646000, 0.2521289000, 0.4751951000, 1.0258495000", \
"0.0610922000, 0.0644162000, 0.0718348000, 0.0871733000, 0.1136579000, 0.1599211000, 0.2521739000, 0.4754154000, 1.0262963000", \
"0.0610044000, 0.0642796000, 0.0718175000, 0.0871432000, 0.1134872000, 0.1597710000, 0.2521423000, 0.4752068000, 1.0257712000", \
"0.0610486000, 0.0643067000, 0.0717681000, 0.0871535000, 0.1134497000, 0.1596721000, 0.2520397000, 0.4750947000, 1.0257903000", \
"0.0608204000, 0.0640379000, 0.0717224000, 0.0869600000, 0.1133223000, 0.1595597000, 0.2519206000, 0.4751667000, 1.0260723000", \
"0.0606439000, 0.0638633000, 0.0715302000, 0.0867449000, 0.1130854000, 0.1592751000, 0.2516456000, 0.4747154000, 1.0253427000", \
"0.0602260000, 0.0634233000, 0.0710609000, 0.0861576000, 0.1123310000, 0.1584610000, 0.2506591000, 0.4738617000, 1.0246958000", \
"0.0600860000, 0.0632809000, 0.0708974000, 0.0859595000, 0.1121105000, 0.1581345000, 0.2502742000, 0.4735645000, 1.0244421000", \
"0.0600238000, 0.0632004000, 0.0707558000, 0.0857787000, 0.1118709000, 0.1578730000, 0.2500460000, 0.4731092000, 1.0240968000");
}
}
max_capacitance : 0.1052960000;
max_transition : 24.055309000;
power_down_function : "(!LOWHVPWR+!VPWR+VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_11_9") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0012337800, 0.0030444100, 0.0075122300, 0.0185368000, 0.0457406000, 0.1128670000, 0.2785060000, 0.6872280000");
values("6.7516086000, 6.8217552000, 6.9647017000, 7.2219040000, 7.6646618000, 8.451881900, 9.990289500, 13.467349900, 21.977356800", \
"6.7574426000, 6.8289370000, 6.9703483000, 7.2251258000, 7.6677571000, 8.452870400, 9.992076500, 13.473433900, 21.999367700", \
"6.7621513000, 6.8330155000, 6.9733086000, 7.2301685000, 7.6734930000, 8.459073400, 9.998417200, 13.479279000, 22.003105100", \
"6.7871735000, 6.8577748000, 6.9983179000, 7.2556281000, 7.6992546000, 8.485322700, 10.023867100, 13.504345200, 22.027629500", \
"6.8649921000, 6.9354664000, 7.0762605000, 7.3332131000, 7.7764284000, 8.563375800, 10.101985900, 13.582552000, 22.108373800", \
"6.9877060000, 7.0590964000, 7.1997950000, 7.4575519000, 7.8998408000, 8.686638100, 10.225247300, 13.706030100, 22.232557100", \
"7.2390485000, 7.3095432000, 7.4504030000, 7.7073725000, 8.150579800, 8.937454300, 10.476040500, 13.956575500, 22.482217000", \
"7.4903816000, 7.5617868000, 7.7024804000, 7.9602556000, 8.402518700, 9.189308900, 10.727427800, 14.208814600, 22.735353200", \
" 8.206926700, 8.277790900, 8.418860300, 8.676100700, 9.117592000, 9.905313000, 11.444948100, 14.924099300, 23.421543800", \
" 8.578310500, 8.650219200, 8.791670500, 9.047407300, 9.490935100, 10.277927800, 11.817332000, 15.296686600, 23.810001100", \
" 8.952876700, 9.024627300, 9.164434500, 9.422279800, 9.864794300, 10.652009100, 12.188366800, 15.670037700, 24.195966100");
}
cell_rise ("del_1_11_9") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0012337800, 0.0030444100, 0.0075122300, 0.0185368000, 0.0457406000, 0.1128670000, 0.2785060000, 0.6872280000");
values("2.0724340000, 2.0969739000, 2.1529611000, 2.2815869000, 2.5837781000, 3.3053766000, 5.0546429000, 9.348958100, 19.943618300", \
"2.0792006000, 2.1025541000, 2.1587265000, 2.2871505000, 2.5893092000, 3.3103871000, 5.0591442000, 9.354300400, 19.989290200", \
"2.0855937000, 2.1101298000, 2.1653352000, 2.2939131000, 2.5959457000, 3.3177670000, 5.0663500000, 9.361662600, 19.980579000", \
"2.1098951000, 2.1346864000, 2.1894708000, 2.3177027000, 2.6203815000, 3.3416667000, 5.0916471000, 9.384963000, 19.991720300", \
"2.1902007000, 2.2146223000, 2.2708473000, 2.3993487000, 2.7015485000, 3.4226906000, 5.1714468000, 9.466805100, 20.091963600", \
"2.3110573000, 2.3357007000, 2.3904805000, 2.5189302000, 2.8208333000, 3.5426739000, 5.2914677000, 9.586862000, 20.204996800", \
"2.5525964000, 2.5771734000, 2.6331146000, 2.7617426000, 3.0639031000, 3.7850318000, 5.5337313000, 9.828817800, 20.437278500", \
"2.7938540000, 2.8183949000, 2.8743394000, 3.0029514000, 3.3051897000, 4.0263637000, 5.7748153000, 10.070356100, 20.697262600", \
"3.4585328000, 3.4830436000, 3.5389032000, 3.6674486000, 3.9696069000, 4.6903730000, 6.4387984000, 10.733772100, 21.335750900", \
"3.7814338000, 3.8059547000, 3.8618109000, 3.9903205000, 4.2923327000, 5.0130429000, 6.7618084000, 11.056563700, 21.677054800", \
"4.0870463000, 4.1114299000, 4.1669002000, 4.2953885000, 4.5974975000, 5.3182783000, 7.0676314000, 11.361830600, 21.990828700");
}
fall_transition ("del_1_11_9") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0012337800, 0.0030444100, 0.0075122300, 0.0185368000, 0.0457406000, 0.1128670000, 0.2785060000, 0.6872280000");
values("0.4619697000, 0.5049423000, 0.5868395000, 0.7541737000, 1.0820288000, 1.7869675000, 3.4988499000, 7.9544353000, 19.226758900", \
"0.4634087000, 0.5035279000, 0.5891220000, 0.7557903000, 1.0867378000, 1.7890772000, 3.5020842000, 7.9374338000, 19.249361200", \
"0.4620567000, 0.5053201000, 0.5867321000, 0.7569092000, 1.0877791000, 1.7914226000, 3.4965892000, 7.9488469000, 19.217447200", \
"0.4620729000, 0.5040586000, 0.5867772000, 0.7557986000, 1.0867686000, 1.7852945000, 3.4994609000, 7.9488656000, 19.214685000", \
"0.4624328000, 0.5042714000, 0.5867959000, 0.7568990000, 1.0880379000, 1.7855454000, 3.4994989000, 7.9472136000, 19.229263500", \
"0.4627485000, 0.5019499000, 0.5881626000, 0.7522126000, 1.0853498000, 1.7856610000, 3.4994789000, 7.9449132000, 19.238378000", \
"0.4624604000, 0.5042756000, 0.5867621000, 0.7569121000, 1.0879615000, 1.7854435000, 3.4994725000, 7.9479516000, 19.227334400", \
"0.4627952000, 0.5019478000, 0.5881450000, 0.7525033000, 1.0845898000, 1.7896062000, 3.4962870000, 7.9435853000, 19.240582500", \
"0.4620483000, 0.5017883000, 0.5877958000, 0.7552264000, 1.0835486000, 1.7876854000, 3.4948069000, 7.9550642000, 19.238976600", \
"0.4637559000, 0.5056255000, 0.5885387000, 0.7555796000, 1.0824263000, 1.7946669000, 3.4958678000, 7.9455154000, 19.258957100", \
"0.4624136000, 0.5031859000, 0.5884289000, 0.7543259000, 1.0827328000, 1.7872407000, 3.5011087000, 7.9382927000, 19.249318600");
}
related_pin : "A";
rise_transition ("del_1_11_9") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0012337800, 0.0030444100, 0.0075122300, 0.0185368000, 0.0457406000, 0.1128670000, 0.2785060000, 0.6872280000");
values("0.1138563000, 0.1378580000, 0.2002481000, 0.3570357000, 0.7405740000, 1.6863221000, 4.0048187000, 9.761762100, 24.037355200", \
"0.1131834000, 0.1379048000, 0.2001611000, 0.3570876000, 0.7428380000, 1.6851133000, 4.0049604000, 9.753580200, 23.995120600", \
"0.1134611000, 0.1382837000, 0.1990684000, 0.3575479000, 0.7435457000, 1.6872299000, 4.0054844000, 9.769553200, 24.049198200", \
"0.1129690000, 0.1367532000, 0.1995556000, 0.3556681000, 0.7449391000, 1.6868892000, 4.0034331000, 9.755477300, 24.020932800", \
"0.1138464000, 0.1380040000, 0.2000572000, 0.3570945000, 0.7429289000, 1.6850904000, 4.0053179000, 9.770336500, 24.048482300", \
"0.1126330000, 0.1377422000, 0.1995343000, 0.3576167000, 0.7442900000, 1.6875967000, 4.0042414000, 9.771445000, 24.055308900", \
"0.1138197000, 0.1380305000, 0.2001908000, 0.3569999000, 0.7428777000, 1.6848453000, 4.0035508000, 9.755676300, 24.018511600", \
"0.1138356000, 0.1379658000, 0.2001535000, 0.3569190000, 0.7426099000, 1.6852034000, 4.0043657000, 9.771608400, 24.053424100", \
"0.1137472000, 0.1379652000, 0.1999250000, 0.3566524000, 0.7422812000, 1.6864453000, 4.0040637000, 9.757108000, 24.027185600", \
"0.1136679000, 0.1379479000, 0.1999346000, 0.3566366000, 0.7422136000, 1.6858329000, 4.0042338000, 9.768725400, 24.035530900", \
"0.1128119000, 0.1377739000, 0.1996594000, 0.3566848000, 0.7425056000, 1.6839604000, 4.0028740000, 9.771558700, 24.049205300");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
}
}
cell ("sky130_fd_sc_hvl__lsbufhv2lv_1") {
leakage_power () {
value : 0.0308531000;
when : "A";
}
leakage_power () {
value : 0.0316625000;
when : "!A";
}
area : 66.42240000;
cell_footprint : "sky130_fd_sc_hvl__lsbufhv2lv";
cell_leakage_power : 0.0312578100;
dont_touch : "true";
dont_use : "true";
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
is_level_shifter : "true";
level_shifter_type : "HL";
pg_pin ("LVPWR") {
pg_type : "primary_power";
voltage_name : "LVPWR";
}
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0016220000;
clock : "false";
input_voltage_range(1.3200000000, 5.5000000000);
direction : "input";
fall_capacitance : 0.0015870000;
input_signal_level : "VPWR";
level_shifter_data_pin : "true";
max_transition : 7.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0016570000;
}
pin ("X") {
output_voltage_range(1.6000000000, 1.9500000000);
direction : "output";
function : "A";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0005000000, 0.0017242000, 0.0059457400, 0.0205033000, 0.0707035000, 0.2438140000, 0.8407690000");
values("1.2606961000, 1.2598338000, 1.2558097000, 1.2368112000, 1.1729183000, 0.9392478000, 0.1113481000", \
"1.2608535000, 1.2584556000, 1.2539762000, 1.2374151000, 1.1740226000, 0.9400310000, 0.1120129000", \
"1.2567076000, 1.2547687000, 1.2507342000, 1.2336192000, 1.1706066000, 0.9371179000, 0.1091675000", \
"1.2597435000, 1.2586560000, 1.2537064000, 1.2365358000, 1.1737090000, 0.9401097000, 0.1120846000", \
"1.2606893000, 1.2598159000, 1.2558202000, 1.2386740000, 1.1759184000, 0.9402726000, 0.1112832000", \
"1.2597861000, 1.2591218000, 1.2556083000, 1.2392981000, 1.1762111000, 0.9427739000, 0.1148234000", \
"1.2601352000, 1.2591937000, 1.2553190000, 1.2381862000, 1.1754326000, 0.9398024000, 0.1109867000", \
"1.2622111000, 1.2612948000, 1.2573871000, 1.2403025000, 1.1737098000, 0.9405312000, 0.1126976000", \
"1.2584208000, 1.2575712000, 1.2536282000, 1.2365582000, 1.1734500000, 0.9397597000, 0.1116644000", \
"1.2603690000, 1.2595251000, 1.2555234000, 1.2382887000, 1.1726944000, 0.9398464000, 0.1109823000", \
"1.2702228000, 1.2693678000, 1.2653612000, 1.2482666000, 1.1851632000, 0.9518748000, 0.1240060000", \
"1.2589468000, 1.2581281000, 1.2540741000, 1.2358907000, 1.1728021000, 0.9392988000, 0.1113317000", \
"1.2661259000, 1.2653147000, 1.2613456000, 1.2450602000, 1.1816835000, 0.9472538000, 0.1191992000", \
"1.2592791000, 1.2584107000, 1.2544749000, 1.2373427000, 1.1745067000, 0.9380964000, 0.1095027000", \
"1.2739593000, 1.2730750000, 1.2690210000, 1.2518186000, 1.1873503000, 0.9529208000, 0.1248505000");
}
related_pin : "A";
rise_power ("power_outputs_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0005000000, 0.0017242000, 0.0059457400, 0.0205033000, 0.0707035000, 0.2438140000, 0.8407690000");
values("30.292421200, 30.275171100, 30.282002500, 30.301438300, 30.370084700, 30.617522100, 31.420646600", \
"30.481610100, 30.485103800, 30.488326900, 30.507134800, 30.575906200, 30.810680100, 31.612337000", \
"30.260134500, 30.255204500, 30.266740400, 30.281478600, 30.350034800, 30.572710700, 31.385292900", \
"30.534026500, 30.534456700, 30.540118800, 30.560796700, 30.629009500, 30.848805800, 31.666564500", \
"30.512189800, 30.512380600, 30.518920500, 30.539383300, 30.607648100, 30.840316100, 31.645140600", \
"30.408300600, 30.409129800, 30.415583000, 30.435903600, 30.503984500, 30.736905700, 31.541608900", \
"30.199870200, 30.200210200, 30.207162900, 30.227854700, 30.295977300, 30.529446700, 31.333102000", \
"28.736048200, 28.737888800, 28.744376600, 28.764563600, 28.832771100, 29.066276900, 29.870397200", \
"26.511125100, 26.513942300, 26.520360700, 26.540622300, 26.608866300, 26.841511600, 27.645810600", \
"25.662142800, 25.663735300, 25.670337700, 25.690705000, 25.758565600, 25.991738300, 26.796184700", \
"25.191491500, 25.194183800, 25.201941500, 25.224244800, 25.290303100, 25.523193000, 26.327441600", \
"24.610910800, 24.611249100, 24.617606800, 24.637974200, 24.706355300, 24.939569700, 25.743889400", \
"24.419806700, 24.421276000, 24.427099500, 24.447329800, 24.515409100, 24.749083200, 25.552422800", \
"23.642671500, 23.643355000, 23.649613900, 23.670191200, 23.738423000, 23.971581800, 24.775166100", \
"23.124575500, 23.125340600, 23.131726100, 23.116569800, 23.184874500, 23.441658500, 24.285058100");
}
}
max_capacitance : 0.4732230000;
max_transition : 6.6672300000;
power_down_function : "(!LVPWR+!VPWR+VGND)";
related_ground_pin : "VGND";
related_power_pin : "LVPWR";
timing () {
cell_fall ("del_1_15_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0005000000, 0.0017242000, 0.0059457400, 0.0205033000, 0.0707035000, 0.2438140000, 0.8407690000");
values("6.4857093000, 6.4928525000, 6.5113941000, 6.5541617000, 6.7034418000, 7.1786954000, 8.732650200", \
"6.4913466000, 6.4928010000, 6.5098154000, 6.5615624000, 6.7132020000, 7.1871358000, 8.739999800", \
"6.4808869000, 6.4839747000, 6.5026247000, 6.5520536000, 6.7052519000, 7.1816322000, 8.734699200", \
"6.5161045000, 6.5225145000, 6.5377797000, 6.5872459000, 6.7409411000, 7.2159589000, 8.768757300", \
"6.6012005000, 6.6083317000, 6.6271184000, 6.6764789000, 6.8306747000, 7.2981736000, 8.848186200", \
"6.7195978000, 6.7277006000, 6.7481638000, 6.8006796000, 6.9533552000, 7.4299149000, 8.982761200", \
"6.9702004000, 6.9770811000, 6.9963146000, 7.0457258000, 7.1998469000, 7.6674960000, 9.217637300", \
"7.2302035000, 7.2371615000, 7.2561779000, 7.3059812000, 7.4454567000, 7.9224893000, 9.475378700", \
"7.9272189000, 7.9345854000, 7.9535925000, 8.003352600, 8.155950600, 8.630940400, 10.184290700", \
" 8.305124600, 8.312329500, 8.331030100, 8.380072600, 8.523616500, 9.001647400, 10.551612000", \
" 8.711711400, 8.718909200, 8.737675500, 8.787404600, 8.940038900, 9.416579500, 10.971046300", \
" 9.113512600, 9.120391600, 9.138911000, 9.184637900, 9.337305200, 9.813344800, 11.366850600", \
" 9.434781800, 9.441986700, 9.460831200, 9.513672500, 9.665273000, 10.137298100, 11.690130600", \
"10.140117200, 10.147156900, 10.166187000, 10.215727800, 10.369285900, 10.834159300, 12.385297200", \
"10.905723400, 10.912812900, 10.931398000, 10.980234500, 11.127808900, 11.600376700, 13.153613300");
}
cell_rise ("del_1_15_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0005000000, 0.0017242000, 0.0059457400, 0.0205033000, 0.0707035000, 0.2438140000, 0.8407690000");
values("114.49284190, 114.49284190, 114.49284190, 114.56685910, 114.84819850, 115.86627120, 119.20474210", \
"115.19623650, 115.22234900, 115.25068540, 115.33197150, 115.61421330, 116.58735130, 119.91576800", \
"114.38368120, 114.38368120, 114.43789560, 114.50457290, 114.78534390, 115.71257370, 119.08599620", \
"115.41978750, 115.43482260, 115.47189870, 115.56026180, 115.83967560, 116.75745530, 120.14221800", \
"115.41912670, 115.43288280, 115.47321320, 115.56080890, 115.84054250, 116.80609900, 120.14079690", \
"115.15398060, 115.17030880, 115.21071740, 115.29773760, 115.57736460, 116.54339000, 119.87457070", \
"114.61890640, 114.63333040, 114.67538030, 114.76365810, 115.04405960, 116.00967300, 119.35850350", \
"109.44822290, 109.46914130, 109.50883930, 109.59526970, 109.87607620, 110.84236460, 114.17898800", \
"101.87148550, 101.89555160, 101.93538460, 102.02228400, 102.30218810, 103.26764510, 106.60690460", \
" 99.04090350, 99.06005260, 99.10089460, 99.18760920, 99.46705860, 100.43302010, 103.76215440", \
" 97.59509820, 97.61840400, 97.66333870, 97.75756380, 98.02978700, 98.99536480, 102.33606670", \
" 95.78386080, 95.79830620, 95.83795450, 95.92525880, 96.20544010, 97.17106760, 100.51046930", \
" 95.29195210, 95.31088100, 95.34837630, 95.43516910, 95.71466110, 96.68297450, 100.02261770", \
" 92.93059120, 92.94624450, 92.98576490, 93.07372300, 93.35364130, 94.31903440, 97.66191060", \
" 91.50143400, 91.51739990, 91.55733950, 91.55733950, 91.79291990, 92.84661220, 96.33182430");
}
fall_transition ("del_1_15_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0005000000, 0.0017242000, 0.0059457400, 0.0205033000, 0.0707035000, 0.2438140000, 0.8407690000");
values("0.0174106000, 0.0221273000, 0.0364296000, 0.0833127000, 0.2532464000, 0.8511189000, 2.9163261000", \
"0.0174549000, 0.0222404000, 0.0365438000, 0.0833932000, 0.2544567000, 0.8530927000, 2.9166261000", \
"0.0173876000, 0.0220923000, 0.0365383000, 0.0832923000, 0.2543197000, 0.8531603000, 2.9183489000", \
"0.0175147000, 0.0221744000, 0.0365385000, 0.0831779000, 0.2542544000, 0.8513547000, 2.9186169000", \
"0.0173881000, 0.0220920000, 0.0365388000, 0.0832877000, 0.2541466000, 0.8511558000, 2.9163805000", \
"0.0176563000, 0.0222124000, 0.0367203000, 0.0833348000, 0.2532880000, 0.8532368000, 2.9246150000", \
"0.0173884000, 0.0220920000, 0.0365387000, 0.0832887000, 0.2541886000, 0.8543098000, 2.9167724000", \
"0.0173172000, 0.0222387000, 0.0365704000, 0.0831711000, 0.2540310000, 0.8536615000, 2.9161527000", \
"0.0176477000, 0.0223249000, 0.0366925000, 0.0833123000, 0.2532894000, 0.8522520000, 2.9280154000", \
"0.0174225000, 0.0220766000, 0.0364878000, 0.0833602000, 0.2543501000, 0.8542994000, 2.9167602000", \
"0.0175434000, 0.0222643000, 0.0367580000, 0.0833318000, 0.2543629000, 0.8535868000, 2.9163112000", \
"0.0174180000, 0.0226354000, 0.0369101000, 0.0834097000, 0.2536384000, 0.8540453000, 2.9271856000", \
"0.0173618000, 0.0221800000, 0.0365434000, 0.0832178000, 0.2532491000, 0.8521013000, 2.9148108000", \
"0.0173810000, 0.0221247000, 0.0365178000, 0.0831831000, 0.2533440000, 0.8539660000, 2.9188627000", \
"0.0173093000, 0.0220699000, 0.0365030000, 0.0834683000, 0.2536497000, 0.8530056000, 2.9167853000");
}
related_pin : "A";
rise_transition ("del_1_15_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0005000000, 0.0017242000, 0.0059457400, 0.0205033000, 0.0707035000, 0.2438140000, 0.8407690000");
values("0.0765156000, 0.0770506000, 0.0903294000, 0.1838390000, 0.5739066000, 1.9451256000, 6.6555801000", \
"0.0783994000, 0.0777015000, 0.0901164000, 0.1838583000, 0.5738636000, 1.9382147000, 6.6667689000", \
"0.0766399000, 0.0770023000, 0.0901329000, 0.1837500000, 0.5736912000, 1.9380593000, 6.6556636000", \
"0.0781656000, 0.0781857000, 0.0898851000, 0.1837695000, 0.5747177000, 1.9435618000, 6.6495223000", \
"0.0766156000, 0.0770684000, 0.0903398000, 0.1836810000, 0.5748075000, 1.9417961000, 6.6539769000", \
"0.0764969000, 0.0776124000, 0.0901183000, 0.1839706000, 0.5744686000, 1.9382667000, 6.6591350000", \
"0.0766584000, 0.0771134000, 0.0900841000, 0.1840936000, 0.5737152000, 1.9380182000, 6.6640014000", \
"0.0765685000, 0.0771116000, 0.0903227000, 0.1834926000, 0.5737530000, 1.9392510000, 6.6658807000", \
"0.0764839000, 0.0768189000, 0.0900829000, 0.1839251000, 0.5736250000, 1.9384674000, 6.6656125000", \
"0.0774197000, 0.0768829000, 0.0900836000, 0.1838080000, 0.5742357000, 1.9373214000, 6.6614869000", \
"0.0782479000, 0.0772973000, 0.0899551000, 0.1838783000, 0.5742658000, 1.9402713000, 6.6668240000", \
"0.0765557000, 0.0772122000, 0.0902624000, 0.1841901000, 0.5740955000, 1.9390392000, 6.6562298000", \
"0.0760338000, 0.0772939000, 0.0901196000, 0.1839495000, 0.5745008000, 1.9372073000, 6.6667738000", \
"0.0766829000, 0.0780889000, 0.0899715000, 0.1835482000, 0.5730898000, 1.9451838000, 6.6672303000", \
"0.0765520000, 0.0782416000, 0.0898682000, 0.1837213000, 0.5737198000, 1.9397626000, 6.6669794000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
}
}
cell ("sky130_fd_sc_hvl__lsbufhv2lv_simple_1") {
leakage_power () {
value : 0.0136142000;
when : "A";
}
leakage_power () {
value : 0.0136160000;
when : "!A";
}
area : 70.32960000;
cell_footprint : "sky130_fd_sc_hvl__lsbufhv2lv_simple";
cell_leakage_power : 0.0136151100;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
is_level_shifter : "true";
level_shifter_type : "HL";
pg_pin ("LVPWR") {
pg_type : "primary_power";
voltage_name : "LVPWR";
}
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0021030000;
clock : "false";
input_voltage_range(1.3200000000, 5.5000000000);
direction : "input";
fall_capacitance : 0.0019930000;
input_signal_level : "VPWR";
level_shifter_data_pin : "true";
max_transition : 7.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0022120000;
}
pin ("X") {
output_voltage_range(1.0000000000, 1.9500000000);
direction : "output";
function : "A";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0005000000, 0.0014784400, 0.0043715900, 0.0129263000, 0.0382215000, 0.1130170000, 0.3341770000");
values("0.0146171000, 0.0135112000, 0.0100153000, -0.0011648000, -0.0353042000, -0.1377368000, -0.4340918000", \
"0.0147596000, 0.0136243000, 0.0101527000, -0.0010293000, -0.0353238000, -0.1371148000, -0.4381728000", \
"0.0147193000, 0.0135884000, 0.0101261000, -0.0010882000, -0.0353590000, -0.1371195000, -0.4381215000", \
"0.0146498000, 0.0135283000, 0.0100453000, -0.0011406000, -0.0353906000, -0.1371934000, -0.4381676000", \
"0.0144934000, 0.0133608000, 0.0098990000, -0.0012839000, -0.0355419000, -0.1373440000, -0.4383678000", \
"0.0143621000, 0.0132515000, 0.0097415000, -0.0014389000, -0.0356707000, -0.1374608000, -0.4386059000", \
"0.0141825000, 0.0130729000, 0.0095459000, -0.0016617000, -0.0358286000, -0.1375946000, -0.4386253000", \
"0.0140831000, 0.0129464000, 0.0094330000, -0.0018099000, -0.0359368000, -0.1376479000, -0.4387285000", \
"0.0138975000, 0.0127441000, 0.0091699000, -0.0020590000, -0.0361391000, -0.1377739000, -0.4387930000", \
"0.0138442000, 0.0126706000, 0.0091258000, -0.0021276000, -0.0362134000, -0.1378212000, -0.4388221000", \
"0.0137234000, 0.0125939000, 0.0090685000, -0.0021794000, -0.0362656000, -0.1378609000, -0.4388437000", \
"0.0135086000, 0.0123788000, 0.0089561000, -0.0022394000, -0.0363248000, -0.1378938000, -0.4388726000", \
"0.0134072000, 0.0122526000, 0.0088167000, -0.0022717000, -0.0363531000, -0.1379176000, -0.4388831000", \
"0.0138332000, 0.0125619000, 0.0087067000, -0.0023891000, -0.0364326000, -0.1379646000, -0.4389081000", \
"0.0138810000, 0.0126234000, 0.0088160000, -0.0026140000, -0.0364944000, -0.1380149000, -0.4389287000");
}
related_pin : "A";
rise_power ("power_outputs_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0005000000, 0.0014784400, 0.0043715900, 0.0129263000, 0.0382215000, 0.1130170000, 0.3341770000");
values("0.0088034000, 0.0102742000, 0.0144491000, 0.0261636000, 0.0604124000, 0.1609601000, 0.4594222000", \
"0.0087613000, 0.0102416000, 0.0144102000, 0.0261439000, 0.0604666000, 0.1611280000, 0.4591988000", \
"0.0087467000, 0.0102271000, 0.0144213000, 0.0261674000, 0.0603560000, 0.1610216000, 0.4593066000", \
"0.0087127000, 0.0101908000, 0.0143344000, 0.0261226000, 0.0603864000, 0.1610717000, 0.4587731000", \
"0.0085913000, 0.0100619000, 0.0142207000, 0.0259667000, 0.0603494000, 0.1609908000, 0.4590899000", \
"0.0084475000, 0.0099189000, 0.0140634000, 0.0258716000, 0.0601429000, 0.1608873000, 0.4589462000", \
"0.0082436000, 0.0096926000, 0.0138320000, 0.0255980000, 0.0599613000, 0.1607261000, 0.4587773000", \
"0.0081053000, 0.0095366000, 0.0136072000, 0.0254173000, 0.0598511000, 0.1605581000, 0.4586111000", \
"0.0079054000, 0.0093321000, 0.0134330000, 0.0252385000, 0.0596433000, 0.1604263000, 0.4585662000", \
"0.0079409000, 0.0093298000, 0.0133852000, 0.0250972000, 0.0594804000, 0.1604227000, 0.4585270000", \
"0.0080376000, 0.0094357000, 0.0133695000, 0.0250814000, 0.0594596000, 0.1604003000, 0.4585803000", \
"0.0080269000, 0.0094222000, 0.0134652000, 0.0250427000, 0.0593741000, 0.1603025000, 0.4584305000", \
"0.0080020000, 0.0094150000, 0.0134618000, 0.0249776000, 0.0592866000, 0.1603355000, 0.4584110000", \
"0.0079673000, 0.0093553000, 0.0133919000, 0.0251345000, 0.0592417000, 0.1602722000, 0.4581824000", \
"0.0079367000, 0.0093154000, 0.0133847000, 0.0251512000, 0.0593279000, 0.1601866000, 0.4582925000");
}
}
max_capacitance : 0.1001460000;
max_transition : 12.239246000;
power_down_function : "(!LVPWR+!VPWR+VGND)";
related_ground_pin : "VGND";
related_power_pin : "LVPWR";
timing () {
cell_fall ("del_1_15_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0005000000, 0.0014784400, 0.0043715900, 0.0129263000, 0.0382215000, 0.1130170000, 0.3341770000");
values("0.6754391000, 0.7085072000, 0.7912118000, 0.9888221000, 1.5127103000, 3.0367950000, 7.5393238000", \
"0.6805038000, 0.7138408000, 0.7965492000, 0.9939403000, 1.5177929000, 3.0416198000, 7.5423303000", \
"0.6866377000, 0.7201361000, 0.8027799000, 1.0005098000, 1.5241154000, 3.0523684000, 7.5461442000", \
"0.7119847000, 0.7453864000, 0.8281494000, 1.0257802000, 1.5506370000, 3.0739362000, 7.5671238000", \
"0.7912778000, 0.8248952000, 0.9078494000, 1.1049510000, 1.6290708000, 3.1536580000, 7.6566300000", \
"0.9157704000, 0.9496023000, 1.0314917000, 1.2288112000, 1.7540600000, 3.2807757000, 7.7827931000", \
"1.1685632000, 1.2019807000, 1.2834138000, 1.4809994000, 2.0067183000, 3.5337375000, 8.026571400", \
"1.4259308000, 1.4590349000, 1.5408282000, 1.7376857000, 2.2635378000, 3.7915195000, 8.292144700", \
"2.1352728000, 2.1691857000, 2.2508564000, 2.4473008000, 2.9740326000, 4.5008490000, 8.991631400", \
"2.4831663000, 2.5181397000, 2.6036275000, 2.8034939000, 3.3300278000, 4.8550777000, 9.363522400", \
"2.8150611000, 2.8519345000, 2.9393235000, 3.1430700000, 3.6701298000, 5.1952176000, 9.704314700", \
"3.1967664000, 3.2345746000, 3.3254370000, 3.5326277000, 4.0622639000, 5.5884759000, 10.087309700", \
"3.4437457000, 3.4825636000, 3.5745809000, 3.7833909000, 4.3124757000, 5.8404832000, 10.349264600", \
"4.0372719000, 4.0792001000, 4.1746042000, 4.3894759000, 4.9246740000, 6.4503651000, 10.948105500", \
"4.6059929000, 4.6499884000, 4.7505333000, 4.9680673000, 5.5056821000, 7.0314643000, 11.533392900");
}
cell_rise ("del_1_15_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0005000000, 0.0014784400, 0.0043715900, 0.0129263000, 0.0382215000, 0.1130170000, 0.3341770000");
values("0.4925049000, 0.5272687000, 0.6176625000, 0.8575962000, 1.5445288000, 3.5632073000, 9.543139600", \
"0.4979354000, 0.5329509000, 0.6233434000, 0.8634759000, 1.5496384000, 3.5731680000, 9.556547600", \
"0.5037079000, 0.5381692000, 0.6288161000, 0.8699422000, 1.5576501000, 3.5758081000, 9.559724600", \
"0.5288944000, 0.5633534000, 0.6541567000, 0.8946249000, 1.5821686000, 3.6027343000, 9.574852900", \
"0.6045147000, 0.6393439000, 0.7294936000, 0.9704391000, 1.6579427000, 3.6804788000, 9.661897100", \
"0.7205475000, 0.7553976000, 0.8457106000, 1.0868223000, 1.7743499000, 3.7977324000, 9.758565500", \
"0.9562794000, 0.9907505000, 1.0805891000, 1.3212429000, 2.0108488000, 4.0392618000, 10.005070500", \
"1.1881285000, 1.2226491000, 1.3125322000, 1.5537205000, 2.2468485000, 4.2634811000, 10.259838300", \
"1.7615044000, 1.7983809000, 1.8942232000, 2.1380673000, 2.8277365000, 4.8519837000, 10.834971100", \
"2.0264668000, 2.0654294000, 2.1630897000, 2.4078877000, 3.0976415000, 5.1266986000, 11.112256900", \
"2.2765234000, 2.3178579000, 2.4174511000, 2.6643769000, 3.3550116000, 5.3813021000, 11.355558300", \
"2.5637761000, 2.6063560000, 2.7084330000, 2.9579506000, 3.6498309000, 5.6695577000, 11.664372600", \
"2.7478018000, 2.7920377000, 2.8958596000, 3.1461496000, 3.8384953000, 5.8649352000, 11.852925800", \
"3.1886631000, 3.2349869000, 3.3440177000, 3.5988692000, 4.2906327000, 6.3148549000, 12.296170300", \
"3.6072252000, 3.6559387000, 3.7702539000, 4.0282089000, 4.7207176000, 6.7409514000, 12.713063500");
}
fall_transition ("del_1_15_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0005000000, 0.0014784400, 0.0043715900, 0.0129263000, 0.0382215000, 0.1130170000, 0.3341770000");
values("0.1422199000, 0.1707510000, 0.2467850000, 0.4647258000, 1.1341625000, 3.1546279000, 9.139469600", \
"0.1423911000, 0.1709938000, 0.2456486000, 0.4644580000, 1.1326649000, 3.1571319000, 9.121011500", \
"0.1436730000, 0.1706658000, 0.2453876000, 0.4644082000, 1.1348306000, 3.1479439000, 9.140340000", \
"0.1424015000, 0.1712540000, 0.2452145000, 0.4644737000, 1.1337717000, 3.1572420000, 9.123877700", \
"0.1428817000, 0.1709587000, 0.2456330000, 0.4648426000, 1.1348205000, 3.1576237000, 9.114715200", \
"0.1427806000, 0.1697539000, 0.2468843000, 0.4645784000, 1.1341975000, 3.1481353000, 9.133581900", \
"0.1437351000, 0.1705155000, 0.2476102000, 0.4646463000, 1.1331155000, 3.1545588000, 9.145375100", \
"0.1425033000, 0.1711304000, 0.2460745000, 0.4647601000, 1.1340074000, 3.1501970000, 9.142741700", \
"0.1485772000, 0.1751412000, 0.2501916000, 0.4675891000, 1.1349286000, 3.1582286000, 9.148744900", \
"0.1603323000, 0.1861474000, 0.2593695000, 0.4708922000, 1.1356870000, 3.1556968000, 9.136738500", \
"0.1706159000, 0.1975395000, 0.2697269000, 0.4794432000, 1.1375731000, 3.1556979000, 9.136758000", \
"0.1838380000, 0.2099109000, 0.2809520000, 0.4882901000, 1.1418376000, 3.1579332000, 9.145994200", \
"0.1904888000, 0.2180854000, 0.2895013000, 0.4958435000, 1.1434995000, 3.1535505000, 9.121695400", \
"0.2122416000, 0.2369544000, 0.3067372000, 0.5099046000, 1.1533419000, 3.1513536000, 9.144785400", \
"0.2300725000, 0.2548192000, 0.3262210000, 0.5247291000, 1.1631924000, 3.1539373000, 9.138925200");
}
related_pin : "A";
rise_transition ("del_1_15_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0005000000, 0.0014784400, 0.0043715900, 0.0129263000, 0.0382215000, 0.1130170000, 0.3341770000");
values("0.1337528000, 0.1679175000, 0.2692679000, 0.5724783000, 1.4883558000, 4.2020849000, 12.231983400", \
"0.1342129000, 0.1681577000, 0.2694826000, 0.5742595000, 1.4882207000, 4.1920347000, 12.196939500", \
"0.1341782000, 0.1684601000, 0.2692332000, 0.5734368000, 1.4854350000, 4.1998707000, 12.204551100", \
"0.1345487000, 0.1686484000, 0.2694737000, 0.5721467000, 1.4880548000, 4.2014792000, 12.220854600", \
"0.1341928000, 0.1684088000, 0.2692671000, 0.5733272000, 1.4884567000, 4.1957282000, 12.219458300", \
"0.1346905000, 0.1685360000, 0.2688798000, 0.5741350000, 1.4868546000, 4.1897308000, 12.210546400", \
"0.1349488000, 0.1677552000, 0.2696784000, 0.5731816000, 1.4864545000, 4.1917758000, 12.236701400", \
"0.1365923000, 0.1698651000, 0.2702646000, 0.5738398000, 1.4884900000, 4.2054129000, 12.197716600", \
"0.1569042000, 0.1909593000, 0.2859031000, 0.5798281000, 1.4872924000, 4.2062061000, 12.233847700", \
"0.1683216000, 0.2009840000, 0.2940477000, 0.5831903000, 1.4878379000, 4.1930583000, 12.213807700", \
"0.1780925000, 0.2103293000, 0.3027645000, 0.5928478000, 1.4914368000, 4.2045705000, 12.239246100", \
"0.1901327000, 0.2215297000, 0.3136521000, 0.5975251000, 1.4927857000, 4.2023438000, 12.219572900", \
"0.1974548000, 0.2296491000, 0.3196092000, 0.6015695000, 1.4972509000, 4.1918216000, 12.195836300", \
"0.2176390000, 0.2478153000, 0.3375035000, 0.6122428000, 1.5020789000, 4.2047161000, 12.231576600", \
"0.2356631000, 0.2655193000, 0.3542294000, 0.6238884000, 1.5063926000, 4.2067478000, 12.238563100");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
}
}
cell ("sky130_fd_sc_hvl__lsbuflv2hv_1") {
leakage_power () {
value : 0.0357208000;
when : "A";
}
leakage_power () {
value : 0.0357207000;
when : "!A";
}
area : 85.95840000;
cell_footprint : "sky130_fd_sc_hvl__lsbuflv2hv";
cell_leakage_power : 0.0357207500;
input_voltage_range(1.2800000000, 1.9500000000);
output_voltage_range(1.6500000000, 5.5000000000);
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
is_level_shifter : "true";
level_shifter_type : "LH";
pg_pin ("LVPWR") {
pg_type : "primary_power";
voltage_name : "LVPWR";
}
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0024420000;
clock : "false";
direction : "input";
fall_capacitance : 0.0023480000;
input_signal_level : "LVPWR";
level_shifter_data_pin : "true";
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "LVPWR";
rise_capacitance : 0.0025370000;
}
pin ("X") {
direction : "output";
function : "A";
internal_power () {
fall_power ("power_outputs_2") {
index_1("0.0100000000, 0.0173500000, 0.0260200000, 0.0390300000, 0.0585500000, 0.0878200000, 0.1317200000, 0.1975700000, 0.2963400000, 0.4444900000, 0.6667000000, 1.0000000000, 1.5000000000");
index_2("0.0005000000, 0.0012321000, 0.0030361300, 0.0074816400, 0.0184362000, 0.0454305000, 0.1119500000, 0.2758660000, 0.6797890000");
values("0.0954737000, 0.0955807000, 0.0957916000, 0.0949935000, 0.0896576000, 0.0633783000, -0.0200814000, -0.2432142000, -0.7930688000", \
"0.0953942000, 0.0955305000, 0.0956887000, 0.0949388000, 0.0893942000, 0.0633071000, -0.0201494000, -0.2432930000, -0.7931380000", \
"0.0952771000, 0.0953920000, 0.0955775000, 0.0947979000, 0.0893899000, 0.0631851000, -0.0203130000, -0.2434505000, -0.7933182000", \
"0.0951589000, 0.0953136000, 0.0954719000, 0.0947082000, 0.0892326000, 0.0630669000, -0.0204297000, -0.2435123000, -0.7933646000", \
"0.0950518000, 0.0951491000, 0.0953850000, 0.0945891000, 0.0891817000, 0.0629302000, -0.0204339000, -0.2435649000, -0.7934164000", \
"0.0949823000, 0.0950990000, 0.0953138000, 0.0945037000, 0.0891144000, 0.0628422000, -0.0205985000, -0.2437556000, -0.7936072000", \
"0.0949144000, 0.0950134000, 0.0952140000, 0.0945360000, 0.0890475000, 0.0627741000, -0.0206554000, -0.2437874000, -0.7936402000", \
"0.0948612000, 0.0949619000, 0.0951784000, 0.0944703000, 0.0889880000, 0.0627344000, -0.0206832000, -0.2437594000, -0.7936096000", \
"0.0947984000, 0.0949338000, 0.0951208000, 0.0944242000, 0.0888932000, 0.0627563000, -0.0207531000, -0.2438936000, -0.7937921000", \
"0.0945809000, 0.0946986000, 0.0948988000, 0.0941844000, 0.0886567000, 0.0624852000, -0.0209376000, -0.2440675000, -0.7939192000", \
"0.0944724000, 0.0946164000, 0.0947887000, 0.0940973000, 0.0885463000, 0.0623064000, -0.0211318000, -0.2442635000, -0.7941175000", \
"0.0946612000, 0.0947928000, 0.0949689000, 0.0941463000, 0.0887160000, 0.0624537000, -0.0211065000, -0.2442367000, -0.7940872000", \
"0.0945929000, 0.0947022000, 0.0948498000, 0.0940497000, 0.0885757000, 0.0622083000, -0.0213756000, -0.2445067000, -0.7943662000");
}
related_pin : "A";
rise_power ("power_outputs_2") {
index_1("0.0100000000, 0.0173500000, 0.0260200000, 0.0390300000, 0.0585500000, 0.0878200000, 0.1317200000, 0.1975700000, 0.2963400000, 0.4444900000, 0.6667000000, 1.0000000000, 1.5000000000");
index_2("0.0005000000, 0.0012321000, 0.0030361300, 0.0074816400, 0.0184362000, 0.0454305000, 0.1119500000, 0.2758660000, 0.6797890000");
values("0.1244817000, 0.1257591000, 0.1287413000, 0.1358734000, 0.1522762000, 0.1896796000, 0.2794701000, 0.5003112000, 1.0443219000", \
"0.1244534000, 0.1256890000, 0.1287292000, 0.1358145000, 0.1522267000, 0.1896226000, 0.2793045000, 0.5004932000, 1.0445570000", \
"0.1243838000, 0.1256533000, 0.1286827000, 0.1356941000, 0.1521534000, 0.1896201000, 0.2794198000, 0.5002674000, 1.0447322000", \
"0.1242206000, 0.1254479000, 0.1284413000, 0.1355265000, 0.1518909000, 0.1894022000, 0.2792418000, 0.5002690000, 1.0447966000", \
"0.1237818000, 0.1250390000, 0.1280130000, 0.1351710000, 0.1515501000, 0.1889553000, 0.2788362000, 0.4995794000, 1.0444493000", \
"0.1232342000, 0.1244833000, 0.1274842000, 0.1345829000, 0.1509390000, 0.1883345000, 0.2783090000, 0.4992431000, 1.0438598000", \
"0.1217883000, 0.1230173000, 0.1259731000, 0.1331121000, 0.1495303000, 0.1868984000, 0.2767044000, 0.4978007000, 1.0423618000", \
"0.1205496000, 0.1217901000, 0.1247674000, 0.1319250000, 0.1482989000, 0.1857827000, 0.2755521000, 0.4964479000, 1.0409062000", \
"0.1193964000, 0.1206377000, 0.1236334000, 0.1307056000, 0.1471001000, 0.1846218000, 0.2744155000, 0.4954486000, 1.0397497000", \
"0.1183347000, 0.1195387000, 0.1225617000, 0.1296984000, 0.1460812000, 0.1835335000, 0.2733235000, 0.4943488000, 1.0388531000", \
"0.1175601000, 0.1187857000, 0.1217735000, 0.1288641000, 0.1452189000, 0.1826102000, 0.2725089000, 0.4934293000, 1.0381731000", \
"0.1166419000, 0.1178947000, 0.1208800000, 0.1280022000, 0.1443988000, 0.1818057000, 0.2716494000, 0.4926681000, 1.0368015000", \
"0.1158932000, 0.1171505000, 0.1201156000, 0.1271898000, 0.1435486000, 0.1809760000, 0.2708994000, 0.4916431000, 1.0365152000");
}
}
max_capacitance : 0.0949960000;
max_transition : 26.205100000;
power_down_function : "(!LVPWR+!VPWR+VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_13_9") {
index_1("0.0100000000, 0.0173500000, 0.0260200000, 0.0390300000, 0.0585500000, 0.0878200000, 0.1317200000, 0.1975700000, 0.2963400000, 0.4444900000, 0.6667000000, 1.0000000000, 1.5000000000");
index_2("0.0005000000, 0.0012321000, 0.0030361300, 0.0074816400, 0.0184362000, 0.0454305000, 0.1119500000, 0.2758660000, 0.6797890000");
values("0.8042409000, 0.8257313000, 0.8725233000, 0.9710427000, 1.1938066000, 1.7288473000, 3.0429106000, 6.2757768000, 14.258041900", \
"0.8070140000, 0.8289009000, 0.8751164000, 0.9744363000, 1.1972107000, 1.7322086000, 3.0449192000, 6.2794339000, 14.262592000", \
"0.8108779000, 0.8325707000, 0.8784015000, 0.9778055000, 1.2007201000, 1.7362355000, 3.0501579000, 6.2830425000, 14.281997800", \
"0.8163442000, 0.8380930000, 0.8840600000, 0.9833768000, 1.2062664000, 1.7418702000, 3.0553664000, 6.2885284000, 14.269341300", \
"0.8248817000, 0.8463767000, 0.8930202000, 0.9917395000, 1.2145964000, 1.7503023000, 3.0649809000, 6.2967400000, 14.277511600", \
"0.8388090000, 0.8603573000, 0.9070655000, 1.0055988000, 1.2283727000, 1.7641555000, 3.0782050000, 6.3104348000, 14.291827300", \
"0.8598556000, 0.8813466000, 0.9280023000, 1.0266573000, 1.2494983000, 1.7853103000, 3.0994700000, 6.3316272000, 14.311854800", \
"0.8915464000, 0.9128813000, 0.9595357000, 1.0581832000, 1.2809978000, 1.8167154000, 3.1311040000, 6.3631118000, 14.341892100", \
"0.9397293000, 0.9612986000, 1.0080369000, 1.1065333000, 1.3293293000, 1.8641115000, 3.1796881000, 6.4113048000, 14.399497600", \
"1.0127136000, 1.0342517000, 1.0810128000, 1.1795008000, 1.4023139000, 1.9377375000, 3.2525758000, 6.4845693000, 14.464934100", \
"1.1192969000, 1.1408579000, 1.1875799000, 1.2860371000, 1.5087918000, 2.0439421000, 3.3582197000, 6.5909034000, 14.572859400", \
"1.2684203000, 1.2898981000, 1.3364929000, 1.4350546000, 1.6578757000, 2.1931004000, 3.5074490000, 6.7402519000, 14.722932200", \
"1.4645971000, 1.4861244000, 1.5326045000, 1.6318431000, 1.8546179000, 2.3901672000, 3.7043808000, 6.9374724000, 14.921354800");
}
cell_rise ("del_1_13_9") {
index_1("0.0100000000, 0.0173500000, 0.0260200000, 0.0390300000, 0.0585500000, 0.0878200000, 0.1317200000, 0.1975700000, 0.2963400000, 0.4444900000, 0.6667000000, 1.0000000000, 1.5000000000");
index_2("0.0005000000, 0.0012321000, 0.0030361300, 0.0074816400, 0.0184362000, 0.0454305000, 0.1119500000, 0.2758660000, 0.6797890000");
values("7.2194477000, 7.2520789000, 7.3192639000, 7.4617050000, 7.7838402000, 8.559794700, 10.464098000, 15.148677000, 26.697048100", \
"7.2209030000, 7.2531052000, 7.3208071000, 7.4645641000, 7.7855553000, 8.564822600, 10.465776700, 15.151805100, 26.717117400", \
"7.2225723000, 7.2550765000, 7.3236031000, 7.4648137000, 7.7861948000, 8.565198300, 10.469861400, 15.154206800, 26.714360500", \
"7.2189827000, 7.2500592000, 7.3190067000, 7.4598834000, 7.7823454000, 8.560264500, 10.463750800, 15.147421100, 26.738129900", \
"7.2121349000, 7.2435018000, 7.3116748000, 7.4547799000, 7.7753697000, 8.553575600, 10.457077000, 15.139450600, 26.732262600", \
"7.1990045000, 7.2316131000, 7.3004376000, 7.4406792000, 7.7631261000, 8.543952200, 10.444993300, 15.127714200, 26.715396100", \
"7.1560149000, 7.1879365000, 7.2551645000, 7.3979241000, 7.7200468000, 8.500205000, 10.400684800, 15.086755000, 26.681110700", \
"7.1307462000, 7.1623316000, 7.2303057000, 7.3733428000, 7.6938802000, 8.473944200, 10.376146200, 15.060866300, 26.622386100", \
"7.1139494000, 7.1463120000, 7.2141910000, 7.3556863000, 7.6780831000, 8.457788200, 10.359952900, 15.044870200, 26.601750100", \
"7.1047270000, 7.1362347000, 7.2057720000, 7.3466762000, 7.6689703000, 8.446261500, 10.349613600, 15.034594000, 26.629761500", \
"7.1044113000, 7.1371451000, 7.2051836000, 7.3460216000, 7.6668956000, 8.448386000, 10.348865800, 15.033162300, 26.618632600", \
"7.1141781000, 7.1463573000, 7.2139446000, 7.3557009000, 7.6780493000, 8.459514000, 10.359310700, 15.045007000, 26.613326700", \
"7.1203665000, 7.1524147000, 7.2205726000, 7.3617805000, 7.6842355000, 8.463941500, 10.365964100, 15.048199100, 26.641128400");
}
fall_transition ("del_1_13_9") {
index_1("0.0100000000, 0.0173500000, 0.0260200000, 0.0390300000, 0.0585500000, 0.0878200000, 0.1317200000, 0.1975700000, 0.2963400000, 0.4444900000, 0.6667000000, 1.0000000000, 1.5000000000");
index_2("0.0005000000, 0.0012321000, 0.0030361300, 0.0074816400, 0.0184362000, 0.0454305000, 0.1119500000, 0.2758660000, 0.6797890000");
values("0.1032154000, 0.1219936000, 0.1674137000, 0.2797642000, 0.5624804000, 1.2679363000, 3.0061162000, 7.3071980000, 17.942724600", \
"0.1030747000, 0.1222756000, 0.1676101000, 0.2792869000, 0.5617132000, 1.2681688000, 3.0089486000, 7.3005766000, 17.944502800", \
"0.1032416000, 0.1219253000, 0.1677433000, 0.2795887000, 0.5622989000, 1.2684095000, 3.0055725000, 7.2974031000, 17.939937500", \
"0.1030704000, 0.1218807000, 0.1676672000, 0.2794242000, 0.5621459000, 1.2681269000, 3.0054592000, 7.3083091000, 17.935549900", \
"0.1032308000, 0.1219968000, 0.1678597000, 0.2793825000, 0.5623581000, 1.2663076000, 3.0082279000, 7.3048034000, 17.934771900", \
"0.1028954000, 0.1219036000, 0.1674110000, 0.2797575000, 0.5624730000, 1.2671473000, 3.0072360000, 7.2972832000, 17.936564200", \
"0.1032110000, 0.1219918000, 0.1678176000, 0.2795118000, 0.5624153000, 1.2662069000, 3.0076079000, 7.3039224000, 17.932134000", \
"0.1027967000, 0.1219884000, 0.1677965000, 0.2795462000, 0.5623872000, 1.2674561000, 3.0074123000, 7.3055610000, 17.927847700", \
"0.1028762000, 0.1218298000, 0.1674549000, 0.2798624000, 0.5623665000, 1.2674066000, 3.0082645000, 7.2948860000, 17.945391000", \
"0.1029015000, 0.1219282000, 0.1674431000, 0.2798153000, 0.5623428000, 1.2684526000, 3.0091940000, 7.3040805000, 17.933448500", \
"0.1028750000, 0.1218188000, 0.1674596000, 0.2799099000, 0.5623415000, 1.2680763000, 3.0079474000, 7.3062840000, 17.942417300", \
"0.1028727000, 0.1219339000, 0.1675083000, 0.2797212000, 0.5624730000, 1.2682886000, 3.0081624000, 7.3080844000, 17.943458300", \
"0.1026667000, 0.1217797000, 0.1674953000, 0.2797972000, 0.5619434000, 1.2653875000, 3.0078584000, 7.3082727000, 17.943291300");
}
related_pin : "A";
rise_transition ("del_1_13_9") {
index_1("0.0100000000, 0.0173500000, 0.0260200000, 0.0390300000, 0.0585500000, 0.0878200000, 0.1317200000, 0.1975700000, 0.2963400000, 0.4444900000, 0.6667000000, 1.0000000000, 1.5000000000");
index_2("0.0005000000, 0.0012321000, 0.0030361300, 0.0074816400, 0.0184362000, 0.0454305000, 0.1119500000, 0.2758660000, 0.6797890000");
values("0.1771490000, 0.2035034000, 0.2642809000, 0.4154858000, 0.8198291000, 1.8452875000, 4.3751900000, 10.669751300, 26.192406500", \
"0.1785296000, 0.2030741000, 0.2634499000, 0.4150901000, 0.8197007000, 1.8446379000, 4.3859418000, 10.643682400, 26.205100300", \
"0.1770701000, 0.2044111000, 0.2619743000, 0.4146121000, 0.8195351000, 1.8451062000, 4.3782156000, 10.669961800, 26.182789300", \
"0.1792169000, 0.2039427000, 0.2637691000, 0.4151937000, 0.8199073000, 1.8456249000, 4.3856501000, 10.666221700, 26.155660700", \
"0.1784435000, 0.2032781000, 0.2633954000, 0.4160322000, 0.8190442000, 1.8466890000, 4.3847592000, 10.670833100, 26.124206800", \
"0.1791132000, 0.2021933000, 0.2635956000, 0.4154304000, 0.8196523000, 1.8456811000, 4.3826809000, 10.672580200, 26.140828200", \
"0.1798985000, 0.2036071000, 0.2630694000, 0.4156259000, 0.8192704000, 1.8481151000, 4.3871689000, 10.659423600, 26.162414500", \
"0.1785560000, 0.2034151000, 0.2634324000, 0.4160280000, 0.8190796000, 1.8453862000, 4.3780255000, 10.669642100, 26.175092300", \
"0.1794122000, 0.2042840000, 0.2630751000, 0.4147860000, 0.8197312000, 1.8452710000, 4.3789132000, 10.666695100, 26.185029300", \
"0.1802458000, 0.2029228000, 0.2634125000, 0.4139370000, 0.8197008000, 1.8448011000, 4.3803974000, 10.665338800, 26.142899400", \
"0.1793271000, 0.2043556000, 0.2619812000, 0.4141779000, 0.8176707000, 1.8456837000, 4.3863935000, 10.673412400, 26.127253200", \
"0.1774935000, 0.2022278000, 0.2619231000, 0.4147420000, 0.8199464000, 1.8452445000, 4.3862234000, 10.649929800, 26.204750400", \
"0.1796269000, 0.2043275000, 0.2629071000, 0.4154843000, 0.8173138000, 1.8483862000, 4.3847570000, 10.670756700, 26.123151600");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
}
}
cell ("sky130_fd_sc_hvl__lsbuflv2hv_clkiso_hlkg_3") {
leakage_power () {
value : 86.89956840;
when : "A&SLEEP_B";
}
leakage_power () {
value : 86.90221880;
when : "!A&SLEEP_B";
}
leakage_power () {
value : 0.0682489000;
when : "!A&!SLEEP_B";
}
leakage_power () {
value : 0.0698698000;
when : "A&!SLEEP_B";
}
area : 203.17440000;
cell_footprint : "sky130_fd_sc_hvl__lsbuflv2hv_clkiso_hlkg";
cell_leakage_power : 43.484980000;
input_voltage_range(1.2800000000, 1.9500000000);
output_voltage_range(1.6500000000, 5.5000000000);
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
is_level_shifter : "true";
level_shifter_type : "LH";
pg_pin ("LVPWR") {
pg_type : "primary_power";
voltage_name : "LVPWR";
}
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0046400000;
clock : "false";
direction : "input";
fall_capacitance : 0.0044820000;
input_signal_level : "LVPWR";
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0891850000, 0.0887578000, 0.0882950000, 0.0880958000, 0.0874725000, 0.0872517000, 0.0868105000, 0.0875049000, 0.0894402000, 0.0904449000, 0.0914495000");
}
rise_power ("power_inputs_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0778582000, 0.0775563000, 0.0772294000, 0.0769150000, 0.0759312000, 0.0757285000, 0.0753233000, 0.0759055000, 0.0775282000, 0.0783703000, 0.0792126000");
}
}
level_shifter_data_pin : "true";
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "LVPWR";
rise_capacitance : 0.0047980000;
}
pin ("SLEEP_B") {
capacitance : 0.0022930000;
clock : "false";
direction : "input";
fall_capacitance : 0.0022990000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0052251000, 0.0049893000, 0.0047470000, 0.0049623000, 0.0059741000, 0.0085898000, 0.0139798000, 0.0138589000, 0.0138055000, 0.0137015000, 0.0135976000");
}
rise_power ("power_inputs_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0195479000, 0.0187966000, 0.0179827000, 0.0222518000, 0.0356161000, 0.0422189000, 0.0554249000, 0.0554404000, 0.0554835000, 0.0555058000, 0.0555281000");
}
}
level_shifter_enable_pin : "true";
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0022870000;
}
pin ("X") {
direction : "output";
function : "(A&SLEEP_B)";
internal_power () {
fall_power ("power_outputs_3") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0015802900, 0.0049946600, 0.0157861000, 0.0498933000, 0.1576920000, 0.4984000000");
values("0.0742007000, 0.0729643000, 0.0690079000, 0.0563482000, 0.0126682000, -0.1319664000, -0.5950545000", \
"0.0744061000, 0.0732067000, 0.0692025000, 0.0566086000, 0.0129290000, -0.1317645000, -0.5948555000", \
"0.0745597000, 0.0733230000, 0.0694769000, 0.0566754000, 0.0130451000, -0.1315622000, -0.5948171000", \
"0.0743576000, 0.0731855000, 0.0692287000, 0.0565502000, 0.0129220000, -0.1317897000, -0.5948975000", \
"0.0732437000, 0.0719707000, 0.0680676000, 0.0552796000, 0.0116449000, -0.1328918000, -0.5960105000", \
"0.0705774000, 0.0692765000, 0.0653352000, 0.0525731000, 0.0089682000, -0.1356037000, -0.5987150000", \
"0.0650448000, 0.0638349000, 0.0598064000, 0.0470284000, 0.0034790000, -0.1411693000, -0.6042006000", \
"0.0650539000, 0.0638424000, 0.0598127000, 0.0470350000, 0.0034783000, -0.1411571000, -0.6042093000", \
"0.0648490000, 0.0636377000, 0.0596031000, 0.0468247000, 0.0032695000, -0.1413575000, -0.6044581000", \
"0.0648794000, 0.0636959000, 0.0596783000, 0.0470302000, 0.0033765000, -0.1412869000, -0.6043798000", \
"0.0648305000, 0.0636512000, 0.0597745000, 0.0470312000, 0.0033784000, -0.1413209000, -0.6044548000");
}
related_pin : "SLEEP_B";
rise_power ("power_outputs_3") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0015802900, 0.0049946600, 0.0157861000, 0.0498933000, 0.1576920000, 0.4984000000");
values("0.1009647000, 0.1027327000, 0.1081329000, 0.1240460000, 0.1720502000, 0.3182348000, 0.7782257000", \
"0.1017367000, 0.1034654000, 0.1087821000, 0.1248027000, 0.1724731000, 0.3187587000, 0.7788441000", \
"0.1025602000, 0.1042882000, 0.1095954000, 0.1256314000, 0.1736644000, 0.3196639000, 0.7794154000", \
"0.0982777000, 0.1000055000, 0.1053291000, 0.1213461000, 0.1690758000, 0.3152971000, 0.7750712000", \
"0.0848297000, 0.0866070000, 0.0920169000, 0.1079187000, 0.1556203000, 0.3019005000, 0.7620358000", \
"0.0782089000, 0.0799401000, 0.0852657000, 0.1012864000, 0.1489893000, 0.2953359000, 0.7550652000", \
"0.0648765000, 0.0666071000, 0.0719296000, 0.0879512000, 0.1356562000, 0.2819979000, 0.7416886000", \
"0.0647428000, 0.0664731000, 0.0718234000, 0.0878140000, 0.1357930000, 0.2818599000, 0.7416791000", \
"0.0644774000, 0.0662158000, 0.0715998000, 0.0876927000, 0.1352225000, 0.2816409000, 0.7417508000", \
"0.0643297000, 0.0661508000, 0.0714297000, 0.0874821000, 0.1350403000, 0.2822306000, 0.7416425000", \
"0.0641253000, 0.0658383000, 0.0712513000, 0.0872916000, 0.1348248000, 0.2817781000, 0.7414021000");
}
}
internal_power () {
fall_power ("power_outputs_3") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0015802900, 0.0049946600, 0.0157861000, 0.0498933000, 0.1576920000, 0.4984000000");
values("0.0819025000, 0.0807273000, 0.0769431000, 0.0643587000, 0.0205755000, -0.1247456000, -0.5883113000", \
"0.0818201000, 0.0806332000, 0.0768890000, 0.0643136000, 0.0204656000, -0.1248464000, -0.5884184000", \
"0.0820000000, 0.0808115000, 0.0769919000, 0.0643498000, 0.0206204000, -0.1247024000, -0.5882759000", \
"0.0817116000, 0.0804912000, 0.0767759000, 0.0641873000, 0.0203147000, -0.1249949000, -0.5885510000", \
"0.0818216000, 0.0806043000, 0.0768632000, 0.0642809000, 0.0204803000, -0.1248580000, -0.5884221000", \
"0.0815924000, 0.0803955000, 0.0766444000, 0.0640673000, 0.0202312000, -0.1251090000, -0.5886780000", \
"0.0819165000, 0.0807061000, 0.0768887000, 0.0643385000, 0.0205348000, -0.1248109000, -0.5883521000", \
"0.0817900000, 0.0806308000, 0.0768698000, 0.0642627000, 0.0204226000, -0.1249044000, -0.5884659000", \
"0.0818566000, 0.0805493000, 0.0762641000, 0.0636892000, 0.0199998000, -0.1252849000, -0.5888621000", \
"0.0830806000, 0.0818328000, 0.0777373000, 0.0642112000, 0.0199726000, -0.1253228000, -0.5889140000", \
"0.0831992000, 0.0819549000, 0.0778796000, 0.0643781000, 0.0196557000, -0.1253214000, -0.5888668000");
}
related_pin : "A";
rise_power ("power_outputs_3") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0015802900, 0.0049946600, 0.0157861000, 0.0498933000, 0.1576920000, 0.4984000000");
values("0.0971928000, 0.0989517000, 0.1042100000, 0.1201192000, 0.1679750000, 0.3141506000, 0.7736908000", \
"0.0972012000, 0.0988436000, 0.1041762000, 0.1202851000, 0.1677030000, 0.3146080000, 0.7731328000", \
"0.0972793000, 0.0990008000, 0.1042416000, 0.1201837000, 0.1678205000, 0.3141393000, 0.7739898000", \
"0.0968319000, 0.0986624000, 0.1038963000, 0.1199347000, 0.1677498000, 0.3138716000, 0.7737438000", \
"0.0971670000, 0.0989250000, 0.1041189000, 0.1202116000, 0.1681491000, 0.3141868000, 0.7732736000", \
"0.0969157000, 0.0986453000, 0.1040387000, 0.1200858000, 0.1679112000, 0.3143516000, 0.7738193000", \
"0.0972760000, 0.0990309000, 0.1043541000, 0.1204794000, 0.1681400000, 0.3142926000, 0.7742628000", \
"0.0971124000, 0.0988802000, 0.1041769000, 0.1203338000, 0.1681421000, 0.3142636000, 0.7740057000", \
"0.0973904000, 0.0991546000, 0.1044943000, 0.1205076000, 0.1682746000, 0.3141133000, 0.7734075000", \
"0.0993937000, 0.1010848000, 0.1060844000, 0.1215663000, 0.1688199000, 0.3150911000, 0.7737199000", \
"0.0999696000, 0.1016420000, 0.1068054000, 0.1221380000, 0.1689540000, 0.3151501000, 0.7744368000");
}
}
max_capacitance : 0.4989720000;
max_transition : 3.7585620000;
power_down_function : "(!LVPWR+!VPWR+VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0015802900, 0.0049946600, 0.0157861000, 0.0498933000, 0.1576920000, 0.4984000000");
values("0.9223652000, 0.9334383000, 0.9644103000, 1.0431790000, 1.2374914000, 1.7681444000, 3.4053587000", \
"0.9262157000, 0.9374251000, 0.9682904000, 1.0471377000, 1.2414068000, 1.7722179000, 3.4075851000", \
"0.9320805000, 0.9431194000, 0.9740316000, 1.0529597000, 1.2472303000, 1.7783959000, 3.4092598000", \
"0.9540640000, 0.9650831000, 0.9961066000, 1.0751152000, 1.2694010000, 1.8002825000, 3.4387024000", \
"1.0218144000, 1.0328332000, 1.0637897000, 1.1426143000, 1.3368675000, 1.8681092000, 3.5006065000", \
"1.1016676000, 1.1126708000, 1.1435587000, 1.2225051000, 1.4169697000, 1.9479238000, 3.5857723000", \
"1.2239302000, 1.2349477000, 1.2659305000, 1.3448963000, 1.5392919000, 2.0701190000, 3.7079577000", \
"1.3237661000, 1.3349166000, 1.3660183000, 1.4447854000, 1.6389441000, 2.1700370000, 3.8078660000", \
"1.5466917000, 1.5576808000, 1.5885946000, 1.6676226000, 1.8617304000, 2.3923890000, 4.0263801000", \
"1.6464030000, 1.6576600000, 1.6885014000, 1.7676210000, 1.9616448000, 2.4926488000, 4.1237525000", \
"1.7400464000, 1.7510697000, 1.7820352000, 1.8610333000, 2.0555029000, 2.5859870000, 4.2187298000");
}
cell_rise ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0015802900, 0.0049946600, 0.0157861000, 0.0498933000, 0.1576920000, 0.4984000000");
values("1.6313236000, 1.6416737000, 1.6707380000, 1.7475700000, 1.9528108000, 2.5609745000, 4.4637209000", \
"1.6351528000, 1.6451374000, 1.6746164000, 1.7514394000, 1.9567548000, 2.5652913000, 4.4674762000", \
"1.6399269000, 1.6502993000, 1.6793544000, 1.7561700000, 1.9614503000, 2.5696631000, 4.4734194000", \
"1.6567264000, 1.6672891000, 1.6964560000, 1.7730846000, 1.9789059000, 2.5865050000, 4.4910817000", \
"1.6885079000, 1.6990852000, 1.7281492000, 1.8051800000, 2.0105986000, 2.6192428000, 4.5206527000", \
"1.7182855000, 1.7287605000, 1.7584826000, 1.8349163000, 2.0404698000, 2.6489717000, 4.5560458000", \
"1.7485271000, 1.7590224000, 1.7884099000, 1.8651574000, 2.0707980000, 2.6790952000, 4.5858402000", \
"1.7633457000, 1.7738822000, 1.8032267000, 1.8802884000, 2.0856066000, 2.6942915000, 4.5965258000", \
"1.7643096000, 1.7747076000, 1.8042332000, 1.8808284000, 2.0859485000, 2.6946918000, 4.6008508000", \
"1.7515146000, 1.7622351000, 1.7902022000, 1.8670421000, 2.0722324000, 2.6801463000, 4.5862221000", \
"1.7327600000, 1.7431117000, 1.7727092000, 1.8490115000, 2.0542354000, 2.6624394000, 4.5673714000");
}
fall_transition ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0015802900, 0.0049946600, 0.0157861000, 0.0498933000, 0.1576920000, 0.4984000000");
values("0.1242899000, 0.1322991000, 0.1550362000, 0.2235131000, 0.4297259000, 1.0967195000, 3.2472507000", \
"0.1249917000, 0.1328951000, 0.1561391000, 0.2241866000, 0.4304049000, 1.0975586000, 3.2574936000", \
"0.1256418000, 0.1331823000, 0.1558626000, 0.2241929000, 0.4299985000, 1.0969102000, 3.2541425000", \
"0.1265791000, 0.1342409000, 0.1556512000, 0.2239154000, 0.4289366000, 1.0944741000, 3.2512490000", \
"0.1254571000, 0.1330019000, 0.1561811000, 0.2241618000, 0.4289202000, 1.0971440000, 3.2535057000", \
"0.1262828000, 0.1337965000, 0.1562099000, 0.2247214000, 0.4294710000, 1.0960223000, 3.2491265000", \
"0.1265199000, 0.1341700000, 0.1557571000, 0.2243941000, 0.4299096000, 1.0954582000, 3.2511980000", \
"0.1258650000, 0.1321424000, 0.1560137000, 0.2242519000, 0.4299004000, 1.0956671000, 3.2506191000", \
"0.1258185000, 0.1334446000, 0.1566689000, 0.2238705000, 0.4284309000, 1.0962730000, 3.2565202000", \
"0.1258018000, 0.1325970000, 0.1550670000, 0.2234105000, 0.4297347000, 1.0942783000, 3.2511731000", \
"0.1259417000, 0.1336001000, 0.1556232000, 0.2240087000, 0.4302610000, 1.0935702000, 3.2558778000");
}
related_pin : "A";
rise_transition ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0015802900, 0.0049946600, 0.0157861000, 0.0498933000, 0.1576920000, 0.4984000000");
values("0.1081710000, 0.1145094000, 0.1399246000, 0.2170828000, 0.4574369000, 1.2402289000, 3.7425476000", \
"0.1068438000, 0.1153931000, 0.1402166000, 0.2160116000, 0.4571243000, 1.2436012000, 3.7420311000", \
"0.1067125000, 0.1145664000, 0.1399281000, 0.2169588000, 0.4571696000, 1.2396464000, 3.7385366000", \
"0.1087800000, 0.1159684000, 0.1391700000, 0.2155066000, 0.4548470000, 1.2400273000, 3.7435832000", \
"0.1088285000, 0.1161156000, 0.1390707000, 0.2167808000, 0.4573774000, 1.2424226000, 3.7489118000", \
"0.1069953000, 0.1142152000, 0.1384553000, 0.2144913000, 0.4563000000, 1.2433049000, 3.7428025000", \
"0.1069773000, 0.1143456000, 0.1385276000, 0.2157802000, 0.4549588000, 1.2417836000, 3.7429282000", \
"0.1083330000, 0.1147322000, 0.1397585000, 0.2153789000, 0.4560231000, 1.2428480000, 3.7427945000", \
"0.1076236000, 0.1158099000, 0.1403795000, 0.2152925000, 0.4560944000, 1.2438383000, 3.7585619000", \
"0.1079774000, 0.1146065000, 0.1394113000, 0.2163445000, 0.4576761000, 1.2425729000, 3.7448058000", \
"0.1081807000, 0.1145235000, 0.1403988000, 0.2170983000, 0.4559073000, 1.2401105000, 3.7367873000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0015802900, 0.0049946600, 0.0157861000, 0.0498933000, 0.1576920000, 0.4984000000");
values("3.9071790000, 3.9178811000, 3.9489612000, 4.0298587000, 4.2275032000, 4.7603792000, 6.3952204000", \
"3.9126293000, 3.9229917000, 3.9550927000, 4.0353765000, 4.2320867000, 4.7654947000, 6.3981829000", \
"3.9173624000, 3.9289185000, 3.9606318000, 4.0405674000, 4.2379256000, 4.7708961000, 6.4034475000", \
"3.9420907000, 3.9534171000, 3.9845052000, 4.0653254000, 4.2624975000, 4.7959188000, 6.4286394000", \
"4.0263437000, 4.0370316000, 4.0685282000, 4.1492689000, 4.3461892000, 4.8801083000, 6.5140253000", \
"4.1497463000, 4.1606172000, 4.1921084000, 4.2727559000, 4.4696420000, 5.0036189000, 6.6372268000", \
"4.3962260000, 4.4076249000, 4.4385171000, 4.5189452000, 4.7162838000, 5.2497384000, 6.8822943000", \
"4.6509965000, 4.6623958000, 4.6932982000, 4.7737245000, 4.9710471000, 5.5045519000, 7.1371855000", \
"5.3542375000, 5.3656422000, 5.3965410000, 5.4769616000, 5.6742793000, 6.2077827000, 7.8403932000", \
"5.7211933000, 5.7325731000, 5.7638410000, 5.8442100000, 6.0414087000, 6.5753691000, 8.209888800", \
"6.0863923000, 6.0978493000, 6.1296186000, 6.2095311000, 6.4065451000, 6.9399224000, 8.572302000");
}
cell_rise ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0015802900, 0.0049946600, 0.0157861000, 0.0498933000, 0.1576920000, 0.4984000000");
values("2.1107256000, 2.1211348000, 2.1506932000, 2.2273057000, 2.4328564000, 3.0413760000, 4.9432791000", \
"2.1166269000, 2.1269499000, 2.1563185000, 2.2330036000, 2.4385847000, 3.0471896000, 4.9513780000", \
"2.1236788000, 2.1342415000, 2.1637274000, 2.2403399000, 2.4460054000, 3.0543927000, 4.9573670000", \
"2.1491493000, 2.1596882000, 2.1891098000, 2.2657636000, 2.4713278000, 3.0799254000, 4.9836721000", \
"2.2302089000, 2.2406192000, 2.2701463000, 2.3467523000, 2.5523441000, 3.1608416000, 5.0654454000", \
"2.3516915000, 2.3622677000, 2.3916784000, 2.4683529000, 2.6739366000, 3.2824039000, 5.1860214000", \
"2.5948248000, 2.6054006000, 2.6348117000, 2.7114855000, 2.9170695000, 3.5255387000, 5.4290816000", \
"2.8392666000, 2.8498488000, 2.8792442000, 2.9559319000, 3.1615217000, 3.7699861000, 5.6732725000", \
"3.5156560000, 3.5261305000, 3.5552667000, 3.6322216000, 3.8370835000, 4.4452277000, 6.3501813000", \
"3.8478896000, 3.8583763000, 3.8876715000, 3.9646895000, 4.1698032000, 4.7783129000, 6.6851666000", \
"4.1638102000, 4.1741690000, 4.2034132000, 4.2800332000, 4.4853166000, 5.0937197000, 7.0004282000");
}
fall_transition ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0015802900, 0.0049946600, 0.0157861000, 0.0498933000, 0.1576920000, 0.4984000000");
values("0.1360375000, 0.1451070000, 0.1678318000, 0.2348985000, 0.4374510000, 1.0995926000, 3.2561114000", \
"0.1363726000, 0.1451903000, 0.1684619000, 0.2344342000, 0.4380346000, 1.0994851000, 3.2549687000", \
"0.1367563000, 0.1442748000, 0.1682549000, 0.2358674000, 0.4380830000, 1.0989831000, 3.2519563000", \
"0.1376297000, 0.1451773000, 0.1677898000, 0.2348505000, 0.4381101000, 1.0995308000, 3.2549894000", \
"0.1354681000, 0.1454512000, 0.1685726000, 0.2358174000, 0.4377162000, 1.0998129000, 3.2558765000", \
"0.1361174000, 0.1448506000, 0.1681826000, 0.2359296000, 0.4378427000, 1.0998514000, 3.2558958000", \
"0.1363684000, 0.1438925000, 0.1672929000, 0.2365822000, 0.4374734000, 1.0992798000, 3.2513396000", \
"0.1363776000, 0.1438808000, 0.1673357000, 0.2365407000, 0.4374680000, 1.0991500000, 3.2517167000", \
"0.1363870000, 0.1438545000, 0.1673579000, 0.2365164000, 0.4374661000, 1.0991543000, 3.2516845000", \
"0.1362738000, 0.1439705000, 0.1684828000, 0.2350811000, 0.4379466000, 1.0987697000, 3.2558993000", \
"0.1362224000, 0.1436771000, 0.1669823000, 0.2344948000, 0.4373695000, 1.0990695000, 3.2547369000");
}
related_pin : "SLEEP_B";
rise_transition ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0015802900, 0.0049946600, 0.0157861000, 0.0498933000, 0.1576920000, 0.4984000000");
values("0.1077271000, 0.1158234000, 0.1404755000, 0.2148932000, 0.4562381000, 1.2433657000, 3.7533727000", \
"0.1086749000, 0.1160076000, 0.1388047000, 0.2163456000, 0.4562344000, 1.2415819000, 3.7574477000", \
"0.1089005000, 0.1159655000, 0.1394766000, 0.2162221000, 0.4574728000, 1.2431346000, 3.7573127000", \
"0.1088958000, 0.1160245000, 0.1388477000, 0.2164042000, 0.4563093000, 1.2413626000, 3.7575854000", \
"0.1083786000, 0.1158615000, 0.1404027000, 0.2152587000, 0.4549628000, 1.2417779000, 3.7449879000", \
"0.1088954000, 0.1160181000, 0.1387691000, 0.2162854000, 0.4567819000, 1.2429806000, 3.7574890000", \
"0.1088946000, 0.1160203000, 0.1387901000, 0.2162801000, 0.4568256000, 1.2429674000, 3.7574766000", \
"0.1089012000, 0.1159786000, 0.1405371000, 0.2161929000, 0.4569772000, 1.2429788000, 3.7572985000", \
"0.1076451000, 0.1156128000, 0.1393663000, 0.2160405000, 0.4558103000, 1.2406469000, 3.7398773000", \
"0.1070275000, 0.1160783000, 0.1409342000, 0.2161269000, 0.4553796000, 1.2434350000, 3.7410883000", \
"0.1070380000, 0.1153625000, 0.1392601000, 0.2159813000, 0.4553699000, 1.2436402000, 3.7438785000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
}
}
cell ("sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1") {
leakage_power () {
value : 0.0254026000;
when : "!A&SLEEP_B";
}
leakage_power () {
value : 0.0254007000;
when : "!A&!SLEEP_B";
}
leakage_power () {
value : 0.0281235000;
when : "A&SLEEP_B";
}
leakage_power () {
value : 0.0361352000;
when : "A&!SLEEP_B";
}
area : 113.30880000;
cell_footprint : "sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon";
cell_leakage_power : 0.0287655200;
input_voltage_range(1.2800000000, 1.9500000000);
output_voltage_range(1.6500000000, 5.5000000000);
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
is_level_shifter : "true";
level_shifter_type : "LH";
pg_pin ("LVPWR") {
pg_type : "primary_power";
voltage_name : "LVPWR";
}
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0025440000;
clock : "false";
direction : "input";
fall_capacitance : 0.0024630000;
input_signal_level : "LVPWR";
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0266995000, 0.0264203000, 0.0261178000, 0.0264341000, 0.0274245000, 0.0280635000, 0.0293413000, 0.0296810000, 0.0306282000, 0.0311198000, 0.0316114000");
}
rise_power ("power_inputs_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0188217000, 0.0186823000, 0.0185315000, 0.0185328000, 0.0185375000, 0.0196693000, 0.0219327000, 0.0227078000, 0.0248681000, 0.0259896000, 0.0271110000");
}
}
level_shifter_data_pin : "true";
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "LVPWR";
rise_capacitance : 0.0026240000;
}
pin ("SLEEP_B") {
capacitance : 0.0181150000;
clock : "false";
direction : "input";
fall_capacitance : 0.0184700000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0172651000, 0.0171951000, 0.0171195000, 0.0167925000, 0.0157690000, 0.0155896000, 0.0152307000, 0.0151953000, 0.0150965000, 0.0150452000, 0.0149939000");
}
rise_power ("power_inputs_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0011229000, -0.0011809000, -0.0012436000, -0.0013708000, -0.0017685000, -0.0019105000, -0.0021947000, -0.0022233000, -0.0023032000, -0.0023446000, -0.0023861000");
}
}
level_shifter_enable_pin : "true";
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0177610000;
}
pin ("X") {
direction : "output";
function : "(A&SLEEP_B)";
internal_power () {
fall_power ("power_outputs_3") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0010825700, 0.0023439000, 0.0050748600, 0.0109877000, 0.0237899000, 0.0515084000");
values("0.0307282000, 0.0301664000, 0.0288392000, 0.0257309000, 0.0184882000, 0.0020173000, -0.0348648000", \
"0.0307309000, 0.0302040000, 0.0288611000, 0.0257111000, 0.0185138000, 0.0020336000, -0.0348498000", \
"0.0309643000, 0.0303823000, 0.0290958000, 0.0259629000, 0.0187077000, 0.0022599000, -0.0346717000", \
"0.0304754000, 0.0299223000, 0.0285606000, 0.0254470000, 0.0182173000, 0.0017465000, -0.0351372000", \
"0.0291619000, 0.0286112000, 0.0272427000, 0.0241392000, 0.0168970000, 0.0004331000, -0.0364466000", \
"0.0283204000, 0.0277976000, 0.0264720000, 0.0233244000, 0.0160562000, -0.0003863000, -0.0372560000", \
"0.0271836000, 0.0266527000, 0.0253261000, 0.0221631000, 0.0149336000, -0.0015310000, -0.0383762000", \
"0.0265463000, 0.0260118000, 0.0246576000, 0.0215560000, 0.0143815000, -0.0021476000, -0.0390419000", \
"0.0261879000, 0.0255571000, 0.0242461000, 0.0211169000, 0.0138851000, -0.0025792000, -0.0394348000", \
"0.0259460000, 0.0253837000, 0.0240454000, 0.0209145000, 0.0136995000, -0.0027871000, -0.0396579000", \
"0.0260220000, 0.0254278000, 0.0240904000, 0.0209760000, 0.0137339000, -0.0025702000, -0.0394605000");
}
related_pin : "A";
rise_power ("power_outputs_3") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0010825700, 0.0023439000, 0.0050748600, 0.0109877000, 0.0237899000, 0.0515084000");
values("0.0138525000, 0.0154944000, 0.0188300000, 0.0255600000, 0.0384294000, 0.0613875000, 0.1057739000", \
"0.0138780000, 0.0155383000, 0.0188130000, 0.0255599000, 0.0384609000, 0.0613776000, 0.1058267000", \
"0.0139050000, 0.0155765000, 0.0189024000, 0.0256485000, 0.0385176000, 0.0613877000, 0.1059379000", \
"0.0136192000, 0.0151959000, 0.0185489000, 0.0252322000, 0.0380250000, 0.0608285000, 0.1052277000", \
"0.0131806000, 0.0147874000, 0.0180095000, 0.0245652000, 0.0371383000, 0.0597182000, 0.1039530000", \
"0.0117420000, 0.0133183000, 0.0165308000, 0.0230409000, 0.0353912000, 0.0577360000, 0.1019457000", \
"0.0094770000, 0.0110079000, 0.0142026000, 0.0205783000, 0.0328460000, 0.0551454000, 0.0991616000", \
"0.0094955000, 0.0104538000, 0.0135417000, 0.0198199000, 0.0319795000, 0.0541641000, 0.0981821000", \
"0.0123970000, 0.0132813000, 0.0151070000, 0.0188743000, 0.0303359000, 0.0523217000, 0.0962749000", \
"0.0135517000, 0.0144399000, 0.0162837000, 0.0201105000, 0.0296020000, 0.0515801000, 0.0955088000", \
"0.0144641000, 0.0153561000, 0.0171887000, 0.0210276000, 0.0290552000, 0.0509570000, 0.0948182000");
}
}
internal_power () {
fall_power ("power_outputs_3") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0010825700, 0.0023439000, 0.0050748600, 0.0109877000, 0.0237899000, 0.0515084000");
values("0.0966005000, 0.0960005000, 0.0946448000, 0.0914899000, 0.0842004000, 0.0677309000, 0.0309194000", \
"0.0927380000, 0.0921657000, 0.0908149000, 0.0877157000, 0.0804358000, 0.0639550000, 0.0271477000", \
"0.0880862000, 0.0875380000, 0.0862101000, 0.0830553000, 0.0757746000, 0.0592829000, 0.0224888000", \
"0.0804402000, 0.0798545000, 0.0784807000, 0.0753312000, 0.0680703000, 0.0515919000, 0.0147794000", \
"0.0728278000, 0.0722355000, 0.0709149000, 0.0677409000, 0.0604859000, 0.0440070000, 0.0072055000", \
"0.0689480000, 0.0683911000, 0.0670364000, 0.0638764000, 0.0565971000, 0.0401298000, 0.0032874000", \
"0.0662029000, 0.0656118000, 0.0642419000, 0.0610755000, 0.0537984000, 0.0373222000, 0.0005229000", \
"0.0648002000, 0.0642495000, 0.0628992000, 0.0596073000, 0.0523297000, 0.0359762000, -0.0009494000", \
"0.0626124000, 0.0620156000, 0.0606601000, 0.0574863000, 0.0502080000, 0.0337088000, -0.0030613000", \
"0.0618965000, 0.0613300000, 0.0599693000, 0.0567965000, 0.0494964000, 0.0330299000, -0.0037696000", \
"0.0614490000, 0.0608648000, 0.0595212000, 0.0563432000, 0.0490552000, 0.0325700000, -0.0042402000");
}
related_pin : "SLEEP_B";
rise_power ("power_outputs_3") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0010825700, 0.0023439000, 0.0050748600, 0.0109877000, 0.0237899000, 0.0515084000");
values("0.0177890000, 0.0192930000, 0.0223824000, 0.0285951000, 0.0405197000, 0.0625706000, 0.1063893000", \
"0.0178006000, 0.0192958000, 0.0224121000, 0.0285952000, 0.0405283000, 0.0625118000, 0.1063865000", \
"0.0178072000, 0.0193139000, 0.0223842000, 0.0285874000, 0.0405649000, 0.0626008000, 0.1064137000", \
"0.0177714000, 0.0192830000, 0.0224024000, 0.0285940000, 0.0405346000, 0.0625489000, 0.1064157000", \
"0.0178446000, 0.0193656000, 0.0224551000, 0.0286185000, 0.0405490000, 0.0625054000, 0.1063546000", \
"0.0176128000, 0.0191067000, 0.0221793000, 0.0282949000, 0.0400602000, 0.0619200000, 0.1056561000", \
"0.0174549000, 0.0189243000, 0.0218737000, 0.0279309000, 0.0394810000, 0.0612194000, 0.1047814000", \
"0.0172898000, 0.0187214000, 0.0215993000, 0.0275203000, 0.0389936000, 0.0606163000, 0.1040659000", \
"0.0186096000, 0.0194777000, 0.0212902000, 0.0270107000, 0.0382393000, 0.0597664000, 0.1029972000", \
"0.0197055000, 0.0205879000, 0.0223939000, 0.0268350000, 0.0380954000, 0.0594850000, 0.1027079000", \
"0.0207226000, 0.0215936000, 0.0234129000, 0.0271678000, 0.0379196000, 0.0593151000, 0.1024801000");
}
}
max_capacitance : 0.0515080000;
max_transition : 3.7348140000;
power_down_function : "(!LVPWR+!VPWR+VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0010825700, 0.0023439000, 0.0050748600, 0.0109877000, 0.0237899000, 0.0515084000");
values(" 8.424085400, 8.524460200, 8.693401600, 8.956345900, 9.353630800, 9.937383400, 10.849963600", \
" 8.427544000, 8.527331700, 8.697163000, 8.962001800, 9.354822700, 9.942401600, 10.855135500", \
" 8.433866000, 8.534959400, 8.704597000, 8.968744000, 9.361738100, 9.948782200, 10.863531400", \
" 8.457231400, 8.557849500, 8.725932900, 8.991787800, 9.384658400, 9.973276400, 10.885369100", \
" 8.523596900, 8.625397300, 8.794100600, 9.058505100, 9.452779900, 10.038482900, 10.952302200", \
" 8.601430700, 8.702153700, 8.871488400, 9.135368000, 9.526752700, 10.115268600, 11.029966300", \
" 8.717805100, 8.818239500, 8.985941800, 9.248196000, 9.643041900, 10.232501100, 11.146061000", \
" 8.812719400, 8.913284300, 9.083500200, 9.345168200, 9.744073100, 10.329287600, 11.241409600", \
" 9.031184700, 9.130382700, 9.299371900, 9.563279100, 9.961101300, 10.545965000, 11.460143900", \
" 9.115924900, 9.215027900, 9.384054400, 9.647398400, 10.042422000, 10.631739900, 11.545381500", \
" 9.226968500, 9.325606100, 9.496417000, 9.760488400, 10.154135300, 10.763671700, 11.673851200");
}
cell_rise ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0010825700, 0.0023439000, 0.0050748600, 0.0109877000, 0.0237899000, 0.0515084000");
values("0.4207879000, 0.4560955000, 0.5288672000, 0.6811403000, 1.0005863000, 1.6849417000, 3.1443976000", \
"0.4250430000, 0.4606132000, 0.5334905000, 0.6844860000, 1.0039711000, 1.6880276000, 3.1487084000", \
"0.4298918000, 0.4650403000, 0.5382261000, 0.6888812000, 1.0091235000, 1.6920960000, 3.1536413000", \
"0.4470934000, 0.4827217000, 0.5554547000, 0.7065016000, 1.0262783000, 1.7116123000, 3.1705496000", \
"0.4923908000, 0.5279538000, 0.6006809000, 0.7514748000, 1.0705945000, 1.7545770000, 3.2114669000", \
"0.5351072000, 0.5705175000, 0.6428763000, 0.7937056000, 1.1143534000, 1.7960564000, 3.2520049000", \
"0.5894168000, 0.6246389000, 0.6972400000, 0.8482057000, 1.1668416000, 1.8480021000, 3.3103607000", \
"0.6242722000, 0.6593738000, 0.7323709000, 0.8827357000, 1.2005112000, 1.8838464000, 3.3392002000", \
"0.6728457000, 0.7082437000, 0.7808283000, 0.9319227000, 1.2502972000, 1.9301911000, 3.3870253000", \
"0.6826920000, 0.7178598000, 0.7904467000, 0.9412435000, 1.2620062000, 1.9428532000, 3.3957948000", \
"0.6855363000, 0.7204493000, 0.7933550000, 0.9434946000, 1.2633615000, 1.9429176000, 3.3963814000");
}
fall_transition ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0010825700, 0.0023439000, 0.0050748600, 0.0109877000, 0.0237899000, 0.0515084000");
values("0.6618927000, 0.7010112000, 0.7800720000, 0.9174656000, 1.1409775000, 1.5368655000, 2.2724613000", \
"0.6614602000, 0.7061505000, 0.7821465000, 0.9140035000, 1.1453096000, 1.5378373000, 2.2752145000", \
"0.6636316000, 0.7018978000, 0.7788671000, 0.9135442000, 1.1433885000, 1.5383871000, 2.2738740000", \
"0.6592326000, 0.7015994000, 0.7842977000, 0.9174227000, 1.1425344000, 1.5380259000, 2.2714892000", \
"0.6596679000, 0.7044199000, 0.7801524000, 0.9137059000, 1.1408849000, 1.5355782000, 2.2687670000", \
"0.6608041000, 0.7038002000, 0.7823395000, 0.9167817000, 1.1419455000, 1.5386261000, 2.2755111000", \
"0.6601331000, 0.7041945000, 0.7830215000, 0.9134054000, 1.1415080000, 1.5384946000, 2.2690907000", \
"0.6591560000, 0.7019846000, 0.7804917000, 0.9177060000, 1.1420392000, 1.5388442000, 2.2733884000", \
"0.6608761000, 0.7024891000, 0.7814799000, 0.9156849000, 1.1456976000, 1.5399930000, 2.2703055000", \
"0.6622996000, 0.7017810000, 0.7811036000, 0.9156879000, 1.1428833000, 1.5378515000, 2.2721187000", \
"0.6588572000, 0.7038534000, 0.7832381000, 0.9174277000, 1.1454840000, 1.5371974000, 2.2737645000");
}
related_pin : "A";
rise_transition ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0010825700, 0.0023439000, 0.0050748600, 0.0109877000, 0.0237899000, 0.0515084000");
values("0.1319408000, 0.1741311000, 0.2654469000, 0.4620042000, 0.8862133000, 1.7953111000, 3.7348138000", \
"0.1320479000, 0.1735409000, 0.2648799000, 0.4604627000, 0.8852483000, 1.7947145000, 3.7345460000", \
"0.1321730000, 0.1740885000, 0.2638230000, 0.4622927000, 0.8871876000, 1.7955142000, 3.7307704000", \
"0.1324415000, 0.1739385000, 0.2647249000, 0.4614326000, 0.8858276000, 1.7955907000, 3.7334398000", \
"0.1320899000, 0.1735442000, 0.2642478000, 0.4603508000, 0.8838013000, 1.7932300000, 3.7280974000", \
"0.1317653000, 0.1735155000, 0.2647129000, 0.4613277000, 0.8853090000, 1.7919510000, 3.7314019000", \
"0.1315355000, 0.1721048000, 0.2622479000, 0.4584362000, 0.8827025000, 1.7900513000, 3.7308028000", \
"0.1316577000, 0.1727104000, 0.2636725000, 0.4567824000, 0.8788107000, 1.7882926000, 3.7314654000", \
"0.1315365000, 0.1729399000, 0.2634939000, 0.4573124000, 0.8809716000, 1.7885804000, 3.7227021000", \
"0.1312575000, 0.1731843000, 0.2640257000, 0.4582699000, 0.8822309000, 1.7865867000, 3.7288523000", \
"0.1314417000, 0.1733115000, 0.2625122000, 0.4601360000, 0.8832680000, 1.7885894000, 3.7252155000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0010825700, 0.0023439000, 0.0050748600, 0.0109877000, 0.0237899000, 0.0515084000");
values("23.927039900, 24.037472500, 24.225046900, 24.512756300, 24.939575700, 25.571552000, 26.543173000", \
"23.491765000, 23.598891700, 23.785087700, 24.075308900, 24.502936600, 25.138314500, 26.107114700", \
"22.934726100, 23.045185300, 23.231054400, 23.523229600, 23.948706100, 24.582105200, 25.554932400", \
"21.982623700, 22.094198500, 22.280092400, 22.568578100, 22.998204200, 23.632530600, 24.602419700", \
"20.962712500, 21.072944300, 21.259008800, 21.548993700, 21.979628800, 22.609983200, 23.582414200", \
"20.544382200, 20.654708200, 20.841948600, 21.128475000, 21.555589300, 22.189455600, 23.160479800", \
"20.361453000, 20.471370400, 20.658358600, 20.944741100, 21.371885600, 22.006061000, 22.978309000", \
"20.394990600, 20.505346800, 20.690084300, 20.974711700, 21.404138900, 22.040216800, 23.008524900", \
"20.664622000, 20.775237500, 20.960515100, 21.247226200, 21.674120300, 22.308556800, 23.279385500", \
"20.839817000, 20.951333100, 21.136711900, 21.423703700, 21.851628100, 22.485418000, 23.454042300", \
"21.030658100, 21.141128000, 21.328091300, 21.615251800, 22.042110400, 22.671523300, 23.641408800");
}
cell_rise ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0010825700, 0.0023439000, 0.0050748600, 0.0109877000, 0.0237899000, 0.0515084000");
values("0.3760010000, 0.4109399000, 0.4829919000, 0.6331469000, 0.9519988000, 1.6331532000, 3.0925089000", \
"0.3784162000, 0.4130404000, 0.4850946000, 0.6363354000, 0.9542102000, 1.6361517000, 3.0946314000", \
"0.3831830000, 0.4183711000, 0.4903866000, 0.6403881000, 0.9587521000, 1.6409005000, 3.0947753000", \
"0.4064578000, 0.4413566000, 0.5133169000, 0.6645360000, 0.9816704000, 1.6638634000, 3.1231207000", \
"0.4837081000, 0.5184274000, 0.5905219000, 0.7410808000, 1.0598664000, 1.7398598000, 3.1974987000", \
"0.5856168000, 0.6202575000, 0.6923020000, 0.8422680000, 1.1634247000, 1.8420230000, 3.3001075000", \
"0.7595826000, 0.7946684000, 0.8668783000, 1.0172214000, 1.3378780000, 2.0139761000, 3.4683389000", \
"0.9124276000, 0.9477582000, 1.0206558000, 1.1725906000, 1.4900123000, 2.1681497000, 3.6235983000", \
"1.2943093000, 1.3301158000, 1.4031124000, 1.5544656000, 1.8750772000, 2.5555347000, 4.0121590000", \
"1.4773299000, 1.5137184000, 1.5867652000, 1.7381082000, 2.0584776000, 2.7397105000, 4.1950314000", \
"1.6543081000, 1.6901455000, 1.7635667000, 1.9149449000, 2.2350681000, 2.9200005000, 4.3690869000");
}
fall_transition ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0010825700, 0.0023439000, 0.0050748600, 0.0109877000, 0.0237899000, 0.0515084000");
values("0.7571955000, 0.7984797000, 0.8843216000, 1.0224697000, 1.2549263000, 1.6660644000, 2.4148155000", \
"0.7564971000, 0.8026809000, 0.8831774000, 1.0218959000, 1.2524479000, 1.6623185000, 2.4184723000", \
"0.7566127000, 0.8019038000, 0.8800679000, 1.0277644000, 1.2542579000, 1.6590576000, 2.4179572000", \
"0.7544783000, 0.7979958000, 0.8820846000, 1.0218885000, 1.2546356000, 1.6612062000, 2.4224769000", \
"0.7540343000, 0.7985836000, 0.8785411000, 1.0181255000, 1.2561211000, 1.6644153000, 2.4199911000", \
"0.7574175000, 0.8007855000, 0.8832483000, 1.0213560000, 1.2562930000, 1.6634624000, 2.4220153000", \
"0.7538212000, 0.7994244000, 0.8828047000, 1.0214560000, 1.2537267000, 1.6640697000, 2.4234739000", \
"0.7530062000, 0.8019709000, 0.8806181000, 1.0211453000, 1.2552652000, 1.6591428000, 2.4191817000", \
"0.7536835000, 0.7978161000, 0.8782756000, 1.0203962000, 1.2569038000, 1.6627840000, 2.4209152000", \
"0.7534977000, 0.7978963000, 0.8791903000, 1.0213157000, 1.2572056000, 1.6620274000, 2.4208562000", \
"0.7559936000, 0.7986933000, 0.8833737000, 1.0206388000, 1.2536490000, 1.6636462000, 2.4236009000");
}
related_pin : "SLEEP_B";
rise_transition ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0010825700, 0.0023439000, 0.0050748600, 0.0109877000, 0.0237899000, 0.0515084000");
values("0.1313156000, 0.1732262000, 0.2640294000, 0.4595383000, 0.8817495000, 1.7868097000, 3.7313628000", \
"0.1308169000, 0.1735251000, 0.2640503000, 0.4597441000, 0.8822196000, 1.7873565000, 3.7322501000", \
"0.1315943000, 0.1730382000, 0.2632182000, 0.4589743000, 0.8816586000, 1.7880157000, 3.7283576000", \
"0.1312779000, 0.1734245000, 0.2641068000, 0.4597654000, 0.8807798000, 1.7871676000, 3.7316985000", \
"0.1313087000, 0.1732383000, 0.2635406000, 0.4593678000, 0.8827064000, 1.7860941000, 3.7274850000", \
"0.1311812000, 0.1733471000, 0.2627165000, 0.4596069000, 0.8814210000, 1.7856833000, 3.7290779000", \
"0.1322932000, 0.1736387000, 0.2645659000, 0.4582746000, 0.8803961000, 1.7843542000, 3.7260710000", \
"0.1342559000, 0.1744790000, 0.2642094000, 0.4590214000, 0.8783775000, 1.7831840000, 3.7226480000", \
"0.1364212000, 0.1763015000, 0.2647459000, 0.4597650000, 0.8806810000, 1.7824860000, 3.7241781000", \
"0.1379343000, 0.1784702000, 0.2655285000, 0.4588304000, 0.8845604000, 1.7851325000, 3.7222940000", \
"0.1400693000, 0.1798663000, 0.2670149000, 0.4588215000, 0.8836530000, 1.7866115000, 3.7132017000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
}
}
cell ("sky130_fd_sc_hvl__lsbuflv2hv_symmetric_1") {
leakage_power () {
value : 0.0302730000;
when : "A";
}
leakage_power () {
value : 0.0401762000;
when : "!A";
}
area : 89.86560000;
cell_footprint : "sky130_fd_sc_hvl__lsbuflv2hv_symmetric";
cell_leakage_power : 0.0352246000;
input_voltage_range(1.2800000000, 1.9500000000);
output_voltage_range(1.6500000000, 5.5000000000);
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
is_level_shifter : "true";
level_shifter_type : "LH";
pg_pin ("LVPWR") {
pg_type : "primary_power";
voltage_name : "LVPWR";
}
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0025400000;
clock : "false";
direction : "input";
fall_capacitance : 0.0024420000;
input_signal_level : "LVPWR";
level_shifter_data_pin : "true";
max_transition : 2.5000000000;
related_ground_pin : "VGND";
related_power_pin : "LVPWR";
rise_capacitance : 0.0026380000;
}
pin ("X") {
direction : "output";
function : "A";
internal_power () {
fall_power ("power_outputs_5") {
index_1("0.0100000000, 0.0173500000, 0.0260200000, 0.0390300000, 0.0585500000, 0.0878200000, 0.1317200000, 0.1975700000, 0.2963400000, 0.4444900000, 0.6667000000, 1.0000000000, 1.5000000000, 2.0000000000, 2.5000000000");
index_2("0.0005000000, 0.0012774800, 0.0032639100, 0.0083391500, 0.0213062000, 0.0544365000, 0.1390830000, 0.3553510000, 0.9079080000");
values("0.0745893000, 0.0739487000, 0.0719971000, 0.0659197000, 0.0489856000, 0.0043389000, -0.1106557000, -0.4048544000, -1.1568248000", \
"0.0744520000, 0.0737834000, 0.0718648000, 0.0657823000, 0.0488226000, 0.0042063000, -0.1108274000, -0.4049764000, -1.1568177000", \
"0.0743792000, 0.0737410000, 0.0717896000, 0.0657180000, 0.0487557000, 0.0041420000, -0.1108581000, -0.4050558000, -1.1570239000", \
"0.0742230000, 0.0736186000, 0.0716120000, 0.0655889000, 0.0486510000, 0.0039636000, -0.1109940000, -0.4051607000, -1.1571304000", \
"0.0741320000, 0.0734463000, 0.0715372000, 0.0654565000, 0.0485432000, 0.0038910000, -0.1111258000, -0.4052720000, -1.1571912000", \
"0.0740218000, 0.0733886000, 0.0714243000, 0.0653645000, 0.0483639000, 0.0037737000, -0.1112301000, -0.4053786000, -1.1572173000", \
"0.0739688000, 0.0732812000, 0.0713795000, 0.0652997000, 0.0483609000, 0.0037140000, -0.1112673000, -0.4054741000, -1.1574690000", \
"0.0738261000, 0.0732097000, 0.0712396000, 0.0651643000, 0.0482327000, 0.0035721000, -0.1114168000, -0.4056125000, -1.1575869000", \
"0.0738693000, 0.0732212000, 0.0712919000, 0.0652105000, 0.0482935000, 0.0036268000, -0.1113701000, -0.4055528000, -1.1574674000", \
"0.0734822000, 0.0729167000, 0.0709457000, 0.0648987000, 0.0479299000, 0.0032682000, -0.1117242000, -0.4059220000, -1.1578871000", \
"0.0734452000, 0.0728087000, 0.0708764000, 0.0648144000, 0.0478424000, 0.0031806000, -0.1118059000, -0.4059978000, -1.1579669000", \
"0.0732851000, 0.0726270000, 0.0706953000, 0.0646202000, 0.0476341000, 0.0029785000, -0.1120039000, -0.4061730000, -1.1581094000", \
"0.0735623000, 0.0728890000, 0.0709157000, 0.0648629000, 0.0478747000, 0.0032213000, -0.1117648000, -0.4059714000, -1.1579399000", \
"0.0736587000, 0.0729946000, 0.0710056000, 0.0649429000, 0.0479984000, 0.0033248000, -0.1116628000, -0.4058604000, -1.1578254000", \
"0.0739451000, 0.0733115000, 0.0712869000, 0.0652192000, 0.0482471000, 0.0035739000, -0.1114173000, -0.4056046000, -1.1575718000");
}
related_pin : "A";
rise_power ("power_outputs_5") {
index_1("0.0100000000, 0.0173500000, 0.0260200000, 0.0390300000, 0.0585500000, 0.0878200000, 0.1317200000, 0.1975700000, 0.2963400000, 0.4444900000, 0.6667000000, 1.0000000000, 1.5000000000, 2.0000000000, 2.5000000000");
index_2("0.0005000000, 0.0012774800, 0.0032639100, 0.0083391500, 0.0213062000, 0.0544365000, 0.1390830000, 0.3553510000, 0.9079080000");
values("0.0940659000, 0.0955163000, 0.0990803000, 0.1079235000, 0.1295678000, 0.1744099000, 0.2884618000, 0.5800705000, 1.3244531000", \
"0.0940442000, 0.0954970000, 0.0990772000, 0.1078429000, 0.1294749000, 0.1743721000, 0.2884358000, 0.5800271000, 1.3240693000", \
"0.0940037000, 0.0954348000, 0.0989979000, 0.1078247000, 0.1294080000, 0.1743126000, 0.2882541000, 0.5800756000, 1.3247544000", \
"0.0938860000, 0.0953462000, 0.0988851000, 0.1076482000, 0.1292788000, 0.1741852000, 0.2882816000, 0.5796298000, 1.3243939000", \
"0.0936915000, 0.0951464000, 0.0987319000, 0.1075329000, 0.1290998000, 0.1740234000, 0.2879640000, 0.5796949000, 1.3243310000", \
"0.0932735000, 0.0947204000, 0.0982472000, 0.1070609000, 0.1287091000, 0.1736008000, 0.2876846000, 0.5790316000, 1.3231469000", \
"0.0929288000, 0.0943539000, 0.0979055000, 0.1067086000, 0.1283850000, 0.1732446000, 0.2873459000, 0.5786855000, 1.3237208000", \
"0.0925745000, 0.0940689000, 0.0976020000, 0.1063971000, 0.1280813000, 0.1728995000, 0.2869870000, 0.5785986000, 1.3228658000", \
"0.0923255000, 0.0938312000, 0.0973660000, 0.1062104000, 0.1278343000, 0.1726448000, 0.2866019000, 0.5783651000, 1.3232130000", \
"0.0919802000, 0.0934463000, 0.0969641000, 0.1058096000, 0.1274785000, 0.1723190000, 0.2864089000, 0.5776830000, 1.3226334000", \
"0.0917416000, 0.0931974000, 0.0967314000, 0.1056129000, 0.1271564000, 0.1720510000, 0.2860979000, 0.5777465000, 1.3223693000", \
"0.0916129000, 0.0930682000, 0.0966252000, 0.1054451000, 0.1271220000, 0.1719695000, 0.2860694000, 0.5773836000, 1.3222830000", \
"0.0915122000, 0.0929986000, 0.0965752000, 0.1053642000, 0.1270075000, 0.1718115000, 0.2859000000, 0.5770797000, 1.3217645000", \
"0.0916218000, 0.0931114000, 0.0966340000, 0.1054300000, 0.1271208000, 0.1720227000, 0.2861116000, 0.5776482000, 1.3216596000", \
"0.0919213000, 0.0933605000, 0.0969283000, 0.1057182000, 0.1273854000, 0.1722165000, 0.2862948000, 0.5778352000, 1.3224821000");
}
}
max_capacitance : 0.0944240000;
max_transition : 34.976139000;
power_down_function : "(!LVPWR+!VPWR+VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_15_9") {
index_1("0.0100000000, 0.0173500000, 0.0260200000, 0.0390300000, 0.0585500000, 0.0878200000, 0.1317200000, 0.1975700000, 0.2963400000, 0.4444900000, 0.6667000000, 1.0000000000, 1.5000000000, 2.0000000000, 2.5000000000");
index_2("0.0005000000, 0.0012774800, 0.0032639100, 0.0083391500, 0.0213062000, 0.0544365000, 0.1390830000, 0.3553510000, 0.9079080000");
values("1.0682941000, 1.0949327000, 1.1542306000, 1.2778302000, 1.5476466000, 2.2017062000, 3.8690562000, 8.133236300, 19.053137700", \
"1.0710880000, 1.0978394000, 1.1571444000, 1.2806551000, 1.5501289000, 2.2041420000, 3.8716874000, 8.137043000, 19.041774400", \
"1.0749455000, 1.1015028000, 1.1608184000, 1.2844036000, 1.5542247000, 2.2084632000, 3.8750874000, 8.142297500, 19.046151300", \
"1.0804816000, 1.1077265000, 1.1666063000, 1.2903242000, 1.5589798000, 2.2132174000, 3.8805346000, 8.144756000, 19.057672800", \
"1.0892829000, 1.1159600000, 1.1752425000, 1.2988369000, 1.5686736000, 2.2228417000, 3.8903067000, 8.155001100, 19.062984100", \
"1.1025936000, 1.1297044000, 1.1895770000, 1.3126821000, 1.5824223000, 2.2365234000, 3.9041126000, 8.169860300, 19.076093600", \
"1.1245271000, 1.1511427000, 1.2104434000, 1.3340310000, 1.6038536000, 2.2579798000, 3.9255386000, 8.190259100, 19.099140000", \
"1.1566482000, 1.1833482000, 1.2426714000, 1.3662730000, 1.6357420000, 2.2899136000, 3.9578296000, 8.224288800, 19.135461200", \
"1.2043597000, 1.2316872000, 1.2912562000, 1.4146826000, 1.6842839000, 2.3383329000, 4.0060160000, 8.271380000, 19.196511000", \
"1.2744630000, 1.3016012000, 1.3604948000, 1.4837029000, 1.7533142000, 2.4079256000, 4.0749994000, 8.340427000, 19.262213200", \
"1.3689608000, 1.3960804000, 1.4551241000, 1.5793835000, 1.8490497000, 2.5033637000, 4.1713721000, 8.437691400, 19.352542700", \
"1.4928042000, 1.5202144000, 1.5796445000, 1.7030612000, 1.9723885000, 2.6267368000, 4.2947796000, 8.561077200, 19.463611200", \
"1.6537252000, 1.6805509000, 1.7397229000, 1.8632782000, 2.1333750000, 2.7877829000, 4.4552371000, 8.722023100, 19.642897100", \
"1.7964180000, 1.8227209000, 1.8816504000, 2.0055806000, 2.2754474000, 2.9296512000, 4.5960369000, 8.863585400, 19.784872400", \
"1.9256761000, 1.9520188000, 2.0120217000, 2.1348097000, 2.4050328000, 3.0598872000, 4.7284385000, 8.993636100, 19.897692400");
}
cell_rise ("del_1_15_9") {
index_1("0.0100000000, 0.0173500000, 0.0260200000, 0.0390300000, 0.0585500000, 0.0878200000, 0.1317200000, 0.1975700000, 0.2963400000, 0.4444900000, 0.6667000000, 1.0000000000, 1.5000000000, 2.0000000000, 2.5000000000");
index_2("0.0005000000, 0.0012774800, 0.0032639100, 0.0083391500, 0.0213062000, 0.0544365000, 0.1390830000, 0.3553510000, 0.9079080000");
values("1.5367476000, 1.5683241000, 1.6401457000, 1.8032372000, 2.1901856000, 3.1639462000, 5.5997300000, 11.782095300, 27.615200800", \
"1.5398629000, 1.5717549000, 1.6438444000, 1.8067374000, 2.1933788000, 3.1674963000, 5.6031451000, 11.784910800, 27.634987600", \
"1.5431367000, 1.5750646000, 1.6473601000, 1.8098362000, 2.1968067000, 3.1707930000, 5.6063203000, 11.790564100, 27.649806000", \
"1.5472806000, 1.5787845000, 1.6502248000, 1.8124973000, 2.2001647000, 3.1739766000, 5.6097211000, 11.788694500, 27.592749400", \
"1.5534471000, 1.5857282000, 1.6580244000, 1.8204083000, 2.2077642000, 3.1813383000, 5.6170695000, 11.799302000, 27.654259300", \
"1.5621220000, 1.5940155000, 1.6663133000, 1.8287483000, 2.2163307000, 3.1895038000, 5.6253366000, 11.807504300, 27.627665500", \
"1.5741960000, 1.6061880000, 1.6783198000, 1.8408784000, 2.2279989000, 3.2019614000, 5.6374199000, 11.817983000, 27.663354300", \
"1.5904816000, 1.6218049000, 1.6941518000, 1.8564662000, 2.2437447000, 3.2173932000, 5.6532148000, 11.835402900, 27.651738700", \
"1.6102226000, 1.6422957000, 1.7145764000, 1.8769857000, 2.2643123000, 3.2377094000, 5.6733609000, 11.857819600, 27.704746900", \
"1.6306181000, 1.6625090000, 1.7351782000, 1.8975344000, 2.2841888000, 3.2585570000, 5.6943223000, 11.874394800, 27.681302600", \
"1.6552073000, 1.6870588000, 1.7593302000, 1.9217126000, 2.3085074000, 3.2822562000, 5.7182379000, 11.901263100, 27.755977100", \
"1.6823392000, 1.7139528000, 1.7861691000, 1.9487465000, 2.3361149000, 3.3096914000, 5.7456631000, 11.924668400, 27.732985700", \
"1.7078980000, 1.7402433000, 1.8124323000, 1.9746651000, 2.3619042000, 3.3352729000, 5.7708798000, 11.955935800, 27.804015100", \
"1.7236869000, 1.7557736000, 1.8279328000, 1.9902073000, 2.3770423000, 3.3511316000, 5.7869762000, 11.968881000, 27.818819200", \
"1.7307920000, 1.7625742000, 1.8347707000, 1.9976059000, 2.3841096000, 3.3582530000, 5.7940355000, 11.976764500, 27.814801100");
}
fall_transition ("del_1_15_9") {
index_1("0.0100000000, 0.0173500000, 0.0260200000, 0.0390300000, 0.0585500000, 0.0878200000, 0.1317200000, 0.1975700000, 0.2963400000, 0.4444900000, 0.6667000000, 1.0000000000, 1.5000000000, 2.0000000000, 2.5000000000");
index_2("0.0005000000, 0.0012774800, 0.0032639100, 0.0083391500, 0.0213062000, 0.0544365000, 0.1390830000, 0.3553510000, 0.9079080000");
values("0.1260462000, 0.1491216000, 0.1987433000, 0.3213507000, 0.6416316000, 1.4979640000, 3.7191508000, 9.402749700, 23.926071800", \
"0.1261106000, 0.1479370000, 0.1989006000, 0.3213458000, 0.6420124000, 1.4974622000, 3.7169963000, 9.382460100, 23.883776700", \
"0.1259272000, 0.1492229000, 0.1982898000, 0.3214068000, 0.6418274000, 1.4976479000, 3.7144097000, 9.397678200, 23.892651400", \
"0.1266323000, 0.1477450000, 0.1983504000, 0.3220622000, 0.6425626000, 1.4975583000, 3.7178713000, 9.401532900, 23.896602000", \
"0.1260927000, 0.1491330000, 0.1988119000, 0.3214499000, 0.6412266000, 1.4977124000, 3.7181032000, 9.389522900, 23.897163400", \
"0.1263918000, 0.1483535000, 0.1991587000, 0.3213924000, 0.6418596000, 1.4972862000, 3.7165089000, 9.383234600, 23.900229400", \
"0.1259073000, 0.1490634000, 0.1989266000, 0.3215505000, 0.6410817000, 1.4976643000, 3.7178005000, 9.382739900, 23.901634400", \
"0.1262913000, 0.1492377000, 0.1983653000, 0.3217268000, 0.6421848000, 1.4973817000, 3.7169385000, 9.390751300, 23.913690600", \
"0.1262105000, 0.1480655000, 0.1988201000, 0.3215245000, 0.6419134000, 1.4980709000, 3.7162144000, 9.382660900, 23.941964900", \
"0.1264730000, 0.1484738000, 0.1987575000, 0.3222973000, 0.6417495000, 1.4985407000, 3.7184018000, 9.401239800, 23.939488600", \
"0.1262741000, 0.1478564000, 0.1992288000, 0.3219134000, 0.6423549000, 1.4967908000, 3.7170296000, 9.388436700, 23.920838600", \
"0.1260010000, 0.1480931000, 0.1992076000, 0.3219328000, 0.6418598000, 1.4982217000, 3.7132071000, 9.390590500, 23.876678400", \
"0.1265443000, 0.1494563000, 0.1987061000, 0.3222782000, 0.6421792000, 1.4980528000, 3.7175070000, 9.394065100, 23.942583000", \
"0.1263538000, 0.1481857000, 0.1987701000, 0.3217102000, 0.6430581000, 1.4980114000, 3.7137491000, 9.403271100, 23.939126200", \
"0.1270032000, 0.1483399000, 0.1980571000, 0.3219802000, 0.6421964000, 1.4972713000, 3.7176271000, 9.385092700, 23.892898300");
}
related_pin : "A";
rise_transition ("del_1_15_9") {
index_1("0.0100000000, 0.0173500000, 0.0260200000, 0.0390300000, 0.0585500000, 0.0878200000, 0.1317200000, 0.1975700000, 0.2963400000, 0.4444900000, 0.6667000000, 1.0000000000, 1.5000000000, 2.0000000000, 2.5000000000");
index_2("0.0005000000, 0.0012774800, 0.0032639100, 0.0083391500, 0.0213062000, 0.0544365000, 0.1390830000, 0.3553510000, 0.9079080000");
values("0.1420980000, 0.1723752000, 0.2468299000, 0.4346749000, 0.9329187000, 2.2126283000, 5.4339195000, 13.706464400, 34.971307600", \
"0.1424593000, 0.1717937000, 0.2446461000, 0.4341896000, 0.9321989000, 2.2123119000, 5.4329075000, 13.708465500, 34.962887700", \
"0.1431445000, 0.1727224000, 0.2453999000, 0.4339375000, 0.9323995000, 2.2137763000, 5.4362190000, 13.688401500, 34.932703800", \
"0.1431486000, 0.1725638000, 0.2471905000, 0.4329148000, 0.9317561000, 2.2128257000, 5.4289382000, 13.721563400, 34.962294400", \
"0.1434437000, 0.1724888000, 0.2451823000, 0.4331164000, 0.9359458000, 2.2135318000, 5.4356426000, 13.705034400, 34.950943500", \
"0.1431003000, 0.1717896000, 0.2441096000, 0.4332878000, 0.9328247000, 2.2131290000, 5.4293925000, 13.723760800, 34.952925900", \
"0.1432397000, 0.1728704000, 0.2456647000, 0.4354065000, 0.9345501000, 2.2122884000, 5.4271272000, 13.723934000, 34.920020700", \
"0.1421252000, 0.1727831000, 0.2470120000, 0.4348862000, 0.9330736000, 2.2139148000, 5.4334137000, 13.704943800, 34.970845800", \
"0.1432596000, 0.1720821000, 0.2452757000, 0.4342118000, 0.9330721000, 2.2138018000, 5.4364033000, 13.686256600, 34.907252200", \
"0.1428714000, 0.1723661000, 0.2459855000, 0.4339265000, 0.9345598000, 2.2121496000, 5.4306173000, 13.718017800, 34.973552900", \
"0.1430326000, 0.1725443000, 0.2452648000, 0.4334885000, 0.9323536000, 2.2125974000, 5.4349814000, 13.700360800, 34.951686000", \
"0.1430081000, 0.1727495000, 0.2469571000, 0.4335852000, 0.9344714000, 2.2126745000, 5.4275744000, 13.722366400, 34.976139300", \
"0.1428317000, 0.1727297000, 0.2470899000, 0.4338062000, 0.9334137000, 2.2139950000, 5.4367453000, 13.707976100, 34.973219000", \
"0.1430884000, 0.1722380000, 0.2457421000, 0.4348960000, 0.9346826000, 2.2131164000, 5.4260147000, 13.709597000, 34.962720700", \
"0.1431401000, 0.1728147000, 0.2470678000, 0.4345957000, 0.9329733000, 2.2141888000, 5.4310059000, 13.722852200, 34.927705200");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
}
}
}