blob: f448c18513c24bc991d2d83dcf7f0a20ff6fd2b3 [file] [log] [blame]
library ("sky130_fd_sc_hvl__hvff_lvss_n40C_5v50_lowhv1v65_lv1v60") {
define(clk_width,library,string);
define(def_sim_opt,library,string);
define(default_arc_mode,library,string);
define(default_constraint_arc_mode,library,string);
define(driver_model,library,string);
define(leakage_sim_opt,library,string);
define(min_pulse_width_mode,library,string);
define(simulator,library,string);
define(switching_power_split_model,library,string);
define(tran_timestep,library,string);
technology("cmos");
delay_model : "table_lookup";
bus_naming_style : "%s[%d]";
time_unit : "1ns";
voltage_unit : "1V";
leakage_power_unit : "1nW";
current_unit : "1mA";
pulling_resistance_unit : "1kohm";
capacitive_load_unit(1.0000000000, "pf");
revision : 1.0000000000;
default_cell_leakage_power : 0.0000000000;
default_fanout_load : 0.0000000000;
default_inout_pin_cap : 0.0000000000;
default_input_pin_cap : 0.0000000000;
default_max_transition : 3.7500000000;
default_output_pin_cap : 0.0000000000;
default_arc_mode : "worst_edges";
default_constraint_arc_mode : "worst";
default_leakage_power_density : 0.0000000000;
default_operating_conditions : "hvff_lvss_n40C_5v50_lowhv1v65_lv1v60";
clk_width : "0.0000000400";
operating_conditions ("hvff_lvss_n40C_5v50") {
voltage : 5.5000000000;
process : 1.0000000000;
temperature : -40.000000000;
tree_type : "balanced_tree";
}
power_lut_template ("power_inputs_1") {
variable_1 : "input_transition_time";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11");
}
power_lut_template ("power_outputs_1") {
variable_1 : "input_transition_time";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15");
index_2("1, 2, 3, 4, 5, 6, 7");
}
power_lut_template ("power_outputs_2") {
variable_1 : "input_transition_time";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13");
index_2("1, 2, 3, 4, 5, 6, 7, 8, 9");
}
power_lut_template ("power_outputs_3") {
variable_1 : "input_transition_time";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15");
index_2("1, 2, 3, 4, 5, 6, 7, 8, 9");
}
power_lut_template ("power_outputs_4") {
variable_1 : "input_transition_time";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11");
index_2("1, 2, 3, 4, 5, 6, 7");
}
power_lut_template ("power_outputs_5") {
variable_1 : "input_transition_time";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11");
index_2("1, 2, 3, 4, 5, 6, 7, 8, 9");
}
lu_table_template ("del_1_11_7") {
variable_1 : "input_net_transition";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11");
index_2("1, 2, 3, 4, 5, 6, 7");
}
lu_table_template ("del_1_11_9") {
variable_1 : "input_net_transition";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11");
index_2("1, 2, 3, 4, 5, 6, 7, 8, 9");
}
lu_table_template ("del_1_13_9") {
variable_1 : "input_net_transition";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13");
index_2("1, 2, 3, 4, 5, 6, 7, 8, 9");
}
lu_table_template ("del_1_15_7") {
variable_1 : "input_net_transition";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15");
index_2("1, 2, 3, 4, 5, 6, 7");
}
lu_table_template ("del_1_15_9") {
variable_1 : "input_net_transition";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15");
index_2("1, 2, 3, 4, 5, 6, 7, 8, 9");
}
lu_table_template ("driver_waveform_template") {
variable_1 : "input_net_transition";
variable_2 : "normalized_voltage";
index_1("1, 2");
index_2("1, 2");
}
normalized_driver_waveform ("driver_waveform_template") {
index_1("0.0010000000, 0.0100000000, 0.0130000000, 0.0173500000, 0.0260000000, 0.0260200000, 0.0390300000, 0.0585500000, 0.0720000000, 0.0878200000, 0.1317200000, 0.1975700000, 0.2160000000, 0.2963400000, 0.4320000000, 0.4444900000, 0.6667000000, 0.8640000000, 1.0000000000, 1.2960000000, 1.5000000000, 2.0000000000, 2.5000000000, 3.1250000000, 3.7499999000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0000000000, 0.5000000000, 1.0000000000");
driver_waveform_name : "ramp";
values("0.0000000000, 0.0008333333, 0.0016666667", \
"0.0000000000, 0.0083333333, 0.0166666670", \
"0.0000000000, 0.0108333330, 0.0216666670", \
"0.0000000000, 0.0144583330, 0.0289166670", \
"0.0000000000, 0.0216666670, 0.0433333330", \
"0.0000000000, 0.0216833330, 0.0433666670", \
"0.0000000000, 0.0325250000, 0.0650500000", \
"0.0000000000, 0.0487916670, 0.0975833330", \
"0.0000000000, 0.0600000000, 0.1200000000", \
"0.0000000000, 0.0731833330, 0.1463666700", \
"0.0000000000, 0.1097666700, 0.2195333300", \
"0.0000000000, 0.1646416700, 0.3292833300", \
"0.0000000000, 0.1800000000, 0.3600000000", \
"0.0000000000, 0.2469500000, 0.4939000000", \
"0.0000000000, 0.3600000000, 0.7200000000", \
"0.0000000000, 0.3704083300, 0.7408166700", \
"0.0000000000, 0.5555833300, 1.1111667000", \
"0.0000000000, 0.7200000000, 1.4400000000", \
"0.0000000000, 0.8333333300, 1.6666667000", \
"0.0000000000, 1.0800000000, 2.1600000000", \
"0.0000000000, 1.2500000000, 2.5000000000", \
"0.0000000000, 1.6666667000, 3.3333333000", \
"0.0000000000, 2.0833333000, 4.1666667000", \
"0.0000000000, 2.6041667000, 5.2083333000", \
"0.0000000000, 3.1249999000, 6.2499998000", \
"0.0000000000, 3.7500000000, 7.5000000000", \
"0.0000000000, 4.1666667000, 8.333333300", \
"0.0000000000, 5.2083333000, 10.416667000", \
"0.0000000000, 6.2500000000, 12.500000000");
}
library_features("report_delay_calculation");
voltage_map("LOWHVPWR", 1.6500000000);
voltage_map("LVPWR", 1.6000000000);
voltage_map("VGND", 0.0000000000);
voltage_map("VNB", 0.0000000000);
voltage_map("VPB", 5.5000000000);
voltage_map("VPWR", 5.5000000000);
driver_model : "ramp";
in_place_swap_mode : "match_footprint";
input_threshold_pct_fall : 50.000000000;
input_threshold_pct_rise : 50.000000000;
min_pulse_width_mode : "max";
nom_process : 1.0000000000;
nom_temperature : -40.000000000;
nom_voltage : 5.5000000000;
output_threshold_pct_fall : 50.000000000;
output_threshold_pct_rise : 50.000000000;
simulation : "true";
slew_derate_from_library : 1.0000000000;
slew_lower_threshold_pct_fall : 20.000000000;
slew_lower_threshold_pct_rise : 20.000000000;
slew_upper_threshold_pct_fall : 80.00000000;
slew_upper_threshold_pct_rise : 80.00000000;
switching_power_split_model : "true";
tran_timestep : "0.0000000000";
cell ("sky130_fd_sc_hvl__lsbufhv2hv_hl_1") {
leakage_power () {
value : 2559.9999844;
when : "!A";
}
leakage_power () {
value : 2560.0011213;
when : "A";
}
area : 70.32960000;
cell_footprint : "sky130_fd_sc_hvl__lsbufhv2hv_hl";
cell_leakage_power : 2560.0010000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
is_level_shifter : "true";
level_shifter_type : "HL";
pg_pin ("LOWHVPWR") {
pg_type : "primary_power";
voltage_name : "LOWHVPWR";
}
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0024290000;
clock : "false";
input_voltage_range(1.3200000000, 5.5000000000);
direction : "input";
fall_capacitance : 0.0024150000;
input_signal_level : "VPWR";
level_shifter_data_pin : "true";
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0024430000;
}
pin ("X") {
output_voltage_range(1.0000000000, 5.5000000000);
direction : "output";
function : "A";
internal_power () {
fall_power ("power_outputs_4") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0016016400, 0.0051304700, 0.0164343000, 0.0526434000, 0.1686310000, 0.5401710000");
values("0.0262523000, 0.0251155000, 0.0209844000, 0.0062895000, -0.0428574000, -0.2009971000, -0.7066319000", \
"0.0253443000, 0.0242364000, 0.0201316000, 0.0053682000, -0.0439171000, -0.2018972000, -0.7079017000", \
"0.0241916000, 0.0233130000, 0.0191754000, 0.0042783000, -0.0449442000, -0.2030004000, -0.7088768000", \
"0.0228147000, 0.0218602000, 0.0176860000, 0.0027205000, -0.0464436000, -0.2044249000, -0.7103137000", \
"0.0220139000, 0.0210802000, 0.0168631000, 0.0019242000, -0.0473756000, -0.2051687000, -0.7105222000", \
"0.0219826000, 0.0207837000, 0.0165722000, 0.0016634000, -0.0475689000, -0.2055149000, -0.7115315000", \
"0.0218262000, 0.0205571000, 0.0162886000, 0.0013832000, -0.0476846000, -0.2055551000, -0.7112575000", \
"0.0216327000, 0.0204254000, 0.0161603000, 0.0012353000, -0.0478696000, -0.2056942000, -0.7114871000", \
"0.0213936000, 0.0201481000, 0.0159589000, 0.0009938000, -0.0479061000, -0.2057293000, -0.7114873000", \
"0.0213049000, 0.0200977000, 0.0157684000, 0.0009279000, -0.0480370000, -0.2058019000, -0.7115714000", \
"0.0213002000, 0.0201262000, 0.0157780000, 0.0008544000, -0.0481393000, -0.2058588000, -0.7115965000");
}
related_pin : "A";
rise_power ("power_outputs_4") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0016016400, 0.0051304700, 0.0164343000, 0.0526434000, 0.1686310000, 0.5401710000");
values("0.0006604000, 0.0026376000, 0.0067501000, 0.0205017000, 0.0723418000, 0.2262947000, 0.7161963000", \
"0.0007074000, 0.0023735000, 0.0071801000, 0.0224437000, 0.0710742000, 0.2273801000, 0.7278515000", \
"0.0005773000, 0.0022455000, 0.0071020000, 0.0223370000, 0.0711057000, 0.2273447000, 0.7279763000", \
"0.0002568000, 0.0020162000, 0.0071157000, 0.0224592000, 0.0711423000, 0.2274827000, 0.7281421000", \
"0.0000694122, 0.0017646000, 0.0067520000, 0.0221318000, 0.0709719000, 0.2273102000, 0.7277693000", \
"0.0004573000, 0.0021381000, 0.0065588000, 0.0219583000, 0.0708097000, 0.2270808000, 0.7288859000", \
"0.0001617000, 0.0017873000, 0.0068152000, 0.0217243000, 0.0706418000, 0.2269758000, 0.7282223000", \
"0.0001214000, 0.0016892000, 0.0065808000, 0.0221910000, 0.0705027000, 0.2268598000, 0.7274884000", \
"-0.0001578000, 0.0015931000, 0.0065340000, 0.0220553000, 0.0705734000, 0.2266753000, 0.7275755000", \
"-0.0001922000, 0.0013839000, 0.0061752000, 0.0220173000, 0.0711810000, 0.2265472000, 0.7273959000", \
"-0.0003335000, 0.0013679000, 0.0064124000, 0.0220222000, 0.0715374000, 0.2266045000, 0.7272379000");
}
}
max_capacitance : 0.5401710000;
max_transition : 11.327171000;
power_down_function : "(!LOWHVPWR+!VPWR+VGND)";
related_ground_pin : "VGND";
related_power_pin : "LOWHVPWR";
timing () {
cell_fall ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0016016400, 0.0051304700, 0.0164343000, 0.0526434000, 0.1686310000, 0.5401710000");
values("0.3644998000, 0.3863393000, 0.4391816000, 0.5694010000, 0.9490984000, 2.1552367000, 6.0103531000", \
"0.3557900000, 0.3764476000, 0.4304134000, 0.5617338000, 0.9387611000, 2.1492246000, 6.0064957000", \
"0.3506503000, 0.3706723000, 0.4229679000, 0.5506976000, 0.9297718000, 2.1381730000, 6.0016663000", \
"0.3543757000, 0.3754615000, 0.4257315000, 0.5528564000, 0.9328043000, 2.1422875000, 6.0086083000", \
"0.4520874000, 0.4712763000, 0.5232770000, 0.6532611000, 1.0340430000, 2.2406215000, 6.0988423000", \
"0.6046325000, 0.6259300000, 0.6761598000, 0.8072075000, 1.1878660000, 2.3944207000, 6.2612946000", \
"0.9218031000, 0.9401704000, 0.9921868000, 1.1223735000, 1.5023252000, 2.7139087000, 6.5802879000", \
"1.2389580000, 1.2580136000, 1.3101282000, 1.4400057000, 1.8206073000, 3.0279501000, 6.8909469000", \
"2.1227464000, 2.1426980000, 2.1939219000, 2.3238622000, 2.7038829000, 3.9118730000, 7.8040943000", \
"2.5749862000, 2.5962175000, 2.6482412000, 2.7804490000, 3.1618401000, 4.3678843000, 8.244576600", \
"3.0199216000, 3.0421548000, 3.0960323000, 3.2298202000, 3.6023844000, 4.8083213000, 8.706248200");
}
cell_rise ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0016016400, 0.0051304700, 0.0164343000, 0.0526434000, 0.1686310000, 0.5401710000");
values("0.1063971000, 0.1256910000, 0.1796965000, 0.3525736000, 0.9013671000, 2.6453897000, 8.251402200", \
"0.1081111000, 0.1268942000, 0.1816284000, 0.3538344000, 0.9015159000, 2.6533491000, 8.268709300", \
"0.1091394000, 0.1277554000, 0.1832105000, 0.3529468000, 0.8997373000, 2.6515456000, 8.252293100", \
"0.1112752000, 0.1294907000, 0.1865983000, 0.3591780000, 0.9083903000, 2.6543565000, 8.299969600", \
"0.0714427000, 0.0921306000, 0.1528747000, 0.3282395000, 0.8772924000, 2.6289289000, 8.235550000", \
"-0.0081652000, 0.0125306000, 0.0707413000, 0.2508556000, 0.7998939000, 2.5484974000, 8.153393500", \
"-0.1930262000, -0.1722400000, -0.1136099000, 0.0654650000, 0.6204323000, 2.3795153000, 8.012796600", \
"-0.3912501000, -0.3707277000, -0.3119552000, -0.1354963000, 0.4250020000, 2.1758684000, 7.8071158000", \
"-0.9790919000, -0.9555375000, -0.8943619000, -0.7177471000, -0.1650020000, 1.5997967000, 7.1908777000", \
"-1.2962663000, -1.2727948000, -1.2114960000, -1.0356654000, -0.4789559000, 1.2839710000, 6.9112440000", \
"-1.6183738000, -1.5936198000, -1.5291640000, -1.3515359000, -0.8014957000, 0.9565373000, 6.5554961000");
}
fall_transition ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0016016400, 0.0051304700, 0.0164343000, 0.0526434000, 0.1686310000, 0.5401710000");
values("0.3972946000, 0.3972946000, 0.3972946000, 0.3972946000, 0.7734671000, 2.3746793000, 7.4965998000", \
"0.0688999000, 0.0844927000, 0.1299178000, 0.2783758000, 0.7742712000, 2.3732560000, 7.4633251000", \
"0.0689052000, 0.0850720000, 0.1317153000, 0.2774150000, 0.7741459000, 2.3778823000, 7.5103310000", \
"0.0702065000, 0.0847180000, 0.1313106000, 0.2788224000, 0.7713946000, 2.3664442000, 7.5186959000", \
"0.0691030000, 0.0840946000, 0.1314602000, 0.2786670000, 0.7705245000, 2.3685296000, 7.5024076000", \
"0.0693451000, 0.0857749000, 0.1313985000, 0.2778226000, 0.7742876000, 2.3761320000, 7.5188531000", \
"0.0708806000, 0.0845749000, 0.1316367000, 0.2768023000, 0.7743403000, 2.3633331000, 7.4774942000", \
"0.0694557000, 0.0848580000, 0.1314173000, 0.2783780000, 0.7726238000, 2.3667837000, 7.5452862000", \
"0.0708631000, 0.0866730000, 0.1355601000, 0.2796236000, 0.7750730000, 2.3586582000, 7.5244664000", \
"0.0761412000, 0.0932013000, 0.1374020000, 0.2800067000, 0.7701917000, 2.3621791000, 7.5439797000", \
"0.0818675000, 0.0975082000, 0.1424944000, 0.2833786000, 0.7792321000, 2.3699298000, 7.5504127000");
}
related_pin : "A";
rise_transition ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0016016400, 0.0051304700, 0.0164343000, 0.0526434000, 0.1686310000, 0.5401710000");
values("0.0550074000, 0.0788363000, 0.1537872000, 0.3909823000, 1.1420899000, 3.5582555000, 11.262526000", \
"0.0549060000, 0.0779465000, 0.1554385000, 0.3911378000, 1.1461661000, 3.5609349000, 11.306310600", \
"0.0550515000, 0.0779643000, 0.1553446000, 0.3886929000, 1.1541567000, 3.5657639000, 11.294621200", \
"0.0555560000, 0.0774968000, 0.1522317000, 0.3880346000, 1.1490038000, 3.5596985000, 11.326267900", \
"0.0596901000, 0.0845223000, 0.1576071000, 0.3910617000, 1.1418569000, 3.5622447000, 11.289858800", \
"0.0611775000, 0.0836837000, 0.1584213000, 0.3933951000, 1.1424743000, 3.5573937000, 11.263964000", \
"0.0633587000, 0.0856393000, 0.1589318000, 0.3973150000, 1.1524166000, 3.5631162000, 11.290037300", \
"0.0682279000, 0.0896505000, 0.1594432000, 0.3954150000, 1.1534445000, 3.5602903000, 11.327171200", \
"0.0768363000, 0.0985219000, 0.1635691000, 0.4008527000, 1.1558979000, 3.5453862000, 11.235560000", \
"0.0848704000, 0.1032613000, 0.1676529000, 0.4016063000, 1.1606327000, 3.5529120000, 11.319614600", \
"0.0859817000, 0.1064595000, 0.1708076000, 0.3967263000, 1.1519814000, 3.5518577000, 11.287443000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
}
}
cell ("sky130_fd_sc_hvl__lsbufhv2hv_lh_1") {
leakage_power () {
value : 2560.1887046;
when : "!A";
}
leakage_power () {
value : 2560.0493245;
when : "A";
}
area : 85.95840000;
cell_footprint : "sky130_fd_sc_hvl__lsbufhv2hv_lh";
cell_leakage_power : 2560.1190000;
input_voltage_range(1.3200000000, 5.5000000000);
output_voltage_range(1.6500000000, 5.5000000000);
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
is_level_shifter : "true";
level_shifter_type : "LH";
pg_pin ("LOWHVPWR") {
pg_type : "primary_power";
voltage_name : "LOWHVPWR";
}
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0026070000;
clock : "false";
direction : "input";
fall_capacitance : 0.0025180000;
input_signal_level : "LOWHVPWR";
level_shifter_data_pin : "true";
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "LOWHVPWR";
rise_capacitance : 0.0026950000;
}
pin ("X") {
direction : "output";
function : "A";
internal_power () {
fall_power ("power_outputs_5") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0011952800, 0.0028574000, 0.0068308100, 0.0163295000, 0.0390367000, 0.0933198000, 0.2230870000, 0.5333050000");
values("1.1403488000, 1.1240020000, 1.0856564000, 1.0048432000, 0.8436391000, 0.4749940000, -0.3770609000, -2.3680643000, -7.0783694000", \
"1.1376743000, 1.1245915000, 1.0854892000, 1.0061210000, 0.8446074000, 0.4760670000, -0.3775026000, -2.3673557000, -7.0772040000", \
"1.1401147000, 1.1242786000, 1.0863389000, 1.0049163000, 0.8446416000, 0.4760277000, -0.3775309000, -2.3664524000, -7.0774937000", \
"1.1398916000, 1.1234770000, 1.0853230000, 1.0045951000, 0.8426741000, 0.4746614000, -0.3773194000, -2.3686202000, -7.0783148000", \
"1.1375974000, 1.1226488000, 1.0858298000, 1.0045934000, 0.8433353000, 0.4747631000, -0.3773406000, -2.3681980000, -7.0786228000", \
"1.1393390000, 1.1234071000, 1.0845850000, 1.0043276000, 0.8440687000, 0.4740172000, -0.3785160000, -2.3681172000, -7.0784797000", \
"1.1356140000, 1.1219221000, 1.0834121000, 1.0029083000, 0.8413897000, 0.4733199000, -0.3792363000, -2.3701084000, -7.0798614000", \
"1.1358628000, 1.1197364000, 1.0819778000, 1.0011679000, 0.8407487000, 0.4717743000, -0.3811437000, -2.3712524000, -7.0809833000", \
"1.1564083000, 1.1410743000, 1.1032016000, 1.0225665000, 0.8616030000, 0.4921773000, -0.3576081000, -2.3507376000, -7.0615366000", \
"1.1870827000, 1.1715798000, 1.1322387000, 1.0520947000, 0.8923235000, 0.5227986000, -0.3307095000, -2.3214223000, -7.0331427000", \
"1.2231140000, 1.2062899000, 1.1691401000, 1.0879730000, 0.9269627000, 0.5575116000, -0.2960321000, -2.2882384000, -7.0015878000");
}
related_pin : "A";
rise_power ("power_outputs_5") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0011952800, 0.0028574000, 0.0068308100, 0.0163295000, 0.0390367000, 0.0933198000, 0.2230870000, 0.5333050000");
values("0.9108680000, 0.9176811000, 0.9347000000, 0.9789018000, 1.1152294000, 1.4339609000, 2.2001442000, 4.1039981000, 8.726646000", \
"0.9103197000, 0.9175554000, 0.9348035000, 0.9795259000, 1.1151015000, 1.4339314000, 2.2000276000, 4.1047149000, 8.725619300", \
"0.9080949000, 0.9166031000, 0.9325597000, 0.9791153000, 1.1138902000, 1.4324307000, 2.1986362000, 4.1026816000, 8.722778100", \
"0.9093766000, 0.9167690000, 0.9339208000, 0.9795078000, 1.1160189000, 1.4333591000, 2.1971116000, 4.1017149000, 8.721338400", \
"0.9101358000, 0.9172819000, 0.9345025000, 0.9792745000, 1.1148404000, 1.4336600000, 2.1997745000, 4.1035046000, 8.721692200", \
"0.9092332000, 0.9166792000, 0.9337757000, 0.9796298000, 1.1165787000, 1.4333949000, 2.1970196000, 4.1015051000, 8.724925700", \
"0.9084221000, 0.9145551000, 0.9317404000, 0.9767520000, 1.1140709000, 1.4307823000, 2.1973303000, 4.1029482000, 8.717383200", \
"0.9056264000, 0.9137110000, 0.9302853000, 0.9767575000, 1.1120727000, 1.4303421000, 2.1946446000, 4.0966041000, 8.719659100", \
"0.9053402000, 0.9129189000, 0.9305728000, 0.9768717000, 1.1105138000, 1.4260645000, 2.1913081000, 4.0966245000, 8.719239600", \
"0.9066164000, 0.9134964000, 0.9309148000, 0.9772969000, 1.1111649000, 1.4302421000, 2.1974463000, 4.1037031000, 8.721348900", \
"0.9612308000, 0.9670911000, 0.9815993000, 1.0201882000, 1.1268114000, 1.4283137000, 2.1982473000, 4.1006105000, 8.717029800");
}
}
max_capacitance : 0.5333050000;
max_transition : 2.9214340000;
power_down_function : "(!LOWHVPWR+!VPWR+VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_11_9") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0011952800, 0.0028574000, 0.0068308100, 0.0163295000, 0.0390367000, 0.0933198000, 0.2230870000, 0.5333050000");
values("1.3239184000, 1.3312738000, 1.3461441000, 1.3747363000, 1.4296928000, 1.5390041000, 1.7749732000, 2.3272091000, 3.6606287000", \
"1.3275694000, 1.3349764000, 1.3497162000, 1.3788052000, 1.4339914000, 1.5433047000, 1.7791099000, 2.3317210000, 3.6649226000", \
"1.3321938000, 1.3392987000, 1.3540997000, 1.3830593000, 1.4384569000, 1.5475790000, 1.7834123000, 2.3360480000, 3.6693593000", \
"1.3509579000, 1.3582554000, 1.3731162000, 1.4018529000, 1.4569086000, 1.5661548000, 1.8021681000, 2.3545674000, 3.6879506000", \
"1.4213353000, 1.4285073000, 1.4434314000, 1.4721521000, 1.5271114000, 1.6364287000, 1.8723647000, 2.4245916000, 3.7579784000", \
"1.5292970000, 1.5366218000, 1.5512648000, 1.5801715000, 1.6353933000, 1.7449441000, 1.9805781000, 2.5334495000, 3.8666745000", \
"1.7500138000, 1.7572971000, 1.7721342000, 1.8012348000, 1.8559972000, 1.9652948000, 2.2011788000, 2.7537346000, 4.0867958000", \
"1.9709803000, 1.9782187000, 1.9931277000, 2.0220423000, 2.0769504000, 2.1863786000, 2.4220803000, 2.9746592000, 4.3081698000", \
"2.5771894000, 2.5844795000, 2.5992930000, 2.6286182000, 2.6834261000, 2.7926426000, 3.0291596000, 3.5809273000, 4.9143205000", \
"2.8778968000, 2.8850977000, 2.8998519000, 2.9289695000, 2.9843086000, 3.0933662000, 3.3295542000, 3.8821608000, 5.2156621000", \
"3.1628435000, 3.1698866000, 3.1851199000, 3.2142855000, 3.2694611000, 3.3790013000, 3.6147392000, 4.1669543000, 5.5001528000");
}
cell_rise ("del_1_11_9") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0011952800, 0.0028574000, 0.0068308100, 0.0163295000, 0.0390367000, 0.0933198000, 0.2230870000, 0.5333050000");
values("1.0160367000, 1.0242035000, 1.0410032000, 1.0706952000, 1.1232354000, 1.2173074000, 1.4127229000, 1.8880362000, 3.0424760000", \
"1.0208682000, 1.0290608000, 1.0457367000, 1.0756663000, 1.1281622000, 1.2222424000, 1.4176111000, 1.8932740000, 3.0470633000", \
"1.0255667000, 1.0337747000, 1.0503332000, 1.0809222000, 1.1327845000, 1.2268000000, 1.4221787000, 1.8977750000, 3.0517617000", \
"1.0463024000, 1.0542118000, 1.0707768000, 1.1020798000, 1.1540610000, 1.2479288000, 1.4423686000, 1.9176243000, 3.0719634000", \
"1.1136375000, 1.1218262000, 1.1384931000, 1.1684386000, 1.2209244000, 1.3150012000, 1.5104059000, 1.9857322000, 3.1401448000", \
"1.2152660000, 1.2234839000, 1.2400329000, 1.2711120000, 1.3232204000, 1.4171593000, 1.6116405000, 2.0868831000, 3.2410694000", \
"1.4106668000, 1.4188404000, 1.4355089000, 1.4653611000, 1.5183216000, 1.6122391000, 1.8067443000, 2.2820219000, 3.4373893000", \
"1.5828013000, 1.5911454000, 1.6075991000, 1.6380903000, 1.6905658000, 1.7843644000, 1.9793083000, 2.4544693000, 3.6073602000", \
"1.9646333000, 1.9728368000, 1.9893029000, 2.0198161000, 2.0717992000, 2.1649087000, 2.3603490000, 2.8359848000, 3.9905919000", \
"2.1299396000, 2.1381596000, 2.1547444000, 2.1851507000, 2.2376692000, 2.3317424000, 2.5271512000, 3.0026923000, 4.1569361000", \
"2.2808164000, 2.2889668000, 2.3054327000, 2.3359354000, 2.3885183000, 2.4830153000, 2.6783449000, 3.1529246000, 4.3076720000");
}
fall_transition ("del_1_11_9") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0011952800, 0.0028574000, 0.0068308100, 0.0163295000, 0.0390367000, 0.0933198000, 0.2230870000, 0.5333050000");
values("0.0653495000, 0.0719439000, 0.0846789000, 0.1099899000, 0.1602979000, 0.2699598000, 0.5403504000, 1.2329269000, 2.9108986000", \
"0.0661424000, 0.0724209000, 0.0859379000, 0.1117848000, 0.1593587000, 0.2689635000, 0.5412067000, 1.2334445000, 2.9110949000", \
"0.0653430000, 0.0722042000, 0.0858386000, 0.1116870000, 0.1591233000, 0.2688883000, 0.5412076000, 1.2297316000, 2.9136704000", \
"0.0654516000, 0.0719945000, 0.0854930000, 0.1098849000, 0.1610237000, 0.2699756000, 0.5403577000, 1.2324166000, 2.9155458000", \
"0.0654568000, 0.0722695000, 0.0852002000, 0.1099614000, 0.1601773000, 0.2698104000, 0.5405877000, 1.2322624000, 2.9136051000", \
"0.0654384000, 0.0719748000, 0.0856162000, 0.1100189000, 0.1599679000, 0.2690010000, 0.5409964000, 1.2329092000, 2.9170972000", \
"0.0663040000, 0.0726198000, 0.0850003000, 0.1100764000, 0.1612009000, 0.2702922000, 0.5410338000, 1.2318797000, 2.9140725000", \
"0.0666886000, 0.0734678000, 0.0859274000, 0.1102167000, 0.1601229000, 0.2698242000, 0.5413830000, 1.2348429000, 2.9106890000", \
"0.0672659000, 0.0730392000, 0.0855176000, 0.1106721000, 0.1617236000, 0.2697942000, 0.5412756000, 1.2342787000, 2.9214338000", \
"0.0661599000, 0.0728707000, 0.0861100000, 0.1124301000, 0.1602274000, 0.2692994000, 0.5415331000, 1.2311137000, 2.9109184000", \
"0.0685477000, 0.0744171000, 0.0869147000, 0.1118929000, 0.1616846000, 0.2706219000, 0.5420213000, 1.2305915000, 2.9100438000");
}
related_pin : "A";
rise_transition ("del_1_11_9") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0011952800, 0.0028574000, 0.0068308100, 0.0163295000, 0.0390367000, 0.0933198000, 0.2230870000, 0.5333050000");
values("0.0735433000, 0.0803891000, 0.0939224000, 0.1179382000, 0.1608614000, 0.2526712000, 0.5082772000, 1.1827438000, 2.8118685000", \
"0.0735748000, 0.0804310000, 0.0936905000, 0.1181476000, 0.1606465000, 0.2527713000, 0.5081362000, 1.1817695000, 2.8142564000", \
"0.0740222000, 0.0803712000, 0.0931497000, 0.1176893000, 0.1611932000, 0.2531375000, 0.5082381000, 1.1820579000, 2.8210478000", \
"0.0738100000, 0.0807588000, 0.0938291000, 0.1180024000, 0.1588461000, 0.2528784000, 0.5081326000, 1.1828254000, 2.8122189000", \
"0.0735901000, 0.0804267000, 0.0936856000, 0.1181334000, 0.1606791000, 0.2527493000, 0.5082769000, 1.1827267000, 2.8204541000", \
"0.0737364000, 0.0807806000, 0.0938061000, 0.1178461000, 0.1590834000, 0.2528616000, 0.5080952000, 1.1824899000, 2.8118778000", \
"0.0734803000, 0.0803366000, 0.0935489000, 0.1177712000, 0.1590286000, 0.2527859000, 0.5081487000, 1.1826606000, 2.8101563000", \
"0.0736287000, 0.0806949000, 0.0941153000, 0.1170146000, 0.1597391000, 0.2518986000, 0.5082161000, 1.1821690000, 2.8121281000", \
"0.0743628000, 0.0809316000, 0.0931238000, 0.1169673000, 0.1610334000, 0.2518510000, 0.5087867000, 1.1819278000, 2.8115548000", \
"0.0749252000, 0.0817965000, 0.0941217000, 0.1171435000, 0.1610630000, 0.2534378000, 0.5080804000, 1.1844647000, 2.8116718000", \
"0.0744002000, 0.0803893000, 0.0937752000, 0.1177023000, 0.1612020000, 0.2540239000, 0.5086211000, 1.1829705000, 2.8204867000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
}
}
cell ("sky130_fd_sc_hvl__lsbufhv2lv_1") {
leakage_power () {
value : 2722.5839858;
when : "A";
}
leakage_power () {
value : 2722.5844406;
when : "!A";
}
area : 66.42240000;
cell_footprint : "sky130_fd_sc_hvl__lsbufhv2lv";
cell_leakage_power : 2722.5840000;
dont_touch : "true";
dont_use : "true";
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
is_level_shifter : "true";
level_shifter_type : "HL";
pg_pin ("LVPWR") {
pg_type : "primary_power";
voltage_name : "LVPWR";
}
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0022300000;
clock : "false";
input_voltage_range(1.3200000000, 5.5000000000);
direction : "input";
fall_capacitance : 0.0021640000;
input_signal_level : "VPWR";
level_shifter_data_pin : "true";
max_transition : 7.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0022980000;
}
pin ("X") {
output_voltage_range(1.6000000000, 1.9500000000);
direction : "output";
function : "A";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0005000000, 0.0017481300, 0.0061119000, 0.0213688000, 0.0747107000, 0.2612080000, 0.9132480000");
values("0.3883513000, 0.3874699000, 0.3831034000, 0.3640773000, 0.2956200000, 0.0567491000, -0.7778389000", \
"0.3850660000, 0.3844558000, 0.3798433000, 0.3610453000, 0.2926465000, 0.0538893000, -0.7814399000", \
"0.3846918000, 0.3839012000, 0.3793625000, 0.3603503000, 0.2918924000, 0.0531831000, -0.7814138000", \
"0.3905774000, 0.3897543000, 0.3852488000, 0.3663434000, 0.2976971000, 0.0588308000, -0.7752139000", \
"0.4338963000, 0.4331929000, 0.4289159000, 0.4099453000, 0.3415008000, 0.1026343000, -0.7320282000", \
"0.5172591000, 0.5169102000, 0.5126033000, 0.4935395000, 0.4250684000, 0.1862152000, -0.6482016000", \
"0.6924288000, 0.6920817000, 0.6881828000, 0.6696725000, 0.6011237000, 0.3620455000, -0.4724331000", \
"0.8716300000, 0.8701799000, 0.8646357000, 0.8484695000, 0.7799959000, 0.5408931000, -0.2936456000", \
"1.3705664000, 1.3688312000, 1.3636019000, 1.3436552000, 1.2768821000, 1.0382282000, 0.2030615000", \
"1.6284209000, 1.6266412000, 1.6215695000, 1.6013252000, 1.5323090000, 1.2959232000, 0.4609825000", \
"1.8843390000, 1.8818243000, 1.8766030000, 1.8567788000, 1.7876916000, 1.5507603000, 0.7158609000", \
"2.1892940000, 2.1863866000, 2.1809374000, 2.1629729000, 2.0926760000, 1.8554051000, 1.0204235000", \
"2.3915235000, 2.3896797000, 2.3846911000, 2.3660428000, 2.2960554000, 2.0590012000, 1.2241142000", \
"2.8956080000, 2.8939464000, 2.8898537000, 2.8693296000, 2.8001334000, 2.5637476000, 1.7276477000", \
"3.4014909000, 3.3993999000, 3.3949384000, 3.3743817000, 3.3056758000, 3.0659466000, 2.2325674000");
}
related_pin : "A";
rise_power ("power_outputs_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0005000000, 0.0017481300, 0.0061119000, 0.0213688000, 0.0747107000, 0.2612080000, 0.9132480000");
values("0.2885716000, 0.2912962000, 0.2994228000, 0.3190227000, 0.3866307000, 0.6225893000, 1.4493399000", \
"0.2880704000, 0.2907789000, 0.2991169000, 0.3186466000, 0.3861398000, 0.6233703000, 1.4459553000", \
"0.2881020000, 0.2910476000, 0.2992049000, 0.3187868000, 0.3870131000, 0.6213318000, 1.4440704000", \
"0.2934481000, 0.2964303000, 0.3045228000, 0.3237631000, 0.3920019000, 0.6273791000, 1.4547964000", \
"0.3321295000, 0.3350657000, 0.3433031000, 0.3627610000, 0.4304343000, 0.6665217000, 1.4949569000", \
"0.4048141000, 0.4081062000, 0.4161970000, 0.4357925000, 0.5035109000, 0.7401365000, 1.5652807000", \
"0.5689817000, 0.5704328000, 0.5763997000, 0.5952400000, 0.6629690000, 0.8991428000, 1.7252899000", \
"0.7369212000, 0.7383625000, 0.7442371000, 0.7626358000, 0.8303633000, 1.0669892000, 1.8931257000", \
"1.2185895000, 1.2186055000, 1.2266457000, 1.2428245000, 1.3129483000, 1.5492672000, 2.3758114000", \
"1.4695491000, 1.4712470000, 1.4759483000, 1.4950390000, 1.5623759000, 1.7988666000, 2.6253511000", \
"1.7243542000, 1.7247060000, 1.7306478000, 1.7485243000, 1.8149084000, 2.0535546000, 2.8799381000", \
"2.0283644000, 2.0301258000, 2.0371885000, 2.0549555000, 2.1208490000, 2.3599643000, 3.1864116000", \
"2.2295615000, 2.2305713000, 2.2365175000, 2.2557545000, 2.3216797000, 2.5595972000, 3.3860594000", \
"2.7330356000, 2.7370716000, 2.7389556000, 2.7597187000, 2.8270680000, 3.0660961000, 3.8925224000", \
"3.2337945000, 3.2371813000, 3.2402791000, 3.2659131000, 3.3290978000, 3.5700959000, 4.3961650000");
}
}
max_capacitance : 0.9132480000;
max_transition : 15.133578000;
power_down_function : "(!LVPWR+!VPWR+VGND)";
related_ground_pin : "VGND";
related_power_pin : "LVPWR";
timing () {
cell_fall ("del_1_15_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0005000000, 0.0017481300, 0.0061119000, 0.0213688000, 0.0747107000, 0.2612080000, 0.9132480000");
values("0.4132508000, 0.4246229000, 0.4519277000, 0.5228343000, 0.7442678000, 1.5143045000, 4.2118891000", \
"0.4135638000, 0.4243307000, 0.4518823000, 0.5222600000, 0.7432868000, 1.5143902000, 4.2103809000", \
"0.4155408000, 0.4263762000, 0.4538850000, 0.5246403000, 0.7452811000, 1.5160770000, 4.2114654000", \
"0.4282020000, 0.4391013000, 0.4666224000, 0.5368921000, 0.7580258000, 1.5286528000, 4.2224455000", \
"0.4815494000, 0.4924263000, 0.5192686000, 0.5905605000, 0.8119174000, 1.5830188000, 4.2771680000", \
"0.5607249000, 0.5720336000, 0.5998673000, 0.6705952000, 0.8917772000, 1.6619749000, 4.3589656000", \
"0.7025880000, 0.7126306000, 0.7399159000, 0.8105614000, 1.0315883000, 1.8030493000, 4.4973851000", \
"0.8259366000, 0.8368345000, 0.8643292000, 0.9347227000, 1.1551433000, 1.9263413000, 4.6300102000", \
"1.1253191000, 1.1366721000, 1.1644392000, 1.2347079000, 1.4545282000, 2.2231212000, 4.9327298000", \
"1.2660047000, 1.2767710000, 1.3048953000, 1.3756292000, 1.5952255000, 2.3636990000, 5.0642242000", \
"1.4020219000, 1.4130124000, 1.4399957000, 1.5108034000, 1.7302398000, 2.4984710000, 5.2005178000", \
"1.5580550000, 1.5690965000, 1.5969226000, 1.6676966000, 1.8872508000, 2.6553384000, 5.3534270000", \
"1.6600179000, 1.6713410000, 1.6991066000, 1.7699605000, 1.9892714000, 2.7566491000, 5.4506186000", \
"1.9078463000, 1.9187130000, 1.9473236000, 2.0176896000, 2.2372972000, 3.0043310000, 5.6961444000", \
"2.1479905000, 2.1588740000, 2.1874276000, 2.2578066000, 2.4771307000, 3.2443641000, 5.9363545000");
}
cell_rise ("del_1_15_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0005000000, 0.0017481300, 0.0061119000, 0.0213688000, 0.0747107000, 0.2612080000, 0.9132480000");
values("0.2344911000, 0.2568704000, 0.3201700000, 0.5101840000, 1.1544112000, 3.4035985000, 11.278333800", \
"0.2357570000, 0.2582218000, 0.3215691000, 0.5116011000, 1.1562479000, 3.4052421000, 11.262371500", \
"0.2373291000, 0.2598746000, 0.3231755000, 0.5131324000, 1.1576781000, 3.4091965000, 11.276075200", \
"0.2450124000, 0.2676400000, 0.3309173000, 0.5210751000, 1.1648206000, 3.4121515000, 11.274664800", \
"0.2703928000, 0.2929389000, 0.3561504000, 0.5463725000, 1.1904666000, 3.4394896000, 11.298250300", \
"0.2865215000, 0.3086169000, 0.3716258000, 0.5619332000, 1.2082584000, 3.4591865000, 11.319261100", \
"0.2831689000, 0.3047459000, 0.3672397000, 0.5574814000, 1.2022384000, 3.4566445000, 11.334646100", \
"0.2609559000, 0.2822062000, 0.3448235000, 0.5354648000, 1.1790415000, 3.4306709000, 11.326139100", \
"0.1628565000, 0.1838892000, 0.2469027000, 0.4386272000, 1.0847310000, 3.3349139000, 11.249473400", \
"0.1001885000, 0.1213128000, 0.1856051000, 0.3784926000, 1.0235330000, 3.2705736000, 11.135224300", \
"0.0323964000, 0.0538565000, 0.1198099000, 0.3140134000, 0.9588703000, 3.2056582000, 11.068837400", \
"-0.0530494000, -0.0316843000, 0.0355278000, 0.2317564000, 0.8774775000, 3.1246901000, 11.031233700", \
"-0.1119303000, -0.0905801000, -0.0231270000, 0.1745853000, 0.8192680000, 3.0698595000, 10.964272200", \
"-0.2675176000, -0.2460677000, -0.1774222000, 0.0250048000, 0.6703668000, 2.9182581000, 10.779927200", \
"-0.4272443000, -0.4054320000, -0.3360209000, -0.1295021000, 0.5159420000, 2.7642759000, 10.647611700");
}
fall_transition ("del_1_15_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0005000000, 0.0017481300, 0.0061119000, 0.0213688000, 0.0747107000, 0.2612080000, 0.9132480000");
values("0.0262204000, 0.0340648000, 0.0602990000, 0.1344909000, 0.4195025000, 1.4192586000, 4.9406239000", \
"0.0256790000, 0.0341405000, 0.0601104000, 0.1369700000, 0.4230820000, 1.4273601000, 4.9604094000", \
"0.0262355000, 0.0345937000, 0.0602572000, 0.1374996000, 0.4220479000, 1.4249926000, 4.9560107000", \
"0.0261192000, 0.0343393000, 0.0601231000, 0.1369747000, 0.4211058000, 1.4317513000, 4.9426605000", \
"0.0258100000, 0.0340541000, 0.0592290000, 0.1360446000, 0.4145712000, 1.4255243000, 4.9568509000", \
"0.0265470000, 0.0344826000, 0.0603424000, 0.1349945000, 0.4197975000, 1.4174301000, 4.9528865000", \
"0.0263183000, 0.0341646000, 0.0587131000, 0.1356118000, 0.4214188000, 1.4222423000, 4.9299422000", \
"0.0257627000, 0.0338795000, 0.0600890000, 0.1360506000, 0.4229643000, 1.4243684000, 4.9256914000", \
"0.0259574000, 0.0360030000, 0.0596935000, 0.1355121000, 0.4185596000, 1.4293389000, 4.9341287000", \
"0.0261041000, 0.0349762000, 0.0599681000, 0.1350448000, 0.4176935000, 1.4214704000, 4.9473394000", \
"0.0267744000, 0.0354027000, 0.0594471000, 0.1358278000, 0.4169096000, 1.4159115000, 4.9377626000", \
"0.0262494000, 0.0339940000, 0.0592947000, 0.1367028000, 0.4163310000, 1.4171629000, 4.9473736000", \
"0.0270563000, 0.0348094000, 0.0604932000, 0.1360134000, 0.4165503000, 1.4181227000, 4.9413620000", \
"0.0264903000, 0.0346935000, 0.0603231000, 0.1361409000, 0.4203613000, 1.4301362000, 4.9376245000", \
"0.0269420000, 0.0345382000, 0.0605081000, 0.1360125000, 0.4164591000, 1.4175149000, 4.9374016000");
}
related_pin : "A";
rise_transition ("del_1_15_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0005000000, 0.0017481300, 0.0061119000, 0.0213688000, 0.0747107000, 0.2612080000, 0.9132480000");
values("0.0496755000, 0.0722399000, 0.1386407000, 0.3806868000, 1.2551177000, 4.3312389000, 15.064992800", \
"0.0483553000, 0.0721208000, 0.1386671000, 0.3800077000, 1.2594191000, 4.3275983000, 14.962195000", \
"0.0495055000, 0.0720648000, 0.1388441000, 0.3808497000, 1.2555107000, 4.3359312000, 14.981790000", \
"0.0477051000, 0.0717099000, 0.1389718000, 0.3819145000, 1.2495970000, 4.2979207000, 15.007124400", \
"0.0498356000, 0.0718308000, 0.1389083000, 0.3814185000, 1.2621538000, 4.3144146000, 14.977880300", \
"0.0477955000, 0.0711329000, 0.1383647000, 0.3792627000, 1.2588243000, 4.3414494000, 14.938470000", \
"0.0470675000, 0.0691973000, 0.1376188000, 0.3779692000, 1.2605407000, 4.3058379000, 15.133577900", \
"0.0460865000, 0.0684379000, 0.1378928000, 0.3809799000, 1.2580911000, 4.3172981000, 15.014141000", \
"0.0451391000, 0.0682119000, 0.1395556000, 0.3807768000, 1.2590016000, 4.3256887000, 14.963756500", \
"0.0454620000, 0.0692718000, 0.1412879000, 0.3840565000, 1.2516710000, 4.3226027000, 15.070968500", \
"0.0453251000, 0.0699078000, 0.1431879000, 0.3839859000, 1.2557583000, 4.2994732000, 15.067461900", \
"0.0450380000, 0.0704554000, 0.1456618000, 0.3849873000, 1.2597655000, 4.3010902000, 14.967589800", \
"0.0456110000, 0.0706810000, 0.1477668000, 0.3845358000, 1.2645126000, 4.2905235000, 14.965706900", \
"0.0457500000, 0.0714324000, 0.1520690000, 0.3906440000, 1.2515307000, 4.2906692000, 15.103106300", \
"0.0459180000, 0.0716684000, 0.1536803000, 0.3931412000, 1.2484526000, 4.3040504000, 15.013689800");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
}
}
cell ("sky130_fd_sc_hvl__lsbufhv2lv_simple_1") {
leakage_power () {
value : 2722.5000849;
when : "!A";
}
leakage_power () {
value : 2722.5009944;
when : "A";
}
area : 70.32960000;
cell_footprint : "sky130_fd_sc_hvl__lsbufhv2lv_simple";
cell_leakage_power : 2722.5010000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
is_level_shifter : "true";
level_shifter_type : "HL";
pg_pin ("LVPWR") {
pg_type : "primary_power";
voltage_name : "LVPWR";
}
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0024460000;
clock : "false";
input_voltage_range(1.3200000000, 5.5000000000);
direction : "input";
fall_capacitance : 0.0024270000;
input_signal_level : "VPWR";
level_shifter_data_pin : "true";
max_transition : 7.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0024640000;
}
pin ("X") {
output_voltage_range(1.0000000000, 1.9500000000);
direction : "output";
function : "A";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0005000000, 0.0014501200, 0.0042056800, 0.0121975000, 0.0353755000, 0.1025970000, 0.2975560000");
values("0.0241647000, 0.0236871000, 0.0203137000, 0.0108368000, -0.0190130000, -0.1050342000, -0.3542885000", \
"0.0235196000, 0.0228337000, 0.0197638000, 0.0101131000, -0.0193744000, -0.1055097000, -0.3551229000", \
"0.0228407000, 0.0216879000, 0.0188338000, 0.0090979000, -0.0203656000, -0.1063358000, -0.3560998000", \
"0.0215793000, 0.0205405000, 0.0175004000, 0.0077027000, -0.0218683000, -0.1079109000, -0.3575352000", \
"0.0206830000, 0.0196478000, 0.0165786000, 0.0068440000, -0.0227406000, -0.1086952000, -0.3584063000", \
"0.0204517000, 0.0195013000, 0.0163825000, 0.0066808000, -0.0228533000, -0.1088704000, -0.3584399000", \
"0.0199157000, 0.0192547000, 0.0161972000, 0.0063930000, -0.0230431000, -0.1090554000, -0.3586930000", \
"0.0201470000, 0.0191862000, 0.0160532000, 0.0062574000, -0.0231848000, -0.1091617000, -0.3587311000", \
"0.0199968000, 0.0189796000, 0.0158015000, 0.0060117000, -0.0233572000, -0.1092826000, -0.3588092000", \
"0.0196654000, 0.0188404000, 0.0157220000, 0.0059073000, -0.0234597000, -0.1093425000, -0.3588396000", \
"0.0198185000, 0.0186202000, 0.0156271000, 0.0059013000, -0.0234549000, -0.1093527000, -0.3588570000", \
"0.0197246000, 0.0187338000, 0.0155821000, 0.0058428000, -0.0235070000, -0.1093838000, -0.3588782000", \
"0.0196033000, 0.0184673000, 0.0155816000, 0.0057893000, -0.0235407000, -0.1094108000, -0.3588941000", \
"0.0188259000, 0.0183544000, 0.0153562000, 0.0057552000, -0.0236346000, -0.1094601000, -0.3589182000", \
"0.0196990000, 0.0179069000, 0.0149076000, 0.0057021000, -0.0236581000, -0.1094635000, -0.3589165000");
}
related_pin : "A";
rise_power ("power_outputs_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0005000000, 0.0014501200, 0.0042056800, 0.0121975000, 0.0353755000, 0.1025970000, 0.2975560000");
values("0.0000227650, 0.0013274000, 0.0048194000, 0.0148575000, 0.0438964000, 0.1291419000, 0.3762020000", \
"0.0000603103, 0.0013499000, 0.0047879000, 0.0148502000, 0.0441389000, 0.1292038000, 0.3763059000", \
"-0.0000513146, 0.0012783000, 0.0048014000, 0.0148675000, 0.0444009000, 0.1292891000, 0.3763852000", \
"-0.0002173000, 0.0011147000, 0.0048367000, 0.0149324000, 0.0444679000, 0.1294069000, 0.3764914000", \
"-0.0004333000, 0.0009241000, 0.0046308000, 0.0148976000, 0.0441328000, 0.1293507000, 0.3768033000", \
"-0.0000843901, 0.0012578000, 0.0046110000, 0.0146528000, 0.0440822000, 0.1292633000, 0.3765284000", \
"-0.0003122000, 0.0009811000, 0.0047679000, 0.0149542000, 0.0439466000, 0.1291354000, 0.3762020000", \
"-0.0004046000, 0.0008266000, 0.0045382000, 0.0149891000, 0.0438218000, 0.1290340000, 0.3761150000", \
"-0.0006228000, 0.0007991000, 0.0044510000, 0.0148366000, 0.0444809000, 0.1289130000, 0.3761655000", \
"-0.0007122000, 0.0006502000, 0.0043849000, 0.0147517000, 0.0445074000, 0.1288850000, 0.3760231000", \
"-0.0007865000, 0.0005268000, 0.0043194000, 0.0148142000, 0.0445568000, 0.1289024000, 0.3760364000", \
"-0.0008154000, 0.0004708000, 0.0041474000, 0.0147288000, 0.0444493000, 0.1287402000, 0.3758190000", \
"-0.0007886000, 0.0006095000, 0.0042016000, 0.0147400000, 0.0442458000, 0.1291774000, 0.3757446000", \
"-0.0007822000, 0.0002776000, 0.0042145000, 0.0145140000, 0.0443219000, 0.1304304000, 0.3757658000", \
"-0.0009225000, 0.0003407000, 0.0039773000, 0.0145372000, 0.0442494000, 0.1305339000, 0.3757673000");
}
}
max_capacitance : 0.2975560000;
max_transition : 6.7575050000;
power_down_function : "(!LVPWR+!VPWR+VGND)";
related_ground_pin : "VGND";
related_power_pin : "LVPWR";
timing () {
cell_fall ("del_1_15_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0005000000, 0.0014501200, 0.0042056800, 0.0121975000, 0.0353755000, 0.1025970000, 0.2975560000");
values("0.3816485000, 0.4012653000, 0.4469801000, 0.5512477000, 0.8120771000, 1.5579471000, 3.7236314000", \
"0.3799234000, 0.3983316000, 0.4410771000, 0.5438303000, 0.8071636000, 1.5527166000, 3.7162662000", \
"0.3686851000, 0.3865159000, 0.4335852000, 0.5346102000, 0.7974080000, 1.5457636000, 3.7056584000", \
"0.3724575000, 0.3891109000, 0.4337344000, 0.5320687000, 0.7901880000, 1.5371064000, 3.7014394000", \
"0.4617283000, 0.4787615000, 0.5228767000, 0.6250587000, 0.8839970000, 1.6316885000, 3.7967465000", \
"0.6226719000, 0.6413104000, 0.6849064000, 0.7845833000, 1.0434300000, 1.7945057000, 3.9587498000", \
"0.9394395000, 0.9588483000, 1.0028801000, 1.1039144000, 1.3655970000, 2.1158280000, 4.2869051000", \
"1.2551381000, 1.2784271000, 1.3229177000, 1.4245525000, 1.6872165000, 2.4386626000, 4.6063326000", \
"2.1545301000, 2.1732047000, 2.2167279000, 2.3147537000, 2.5811902000, 3.3321743000, 5.5138756000", \
"2.6145079000, 2.6330662000, 2.6740801000, 2.7759552000, 3.0379523000, 3.7868211000, 5.9779226000", \
"3.0683165000, 3.0885847000, 3.1342513000, 3.2377299000, 3.5010226000, 4.2536437000, 6.4358687000", \
"3.6068830000, 3.6276666000, 3.6752488000, 3.7810182000, 4.0456474000, 4.7943702000, 6.9784134000", \
"3.9633323000, 3.9837387000, 4.0325787000, 4.1397472000, 4.4042809000, 5.1568363000, 7.3386506000", \
"4.8446962000, 4.8662732000, 4.9180002000, 5.0272018000, 5.2896039000, 6.0383596000, 8.216943600", \
"5.7052727000, 5.7397673000, 5.7898903000, 5.8982428000, 6.1696888000, 6.9111175000, 9.083693800");
}
cell_rise ("del_1_15_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0005000000, 0.0014501200, 0.0042056800, 0.0121975000, 0.0353755000, 0.1025970000, 0.2975560000");
values("0.1099793000, 0.1270342000, 0.1720941000, 0.3029206000, 0.6767781000, 1.7796510000, 4.9491500000", \
"0.1114048000, 0.1280513000, 0.1741353000, 0.3029117000, 0.6810705000, 1.7847429000, 4.9834359000", \
"0.1124312000, 0.1296061000, 0.1758102000, 0.3051489000, 0.6864578000, 1.7887903000, 4.9780176000", \
"0.1141573000, 0.1317717000, 0.1791977000, 0.3105528000, 0.6929824000, 1.7930717000, 4.9594724000", \
"0.0743791000, 0.0939943000, 0.1459822000, 0.2816591000, 0.6621540000, 1.7536997000, 4.9375073000", \
"-0.0060319000, 0.0127994000, 0.0622471000, 0.2018227000, 0.5848158000, 1.6796300000, 4.8657931000", \
"-0.1921785000, -0.1732992000, -0.1230385000, 0.0147920000, 0.4057930000, 1.5038993000, 4.7034545000", \
"-0.3931803000, -0.3737185000, -0.3218180000, -0.1848706000, 0.2021442000, 1.3054495000, 4.4893191000", \
"-0.9807735000, -0.9591635000, -0.9071318000, -0.7699283000, -0.3868018000, 0.7229748000, 3.9006038000", \
"-1.2992547000, -1.2777251000, -1.2244765000, -1.0886523000, -0.7019502000, 0.4004495000, 3.5818353000", \
"-1.6216037000, -1.5990979000, -1.5443552000, -1.4085261000, -1.0205167000, 0.0842446000, 3.2624750000", \
"-2.0155435000, -1.9918527000, -1.9354098000, -1.7987525000, -1.4136139000, -0.3138741000, 2.8869529000", \
"-2.2770841000, -2.2530551000, -2.1970454000, -2.0594153000, -1.6758468000, -0.5705609000, 2.6171718000", \
"-2.9445191000, -2.9195759000, -2.8600149000, -2.7208729000, -2.3371451000, -1.2369724000, 1.9703690000", \
"-3.6193815000, -3.5932382000, -3.5307306000, -3.3908085000, -3.0068901000, -1.9072375000, 1.2971310000");
}
fall_transition ("del_1_15_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0005000000, 0.0014501200, 0.0042056800, 0.0121975000, 0.0353755000, 0.1025970000, 0.2975560000");
values("0.4156392000, 0.4156392000, 0.4156392000, 0.4156392000, 0.5708021000, 1.5611326000, 4.4376716000", \
"0.0705964000, 0.0860854000, 0.1255936000, 0.2349988000, 0.5696553000, 1.5665189000, 4.4260280000", \
"0.0709527000, 0.0870021000, 0.1247783000, 0.2351768000, 0.5688267000, 1.5587411000, 4.4226525000", \
"0.0720868000, 0.0857052000, 0.1242503000, 0.2351992000, 0.5688754000, 1.5672363000, 4.4434638000", \
"0.0719065000, 0.0858833000, 0.1252439000, 0.2352545000, 0.5686276000, 1.5682248000, 4.4396593000", \
"0.0707285000, 0.0854940000, 0.1249123000, 0.2353799000, 0.5690757000, 1.5551130000, 4.4215263000", \
"0.0705915000, 0.0855007000, 0.1249952000, 0.2369617000, 0.5706942000, 1.5645585000, 4.4549733000", \
"0.0713858000, 0.0866274000, 0.1253761000, 0.2361628000, 0.5670712000, 1.5538130000, 4.4621009000", \
"0.0733223000, 0.0883494000, 0.1261941000, 0.2369121000, 0.5691391000, 1.5571180000, 4.4604609000", \
"0.0752655000, 0.0900940000, 0.1299275000, 0.2388923000, 0.5692388000, 1.5560866000, 4.4455395000", \
"0.0802910000, 0.0939572000, 0.1323341000, 0.2374644000, 0.5684163000, 1.5595237000, 4.4505799000", \
"0.0867148000, 0.1009200000, 0.1384357000, 0.2451216000, 0.5693232000, 1.5563857000, 4.4238497000", \
"0.0896924000, 0.1044091000, 0.1422228000, 0.2481636000, 0.5695486000, 1.5597315000, 4.4438693000", \
"0.0968474000, 0.1107513000, 0.1527755000, 0.2526321000, 0.5762520000, 1.5537802000, 4.4342436000", \
"0.1055975000, 0.1198351000, 0.1574356000, 0.2604063000, 0.5776160000, 1.5539126000, 4.4667687000");
}
related_pin : "A";
rise_transition ("del_1_15_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0005000000, 0.0014501200, 0.0042056800, 0.0121975000, 0.0353755000, 0.1025970000, 0.2975560000");
values("0.1412864000, 0.1412864000, 0.1434823000, 0.3221039000, 0.8462555000, 2.3453766000, 6.7003976000", \
"0.0574652000, 0.0786783000, 0.1434616000, 0.3225347000, 0.8477433000, 2.3414892000, 6.7358061000", \
"0.0576248000, 0.0787553000, 0.1439007000, 0.3209564000, 0.8413141000, 2.3571525000, 6.7575052000", \
"0.0574957000, 0.0792471000, 0.1409093000, 0.3220366000, 0.8430907000, 2.3564874000, 6.7254105000", \
"0.0629443000, 0.0854711000, 0.1482385000, 0.3244193000, 0.8434248000, 2.3417011000, 6.7321966000", \
"0.0632897000, 0.0847685000, 0.1484010000, 0.3298863000, 0.8451869000, 2.3464737000, 6.7385204000", \
"0.0654128000, 0.0860738000, 0.1477599000, 0.3293704000, 0.8486924000, 2.3511644000, 6.7115233000", \
"0.0695341000, 0.0898127000, 0.1482413000, 0.3336890000, 0.8525108000, 2.3483568000, 6.7285821000", \
"0.0772948000, 0.0973683000, 0.1529408000, 0.3289994000, 0.8607703000, 2.3536877000, 6.7066721000", \
"0.0824928000, 0.1021893000, 0.1561051000, 0.3296496000, 0.8511314000, 2.3675362000, 6.7155255000", \
"0.0866488000, 0.1052195000, 0.1591227000, 0.3304703000, 0.8522207000, 2.3707516000, 6.6935160000", \
"0.0940643000, 0.1139855000, 0.1653643000, 0.3334095000, 0.8553188000, 2.3608617000, 6.6985164000", \
"0.0949427000, 0.1145815000, 0.1670778000, 0.3346000000, 0.8595690000, 2.3714066000, 6.6932411000", \
"0.1006099000, 0.1184620000, 0.1746438000, 0.3371160000, 0.8500697000, 2.3649913000, 6.7032393000", \
"0.1075337000, 0.1256431000, 0.1814838000, 0.3381046000, 0.8470986000, 2.3677862000, 6.7547208000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
}
}
cell ("sky130_fd_sc_hvl__lsbuflv2hv_1") {
leakage_power () {
value : 2722.7044939;
when : "A";
}
leakage_power () {
value : 2722.7044939;
when : "!A";
}
area : 85.95840000;
cell_footprint : "sky130_fd_sc_hvl__lsbuflv2hv";
cell_leakage_power : 2722.7040000;
input_voltage_range(1.2800000000, 1.9500000000);
output_voltage_range(1.6500000000, 5.5000000000);
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
is_level_shifter : "true";
level_shifter_type : "LH";
pg_pin ("LVPWR") {
pg_type : "primary_power";
voltage_name : "LVPWR";
}
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0023190000;
clock : "false";
direction : "input";
fall_capacitance : 0.0022560000;
input_signal_level : "LVPWR";
level_shifter_data_pin : "true";
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "LVPWR";
rise_capacitance : 0.0023820000;
}
pin ("X") {
direction : "output";
function : "A";
internal_power () {
fall_power ("power_outputs_2") {
index_1("0.0100000000, 0.0173500000, 0.0260200000, 0.0390300000, 0.0585500000, 0.0878200000, 0.1317200000, 0.1975700000, 0.2963400000, 0.4444900000, 0.6667000000, 1.0000000000, 1.5000000000");
index_2("0.0005000000, 0.0011990900, 0.0028756200, 0.0068962400, 0.0165384000, 0.0396620000, 0.0951164000, 0.2281060000, 0.5470380000");
values("0.8225234000, 0.8141794000, 0.8054617000, 0.8025328000, 0.7409862000, 0.4249857000, -0.4169143000, -2.4315936000, -7.2568935000", \
"0.8225629000, 0.8143554000, 0.8051687000, 0.8030885000, 0.7417492000, 0.4251923000, -0.4169043000, -2.4313260000, -7.2567224000", \
"0.8216471000, 0.8131774000, 0.8059120000, 0.8023817000, 0.7416946000, 0.4251761000, -0.4169032000, -2.4313185000, -7.2570200000", \
"0.8225684000, 0.8141941000, 0.8054583000, 0.8025728000, 0.7409928000, 0.4249812000, -0.4169498000, -2.4316523000, -7.2568683000", \
"0.8226466000, 0.8141479000, 0.8054371000, 0.8024555000, 0.7409506000, 0.4249154000, -0.4171484000, -2.4315310000, -7.2569461000", \
"0.8221261000, 0.8139319000, 0.8052853000, 0.8022854000, 0.7408081000, 0.4248095000, -0.4171467000, -2.4318210000, -7.2571120000", \
"0.8215168000, 0.8136609000, 0.8052453000, 0.8040969000, 0.7392293000, 0.4246382000, -0.4176183000, -2.4320806000, -7.2573243000", \
"0.8221211000, 0.8137249000, 0.8049897000, 0.8021143000, 0.7405609000, 0.4245638000, -0.4173634000, -2.4320456000, -7.2572958000", \
"0.8217951000, 0.8137666000, 0.8050628000, 0.8021775000, 0.7405835000, 0.4245645000, -0.4173781000, -2.4320224000, -7.2573054000", \
"0.8219048000, 0.8134920000, 0.8047467000, 0.8018565000, 0.7403286000, 0.4243305000, -0.4176171000, -2.4325302000, -7.2575324000", \
"0.8215515000, 0.8128948000, 0.8043464000, 0.8010434000, 0.7388059000, 0.4243618000, -0.4180869000, -2.4323459000, -7.2578198000", \
"0.8208153000, 0.8127262000, 0.8039056000, 0.8009201000, 0.7384273000, 0.4238234000, -0.4182596000, -2.4327187000, -7.2580794000", \
"0.8240297000, 0.8161931000, 0.8072961000, 0.8034831000, 0.7432758000, 0.4269322000, -0.4150013000, -2.4293475000, -7.2548494000");
}
related_pin : "A";
rise_power ("power_outputs_2") {
index_1("0.0100000000, 0.0173500000, 0.0260200000, 0.0390300000, 0.0585500000, 0.0878200000, 0.1317200000, 0.1975700000, 0.2963400000, 0.4444900000, 0.6667000000, 1.0000000000, 1.5000000000");
index_2("0.0005000000, 0.0011990900, 0.0028756200, 0.0068962400, 0.0165384000, 0.0396620000, 0.0951164000, 0.2281060000, 0.5470380000");
values("1.0351798000, 1.0444354000, 1.0728001000, 1.1406919000, 1.2927111000, 1.6414007000, 2.4638245000, 4.4558559000, 9.230355400", \
"1.0370133000, 1.0463354000, 1.0741374000, 1.1432301000, 1.2950739000, 1.6415003000, 2.4665834000, 4.4520442000, 9.225643600", \
"1.0337524000, 1.0442530000, 1.0714304000, 1.1400288000, 1.2926860000, 1.6415102000, 2.4669166000, 4.4544165000, 9.231380900", \
"1.0328354000, 1.0432821000, 1.0708839000, 1.1385465000, 1.2920512000, 1.6403205000, 2.4616288000, 4.4552563000, 9.228822200", \
"1.0380810000, 1.0473902000, 1.0751771000, 1.1442675000, 1.2961455000, 1.6421362000, 2.4649155000, 4.4535906000, 9.226363900", \
"1.0322303000, 1.0426399000, 1.0700486000, 1.1379422000, 1.2913888000, 1.6385790000, 2.4615570000, 4.4565576000, 9.234681600", \
"1.0322381000, 1.0428092000, 1.0705227000, 1.1389444000, 1.2911994000, 1.6387086000, 2.4636967000, 4.4519558000, 9.228913700", \
"1.0381926000, 1.0475351000, 1.0753860000, 1.1443283000, 1.2994332000, 1.6440587000, 2.4673795000, 4.4540486000, 9.233331800", \
"1.0336132000, 1.0440561000, 1.0716178000, 1.1405977000, 1.2956862000, 1.6417486000, 2.4657748000, 4.4516958000, 9.229654400", \
"1.0280536000, 1.0375583000, 1.0652973000, 1.1339165000, 1.2895356000, 1.6331958000, 2.4560033000, 4.4451620000, 9.216292200", \
"1.0287383000, 1.0385886000, 1.0658622000, 1.1344251000, 1.2897106000, 1.6355145000, 2.4573292000, 4.4454095000, 9.223876200", \
"1.0259222000, 1.0360342000, 1.0634918000, 1.1318084000, 1.2843021000, 1.6305774000, 2.4574926000, 4.4497058000, 9.221752200", \
"1.0247789000, 1.0341792000, 1.0617055000, 1.1302438000, 1.2848977000, 1.6307671000, 2.4540528000, 4.4480406000, 9.219153800");
}
}
max_capacitance : 0.5470380000;
max_transition : 3.0259610000;
power_down_function : "(!LVPWR+!VPWR+VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_13_9") {
index_1("0.0100000000, 0.0173500000, 0.0260200000, 0.0390300000, 0.0585500000, 0.0878200000, 0.1317200000, 0.1975700000, 0.2963400000, 0.4444900000, 0.6667000000, 1.0000000000, 1.5000000000");
index_2("0.0005000000, 0.0011990900, 0.0028756200, 0.0068962400, 0.0165384000, 0.0396620000, 0.0951164000, 0.2281060000, 0.5470380000");
values("0.7093000000, 0.7135685000, 0.7225262000, 0.7413314000, 0.7824755000, 0.8790415000, 1.1126698000, 1.6765601000, 3.0322078000", \
"0.7114533000, 0.7157524000, 0.7246071000, 0.7434382000, 0.7847133000, 0.8811348000, 1.1147512000, 1.6787536000, 3.0335463000", \
"0.7163500000, 0.7206135000, 0.7295322000, 0.7483597000, 0.7896457000, 0.8860855000, 1.1196928000, 1.6837158000, 3.0383620000", \
"0.7221317000, 0.7263926000, 0.7353543000, 0.7541631000, 0.7953018000, 0.8918685000, 1.1254979000, 1.6893918000, 3.0442247000", \
"0.7316634000, 0.7358660000, 0.7448512000, 0.7636619000, 0.8048212000, 0.9013781000, 1.1349854000, 1.6990038000, 3.0538121000", \
"0.7469227000, 0.7512363000, 0.7601783000, 0.7790009000, 0.8201138000, 0.9166862000, 1.1503115000, 1.7142076000, 3.0689142000", \
"0.7692977000, 0.7735550000, 0.7825322000, 0.8013642000, 0.8423673000, 0.9390239000, 1.1727129000, 1.7366923000, 3.0920355000", \
"0.8094985000, 0.8137557000, 0.8227193000, 0.8415310000, 0.8826700000, 0.9792392000, 1.2128681000, 1.7767580000, 3.1315892000", \
"0.8656219000, 0.8699128000, 0.8788630000, 0.8976773000, 0.9388124000, 1.0353788000, 1.2690090000, 1.8329175000, 3.1877567000", \
"0.9518178000, 0.9560710000, 0.9650382000, 0.9838399000, 1.0249892000, 1.1215519000, 1.3551831000, 1.9190884000, 3.2739396000", \
"1.0804823000, 1.0848077000, 1.0937349000, 1.1124976000, 1.1535060000, 1.2504451000, 1.4840758000, 2.0477640000, 3.4027419000", \
"1.2751019000, 1.2794366000, 1.2883644000, 1.3071356000, 1.3481402000, 1.4451091000, 1.6785217000, 2.2423123000, 3.5967838000", \
"1.5672732000, 1.5715763000, 1.5805109000, 1.5991015000, 1.6405880000, 1.7370787000, 1.9708037000, 2.5346523000, 3.8896495000");
}
cell_rise ("del_1_13_9") {
index_1("0.0100000000, 0.0173500000, 0.0260200000, 0.0390300000, 0.0585500000, 0.0878200000, 0.1317200000, 0.1975700000, 0.2963400000, 0.4444900000, 0.6667000000, 1.0000000000, 1.5000000000");
index_2("0.0005000000, 0.0011990900, 0.0028756200, 0.0068962400, 0.0165384000, 0.0396620000, 0.0951164000, 0.2281060000, 0.5470380000");
values("1.0668577000, 1.0709239000, 1.0794541000, 1.0962363000, 1.1328244000, 1.2217241000, 1.4361510000, 1.9523105000, 3.1936467000", \
"1.0710898000, 1.0753879000, 1.0837777000, 1.1007664000, 1.1371890000, 1.2255558000, 1.4401553000, 1.9573310000, 3.2000222000", \
"1.0749521000, 1.0790362000, 1.0876701000, 1.1044481000, 1.1410128000, 1.2298548000, 1.4442985000, 1.9612010000, 3.2032097000", \
"1.0807992000, 1.0849651000, 1.0932639000, 1.1101927000, 1.1469401000, 1.2355635000, 1.4495083000, 1.9663395000, 3.2104792000", \
"1.0908883000, 1.0951839000, 1.1035728000, 1.1205644000, 1.1569821000, 1.2454322000, 1.4599524000, 1.9762323000, 3.2205199000", \
"1.1050149000, 1.1091680000, 1.1174707000, 1.1344091000, 1.1711621000, 1.2597910000, 1.4741375000, 1.9908204000, 3.2338172000", \
"1.1291986000, 1.1334930000, 1.1418781000, 1.1587945000, 1.1952024000, 1.2840696000, 1.4985234000, 2.0152036000, 3.2556586000", \
"1.1641234000, 1.1682499000, 1.1766310000, 1.1936077000, 1.2306849000, 1.3188252000, 1.5332725000, 2.0493445000, 3.2913536000", \
"1.2101939000, 1.2143867000, 1.2227216000, 1.2396957000, 1.2767686000, 1.3649142000, 1.5792363000, 2.0958928000, 3.3376129000", \
"1.2791440000, 1.2832329000, 1.2915623000, 1.3084993000, 1.3455601000, 1.4338067000, 1.6479230000, 2.1648090000, 3.4108145000", \
"1.3748981000, 1.3791374000, 1.3875233000, 1.4044969000, 1.4415716000, 1.5299406000, 1.7439287000, 2.2605748000, 3.5026049000", \
"1.4973476000, 1.5016179000, 1.5099901000, 1.5269502000, 1.5633315000, 1.6522215000, 1.8664401000, 2.3835749000, 3.6245962000", \
"1.6575568000, 1.6616323000, 1.6700178000, 1.6869580000, 1.7240124000, 1.8123876000, 2.0266653000, 2.5431244000, 3.7841996000");
}
fall_transition ("del_1_13_9") {
index_1("0.0100000000, 0.0173500000, 0.0260200000, 0.0390300000, 0.0585500000, 0.0878200000, 0.1317200000, 0.1975700000, 0.2963400000, 0.4444900000, 0.6667000000, 1.0000000000, 1.5000000000");
index_2("0.0005000000, 0.0011990900, 0.0028756200, 0.0068962400, 0.0165384000, 0.0396620000, 0.0951164000, 0.2281060000, 0.5470380000");
values("0.0276760000, 0.0315211000, 0.0392818000, 0.0582380000, 0.1051261000, 0.2241280000, 0.5187119000, 1.2300011000, 2.9293181000", \
"0.0277229000, 0.0313418000, 0.0396678000, 0.0584473000, 0.1050209000, 0.2241032000, 0.5187981000, 1.2286877000, 2.9411062000", \
"0.0276942000, 0.0311578000, 0.0395003000, 0.0582856000, 0.1049524000, 0.2241282000, 0.5187779000, 1.2346552000, 2.9380463000", \
"0.0276763000, 0.0315231000, 0.0392917000, 0.0582536000, 0.1051266000, 0.2241296000, 0.5186994000, 1.2324167000, 2.9329437000", \
"0.0276861000, 0.0316401000, 0.0393507000, 0.0582251000, 0.1051400000, 0.2241288000, 0.5188143000, 1.2326573000, 2.9426246000", \
"0.0279643000, 0.0315200000, 0.0392335000, 0.0583084000, 0.1050969000, 0.2241093000, 0.5186879000, 1.2326733000, 2.9280438000", \
"0.0277671000, 0.0314890000, 0.0393345000, 0.0590752000, 0.1048288000, 0.2245184000, 0.5189192000, 1.2288444000, 2.9364753000", \
"0.0276774000, 0.0315316000, 0.0392928000, 0.0582562000, 0.1051227000, 0.2241263000, 0.5187284000, 1.2324792000, 2.9418896000", \
"0.0276566000, 0.0315223000, 0.0392683000, 0.0582651000, 0.1051234000, 0.2241264000, 0.5186012000, 1.2322475000, 2.9421479000", \
"0.0276785000, 0.0315429000, 0.0393050000, 0.0582416000, 0.1051333000, 0.2241272000, 0.5185684000, 1.2321921000, 2.9421818000", \
"0.0277758000, 0.0313157000, 0.0395473000, 0.0581751000, 0.1049483000, 0.2241496000, 0.5190456000, 1.2306944000, 2.9307237000", \
"0.0278630000, 0.0314826000, 0.0395975000, 0.0582046000, 0.1049974000, 0.2241300000, 0.5189239000, 1.2315742000, 2.9300169000", \
"0.0277989000, 0.0313382000, 0.0393929000, 0.0584855000, 0.1050019000, 0.2240320000, 0.5194634000, 1.2320397000, 2.9331422000");
}
related_pin : "A";
rise_transition ("del_1_13_9") {
index_1("0.0100000000, 0.0173500000, 0.0260200000, 0.0390300000, 0.0585500000, 0.0878200000, 0.1317200000, 0.1975700000, 0.2963400000, 0.4444900000, 0.6667000000, 1.0000000000, 1.5000000000");
index_2("0.0005000000, 0.0011990900, 0.0028756200, 0.0068962400, 0.0165384000, 0.0396620000, 0.0951164000, 0.2281060000, 0.5470380000");
values("0.0288386000, 0.0319031000, 0.0398830000, 0.0583476000, 0.1065086000, 0.2303489000, 0.5335423000, 1.2619847000, 3.0191591000", \
"0.0289517000, 0.0321787000, 0.0395908000, 0.0584254000, 0.1065972000, 0.2304343000, 0.5334277000, 1.2621442000, 3.0158775000", \
"0.0287319000, 0.0319993000, 0.0397888000, 0.0583622000, 0.1066837000, 0.2308031000, 0.5337346000, 1.2626555000, 3.0204732000", \
"0.0287528000, 0.0319741000, 0.0397944000, 0.0594415000, 0.1065929000, 0.2309650000, 0.5336770000, 1.2631982000, 3.0219169000", \
"0.0289571000, 0.0321938000, 0.0395789000, 0.0584111000, 0.1066250000, 0.2304448000, 0.5336826000, 1.2629824000, 3.0124025000", \
"0.0287656000, 0.0319640000, 0.0396952000, 0.0594472000, 0.1065907000, 0.2309548000, 0.5334593000, 1.2653274000, 3.0259608000", \
"0.0287519000, 0.0321762000, 0.0396788000, 0.0584579000, 0.1069492000, 0.2307700000, 0.5334257000, 1.2617940000, 3.0185339000", \
"0.0290499000, 0.0323684000, 0.0397802000, 0.0583694000, 0.1066602000, 0.2306435000, 0.5336714000, 1.2626281000, 3.0197909000", \
"0.0289809000, 0.0321922000, 0.0397636000, 0.0584090000, 0.1066879000, 0.2306199000, 0.5332767000, 1.2628212000, 3.0199614000", \
"0.0287687000, 0.0320112000, 0.0403133000, 0.0584933000, 0.1066469000, 0.2306324000, 0.5330560000, 1.2630050000, 3.0110234000", \
"0.0292353000, 0.0321101000, 0.0397509000, 0.0583824000, 0.1066550000, 0.2306481000, 0.5335968000, 1.2628155000, 3.0175145000", \
"0.0288016000, 0.0321365000, 0.0396885000, 0.0584637000, 0.1069259000, 0.2305377000, 0.5336951000, 1.2646434000, 3.0117078000", \
"0.0288305000, 0.0322946000, 0.0400353000, 0.0583165000, 0.1069582000, 0.2308574000, 0.5332527000, 1.2636256000, 3.0187224000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
}
}
cell ("sky130_fd_sc_hvl__lsbuflv2hv_clkiso_hlkg_3") {
leakage_power () {
value : 8620.125300;
when : "!A&SLEEP_B";
}
leakage_power () {
value : 2723.5930702;
when : "!A&!SLEEP_B";
}
leakage_power () {
value : 2723.5939797;
when : "A&!SLEEP_B";
}
leakage_power () {
value : 8614.742001;
when : "A&SLEEP_B";
}
area : 203.17440000;
cell_footprint : "sky130_fd_sc_hvl__lsbuflv2hv_clkiso_hlkg";
cell_leakage_power : 5670.5140000;
input_voltage_range(1.2800000000, 1.9500000000);
output_voltage_range(1.6500000000, 5.5000000000);
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
is_level_shifter : "true";
level_shifter_type : "LH";
pg_pin ("LVPWR") {
pg_type : "primary_power";
voltage_name : "LVPWR";
}
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0044800000;
clock : "false";
direction : "input";
fall_capacitance : 0.0042460000;
input_signal_level : "LVPWR";
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0834853000, 0.0777723000, 0.0719974000, 0.0679957000, 0.0660584000, 0.0636611000, 0.0638373000, 0.0645633000, 0.0754694000, 0.0787405000, 0.0820116000");
}
rise_power ("power_inputs_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0721255000, 0.0668467000, 0.0615423000, 0.0572425000, 0.0543718000, 0.0520197000, 0.0522860000, 0.0530133000, 0.0639230000, 0.0671960000, 0.0704688000");
}
when : "!SLEEP_B";
}
level_shifter_data_pin : "true";
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "LVPWR";
rise_capacitance : 0.0047140000;
}
pin ("SLEEP_B") {
capacitance : 0.0028960000;
clock : "false";
direction : "input";
fall_capacitance : 0.0028200000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.1527408000, 0.1362523000, 0.1197008000, 0.1171750000, 0.1427833000, 0.2306256000, 0.4220421000, 0.6368722000, 1.2637250000, 1.5815608000, 1.8993966000");
}
rise_power ("power_inputs_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.7603747000, 0.7553617000, 0.7503452000, 0.7576464000, 0.7910918000, 0.8805597000, 1.0644661000, 1.2882706000, 1.9209047000, 2.2469167000, 2.5729285000");
}
when : "!A";
}
level_shifter_enable_pin : "true";
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0029710000;
}
pin ("X") {
direction : "output";
function : "(A&SLEEP_B)";
internal_power () {
fall_power ("power_outputs_4") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0019999900, 0.0079999100, 0.0319995000, 0.1279970000, 0.5119850000, 2.0479300000");
values("1.9640647000, 1.9321978000, 1.8148199000, 1.4273361000, -0.0525480000, -5.8711496000, -29.119577400", \
"1.9661638000, 1.9341883000, 1.8166027000, 1.4293767000, -0.0493259000, -5.8670251000, -29.111914100", \
"1.9745977000, 1.9427532000, 1.8253891000, 1.4379204000, -0.0419171000, -5.8603878000, -29.108712500", \
"1.9785331000, 1.9461851000, 1.8295471000, 1.4416061000, -0.0366443000, -5.8556639000, -29.101308500", \
"1.9741086000, 1.9422187000, 1.8261248000, 1.4367309000, -0.0403390000, -5.8577630000, -29.106758500", \
"1.9795926000, 1.9472244000, 1.8304271000, 1.4422474000, -0.0360884000, -5.8546937000, -29.101320100", \
"1.9728675000, 1.9410342000, 1.8247481000, 1.4356202000, -0.0408288000, -5.8599587000, -29.106836200", \
"1.9765894000, 1.9447136000, 1.8277739000, 1.4338117000, -0.0443402000, -5.8614638000, -29.107998000", \
"1.9606613000, 1.9290985000, 1.8119664000, 1.4246765000, -0.0537681000, -5.8713485000, -29.117771800", \
"1.9844626000, 1.9516475000, 1.8298252000, 1.4214510000, -0.0590399000, -5.8764677000, -29.122956800", \
"1.9823181000, 1.9493687000, 1.8274853000, 1.4211568000, -0.0634924000, -5.8811354000, -29.127890000");
}
related_pin : "A";
rise_power ("power_outputs_4") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0019999900, 0.0079999100, 0.0319995000, 0.1279970000, 0.5119850000, 2.0479300000");
values("2.0705362000, 2.0851008000, 2.1513817000, 2.4791418000, 3.8856299000, 9.624904100, 32.608373700", \
"2.0766834000, 2.0916022000, 2.1583834000, 2.4862038000, 3.8911226000, 9.631127900, 32.601880800", \
"2.0815101000, 2.0966460000, 2.1615088000, 2.4916205000, 3.8952476000, 9.624934300, 32.615201700", \
"2.0852090000, 2.1007982000, 2.1684085000, 2.4939636000, 3.9044777000, 9.631321200, 32.639573100", \
"2.0869569000, 2.1013208000, 2.1687873000, 2.4955722000, 3.9041904000, 9.633446800, 32.640595200", \
"2.0905923000, 2.1037185000, 2.1693248000, 2.4991703000, 3.9054535000, 9.637752400, 32.624595700", \
"2.0910591000, 2.1068060000, 2.1731267000, 2.4984445000, 3.9054044000, 9.641473700, 32.617624300", \
"2.0877464000, 2.1023327000, 2.1686991000, 2.4972717000, 3.9011668000, 9.631897100, 32.618309800", \
"2.1107340000, 2.1250936000, 2.1875645000, 2.5037280000, 3.9076881000, 9.643616000, 32.590058900", \
"2.1136033000, 2.1274900000, 2.1915724000, 2.5020081000, 3.9213561000, 9.632068700, 32.602625500", \
"2.1125152000, 2.1263650000, 2.1887185000, 2.5040505000, 3.9152112000, 9.632501300, 32.610355900");
}
}
internal_power () {
fall_power ("power_outputs_4") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0019999900, 0.0079999100, 0.0319995000, 0.1279970000, 0.5119850000, 2.0479300000");
values("1.2829044000, 1.2504453000, 1.1317609000, 0.7408617000, -0.7418284000, -6.5705939000, -29.839661300", \
"1.2984020000, 1.2660867000, 1.1465227000, 0.7553379000, -0.7262685000, -6.5539308000, -29.824143300", \
"1.3154689000, 1.2832361000, 1.1633516000, 0.7722489000, -0.7092814000, -6.5386252000, -29.813857500", \
"1.3239939000, 1.2920164000, 1.1727782000, 0.7807182000, -0.7005751000, -6.5296690000, -29.799793700", \
"1.3485519000, 1.3159405000, 1.1972926000, 0.8059602000, -0.6764567000, -6.5034052000, -29.770632400", \
"1.3655332000, 1.3331920000, 1.2151300000, 0.8255433000, -0.6581394000, -6.4862025000, -29.757746700", \
"1.4242585000, 1.3919788000, 1.2738263000, 0.8847409000, -0.6006512000, -6.4274121000, -29.699504300", \
"1.4734828000, 1.4411505000, 1.3227252000, 0.9338793000, -0.5506719000, -6.3781967000, -29.644595600", \
"1.6513155000, 1.6181526000, 1.4936638000, 1.0788073000, -0.4142875000, -6.2414887000, -29.507908200", \
"1.7291728000, 1.6946366000, 1.5707676000, 1.1564593000, -0.3376726000, -6.1644789000, -29.431100500", \
"1.8130362000, 1.7793296000, 1.6549638000, 1.2410419000, -0.2563858000, -6.0851523000, -29.350868400");
}
related_pin : "SLEEP_B";
rise_power ("power_outputs_4") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0019999900, 0.0079999100, 0.0319995000, 0.1279970000, 0.5119850000, 2.0479300000");
values("1.1609022000, 1.1759002000, 1.2414201000, 1.5710297000, 2.9781060000, 8.713286800, 31.723464100", \
"1.1648378000, 1.1805934000, 1.2457299000, 1.5755884000, 2.9816519000, 8.717791600, 31.728490500", \
"1.1700712000, 1.1860591000, 1.2520192000, 1.5800313000, 2.9885711000, 8.720907000, 31.726922700", \
"1.1687983000, 1.1841124000, 1.2514269000, 1.5797565000, 2.9878938000, 8.725209500, 31.749035400", \
"1.2209492000, 1.2368825000, 1.3019941000, 1.6323590000, 3.0382060000, 8.774667400, 31.784006600", \
"1.3083500000, 1.3226528000, 1.3889205000, 1.7188708000, 3.1238555000, 8.850508100, 31.859955300", \
"1.5239923000, 1.5374414000, 1.5973520000, 1.9053214000, 3.2854201000, 9.009097000, 32.014793300", \
"1.6470008000, 1.6596947000, 1.7196591000, 2.0256615000, 3.4172497000, 9.134295700, 32.127370000", \
"1.9359879000, 1.9524006000, 2.0069737000, 2.3032462000, 3.6912592000, 9.393877300, 32.394422200", \
"2.0687172000, 2.0836592000, 2.1434682000, 2.4389492000, 3.8214297000, 9.583077800, 32.557321200", \
"2.1977556000, 2.2105179000, 2.2703750000, 2.5640728000, 3.9458889000, 9.709610000, 32.651264500");
}
}
max_capacitance : 2.0479300000;
max_transition : 2.9200070000;
power_down_function : "(!LVPWR+!VPWR+VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0019999900, 0.0079999100, 0.0319995000, 0.1279970000, 0.5119850000, 2.0479300000");
values("0.7293081000, 0.7320894000, 0.7415615000, 0.7720847000, 0.8798322000, 1.3074319000, 3.0191820000", \
"0.7338021000, 0.7365735000, 0.7460303000, 0.7765939000, 0.8843981000, 1.3120095000, 3.0237577000", \
"0.7398836000, 0.7426632000, 0.7521351000, 0.7826608000, 0.8904012000, 1.3180278000, 3.0297493000", \
"0.7654307000, 0.7682087000, 0.7776764000, 0.8081932000, 0.9159523000, 1.3434498000, 3.0547389000", \
"0.8484368000, 0.8511568000, 0.8606461000, 0.8911495000, 0.9990574000, 1.4267098000, 3.1397560000", \
"0.9745286000, 0.9772558000, 0.9867557000, 1.0172879000, 1.1251006000, 1.5532254000, 3.2671277000", \
"1.2096838000, 1.2124228000, 1.2219155000, 1.2524083000, 1.3603021000, 1.7882386000, 3.4996788000", \
"1.4196138000, 1.4223845000, 1.4318243000, 1.4621424000, 1.5700523000, 1.9975113000, 3.7128725000", \
"1.9311783000, 1.9339441000, 1.9435074000, 1.9739574000, 2.0817794000, 2.5095381000, 4.2208050000", \
"2.1723998000, 2.1751503000, 2.1847408000, 2.2151925000, 2.3230646000, 2.7505280000, 4.4623012000", \
"2.4031781000, 2.4059519000, 2.4154083000, 2.4459275000, 2.5537545000, 2.9813201000, 4.6950794000");
}
cell_rise ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0019999900, 0.0079999100, 0.0319995000, 0.1279970000, 0.5119850000, 2.0479300000");
values("0.6726790000, 0.6749586000, 0.6823398000, 0.7035393000, 0.7717649000, 1.0367280000, 2.0987443000", \
"0.6780640000, 0.6803208000, 0.6878200000, 0.7089654000, 0.7772835000, 1.0420387000, 2.0998918000", \
"0.6849005000, 0.6871694000, 0.6945802000, 0.7157775000, 0.7840952000, 1.0483925000, 2.1081363000", \
"0.7077099000, 0.7099880000, 0.7174096000, 0.7385320000, 0.8067076000, 1.0717394000, 2.1334583000", \
"0.7766126000, 0.7788903000, 0.7863430000, 0.8074723000, 0.8758121000, 1.1406784000, 2.2024775000", \
"0.8575633000, 0.8598005000, 0.8672382000, 0.8884379000, 0.9567559000, 1.2210636000, 2.2825759000", \
"0.9873786000, 0.9896614000, 0.9971125000, 1.0182355000, 1.0863680000, 1.3514145000, 2.4112861000", \
"1.0969913000, 1.0992717000, 1.1066443000, 1.1277070000, 1.1960419000, 1.4606086000, 2.5201043000", \
"1.3542951000, 1.3566130000, 1.3640359000, 1.3851036000, 1.4533801000, 1.7178502000, 2.7797105000", \
"1.4712972000, 1.4735795000, 1.4809981000, 1.5019278000, 1.5703083000, 1.8348195000, 2.8938325000", \
"1.5791932000, 1.5814772000, 1.5889592000, 1.6099937000, 1.6774555000, 1.9423803000, 3.0015423000");
}
fall_transition ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0019999900, 0.0079999100, 0.0319995000, 0.1279970000, 0.5119850000, 2.0479300000");
values("0.0227950000, 0.0253626000, 0.0339917000, 0.0654792000, 0.1945920000, 0.7374048000, 2.9168634000", \
"0.0229278000, 0.0252885000, 0.0339595000, 0.0654284000, 0.1954561000, 0.7370789000, 2.9139784000", \
"0.0227950000, 0.0253645000, 0.0339901000, 0.0654797000, 0.1946348000, 0.7375089000, 2.9173466000", \
"0.0227958000, 0.0253668000, 0.0339947000, 0.0654664000, 0.1958731000, 0.7378327000, 2.9134648000", \
"0.0227658000, 0.0252038000, 0.0341540000, 0.0654776000, 0.1957285000, 0.7401984000, 2.9191400000", \
"0.0228665000, 0.0252004000, 0.0341078000, 0.0655796000, 0.1953468000, 0.7400741000, 2.9169598000", \
"0.0227435000, 0.0251849000, 0.0341687000, 0.0654295000, 0.1958399000, 0.7419594000, 2.9174163000", \
"0.0229373000, 0.0252983000, 0.0342574000, 0.0653151000, 0.1957988000, 0.7400006000, 2.9127412000", \
"0.0229096000, 0.0253436000, 0.0338868000, 0.0655878000, 0.1954018000, 0.7390878000, 2.9145579000", \
"0.0227103000, 0.0251749000, 0.0338791000, 0.0655841000, 0.1956472000, 0.7391694000, 2.9142528000", \
"0.0229339000, 0.0252774000, 0.0339691000, 0.0654650000, 0.1954006000, 0.7368649000, 2.9197833000");
}
related_pin : "A";
rise_transition ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0019999900, 0.0079999100, 0.0319995000, 0.1279970000, 0.5119850000, 2.0479300000");
values("0.0210900000, 0.0227496000, 0.0287432000, 0.0503470000, 0.1428599000, 0.5341643000, 2.1117899000", \
"0.0210363000, 0.0228257000, 0.0288765000, 0.0504745000, 0.1423486000, 0.5341139000, 2.1053963000", \
"0.0212359000, 0.0229504000, 0.0287272000, 0.0504902000, 0.1425385000, 0.5339409000, 2.1076410000", \
"0.0212423000, 0.0229872000, 0.0289003000, 0.0505448000, 0.1426748000, 0.5343796000, 2.1105200000", \
"0.0210638000, 0.0228092000, 0.0288492000, 0.0506988000, 0.1422998000, 0.5342531000, 2.1117923000", \
"0.0211848000, 0.0229734000, 0.0287836000, 0.0504904000, 0.1425361000, 0.5345743000, 2.1072390000", \
"0.0212062000, 0.0229131000, 0.0287734000, 0.0506831000, 0.1423556000, 0.5351673000, 2.1058564000", \
"0.0210997000, 0.0228002000, 0.0287219000, 0.0500546000, 0.1425021000, 0.5344044000, 2.1065802000", \
"0.0212430000, 0.0229372000, 0.0287626000, 0.0501378000, 0.1428469000, 0.5339705000, 2.1047188000", \
"0.0212305000, 0.0229853000, 0.0288965000, 0.0501297000, 0.1429580000, 0.5341269000, 2.1079780000", \
"0.0211655000, 0.0232071000, 0.0288299000, 0.0504255000, 0.1424681000, 0.5353799000, 2.1078679000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0019999900, 0.0079999100, 0.0319995000, 0.1279970000, 0.5119850000, 2.0479300000");
values("0.5608781000, 0.5636775000, 0.5732812000, 0.6038093000, 0.7113853000, 1.1384945000, 2.8498559000", \
"0.5626091000, 0.5654137000, 0.5749706000, 0.6055034000, 0.7130905000, 1.1399760000, 2.8513973000", \
"0.5651355000, 0.5679416000, 0.5774806000, 0.6080502000, 0.7156372000, 1.1427398000, 2.8540856000", \
"0.5758923000, 0.5787012000, 0.5882362000, 0.6188094000, 0.7263874000, 1.1534923000, 2.8647802000", \
"0.6241321000, 0.6269097000, 0.6366105000, 0.6671521000, 0.7747496000, 1.2017488000, 2.9130085000", \
"0.7042842000, 0.7070784000, 0.7167979000, 0.7473538000, 0.8549124000, 1.2820248000, 2.9934482000", \
"0.8592845000, 0.8621005000, 0.8718491000, 0.9022411000, 1.0099249000, 1.4368813000, 3.1485703000", \
"1.0027372000, 1.0055720000, 1.0152995000, 1.0457032000, 1.1533132000, 1.5804117000, 3.2913077000", \
"1.3635278000, 1.3664283000, 1.3759994000, 1.4065211000, 1.5140257000, 1.9407498000, 3.6532679000", \
"1.5334957000, 1.5363099000, 1.5459806000, 1.5764701000, 1.6839276000, 2.1108335000, 3.8235619000", \
"1.6955150000, 1.6983439000, 1.7078409000, 1.7384860000, 1.8456943000, 2.2723883000, 3.9841268000");
}
cell_rise ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0019999900, 0.0079999100, 0.0319995000, 0.1279970000, 0.5119850000, 2.0479300000");
values("0.2650482000, 0.2673386000, 0.2747885000, 0.2959208000, 0.3641193000, 0.6286217000, 1.6889742000", \
"0.2664524000, 0.2687358000, 0.2761765000, 0.2973189000, 0.3655241000, 0.6300024000, 1.6904492000", \
"0.2683424000, 0.2706313000, 0.2780396000, 0.2991872000, 0.3673392000, 0.6321987000, 1.6919769000", \
"0.2766013000, 0.2788908000, 0.2862907000, 0.3075197000, 0.3757773000, 0.6399822000, 1.7011168000", \
"0.2994292000, 0.3017112000, 0.3091438000, 0.3302881000, 0.3985258000, 0.6630515000, 1.7241885000", \
"0.3117225000, 0.3140207000, 0.3214955000, 0.3426872000, 0.4107529000, 0.6753261000, 1.7357642000", \
"0.3004418000, 0.3027933000, 0.3104376000, 0.3318393000, 0.3999664000, 0.6642563000, 1.7258766000", \
"0.2682461000, 0.2706586000, 0.2785002000, 0.3002569000, 0.3682425000, 0.6319921000, 1.6917177000", \
"0.1335387000, 0.1360243000, 0.1440767000, 0.1661969000, 0.2345248000, 0.4984411000, 1.5580571000", \
"0.0520577000, 0.0545621000, 0.0627531000, 0.0851726000, 0.1533447000, 0.4169495000, 1.4748489000", \
"-0.0347937000, -0.0322842000, -0.0239724000, -0.0013050000, 0.0671085000, 0.3303931000, 1.3884583000");
}
fall_transition ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0019999900, 0.0079999100, 0.0319995000, 0.1279970000, 0.5119850000, 2.0479300000");
values("0.0240362000, 0.0264256000, 0.0354812000, 0.0665380000, 0.1962974000, 0.7393649000, 2.9189766000", \
"0.0240702000, 0.0265038000, 0.0356630000, 0.0667650000, 0.1962856000, 0.7369428000, 2.9200071000", \
"0.0242072000, 0.0266217000, 0.0353742000, 0.0666092000, 0.1962941000, 0.7393003000, 2.9189857000", \
"0.0240273000, 0.0266166000, 0.0351614000, 0.0666643000, 0.1962985000, 0.7392246000, 2.9189131000", \
"0.0241417000, 0.0266248000, 0.0354224000, 0.0667690000, 0.1963843000, 0.7401959000, 2.9195772000", \
"0.0239363000, 0.0263677000, 0.0354084000, 0.0668361000, 0.1964492000, 0.7392553000, 2.9145042000", \
"0.0239259000, 0.0264014000, 0.0352117000, 0.0666959000, 0.1958202000, 0.7389242000, 2.9160336000", \
"0.0240168000, 0.0264839000, 0.0352143000, 0.0665591000, 0.1960877000, 0.7392240000, 2.9130061000", \
"0.0241213000, 0.0268195000, 0.0354242000, 0.0666574000, 0.1961264000, 0.7368059000, 2.9173712000", \
"0.0241434000, 0.0265585000, 0.0356795000, 0.0667617000, 0.1966343000, 0.7398287000, 2.9188301000", \
"0.0242728000, 0.0266934000, 0.0355560000, 0.0670158000, 0.1961016000, 0.7399973000, 2.9178993000");
}
related_pin : "SLEEP_B";
rise_transition ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0019999900, 0.0079999100, 0.0319995000, 0.1279970000, 0.5119850000, 2.0479300000");
values("0.0212823000, 0.0230071000, 0.0288042000, 0.0507308000, 0.1422901000, 0.5342874000, 2.1073092000", \
"0.0213127000, 0.0229943000, 0.0287947000, 0.0507299000, 0.1423303000, 0.5342054000, 2.1072042000", \
"0.0211539000, 0.0229279000, 0.0289230000, 0.0505124000, 0.1424859000, 0.5343494000, 2.1067059000", \
"0.0211385000, 0.0228706000, 0.0288256000, 0.0505078000, 0.1425649000, 0.5332875000, 2.1094153000", \
"0.0213630000, 0.0230530000, 0.0288458000, 0.0507296000, 0.1424100000, 0.5342892000, 2.1044799000", \
"0.0216723000, 0.0234441000, 0.0293669000, 0.0510118000, 0.1427449000, 0.5347320000, 2.1078437000", \
"0.0226244000, 0.0245559000, 0.0305474000, 0.0515568000, 0.1428274000, 0.5329073000, 2.1091309000", \
"0.0234148000, 0.0251690000, 0.0314640000, 0.0531015000, 0.1430921000, 0.5336826000, 2.1061652000", \
"0.0247569000, 0.0266307000, 0.0330721000, 0.0541434000, 0.1437861000, 0.5345065000, 2.1086102000", \
"0.0252663000, 0.0272108000, 0.0336100000, 0.0552661000, 0.1439002000, 0.5344076000, 2.1059952000", \
"0.0262399000, 0.0282408000, 0.0342726000, 0.0549780000, 0.1443144000, 0.5337716000, 2.1077693000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
}
}
cell ("sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1") {
leakage_power () {
value : 2722.7922601;
when : "!A&SLEEP_B";
}
leakage_power () {
value : 2722.6976727;
when : "A&SLEEP_B";
}
leakage_power () {
value : 2722.6508337;
when : "A&!SLEEP_B";
}
leakage_power () {
value : 2722.6531074;
when : "!A&!SLEEP_B";
}
area : 113.30880000;
cell_footprint : "sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon";
cell_leakage_power : 2722.6980000;
input_voltage_range(1.2800000000, 1.9500000000);
output_voltage_range(1.6500000000, 5.5000000000);
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
is_level_shifter : "true";
level_shifter_type : "LH";
pg_pin ("LVPWR") {
pg_type : "primary_power";
voltage_name : "LVPWR";
}
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0024650000;
clock : "false";
direction : "input";
fall_capacitance : 0.0023520000;
input_signal_level : "LVPWR";
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0301653000, 0.0248915000, 0.0195925000, 0.0152949000, 0.0124273000, 0.0103778000, 0.0112475000, 0.0121320000, 0.0234767000, 0.0269761000, 0.0304757000");
}
rise_power ("power_inputs_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0456026000, 0.0398072000, 0.0339430000, 0.0314469000, 0.0342192000, 0.0335308000, 0.0371227000, 0.0382133000, 0.0501323000, 0.0539300000, 0.0577277000");
}
when : "!SLEEP_B";
}
level_shifter_data_pin : "true";
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "LVPWR";
rise_capacitance : 0.0025790000;
}
pin ("SLEEP_B") {
capacitance : 0.0202860000;
clock : "false";
direction : "input";
fall_capacitance : 0.0204650000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.1905965000, 0.1866567000, 0.1828026000, 0.2084888000, 0.2994842000, 0.4579952000, 0.7799861000, 1.1047420000, 2.0187287000, 2.4907922000, 2.9628557000");
}
rise_power ("power_inputs_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0242612000, -0.0304572000, -0.0367552000, -0.0143154000, 0.0665170000, 0.2223074000, 0.5388570000, 0.8634282000, 1.7768993000, 2.2486955000, 2.7204915000");
}
when : "!A";
}
level_shifter_enable_pin : "true";
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0201060000;
}
pin ("X") {
direction : "output";
function : "(A&SLEEP_B)";
internal_power () {
fall_power ("power_outputs_4") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0014551900, 0.0042351300, 0.0123258000, 0.0358726000, 0.1044030000, 0.3038510000");
values("0.6293211000, 0.6053040000, 0.5443298000, 0.3881244000, 0.0041184000, -1.0663275000, -4.1084498000", \
"0.6333244000, 0.6099641000, 0.5493137000, 0.3922684000, 0.0085983000, -1.0621706000, -4.1039672000", \
"0.6390860000, 0.6154869000, 0.5543906000, 0.3979241000, 0.0143413000, -1.0564990000, -4.0981842000", \
"0.6422769000, 0.6184657000, 0.5575276000, 0.4011949000, 0.0177922000, -1.0534089000, -4.0941497000", \
"0.6457987000, 0.6214209000, 0.5606121000, 0.4041417000, 0.0206355000, -1.0502699000, -4.0910011000", \
"0.6459781000, 0.6241879000, 0.5633976000, 0.4057728000, 0.0221560000, -1.0485586000, -4.0899247000", \
"0.6469769000, 0.6223946000, 0.5629822000, 0.4056017000, 0.0219753000, -1.0486158000, -4.0900864000", \
"0.6488322000, 0.6264951000, 0.5649606000, 0.4081151000, 0.0240087000, -1.0465757000, -4.0883311000", \
"0.6485435000, 0.6275291000, 0.5647977000, 0.4089129000, 0.0245779000, -1.0465063000, -4.0880086000", \
"0.6516658000, 0.6290123000, 0.5682371000, 0.4129281000, 0.0284599000, -1.0415018000, -4.0839959000", \
"0.6570318000, 0.6360450000, 0.5728684000, 0.4170861000, 0.0333688000, -1.0374093000, -4.0788971000");
}
related_pin : "A";
rise_power ("power_outputs_4") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0014551900, 0.0042351300, 0.0123258000, 0.0358726000, 0.1044030000, 0.3038510000");
values("0.4307870000, 0.4449939000, 0.5096886000, 0.6951848000, 1.0650149000, 2.0627271000, 5.0321377000", \
"0.4487046000, 0.4541782000, 0.5137954000, 0.7002641000, 1.0705761000, 2.0692824000, 5.0368486000", \
"0.4405497000, 0.4567119000, 0.5213346000, 0.7068503000, 1.0767050000, 2.0738868000, 5.0429412000", \
"0.4524926000, 0.4670337000, 0.5247705000, 0.7079553000, 1.0791897000, 2.0757216000, 5.0452065000", \
"0.4480314000, 0.4634293000, 0.5209369000, 0.7040157000, 1.0751860000, 2.0716992000, 5.0447109000", \
"0.4531567000, 0.4583866000, 0.5178414000, 0.7035942000, 1.0742529000, 2.0728440000, 5.0425515000", \
"0.4494752000, 0.4551248000, 0.5155293000, 0.6970770000, 1.0700881000, 2.0683480000, 5.0377042000", \
"0.4510643000, 0.4624765000, 0.5136887000, 0.6967451000, 1.0659757000, 2.0647517000, 5.0337653000", \
"0.5885811000, 0.5951488000, 0.6201586000, 0.7157640000, 1.0545940000, 2.0520554000, 5.0204359000", \
"0.6027679000, 0.6092545000, 0.6328002000, 0.7314522000, 1.0502238000, 2.0489204000, 5.0152969000", \
"0.6022160000, 0.6087610000, 0.6324530000, 0.7302738000, 1.0515293000, 2.0465491000, 5.0140941000");
}
}
internal_power () {
fall_power ("power_outputs_4") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0014551900, 0.0042351300, 0.0123258000, 0.0358726000, 0.1044030000, 0.3038510000");
values("0.8035871000, 0.7822688000, 0.7193538000, 0.5621194000, 0.1750394000, -0.8955208000, -3.9374612000", \
"0.8070318000, 0.7834099000, 0.7213587000, 0.5641213000, 0.1788196000, -0.8931415000, -3.9354105000", \
"0.8134745000, 0.7905462000, 0.7281134000, 0.5702027000, 0.1839591000, -0.8873193000, -3.9292283000", \
"0.7950742000, 0.7735029000, 0.7107058000, 0.5528461000, 0.1660951000, -0.9045484000, -3.9463728000", \
"0.7282161000, 0.7053076000, 0.6432763000, 0.4850779000, 0.0988240000, -0.9723018000, -4.0152278000", \
"0.7412337000, 0.7185239000, 0.6577926000, 0.4989506000, 0.1125395000, -0.9587893000, -4.0000554000", \
"0.8904874000, 0.8674807000, 0.8056505000, 0.6471115000, 0.2591954000, -0.8128554000, -3.8557495000", \
"1.0558760000, 1.0334444000, 0.9701323000, 0.8097263000, 0.4197624000, -0.6555622000, -3.7001946000", \
"1.5192665000, 1.4947012000, 1.4330395000, 1.2737552000, 0.8845266000, -0.1903247000, -3.2370840000", \
"1.7616998000, 1.7396692000, 1.6775260000, 1.5169936000, 1.1319288000, 0.0578548000, -2.9879166000", \
"2.0244985000, 2.0003277000, 1.9371903000, 1.7729189000, 1.3780012000, 0.3089590000, -2.7362703000");
}
related_pin : "SLEEP_B";
rise_power ("power_outputs_4") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0014551900, 0.0042351300, 0.0123258000, 0.0358726000, 0.1044030000, 0.3038510000");
values("0.4706680000, 0.4806065000, 0.5433446000, 0.7299060000, 1.0995674000, 2.0965614000, 5.0659743000", \
"0.4714479000, 0.4846699000, 0.5452122000, 0.7316982000, 1.1021616000, 2.1002346000, 5.0713072000", \
"0.4790686000, 0.4961109000, 0.5544954000, 0.7387954000, 1.1101310000, 2.1082666000, 5.0762268000", \
"0.4818174000, 0.4921481000, 0.5508981000, 0.7363464000, 1.1082922000, 2.1062887000, 5.0758192000", \
"0.4974841000, 0.5080446000, 0.5637719000, 0.7429727000, 1.1072201000, 2.1032570000, 5.0732451000", \
"0.4864265000, 0.4931511000, 0.5397926000, 0.7189279000, 1.0831662000, 2.0799908000, 5.0473253000", \
"0.7108130000, 0.7176880000, 0.7445624000, 0.8451608000, 1.1808266000, 2.1810446000, 5.1521877000", \
"0.9036623000, 0.9101118000, 0.9361487000, 1.0363310000, 1.3599196000, 2.3563047000, 5.3214973000", \
"1.4047854000, 1.4117133000, 1.4363548000, 1.5339943000, 1.8579494000, 2.8455339000, 5.8085717000", \
"1.6700921000, 1.6769712000, 1.7018900000, 1.7986278000, 2.1189245000, 3.1147386000, 6.0702870000", \
"1.9395246000, 1.9437508000, 1.9673890000, 2.0655882000, 2.3826991000, 3.3827520000, 6.3302165000");
}
}
max_capacitance : 0.3038510000;
max_transition : 3.0904440000;
power_down_function : "(!LVPWR+!VPWR+VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0014551900, 0.0042351300, 0.0123258000, 0.0358726000, 0.1044030000, 0.3038510000");
values("0.8115977000, 0.8255512000, 0.8577473000, 0.9259047000, 1.0706062000, 1.3936311000, 2.2556146000", \
"0.8162459000, 0.8302900000, 0.8622957000, 0.9304355000, 1.0752684000, 1.3983368000, 2.2602857000", \
"0.8232768000, 0.8373177000, 0.8693125000, 0.9374357000, 1.0822708000, 1.4052934000, 2.2672615000", \
"0.8468704000, 0.8607085000, 0.8928469000, 0.9613457000, 1.1055652000, 1.4285969000, 2.2908360000", \
"0.9299780000, 0.9438658000, 0.9760072000, 1.0446091000, 1.1887278000, 1.5117463000, 2.3739681000", \
"1.0530454000, 1.0670591000, 1.0990387000, 1.1673456000, 1.3119851000, 1.6351563000, 2.4971698000", \
"1.2957672000, 1.3097882000, 1.3417552000, 1.4098462000, 1.5547031000, 1.8778110000, 2.7398492000", \
"1.5209625000, 1.5349992000, 1.5669281000, 1.6350945000, 1.7799663000, 2.1031210000, 2.9650844000", \
"2.0755506000, 2.0896100000, 2.1216335000, 2.1902558000, 2.3348228000, 2.6570086000, 3.5192356000", \
"2.3375590000, 2.3517674000, 2.3836191000, 2.4519031000, 2.5962803000, 2.9188774000, 3.7814192000", \
"2.5882998000, 2.6022080000, 2.6342888000, 2.7030411000, 2.8468836000, 3.1699561000, 4.0319135000");
}
cell_rise ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0014551900, 0.0042351300, 0.0123258000, 0.0358726000, 0.1044030000, 0.3038510000");
values("0.4663087000, 0.4807391000, 0.5127716000, 0.5806449000, 0.7451494000, 1.2241885000, 2.6392515000", \
"0.4716051000, 0.4861027000, 0.5179372000, 0.5854305000, 0.7504276000, 1.2299879000, 2.6447702000", \
"0.4783616000, 0.4928059000, 0.5248371000, 0.5927172000, 0.7572312000, 1.2362543000, 2.6513369000", \
"0.5015870000, 0.5158418000, 0.5476843000, 0.6146315000, 0.7802870000, 1.2591296000, 2.6739193000", \
"0.5733845000, 0.5876454000, 0.6194670000, 0.6864048000, 0.8520386000, 1.3308825000, 2.7457048000", \
"0.6707249000, 0.6852098000, 0.7170126000, 0.7843673000, 0.9494264000, 1.4289627000, 2.8436900000", \
"0.8284504000, 0.8429461000, 0.8749097000, 0.9414685000, 1.1071759000, 1.5867954000, 3.0015777000", \
"0.9615683000, 0.9759744000, 1.0079615000, 1.0752630000, 1.2392839000, 1.7188244000, 3.1334806000", \
"1.2727915000, 1.2873388000, 1.3193350000, 1.3854320000, 1.5509327000, 2.0301536000, 3.4443151000", \
"1.4139677000, 1.4287143000, 1.4602284000, 1.5278167000, 1.6923976000, 2.1721180000, 3.5860945000", \
"1.5481362000, 1.5625887000, 1.5943367000, 1.6616375000, 1.8265840000, 2.3060831000, 3.7207637000");
}
fall_transition ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0014551900, 0.0042351300, 0.0123258000, 0.0358726000, 0.1044030000, 0.3038510000");
values("0.0833056000, 0.0956864000, 0.1217084000, 0.1764705000, 0.2983037000, 0.6275997000, 1.6876944000", \
"0.0845795000, 0.0957196000, 0.1217374000, 0.1776370000, 0.2973860000, 0.6275229000, 1.6863066000", \
"0.0846850000, 0.0958208000, 0.1218154000, 0.1778548000, 0.2972493000, 0.6271635000, 1.6872521000", \
"0.0850896000, 0.0967130000, 0.1228833000, 0.1779181000, 0.2964668000, 0.6280397000, 1.6831040000", \
"0.0851350000, 0.0967288000, 0.1228922000, 0.1779238000, 0.2965015000, 0.6280398000, 1.6826391000", \
"0.0824050000, 0.0952425000, 0.1218762000, 0.1784377000, 0.2966482000, 0.6288797000, 1.6817003000", \
"0.0826249000, 0.0952896000, 0.1218532000, 0.1780969000, 0.2969845000, 0.6272742000, 1.6803162000", \
"0.0826771000, 0.0951216000, 0.1217706000, 0.1772732000, 0.2974909000, 0.6276378000, 1.6874603000", \
"0.0827750000, 0.0960682000, 0.1233301000, 0.1752940000, 0.2958394000, 0.6288124000, 1.6867179000", \
"0.0845549000, 0.0954463000, 0.1221349000, 0.1765144000, 0.2935739000, 0.6265364000, 1.6883968000", \
"0.0826217000, 0.0949653000, 0.1228599000, 0.1776581000, 0.2967490000, 0.6279424000, 1.6832685000");
}
related_pin : "A";
rise_transition ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0014551900, 0.0042351300, 0.0123258000, 0.0358726000, 0.1044030000, 0.3038510000");
values("0.0647770000, 0.0765680000, 0.1037825000, 0.1734961000, 0.3862234000, 1.0586747000, 3.0399783000", \
"0.0648689000, 0.0766650000, 0.1054387000, 0.1733381000, 0.3866043000, 1.0582876000, 3.0466090000", \
"0.0647557000, 0.0765804000, 0.1037846000, 0.1735041000, 0.3862173000, 1.0587509000, 3.0511911000", \
"0.0645127000, 0.0766047000, 0.1035495000, 0.1739059000, 0.3866638000, 1.0568811000, 3.0424570000", \
"0.0644531000, 0.0765393000, 0.1034552000, 0.1738511000, 0.3866650000, 1.0568649000, 3.0434706000", \
"0.0647873000, 0.0766067000, 0.1052878000, 0.1730653000, 0.3864507000, 1.0563791000, 3.0492413000", \
"0.0648122000, 0.0765554000, 0.1039158000, 0.1724356000, 0.3864735000, 1.0564101000, 3.0449916000", \
"0.0645754000, 0.0763432000, 0.1034397000, 0.1722561000, 0.3867848000, 1.0598961000, 3.0436214000", \
"0.0641182000, 0.0759733000, 0.1031040000, 0.1721843000, 0.3861542000, 1.0588965000, 3.0460869000", \
"0.0646412000, 0.0763792000, 0.1034146000, 0.1728855000, 0.3863435000, 1.0575828000, 3.0417850000", \
"0.0645377000, 0.0764039000, 0.1048708000, 0.1728489000, 0.3863966000, 1.0583406000, 3.0415734000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0014551900, 0.0042351300, 0.0123258000, 0.0358726000, 0.1044030000, 0.3038510000");
values("0.7301525000, 0.7443745000, 0.7767103000, 0.8463529000, 0.9923266000, 1.3176852000, 2.1816713000", \
"0.7316393000, 0.7460259000, 0.7782339000, 0.8479787000, 0.9936891000, 1.3190512000, 2.1829432000", \
"0.7330911000, 0.7473077000, 0.7798094000, 0.8489285000, 0.9952276000, 1.3207186000, 2.1845357000", \
"0.7256721000, 0.7399545000, 0.7722507000, 0.8421154000, 0.9879108000, 1.3132770000, 2.1772861000", \
"0.6672868000, 0.6814653000, 0.7141840000, 0.7832969000, 0.9292791000, 1.2547673000, 2.1186481000", \
"0.7108975000, 0.7250652000, 0.7578099000, 0.8271883000, 0.9726070000, 1.2978904000, 2.1619659000", \
"0.9174800000, 0.9317124000, 0.9639811000, 1.0337692000, 1.1800028000, 1.5048258000, 2.3686675000", \
"1.1307321000, 1.1446255000, 1.1765500000, 1.2462741000, 1.3919657000, 1.7176602000, 2.5806657000", \
"1.7325498000, 1.7474332000, 1.7809033000, 1.8516297000, 2.0002886000, 2.3312525000, 3.1973135000", \
"2.0521524000, 2.0671800000, 2.1004498000, 2.1714373000, 2.3211093000, 2.6521652000, 3.5198957000", \
"2.3746167000, 2.3892637000, 2.4220141000, 2.4937106000, 2.6424731000, 2.9736491000, 3.8442032000");
}
cell_rise ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0014551900, 0.0042351300, 0.0123258000, 0.0358726000, 0.1044030000, 0.3038510000");
values("0.3012367000, 0.3158242000, 0.3478680000, 0.4159996000, 0.5801683000, 1.0595934000, 2.4743241000", \
"0.3029167000, 0.3170589000, 0.3491452000, 0.4165595000, 0.5815810000, 1.0612776000, 2.4758071000", \
"0.3039274000, 0.3184752000, 0.3500541000, 0.4173207000, 0.5830814000, 1.0624366000, 2.4768247000", \
"0.2974347000, 0.3119384000, 0.3439868000, 0.4107694000, 0.5765514000, 1.0561163000, 2.4707341000", \
"0.2514768000, 0.2658669000, 0.2973647000, 0.3639389000, 0.5267505000, 1.0044643000, 2.4190117000", \
"0.1447810000, 0.1593554000, 0.1915687000, 0.2597213000, 0.4248972000, 0.9033557000, 2.3175744000", \
"-0.0543990000, -0.0402287000, -0.0089682000, 0.0574171000, 0.2237333000, 0.7082957000, 2.1234470000", \
"-0.2584941000, -0.2442498000, -0.2125179000, -0.1448082000, 0.0216609000, 0.5127079000, 1.9288993000", \
"-0.8374542000, -0.8228028000, -0.7902238000, -0.7222432000, -0.5548050000, -0.0591278000, 1.3770202000", \
"-1.1425986000, -1.1281907000, -1.0945997000, -1.0258303000, -0.8593311000, -0.3620645000, 1.0864114000", \
"-1.4489938000, -1.4338227000, -1.4001214000, -1.3300616000, -1.1634506000, -0.6672786000, 0.7941358000");
}
fall_transition ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0014551900, 0.0042351300, 0.0123258000, 0.0358726000, 0.1044030000, 0.3038510000");
values("0.0855637000, 0.0998052000, 0.1288801000, 0.1801910000, 0.3016873000, 0.6318742000, 1.6865279000", \
"0.0858463000, 0.0988296000, 0.1282175000, 0.1804829000, 0.3016861000, 0.6318295000, 1.6846101000", \
"0.0863788000, 0.0992285000, 0.1258595000, 0.1812535000, 0.3034597000, 0.6325511000, 1.6840634000", \
"0.0864209000, 0.0996801000, 0.1288740000, 0.1797316000, 0.3017167000, 0.6316270000, 1.6873298000", \
"0.0862392000, 0.0987969000, 0.1258879000, 0.1812300000, 0.3017223000, 0.6312188000, 1.6902724000", \
"0.0888913000, 0.1005596000, 0.1268198000, 0.1826228000, 0.3020538000, 0.6326041000, 1.6891106000", \
"0.0861715000, 0.0997135000, 0.1294343000, 0.1821738000, 0.3018819000, 0.6328218000, 1.6901103000", \
"0.0922626000, 0.1053108000, 0.1318489000, 0.1855469000, 0.3051936000, 0.6345782000, 1.6902605000", \
"0.0952568000, 0.1072852000, 0.1347676000, 0.1919171000, 0.3097008000, 0.6439033000, 1.6931576000", \
"0.0940819000, 0.1057835000, 0.1337185000, 0.1919741000, 0.3103450000, 0.6445634000, 1.6956678000", \
"0.0908159000, 0.1053302000, 0.1351783000, 0.1890832000, 0.3120014000, 0.6436452000, 1.6946307000");
}
related_pin : "SLEEP_B";
rise_transition ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0014551900, 0.0042351300, 0.0123258000, 0.0358726000, 0.1044030000, 0.3038510000");
values("0.0651762000, 0.0768698000, 0.1038099000, 0.1738161000, 0.3866946000, 1.0570974000, 3.0434248000", \
"0.0647389000, 0.0770232000, 0.1043133000, 0.1736678000, 0.3864667000, 1.0598173000, 3.0513716000", \
"0.0649390000, 0.0771130000, 0.1039853000, 0.1741267000, 0.3867549000, 1.0585818000, 3.0422174000", \
"0.0653218000, 0.0773354000, 0.1037240000, 0.1744530000, 0.3871265000, 1.0562273000, 3.0504061000", \
"0.0647961000, 0.0761369000, 0.1032824000, 0.1716326000, 0.3855428000, 1.0581798000, 3.0523843000", \
"0.0644491000, 0.0765260000, 0.1043306000, 0.1732011000, 0.3865272000, 1.0554041000, 3.0470013000", \
"0.0641743000, 0.0754775000, 0.1019431000, 0.1714602000, 0.3917014000, 1.0635270000, 3.0500735000", \
"0.0653859000, 0.0771032000, 0.1033612000, 0.1739285000, 0.3937054000, 1.0712387000, 3.0485183000", \
"0.0677456000, 0.0800117000, 0.1075740000, 0.1769684000, 0.3960439000, 1.0931440000, 3.0594953000", \
"0.0704100000, 0.0830513000, 0.1099295000, 0.1791142000, 0.3977812000, 1.0966466000, 3.0754967000", \
"0.0729800000, 0.0850059000, 0.1125805000, 0.1826558000, 0.3992642000, 1.0992826000, 3.0904439000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
}
}
cell ("sky130_fd_sc_hvl__lsbuflv2hv_symmetric_1") {
leakage_power () {
value : 2722.6740258;
when : "A";
}
leakage_power () {
value : 2723.0032629;
when : "!A";
}
area : 89.86560000;
cell_footprint : "sky130_fd_sc_hvl__lsbuflv2hv_symmetric";
cell_leakage_power : 2722.8390000;
input_voltage_range(1.2800000000, 1.9500000000);
output_voltage_range(1.6500000000, 5.5000000000);
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
is_level_shifter : "true";
level_shifter_type : "LH";
pg_pin ("LVPWR") {
pg_type : "primary_power";
voltage_name : "LVPWR";
}
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0023880000;
clock : "false";
direction : "input";
fall_capacitance : 0.0022830000;
input_signal_level : "LVPWR";
level_shifter_data_pin : "true";
max_transition : 2.5000000000;
related_ground_pin : "VGND";
related_power_pin : "LVPWR";
rise_capacitance : 0.0024930000;
}
pin ("X") {
direction : "output";
function : "A";
internal_power () {
fall_power ("power_outputs_3") {
index_1("0.0100000000, 0.0173500000, 0.0260200000, 0.0390300000, 0.0585500000, 0.0878200000, 0.1317200000, 0.1975700000, 0.2963400000, 0.4444900000, 0.6667000000, 1.0000000000, 1.5000000000, 2.0000000000, 2.5000000000");
index_2("0.0005000000, 0.0012434800, 0.0030924700, 0.0076908500, 0.0191268000, 0.0475675000, 0.1182980000, 0.2942030000, 0.7316690000");
values("0.8358889000, 0.8233548000, 0.7984557000, 0.7332982000, 0.5557961000, 0.1163007000, -0.9620199000, -3.6258852000, -10.243639800", \
"0.8357966000, 0.8232647000, 0.7983679000, 0.7332151000, 0.5557141000, 0.1162190000, -0.9609072000, -3.6259614000, -10.245748000", \
"0.8356999000, 0.8230789000, 0.7982701000, 0.7331137000, 0.5556043000, 0.1161097000, -0.9625632000, -3.6268666000, -10.245562000", \
"0.8361444000, 0.8236552000, 0.7989635000, 0.7340765000, 0.5563600000, 0.1166560000, -0.9603586000, -3.6260902000, -10.244204000", \
"0.8356649000, 0.8224320000, 0.7976565000, 0.7328247000, 0.5552014000, 0.1155186000, -0.9618708000, -3.6267738000, -10.245378100", \
"0.8354389000, 0.8227706000, 0.7980109000, 0.7328518000, 0.5553373000, 0.1158400000, -0.9620395000, -3.6260945000, -10.244138000", \
"0.8351567000, 0.8225041000, 0.7977048000, 0.7327857000, 0.5550485000, 0.1156714000, -0.9617307000, -3.6271371000, -10.244365600", \
"0.8348799000, 0.8221355000, 0.7979980000, 0.7329621000, 0.5553710000, 0.1181301000, -0.9594875000, -3.6242162000, -10.242002600", \
"0.8352311000, 0.8226549000, 0.7977516000, 0.7326498000, 0.5556995000, 0.1158767000, -0.9618280000, -3.6269302000, -10.244037400", \
"0.8351543000, 0.8224610000, 0.7977233000, 0.7328041000, 0.5550480000, 0.1156595000, -0.9636753000, -3.6272702000, -10.243946100", \
"0.8347025000, 0.8218892000, 0.7972866000, 0.7323125000, 0.5546359000, 0.1149244000, -0.9624420000, -3.6269686000, -10.245379000", \
"0.8380639000, 0.8252794000, 0.8006664000, 0.7356917000, 0.5580141000, 0.1183025000, -0.9590905000, -3.6236299000, -10.242041100", \
"0.8544509000, 0.8410465000, 0.8169352000, 0.7514308000, 0.5740149000, 0.1345094000, -0.9434698000, -3.6083452000, -10.226859100", \
"0.8855020000, 0.8725543000, 0.8479574000, 0.7835666000, 0.6035289000, 0.1643295000, -0.9135440000, -3.5786300000, -10.196654500", \
"0.9142938000, 0.9020745000, 0.8777386000, 0.8132933000, 0.6349966000, 0.1948814000, -0.8833989000, -3.5486607000, -10.167492400");
}
related_pin : "A";
rise_power ("power_outputs_3") {
index_1("0.0100000000, 0.0173500000, 0.0260200000, 0.0390300000, 0.0585500000, 0.0878200000, 0.1317200000, 0.1975700000, 0.2963400000, 0.4444900000, 0.6667000000, 1.0000000000, 1.5000000000, 2.0000000000, 2.5000000000");
index_2("0.0005000000, 0.0012434800, 0.0030924700, 0.0076908500, 0.0191268000, 0.0475675000, 0.1182980000, 0.2942030000, 0.7316690000");
values("0.8551541000, 0.8661810000, 0.8980927000, 0.9832521000, 1.1626602000, 1.5795810000, 2.6341340000, 5.2679088000, 11.819328600", \
"0.8562127000, 0.8664758000, 0.8987384000, 0.9835889000, 1.1643010000, 1.5800345000, 2.6351485000, 5.2762229000, 11.820117700", \
"0.8551727000, 0.8664535000, 0.8976647000, 0.9819878000, 1.1621443000, 1.5786138000, 2.6360907000, 5.2672818000, 11.819270700", \
"0.8554648000, 0.8655611000, 0.8980564000, 0.9820453000, 1.1622924000, 1.5794823000, 2.6336811000, 5.2676094000, 11.818635200", \
"0.8553945000, 0.8659343000, 0.8979022000, 0.9831032000, 1.1624786000, 1.5809650000, 2.6382413000, 5.2637029000, 11.818686600", \
"0.8549014000, 0.8651408000, 0.8970966000, 0.9814233000, 1.1615496000, 1.5783644000, 2.6325967000, 5.2681211000, 11.817247800", \
"0.8552823000, 0.8659675000, 0.8976194000, 0.9816433000, 1.1619766000, 1.5790580000, 2.6332346000, 5.2698909000, 11.817814800", \
"0.8530577000, 0.8631266000, 0.8956190000, 0.9797703000, 1.1596977000, 1.5784597000, 2.6313024000, 5.2672477000, 11.815600500", \
"0.8523228000, 0.8632887000, 0.8952515000, 0.9792641000, 1.1591985000, 1.5755691000, 2.6332181000, 5.2640347000, 11.810180500", \
"0.8515068000, 0.8619201000, 0.8940770000, 0.9780546000, 1.1582235000, 1.5754277000, 2.6296443000, 5.2663676000, 11.814250600", \
"0.8509784000, 0.8613271000, 0.8934636000, 0.9786542000, 1.1579998000, 1.5743948000, 2.6296888000, 5.2680297000, 11.805394900", \
"0.8499703000, 0.8601648000, 0.8926851000, 0.9770710000, 1.1567754000, 1.5731442000, 2.6258351000, 5.2625631000, 11.808887900", \
"0.8486484000, 0.8598464000, 0.8914071000, 0.9754402000, 1.1562557000, 1.5718280000, 2.6272867000, 5.2629420000, 11.802853700", \
"0.9007839000, 0.9088083000, 0.9305671000, 0.9926459000, 1.1535314000, 1.5725996000, 2.6302618000, 5.2542710000, 11.809370100", \
"0.8991183000, 0.9067526000, 0.9285994000, 0.9910555000, 1.1548517000, 1.5707731000, 2.6264957000, 5.2593390000, 11.808849000");
}
}
max_capacitance : 0.7316690000;
max_transition : 4.0370060000;
power_down_function : "(!LVPWR+!VPWR+VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_15_9") {
index_1("0.0100000000, 0.0173500000, 0.0260200000, 0.0390300000, 0.0585500000, 0.0878200000, 0.1317200000, 0.1975700000, 0.2963400000, 0.4444900000, 0.6667000000, 1.0000000000, 1.5000000000, 2.0000000000, 2.5000000000");
index_2("0.0005000000, 0.0012434800, 0.0030924700, 0.0076908500, 0.0191268000, 0.0475675000, 0.1182980000, 0.2942030000, 0.7316690000");
values("0.6338005000, 0.6388103000, 0.6494205000, 0.6712570000, 0.7204478000, 0.8378249000, 1.1352683000, 1.8813715000, 3.7396211000", \
"0.6363435000, 0.6413534000, 0.6519640000, 0.6738006000, 0.7229918000, 0.8403686000, 1.1378146000, 1.8839017000, 3.7416910000", \
"0.6403955000, 0.6454051000, 0.6560139000, 0.6778490000, 0.7270411000, 0.8444186000, 1.1418548000, 1.8879724000, 3.7462242000", \
"0.6458007000, 0.6507982000, 0.6613463000, 0.6835322000, 0.7324312000, 0.8498559000, 1.1472658000, 1.8928505000, 3.7511909000", \
"0.6565000000, 0.6613713000, 0.6719732000, 0.6941751000, 0.7430338000, 0.8605373000, 1.1579786000, 1.9037776000, 3.7617762000", \
"0.6720103000, 0.6769983000, 0.6876267000, 0.7094608000, 0.7586544000, 0.8760312000, 1.1734592000, 1.9196000000, 3.7775516000", \
"0.6946239000, 0.6996109000, 0.7101640000, 0.7323243000, 0.7812693000, 0.8986560000, 1.1960666000, 1.9422599000, 3.8005656000", \
"0.7341607000, 0.7389539000, 0.7496643000, 0.7720136000, 0.8207815000, 0.9390058000, 1.2364694000, 1.9825381000, 3.8398866000", \
"0.7914334000, 0.7963539000, 0.8069738000, 0.8289472000, 0.8779909000, 0.9954433000, 1.2929618000, 2.0387221000, 3.8967483000", \
"0.8770382000, 0.8820242000, 0.8925783000, 0.9147453000, 0.9636807000, 1.0810730000, 1.3784466000, 2.1246582000, 3.9828496000", \
"1.0066614000, 1.0116427000, 1.0222069000, 1.0444002000, 1.0932758000, 1.2107653000, 1.5081839000, 2.2538820000, 4.1134411000", \
"1.2005044000, 1.2054886000, 1.2160500000, 1.2382432000, 1.2871182000, 1.4046048000, 1.7020209000, 2.4477085000, 4.3071813000", \
"1.4854934000, 1.4903950000, 1.5010116000, 1.5228856000, 1.5719970000, 1.6894168000, 1.9867916000, 2.7332263000, 4.5920658000", \
"1.7566497000, 1.7616472000, 1.7722117000, 1.7945505000, 1.8427806000, 1.9602446000, 2.2575968000, 3.0035197000, 4.8612585000", \
"2.0130164000, 2.0180022000, 2.0286235000, 2.0510122000, 2.0997137000, 2.2170294000, 2.5142468000, 3.2603305000, 5.1188147000");
}
cell_rise ("del_1_15_9") {
index_1("0.0100000000, 0.0173500000, 0.0260200000, 0.0390300000, 0.0585500000, 0.0878200000, 0.1317200000, 0.1975700000, 0.2963400000, 0.4444900000, 0.6667000000, 1.0000000000, 1.5000000000, 2.0000000000, 2.5000000000");
index_2("0.0005000000, 0.0012434800, 0.0030924700, 0.0076908500, 0.0191268000, 0.0475675000, 0.1182980000, 0.2942030000, 0.7316690000");
values("0.5753166000, 0.5797040000, 0.5895549000, 0.6101561000, 0.6565750000, 0.7679405000, 1.0430299000, 1.7282055000, 3.4369747000", \
"0.5788483000, 0.5834530000, 0.5931595000, 0.6137629000, 0.6601245000, 0.7714002000, 1.0465324000, 1.7313092000, 3.4339962000", \
"0.5835947000, 0.5881870000, 0.5979004000, 0.6185156000, 0.6648566000, 0.7763415000, 1.0513873000, 1.7357698000, 3.4441754000", \
"0.5899326000, 0.5944514000, 0.6041676000, 0.6248096000, 0.6711351000, 0.7826156000, 1.0576732000, 1.7428439000, 3.4514502000", \
"0.5996267000, 0.6041225000, 0.6139924000, 0.6345682000, 0.6809981000, 0.7923165000, 1.0675076000, 1.7528968000, 3.4594698000", \
"0.6152593000, 0.6198500000, 0.6295575000, 0.6501767000, 0.6965181000, 0.8080037000, 1.0829478000, 1.7669601000, 3.4768231000", \
"0.6375421000, 0.6421131000, 0.6517905000, 0.6724262000, 0.7187557000, 0.8302352000, 1.1052821000, 1.7904314000, 3.4990966000", \
"0.6662574000, 0.6708469000, 0.6805386000, 0.7011884000, 0.7475237000, 0.8590153000, 1.1340739000, 1.8190898000, 3.5277390000", \
"0.7117412000, 0.7163030000, 0.7259511000, 0.7466275000, 0.7929429000, 0.9043238000, 1.1795022000, 1.8644655000, 3.5684884000", \
"0.7731226000, 0.7776897000, 0.7873589000, 0.8079933000, 0.8543178000, 0.9657966000, 1.2408555000, 1.9260162000, 3.6346079000", \
"0.8521151000, 0.8566105000, 0.8664698000, 0.8870498000, 0.9334780000, 1.0447727000, 1.3198934000, 2.0041475000, 3.7118346000", \
"0.9558587000, 0.9604164000, 0.9700676000, 0.9907344000, 1.0370647000, 1.1485664000, 1.4235441000, 2.1077031000, 3.8133150000", \
"1.0901795000, 1.0947401000, 1.1043411000, 1.1250202000, 1.1714226000, 1.2827089000, 1.5579006000, 2.2425302000, 3.9510684000", \
"1.2117896000, 1.2163986000, 1.2258524000, 1.2464719000, 1.2929080000, 1.4042253000, 1.6792556000, 2.3647067000, 4.0719588000", \
"1.3226991000, 1.3272116000, 1.3366332000, 1.3573045000, 1.4037560000, 1.5152802000, 1.7907441000, 2.4758140000, 4.1827257000");
}
fall_transition ("del_1_15_9") {
index_1("0.0100000000, 0.0173500000, 0.0260200000, 0.0390300000, 0.0585500000, 0.0878200000, 0.1317200000, 0.1975700000, 0.2963400000, 0.4444900000, 0.6667000000, 1.0000000000, 1.5000000000, 2.0000000000, 2.5000000000");
index_2("0.0005000000, 0.0012434800, 0.0030924700, 0.0076908500, 0.0191268000, 0.0475675000, 0.1182980000, 0.2942030000, 0.7316690000");
values("0.0304334000, 0.0347430000, 0.0438906000, 0.0658663000, 0.1198249000, 0.2672181000, 0.6438783000, 1.5847424000, 3.9160875000", \
"0.0304337000, 0.0347431000, 0.0438898000, 0.0658667000, 0.1198248000, 0.2672164000, 0.6450177000, 1.5846823000, 3.9181320000", \
"0.0304217000, 0.0347644000, 0.0438940000, 0.0658652000, 0.1198246000, 0.2672193000, 0.6439490000, 1.5844128000, 3.9143946000", \
"0.0306999000, 0.0349497000, 0.0442027000, 0.0656259000, 0.1196565000, 0.2668332000, 0.6455739000, 1.5801186000, 3.9179904000", \
"0.0305767000, 0.0347897000, 0.0443412000, 0.0656490000, 0.1199074000, 0.2666689000, 0.6433502000, 1.5857640000, 3.9222270000", \
"0.0304145000, 0.0346755000, 0.0438968000, 0.0658638000, 0.1198236000, 0.2672228000, 0.6427537000, 1.5804549000, 3.9162016000", \
"0.0303844000, 0.0346524000, 0.0441333000, 0.0655407000, 0.1198105000, 0.2672264000, 0.6432374000, 1.5840279000, 3.9146855000", \
"0.0305372000, 0.0351575000, 0.0442299000, 0.0657771000, 0.1197702000, 0.2671899000, 0.6446668000, 1.5824583000, 3.9175978000", \
"0.0309097000, 0.0348402000, 0.0438403000, 0.0660131000, 0.1196361000, 0.2668975000, 0.6439561000, 1.5849825000, 3.9145531000", \
"0.0303492000, 0.0350470000, 0.0441577000, 0.0655320000, 0.1197942000, 0.2672099000, 0.6441868000, 1.5868288000, 3.9141366000", \
"0.0305803000, 0.0348962000, 0.0442579000, 0.0656391000, 0.1198684000, 0.2667964000, 0.6438428000, 1.5854505000, 3.9149306000", \
"0.0305879000, 0.0349030000, 0.0442574000, 0.0656386000, 0.1198600000, 0.2667197000, 0.6437624000, 1.5855742000, 3.9152543000", \
"0.0308198000, 0.0348474000, 0.0438731000, 0.0659230000, 0.1195872000, 0.2662523000, 0.6445421000, 1.5827297000, 3.9163304000", \
"0.0310428000, 0.0351499000, 0.0442833000, 0.0659590000, 0.1200108000, 0.2668207000, 0.6445801000, 1.5843363000, 3.9227155000", \
"0.0313146000, 0.0353781000, 0.0445439000, 0.0660118000, 0.1200117000, 0.2672760000, 0.6440181000, 1.5841501000, 3.9204280000");
}
related_pin : "A";
rise_transition ("del_1_15_9") {
index_1("0.0100000000, 0.0173500000, 0.0260200000, 0.0390300000, 0.0585500000, 0.0878200000, 0.1317200000, 0.1975700000, 0.2963400000, 0.4444900000, 0.6667000000, 1.0000000000, 1.5000000000, 2.0000000000, 2.5000000000");
index_2("0.0005000000, 0.0012434800, 0.0030924700, 0.0076908500, 0.0191268000, 0.0475675000, 0.1182980000, 0.2942030000, 0.7316690000");
values("0.0269070000, 0.0310640000, 0.0405883000, 0.0633882000, 0.1225418000, 0.2762586000, 0.6613681000, 1.6260052000, 4.0367611000", \
"0.0269759000, 0.0308170000, 0.0405278000, 0.0634731000, 0.1225417000, 0.2760933000, 0.6611383000, 1.6291917000, 4.0354604000", \
"0.0269505000, 0.0307543000, 0.0406751000, 0.0635435000, 0.1224309000, 0.2761657000, 0.6611036000, 1.6236437000, 4.0341170000", \
"0.0269257000, 0.0309588000, 0.0403968000, 0.0634933000, 0.1224734000, 0.2757881000, 0.6613070000, 1.6262593000, 4.0354409000", \
"0.0268018000, 0.0310332000, 0.0405487000, 0.0634421000, 0.1225091000, 0.2758376000, 0.6609617000, 1.6248918000, 4.0322128000", \
"0.0269482000, 0.0307400000, 0.0404571000, 0.0635409000, 0.1224180000, 0.2759533000, 0.6610159000, 1.6247598000, 4.0370063000", \
"0.0269333000, 0.0306873000, 0.0404183000, 0.0635049000, 0.1224523000, 0.2757978000, 0.6612747000, 1.6261655000, 4.0355341000", \
"0.0269252000, 0.0307391000, 0.0404517000, 0.0635014000, 0.1223908000, 0.2758684000, 0.6613339000, 1.6258134000, 4.0369623000", \
"0.0267756000, 0.0308109000, 0.0405332000, 0.0633781000, 0.1225677000, 0.2758666000, 0.6616519000, 1.6254158000, 4.0239869000", \
"0.0269257000, 0.0306767000, 0.0404051000, 0.0634919000, 0.1224644000, 0.2757760000, 0.6613131000, 1.6262398000, 4.0354080000", \
"0.0268009000, 0.0310083000, 0.0405118000, 0.0634598000, 0.1224805000, 0.2761607000, 0.6609563000, 1.6253554000, 4.0261603000", \
"0.0269038000, 0.0306791000, 0.0402401000, 0.0634377000, 0.1224481000, 0.2760204000, 0.6605945000, 1.6238271000, 4.0236223000", \
"0.0267875000, 0.0308607000, 0.0405640000, 0.0634049000, 0.1227252000, 0.2759612000, 0.6613202000, 1.6259285000, 4.0256141000", \
"0.0269549000, 0.0308037000, 0.0402517000, 0.0634357000, 0.1225023000, 0.2763138000, 0.6607566000, 1.6257001000, 4.0331334000", \
"0.0267396000, 0.0306886000, 0.0403814000, 0.0633134000, 0.1224764000, 0.2759593000, 0.6628360000, 1.6263337000, 4.0327963000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
}
}
}