blob: 2b0bfaeb23b7136445e159d0af2d5328eb22b9c7 [file] [log] [blame]
library ("sky130_fd_sc_hvl__ff_n40C_4v40_lv1v95") {
define(clk_width,library,string);
define(def_sim_opt,library,string);
define(default_arc_mode,library,string);
define(default_constraint_arc_mode,library,string);
define(driver_model,library,string);
define(leakage_sim_opt,library,string);
define(min_pulse_width_mode,library,string);
define(simulator,library,string);
define(switching_power_split_model,library,string);
define(tran_timestep,library,string);
technology("cmos");
delay_model : "table_lookup";
bus_naming_style : "%s[%d]";
time_unit : "1ns";
voltage_unit : "1V";
leakage_power_unit : "1nW";
current_unit : "1mA";
pulling_resistance_unit : "1kohm";
capacitive_load_unit(1.0000000000, "pf");
revision : 1.0000000000;
default_cell_leakage_power : 0.0000000000;
default_fanout_load : 0.0000000000;
default_inout_pin_cap : 0.0000000000;
default_input_pin_cap : 0.0000000000;
default_max_transition : 3.7500000000;
default_output_pin_cap : 0.0000000000;
default_arc_mode : "best_edges";
default_constraint_arc_mode : "worst";
default_leakage_power_density : 0.0000000000;
default_operating_conditions : "ff_n40C_4v40_lv1v95";
clk_width : "0.0000000400";
operating_conditions ("ff_n40C_4v40") {
voltage : 4.4000000000;
process : 1.0000000000;
temperature : -40.000000000;
tree_type : "balanced_tree";
}
power_lut_template ("power_inputs_1") {
variable_1 : "input_transition_time";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11");
}
power_lut_template ("power_outputs_1") {
variable_1 : "input_transition_time";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15");
index_2("1, 2, 3, 4, 5, 6, 7");
}
power_lut_template ("power_outputs_2") {
variable_1 : "input_transition_time";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13");
index_2("1, 2, 3, 4, 5, 6, 7, 8, 9");
}
power_lut_template ("power_outputs_3") {
variable_1 : "input_transition_time";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15");
index_2("1, 2, 3, 4, 5, 6, 7, 8, 9");
}
power_lut_template ("power_outputs_4") {
variable_1 : "input_transition_time";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11");
index_2("1, 2, 3, 4, 5, 6, 7");
}
power_lut_template ("power_outputs_5") {
variable_1 : "input_transition_time";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11");
index_2("1, 2, 3, 4, 5, 6, 7, 8, 9");
}
lu_table_template ("del_1_11_7") {
variable_1 : "input_net_transition";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11");
index_2("1, 2, 3, 4, 5, 6, 7");
}
lu_table_template ("del_1_11_9") {
variable_1 : "input_net_transition";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11");
index_2("1, 2, 3, 4, 5, 6, 7, 8, 9");
}
lu_table_template ("del_1_13_9") {
variable_1 : "input_net_transition";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13");
index_2("1, 2, 3, 4, 5, 6, 7, 8, 9");
}
lu_table_template ("del_1_15_7") {
variable_1 : "input_net_transition";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15");
index_2("1, 2, 3, 4, 5, 6, 7");
}
lu_table_template ("del_1_15_9") {
variable_1 : "input_net_transition";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15");
index_2("1, 2, 3, 4, 5, 6, 7, 8, 9");
}
lu_table_template ("driver_waveform_template") {
variable_1 : "input_net_transition";
variable_2 : "normalized_voltage";
index_1("1, 2");
index_2("1, 2");
}
normalized_driver_waveform ("driver_waveform_template") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0000000000, 0.5000000000, 1.0000000000");
driver_waveform_name : "ramp";
values("0.0000000000, 0.0008333333, 0.0016666667", \
"0.0000000000, 0.0108333330, 0.0216666670", \
"0.0000000000, 0.0216666670, 0.0433333330", \
"0.0000000000, 0.0600000000, 0.1200000000", \
"0.0000000000, 0.1800000000, 0.3600000000", \
"0.0000000000, 0.3600000000, 0.7200000000", \
"0.0000000000, 0.7200000000, 1.4400000000", \
"0.0000000000, 1.0800000000, 2.1600000000", \
"0.0000000000, 2.0833333000, 4.1666667000", \
"0.0000000000, 2.6041667000, 5.2083333000", \
"0.0000000000, 3.1249999000, 6.2499998000");
}
library_features("report_delay_calculation");
voltage_map("VSS", 0.0000000000);
voltage_map("LOWHVPWR", 4.4000000000);
voltage_map("LVPWR", 1.9500000000);
voltage_map("VGND", 0.0000000000);
voltage_map("VNB", 0.0000000000);
voltage_map("VPB", 4.4000000000);
voltage_map("VPWR", 4.4000000000);
driver_model : "ramp";
in_place_swap_mode : "match_footprint";
input_threshold_pct_fall : 50.000000000;
input_threshold_pct_rise : 50.000000000;
min_pulse_width_mode : "max";
nom_process : 1.0000000000;
nom_temperature : -40.000000000;
nom_voltage : 4.4000000000;
output_threshold_pct_fall : 50.000000000;
output_threshold_pct_rise : 50.000000000;
simulation : "true";
slew_derate_from_library : 1.0000000000;
slew_lower_threshold_pct_fall : 20.000000000;
slew_lower_threshold_pct_rise : 20.000000000;
slew_upper_threshold_pct_fall : 80.00000000;
slew_upper_threshold_pct_rise : 80.00000000;
switching_power_split_model : "true";
tran_timestep : "0.0000000000";
cell ("sky130_fd_sc_hvl__lsbufhv2hv_hl_1") {
leakage_power () {
value : 0.0399352000;
when : "A";
}
leakage_power () {
value : 0.0423674000;
when : "!A";
}
area : 70.32960000;
cell_footprint : "sky130_fd_sc_hvl__lsbufhv2hv_hl";
cell_leakage_power : 0.0411513300;
is_level_shifter : "true";
level_shifter_type : "HL";
pg_pin ("LOWHVPWR") {
pg_type : "primary_power";
voltage_name : "LOWHVPWR";
}
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0026660000;
clock : "false";
input_voltage_range(1.3200000000, 5.5000000000);
direction : "input";
fall_capacitance : 0.0025830000;
input_signal_level : "VPWR";
level_shifter_data_pin : "true";
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0027490000;
}
pin ("X") {
output_voltage_range(1.0000000000, 5.5000000000);
direction : "output";
function : "A";
internal_power () {
fall_power ("power_outputs_4") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0016344100, 0.0053425900, 0.0174639600, 0.0570865400, 0.1866056000, 0.6099800000");
values("0.1123574000, 0.1009047000, 0.0665981000, -0.0501159000, -0.4329068000, -1.6870718000, -5.7852984000", \
"0.1135964000, 0.1020545000, 0.0672031000, -0.0496208000, -0.4326974000, -1.6865919000, -5.7850859000", \
"0.1126000000, 0.1008736000, 0.0663764000, -0.0507410000, -0.4339260000, -1.6875064000, -5.7858089000", \
"0.1163789000, 0.1046616000, 0.0693461000, -0.0472457000, -0.4307083000, -1.6847666000, -5.7831994000", \
"0.1467341000, 0.1337971000, 0.0967159000, -0.0231134000, -0.4081830000, -1.6626763000, -5.7611333000", \
"0.1972609000, 0.1826060000, 0.1402035000, 0.0192658000, -0.3690657000, -1.6250152000, -5.7242179000", \
"0.3008408000, 0.2845612000, 0.2380364000, 0.1073445000, -0.2855272000, -1.5459681000, -5.6473211000", \
"0.4043576000, 0.3872156000, 0.3377575000, 0.2023829000, -0.1975559000, -1.4635869000, -5.5674453000", \
"0.6876465000, 0.6692709000, 0.6157275000, 0.4681618000, 0.0486761000, -1.2298508000, -5.3416777000", \
"0.8324839000, 0.8130778000, 0.7579980000, 0.6070299000, 0.1820386000, -1.1061262000, -5.2233327000", \
"0.9752714000, 0.9570394000, 0.9003337000, 0.7442651000, 0.3143084000, -0.9839621000, -5.1044248000");
}
related_pin : "A";
rise_power ("power_outputs_4") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0016344100, 0.0053425900, 0.0174639600, 0.0570865400, 0.1866056000, 0.6099800000");
values("0.0736574000, 0.0838772000, 0.1190580000, 0.2348810000, 0.6143080000, 1.8580019000, 5.9105571000", \
"0.0691612000, 0.0794358000, 0.1145528000, 0.2305492000, 0.6110179000, 1.8503446000, 5.9085223000", \
"0.0685315000, 0.0787786000, 0.1137024000, 0.2299329000, 0.6103836000, 1.8529181000, 5.9083692000", \
"0.0726365000, 0.0827288000, 0.1172480000, 0.2324597000, 0.6135076000, 1.8586544000, 5.9113525000", \
"0.1000768000, 0.1091728000, 0.1415936000, 0.2518364000, 0.6295467000, 1.8726817000, 5.9256765000", \
"0.1515250000, 0.1587630000, 0.1875537000, 0.2938688000, 0.6678155000, 1.9070921000, 5.9593480000", \
"0.2562112000, 0.2616939000, 0.2858917000, 0.3877979000, 0.7528514000, 1.9860795000, 6.0378125000", \
"0.3601370000, 0.3650006000, 0.3878671000, 0.4845798000, 0.8355112000, 2.0613077000, 6.1130219000", \
"0.6453459000, 0.6496465000, 0.6665082000, 0.7551498000, 1.0928412000, 2.2957682000, 6.3327224000", \
"0.7902930000, 0.7950727000, 0.8112594000, 0.8953227000, 1.2287396000, 2.4123419000, 6.4460965000", \
"0.9385426000, 0.9417895000, 0.9584623000, 1.0375638000, 1.3634735000, 2.5388577000, 6.5647048000");
}
}
max_capacitance : 0.6099800000;
max_transition : 3.7524400000;
power_down_function : "(!LOWHVPWR+!VPWR+VGND)";
related_ground_pin : "VGND";
related_power_pin : "LOWHVPWR";
timing () {
cell_fall ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0016344100, 0.0053425900, 0.0174640000, 0.0570865000, 0.1866060000, 0.6099800000");
values("0.0876751000, 0.0953299000, 0.1170685000, 0.1764662000, 0.3609075000, 0.9617990000, 2.9255538000", \
"0.0890906000, 0.0965978000, 0.1183148000, 0.1776775000, 0.3621076000, 0.9628932000, 2.9265517000", \
"0.0915845000, 0.0991785000, 0.1206536000, 0.1801287000, 0.3646886000, 0.9655901000, 2.9292222000", \
"0.1054329000, 0.1129229000, 0.1340965000, 0.1932283000, 0.3777686000, 0.9789979000, 2.9442213000", \
"0.1490095000, 0.1566216000, 0.1780177000, 0.2367556000, 0.4204697000, 1.0222234000, 2.9883898000", \
"0.2007669000, 0.2088985000, 0.2307038000, 0.2896221000, 0.4723993000, 1.0726042000, 3.0368474000", \
"0.2823740000, 0.2916943000, 0.3157352000, 0.3778404000, 0.5603028000, 1.1573164000, 3.1245126000", \
"0.3508209000, 0.3609734000, 0.3868886000, 0.4521904000, 0.6391637000, 1.2349518000, 3.1954630000", \
"0.5127727000, 0.5244395000, 0.5543093000, 0.6263094000, 0.8213439000, 1.4320612000, 3.3907526000", \
"0.5886261000, 0.6007798000, 0.6322925000, 0.7075443000, 0.9073117000, 1.5288262000, 3.4845569000", \
"0.6608125000, 0.6735449000, 0.7066046000, 0.7845691000, 0.9863311000, 1.6188548000, 3.5786201000");
}
cell_rise ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0016344100, 0.0053425900, 0.0174640000, 0.0570865000, 0.1866060000, 0.6099800000");
values("0.0768602000, 0.0832919000, 0.1020564000, 0.1558451000, 0.3283942000, 0.8911566000, 2.7297931000", \
"0.0776198000, 0.0841526000, 0.1027950000, 0.1566042000, 0.3290724000, 0.8934233000, 2.7302858000", \
"0.0793287000, 0.0857964000, 0.1043982000, 0.1583343000, 0.3316601000, 0.8935889000, 2.7338261000", \
"0.0889950000, 0.0954069000, 0.1137771000, 0.1672532000, 0.3398131000, 0.9024680000, 2.7451013000", \
"0.1109019000, 0.1176944000, 0.1363996000, 0.1889989000, 0.3602616000, 0.9225557000, 2.7624678000", \
"0.1252488000, 0.1331362000, 0.1526936000, 0.2060534000, 0.3750427000, 0.9376765000, 2.7743230000", \
"0.1319291000, 0.1411128000, 0.1629516000, 0.2195437000, 0.3885136000, 0.9467871000, 2.7830263000", \
"0.1262156000, 0.1359611000, 0.1608627000, 0.2196479000, 0.3895471000, 0.9479830000, 2.7846798000", \
"0.0830131000, 0.0947209000, 0.1239305000, 0.1901491000, 0.3639773000, 0.9330680000, 2.7630616000", \
"0.0530246000, 0.0653605000, 0.0963765000, 0.1662103000, 0.3421509000, 0.9174383000, 2.7468547000", \
"0.0188231000, 0.0322842000, 0.0647851000, 0.1384212000, 0.3156111000, 0.8959857000, 2.7308056000");
}
fall_transition ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0016344100, 0.0053425900, 0.0174640000, 0.0570865000, 0.1866060000, 0.6099800000");
values("0.0257249000, 0.0324103000, 0.0528492000, 0.1185964000, 0.3517225000, 1.1047215000, 3.5828784000", \
"0.0257170000, 0.0325504000, 0.0528511000, 0.1186677000, 0.3507610000, 1.1062742000, 3.5745991000", \
"0.0259163000, 0.0324737000, 0.0527519000, 0.1189316000, 0.3481458000, 1.1060415000, 3.5737344000", \
"0.0260292000, 0.0326633000, 0.0529274000, 0.1192095000, 0.3504051000, 1.1062499000, 3.5805634000", \
"0.0302095000, 0.0365360000, 0.0568009000, 0.1205287000, 0.3499256000, 1.1070995000, 3.5825771000", \
"0.0373507000, 0.0435665000, 0.0629510000, 0.1249142000, 0.3521093000, 1.1068373000, 3.5827790000", \
"0.0500047000, 0.0579693000, 0.0762748000, 0.1368034000, 0.3526421000, 1.1104467000, 3.5828973000", \
"0.0608303000, 0.0685032000, 0.0876403000, 0.1507865000, 0.3635077000, 1.1081837000, 3.5879237000", \
"0.0846700000, 0.0938866000, 0.1164078000, 0.1790916000, 0.3956315000, 1.1254043000, 3.5816583000", \
"0.0943991000, 0.1052721000, 0.1295505000, 0.1900428000, 0.4083924000, 1.1424124000, 3.5830838000", \
"0.1058870000, 0.1150633000, 0.1394469000, 0.2043264000, 0.4191209000, 1.1618833000, 3.5918108000");
}
related_pin : "A";
rise_transition ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0016344100, 0.0053425900, 0.0174640000, 0.0570865000, 0.1866060000, 0.6099800000");
values("0.0240383000, 0.0305181000, 0.0510719000, 0.1217436000, 0.3644243000, 1.1564182000, 3.7424108000", \
"0.0239907000, 0.0305735000, 0.0511167000, 0.1216678000, 0.3639810000, 1.1560901000, 3.7516350000", \
"0.0240299000, 0.0305240000, 0.0511591000, 0.1218422000, 0.3646396000, 1.1566887000, 3.7428314000", \
"0.0241377000, 0.0306644000, 0.0511876000, 0.1219839000, 0.3643596000, 1.1572120000, 3.7438226000", \
"0.0298130000, 0.0362232000, 0.0557758000, 0.1236973000, 0.3635128000, 1.1556697000, 3.7415697000", \
"0.0377037000, 0.0437544000, 0.0630837000, 0.1273927000, 0.3640374000, 1.1559266000, 3.7409356000", \
"0.0502923000, 0.0567148000, 0.0758637000, 0.1379272000, 0.3683971000, 1.1562160000, 3.7511203000", \
"0.0604348000, 0.0670503000, 0.0866377000, 0.1482557000, 0.3756383000, 1.1559204000, 3.7524401000", \
"0.0841580000, 0.0918196000, 0.1123603000, 0.1740191000, 0.3969144000, 1.1770519000, 3.7490064000", \
"0.0946983000, 0.1030353000, 0.1241080000, 0.1854592000, 0.4051538000, 1.1894572000, 3.7501366000", \
"0.1047260000, 0.1129844000, 0.1348556000, 0.1950302000, 0.4145706000, 1.2036531000, 3.7461820000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
}
}
cell ("sky130_fd_sc_hvl__lsbufhv2hv_lh_1") {
leakage_power () {
value : 0.1220290000;
when : "A";
}
leakage_power () {
value : 0.0934824000;
when : "!A";
}
area : 85.95840000;
cell_footprint : "sky130_fd_sc_hvl__lsbufhv2hv_lh";
cell_leakage_power : 0.1077557000;
input_voltage_range(1.3200000000, 5.5000000000);
output_voltage_range(1.6500000000, 5.5000000000);
is_level_shifter : "true";
level_shifter_type : "LH";
pg_pin ("LOWHVPWR") {
pg_type : "primary_power";
voltage_name : "LOWHVPWR";
}
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0030800000;
clock : "false";
direction : "input";
fall_capacitance : 0.0029660000;
input_signal_level : "LOWHVPWR";
level_shifter_data_pin : "true";
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "LOWHVPWR";
rise_capacitance : 0.0031940000;
}
pin ("X") {
direction : "output";
function : "A";
internal_power () {
fall_power ("power_outputs_5") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0012191900, 0.0029728480, 0.0072489330, 0.0176756500, 0.0430999500, 0.1050941000, 0.2562592000, 0.6248573000");
values("0.8805575000, 0.8694786000, 0.8444657000, 0.7942935000, 0.6883490000, 0.4329093000, -0.1771922000, -1.6482518000, -5.2208315000", \
"0.8812053000, 0.8698097000, 0.8449374000, 0.7954431000, 0.6891146000, 0.4336845000, -0.1781038000, -1.6479619000, -5.2205132000", \
"0.8799726000, 0.8676608000, 0.8434420000, 0.7934817000, 0.6880001000, 0.4322877000, -0.1796429000, -1.6494143000, -5.2219434000", \
"0.8803586000, 0.8684552000, 0.8444973000, 0.7942176000, 0.6885492000, 0.4328726000, -0.1794361000, -1.6488571000, -5.2211611000", \
"0.9044978000, 0.8922910000, 0.8682894000, 0.8182194000, 0.7126992000, 0.4569881000, -0.1553187000, -1.6246257000, -5.1973499000", \
"0.9674333000, 0.9561964000, 0.9311702000, 0.8806148000, 0.7752012000, 0.5197413000, -0.0916546000, -1.5620421000, -5.1345482000", \
"1.1017844000, 1.0900540000, 1.0657284000, 1.0152563000, 0.9094679000, 0.6544816000, 0.0426068000, -1.4279571000, -5.0010132000", \
"1.2339771000, 1.2223176000, 1.1979643000, 1.1478536000, 1.0415259000, 0.7868357000, 0.1755353000, -1.2962901000, -4.8694500000", \
"1.6191890000, 1.6084338000, 1.5832830000, 1.5278639000, 1.4100836000, 1.1524427000, 0.5414269000, -0.9310524000, -4.5048673000", \
"1.8093707000, 1.7983946000, 1.7732842000, 1.7180486000, 1.6001842000, 1.3395499000, 0.7296583000, -0.7424937000, -4.3167492000", \
"1.9925211000, 1.9814963000, 1.9564610000, 1.9011248000, 1.7838288000, 1.5233142000, 0.9123091000, -0.5602949000, -4.1347285000");
}
related_pin : "A";
rise_power ("power_outputs_5") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0012191900, 0.0029728480, 0.0072489330, 0.0176756500, 0.0430999500, 0.1050941000, 0.2562592000, 0.6248573000");
values("0.4015008000, 0.4282686000, 0.4892259000, 0.6059329000, 0.7648040000, 1.0193580000, 1.6124556000, 3.0643554000, 6.5948872000", \
"0.3975187000, 0.4244655000, 0.4879221000, 0.6051260000, 0.7613154000, 1.0167786000, 1.6088730000, 3.0613910000, 6.5996412000", \
"0.3987833000, 0.4252111000, 0.4883563000, 0.6046856000, 0.7610336000, 1.0165905000, 1.6092898000, 3.0620402000, 6.5909385000", \
"0.3974994000, 0.4270336000, 0.4894606000, 0.6061272000, 0.7622341000, 1.0181696000, 1.6108938000, 3.0638963000, 6.5943121000", \
"0.4166278000, 0.4437088000, 0.5055935000, 0.6206199000, 0.7791931000, 1.0347424000, 1.6277038000, 3.0791738000, 6.6079037000", \
"0.4541604000, 0.4816664000, 0.5455942000, 0.6616218000, 0.8179789000, 1.0736837000, 1.6663789000, 3.1199086000, 6.6474866000", \
"0.7382292000, 0.7445632000, 0.7611966000, 0.8016284000, 0.9053483000, 1.1613379000, 1.7531912000, 3.2053706000, 6.7343320000", \
"0.8433509000, 0.8498579000, 0.8661574000, 0.9066634000, 1.0083342000, 1.2523069000, 1.8453532000, 3.2941770000, 6.8259999000", \
"1.1211310000, 1.1275971000, 1.1436151000, 1.1843147000, 1.2850186000, 1.5329809000, 2.1201567000, 3.5740742000, 7.1030240000", \
"1.2721706000, 1.2784708000, 1.2936887000, 1.3336379000, 1.4350979000, 1.6822813000, 2.2786978000, 3.7231014000, 7.2547437000", \
"1.4219170000, 1.4277901000, 1.4448842000, 1.4871738000, 1.5862116000, 1.8320834000, 2.4291726000, 3.8708569000, 7.4011574000");
}
}
max_capacitance : 0.6248570000;
max_transition : 3.7566060000;
power_down_function : "(!LOWHVPWR+!VPWR+VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_11_9") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0012191900, 0.0029728500, 0.0072489300, 0.0176757000, 0.0431000000, 0.1050940000, 0.2562590000, 0.6248570000");
values("0.6250573000, 0.6332141000, 0.6505195000, 0.6845406000, 0.7514114000, 0.8863947000, 1.1814654000, 1.8863564000, 3.6107892000", \
"0.6269632000, 0.6350841000, 0.6521826000, 0.6863499000, 0.7526357000, 0.8887583000, 1.1837944000, 1.8887880000, 3.6159056000", \
"0.6289254000, 0.6370602000, 0.6542017000, 0.6883670000, 0.7549204000, 0.8907452000, 1.1858031000, 1.8909467000, 3.6182752000", \
"0.6410052000, 0.6491115000, 0.6663086000, 0.7004514000, 0.7669977000, 0.9028236000, 1.1978826000, 1.9029247000, 3.6259065000", \
"0.6909298000, 0.6991809000, 0.7162762000, 0.7504504000, 0.8170405000, 0.9527701000, 1.2478570000, 1.9528510000, 3.6762471000", \
"0.7716324000, 0.7797984000, 0.7970274000, 0.8310171000, 0.8973752000, 1.0334293000, 1.3284306000, 2.0334749000, 3.7573260000", \
"0.9177699000, 0.9260388000, 0.9432627000, 0.9773269000, 1.0436507000, 1.1796041000, 1.4745792000, 2.1793101000, 3.9040406000", \
"1.0478014000, 1.0559977000, 1.0731949000, 1.1071085000, 1.1732930000, 1.3088908000, 1.6040511000, 2.3089508000, 4.0325867000", \
"1.3686294000, 1.3769066000, 1.3941209000, 1.4281928000, 1.4951002000, 1.6297137000, 1.9247326000, 2.6289473000, 4.3550843000", \
"1.5187195000, 1.5268419000, 1.5440385000, 1.5781224000, 1.6447870000, 1.7804780000, 2.0753910000, 2.7790219000, 4.5041570000", \
"1.6626376000, 1.6707894000, 1.6879834000, 1.7220658000, 1.7886004000, 1.9242683000, 2.2191085000, 2.9229443000, 4.6484669000");
}
cell_rise ("del_1_11_9") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0012191900, 0.0029728500, 0.0072489300, 0.0176757000, 0.0431000000, 0.1050940000, 0.2562590000, 0.6248570000");
values("0.2358477000, 0.2397301000, 0.2482847000, 0.2674505000, 0.3136640000, 0.4244932000, 0.6892171000, 1.3313507000, 2.9022187000", \
"0.2377465000, 0.2416863000, 0.2501670000, 0.2693999000, 0.3156894000, 0.4261414000, 0.6911595000, 1.3330772000, 2.9019245000", \
"0.2398243000, 0.2437111000, 0.2522509000, 0.2714560000, 0.3176672000, 0.4284070000, 0.6930293000, 1.3356516000, 2.9012934000", \
"0.2488679000, 0.2527669000, 0.2612220000, 0.2804926000, 0.3268091000, 0.4376045000, 0.7022136000, 1.3450154000, 2.9102190000", \
"0.2795197000, 0.2834000000, 0.2919554000, 0.3111172000, 0.3573968000, 0.4681423000, 0.7328118000, 1.3751593000, 2.9436449000", \
"0.3045412000, 0.3084011000, 0.3169858000, 0.3361840000, 0.3823348000, 0.4932145000, 0.7576610000, 1.4007795000, 2.9672989000", \
"0.3187813000, 0.3226614000, 0.3312322000, 0.3502036000, 0.3964491000, 0.5069518000, 0.7717141000, 1.4144602000, 2.9808753000", \
"0.3098720000, 0.3138289000, 0.3225114000, 0.3414451000, 0.3874475000, 0.4982921000, 0.7629319000, 1.4056643000, 2.9710454000", \
"0.2396696000, 0.2438021000, 0.2525889000, 0.2717519000, 0.3174677000, 0.4280621000, 0.6925183000, 1.3355949000, 2.9018752000", \
"0.1879474000, 0.1921383000, 0.2008794000, 0.2197556000, 0.2656279000, 0.3757898000, 0.6404851000, 1.2840309000, 2.8479585000", \
"0.1311075000, 0.1352792000, 0.1442367000, 0.1635309000, 0.2092329000, 0.3194072000, 0.5840444000, 1.2261792000, 2.7924625000");
}
fall_transition ("del_1_11_9") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0012191900, 0.0029728500, 0.0072489300, 0.0176757000, 0.0431000000, 0.1050940000, 0.2562590000, 0.6248570000");
values("0.0670521000, 0.0742122000, 0.0880903000, 0.1164016000, 0.1743204000, 0.3096098000, 0.6523587000, 1.5346527000, 3.7108727000", \
"0.0664016000, 0.0732726000, 0.0880513000, 0.1154109000, 0.1746038000, 0.3103628000, 0.6532617000, 1.5354594000, 3.7075596000", \
"0.0666022000, 0.0732022000, 0.0876501000, 0.1155668000, 0.1751663000, 0.3101411000, 0.6532694000, 1.5347040000, 3.7082126000", \
"0.0664122000, 0.0733116000, 0.0881324000, 0.1154572000, 0.1750901000, 0.3102567000, 0.6540304000, 1.5345887000, 3.7148873000", \
"0.0668663000, 0.0734500000, 0.0876811000, 0.1157545000, 0.1751916000, 0.3103377000, 0.6539755000, 1.5333756000, 3.7099546000", \
"0.0660491000, 0.0732872000, 0.0874140000, 0.1154011000, 0.1744931000, 0.3105678000, 0.6524453000, 1.5342193000, 3.7083512000", \
"0.0663468000, 0.0730545000, 0.0876461000, 0.1150473000, 0.1745544000, 0.3105469000, 0.6514350000, 1.5354514000, 3.7063048000", \
"0.0669427000, 0.0732460000, 0.0874127000, 0.1148925000, 0.1745760000, 0.3110422000, 0.6526650000, 1.5346268000, 3.7082111000", \
"0.0670035000, 0.0738139000, 0.0883760000, 0.1152148000, 0.1743289000, 0.3099881000, 0.6516979000, 1.5346328000, 3.7074026000", \
"0.0668998000, 0.0733301000, 0.0873760000, 0.1154860000, 0.1751648000, 0.3096492000, 0.6528018000, 1.5390225000, 3.7067902000", \
"0.0665939000, 0.0735150000, 0.0876095000, 0.1152062000, 0.1763136000, 0.3092733000, 0.6521299000, 1.5335566000, 3.7157510000");
}
related_pin : "A";
rise_transition ("del_1_11_9") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0012191900, 0.0029728500, 0.0072489300, 0.0176757000, 0.0431000000, 0.1050940000, 0.2562590000, 0.6248570000");
values("0.0200857000, 0.0237904000, 0.0329730000, 0.0574631000, 0.1205981000, 0.2752559000, 0.6409708000, 1.5444924000, 3.7486672000", \
"0.0202660000, 0.0238847000, 0.0330633000, 0.0574929000, 0.1205143000, 0.2728583000, 0.6403976000, 1.5446826000, 3.7466448000", \
"0.0201013000, 0.0236842000, 0.0329662000, 0.0576104000, 0.1203729000, 0.2729555000, 0.6410323000, 1.5438304000, 3.7436978000", \
"0.0201513000, 0.0238211000, 0.0330762000, 0.0576221000, 0.1205268000, 0.2729767000, 0.6408916000, 1.5449515000, 3.7505483000", \
"0.0201505000, 0.0238247000, 0.0330060000, 0.0575103000, 0.1204040000, 0.2729518000, 0.6412067000, 1.5435608000, 3.7566061000", \
"0.0203044000, 0.0239070000, 0.0331661000, 0.0576412000, 0.1204557000, 0.2729540000, 0.6404466000, 1.5450726000, 3.7480596000", \
"0.0208237000, 0.0243784000, 0.0335278000, 0.0579429000, 0.1205079000, 0.2726593000, 0.6409326000, 1.5440768000, 3.7489580000", \
"0.0213966000, 0.0250587000, 0.0340197000, 0.0580187000, 0.1207668000, 0.2728469000, 0.6405221000, 1.5450773000, 3.7511292000", \
"0.0223671000, 0.0261210000, 0.0349814000, 0.0585214000, 0.1205183000, 0.2726668000, 0.6405990000, 1.5444693000, 3.7531369000", \
"0.0228234000, 0.0266046000, 0.0353964000, 0.0587679000, 0.1208019000, 0.2728318000, 0.6410376000, 1.5441214000, 3.7557260000", \
"0.0233988000, 0.0271764000, 0.0358315000, 0.0588569000, 0.1209738000, 0.2726359000, 0.6416995000, 1.5435745000, 3.7474330000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
}
}
cell ("sky130_fd_sc_hvl__lsbufhv2lv_1") {
leakage_power () {
value : 0.0927164000;
when : "A";
}
leakage_power () {
value : 0.0955813000;
when : "!A";
}
area : 66.42240000;
cell_footprint : "sky130_fd_sc_hvl__lsbufhv2lv";
cell_leakage_power : 0.0941488400;
dont_touch : "true";
dont_use : "true";
is_level_shifter : "true";
level_shifter_type : "HL";
pg_pin ("LVPWR") {
pg_type : "primary_power";
voltage_name : "LVPWR";
}
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0021470000;
clock : "false";
input_voltage_range(1.3200000000, 5.5000000000);
direction : "input";
fall_capacitance : 0.0020850000;
input_signal_level : "VPWR";
level_shifter_data_pin : "true";
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0022080000;
}
pin ("X") {
output_voltage_range(1.6000000000, 1.9500000000);
direction : "output";
function : "A";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0005000000, 0.0017231560, 0.0059385330, 0.0204660400, 0.0705323500, 0.2430765000, 0.8377174000");
values("0.3499451000, 0.3491817000, 0.3457586000, 0.3233087000, 0.2283788000, -0.1007517000, -1.2317674000", \
"0.3494679000, 0.3488307000, 0.3454787000, 0.3231624000, 0.2282593000, -0.1010716000, -1.2319359000", \
"0.3489472000, 0.3483463000, 0.3450658000, 0.3222524000, 0.2275925000, -0.1017992000, -1.2325220000", \
"0.3504776000, 0.3498745000, 0.3466366000, 0.3240255000, 0.2290625000, -0.1001365000, -1.2311611000", \
"0.3695057000, 0.3689211000, 0.3657307000, 0.3432152000, 0.2483006000, -0.0811375000, -1.2118934000", \
"0.4164125000, 0.4160659000, 0.4130935000, 0.3909237000, 0.2958124000, -0.0336890000, -1.1646480000", \
"0.5152456000, 0.5129123000, 0.5047843000, 0.4858306000, 0.3914856000, 0.0614210000, -1.0700486000", \
"0.6232700000, 0.6210460000, 0.6129977000, 0.5844845000, 0.4893522000, 0.1587743000, -0.9729926000", \
"0.8871579000, 0.8848944000, 0.8769397000, 0.8484788000, 0.7500765000, 0.4199430000, -0.7125402000", \
"1.0211882000, 1.0189653000, 1.0108788000, 0.9824174000, 0.8838656000, 0.5531317000, -0.5796818000", \
"1.1554337000, 1.1532241000, 1.1449695000, 1.1166220000, 1.0179535000, 0.6858759000, -0.4466287000", \
"1.3111676000, 1.3088677000, 1.3009168000, 1.2723620000, 1.1735743000, 0.8400492000, -0.2919134000", \
"1.4116721000, 1.4096331000, 1.4012618000, 1.3728292000, 1.2741078000, 0.9406459000, -0.1912640000", \
"1.6676699000, 1.6651184000, 1.6572931000, 1.6288893000, 1.5305410000, 1.1957095000, 0.0627360000", \
"1.9185814000, 1.9163213000, 1.9081704000, 1.8799549000, 1.7807173000, 1.4461040000, 0.3121179000");
}
related_pin : "A";
rise_power ("power_outputs_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0005000000, 0.0017231560, 0.0059385330, 0.0204660400, 0.0705323500, 0.2430765000, 0.8377174000");
values("0.2209647000, 0.2250963000, 0.2424579000, 0.2757679000, 0.3700317000, 0.6947379000, 1.8135962000", \
"0.2188678000, 0.2230038000, 0.2403896000, 0.2736001000, 0.3679372000, 0.6943040000, 1.8145503000", \
"0.2186047000, 0.2230037000, 0.2403302000, 0.2736687000, 0.3679197000, 0.6926821000, 1.8125774000", \
"0.2199404000, 0.2243516000, 0.2416439000, 0.2750075000, 0.3693259000, 0.6944745000, 1.8140711000", \
"0.2335173000, 0.2377851000, 0.2553762000, 0.2884938000, 0.3831101000, 0.7080031000, 1.8272620000", \
"0.2624448000, 0.2669868000, 0.2850544000, 0.3182670000, 0.4133195000, 0.7391769000, 1.8575344000", \
"0.3480719000, 0.3504747000, 0.3586315000, 0.3855283000, 0.4798261000, 0.8052339000, 1.9245875000", \
"0.4198993000, 0.4222036000, 0.4303847000, 0.4580057000, 0.5518094000, 0.8768540000, 1.9963718000", \
"0.6259468000, 0.6279536000, 0.6359845000, 0.6635557000, 0.7588673000, 1.0825549000, 2.2006506000", \
"0.7348046000, 0.7368283000, 0.7445057000, 0.7720261000, 0.8676828000, 1.1915081000, 2.3090860000", \
"0.8475039000, 0.8499002000, 0.8577141000, 0.8855525000, 0.9792870000, 1.3030288000, 2.4240777000", \
"0.9848441000, 0.9872098000, 0.9955790000, 1.0224414000, 1.1167170000, 1.4407585000, 2.5608856000", \
"1.0774384000, 1.0802130000, 1.0879580000, 1.1155936000, 1.2105511000, 1.5331601000, 2.6530260000", \
"1.3123941000, 1.3136772000, 1.3212520000, 1.3488603000, 1.4431117000, 1.7697804000, 2.8888143000", \
"1.5460606000, 1.5469154000, 1.5551353000, 1.5822421000, 1.6790083000, 2.0049424000, 3.1225849000");
}
}
max_capacitance : 0.8377170000;
max_transition : 5.0091470000;
power_down_function : "(!LVPWR+!VPWR+VGND)";
related_ground_pin : "VGND";
related_power_pin : "LVPWR";
timing () {
cell_fall ("del_1_15_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0005000000, 0.0017231600, 0.0059385300, 0.0204660000, 0.0705324000, 0.2430770000, 0.8377170000");
values("0.3040755000, 0.3093755000, 0.3232276000, 0.3579436000, 0.4670334000, 0.8466327000, 2.1713384000", \
"0.3041893000, 0.3095522000, 0.3232826000, 0.3581905000, 0.4673086000, 0.8468910000, 2.1599376000", \
"0.3064484000, 0.3118336000, 0.3254620000, 0.3603945000, 0.4696118000, 0.8491026000, 2.1624051000", \
"0.3191810000, 0.3246022000, 0.3381410000, 0.3731344000, 0.4823807000, 0.8621567000, 2.1749393000", \
"0.3694230000, 0.3747757000, 0.3884456000, 0.4233354000, 0.5324062000, 0.9119230000, 2.2250621000", \
"0.4478813000, 0.4532700000, 0.4667844000, 0.5016663000, 0.6103646000, 0.9895466000, 2.3049576000", \
"0.5844575000, 0.5897971000, 0.6034545000, 0.6383666000, 0.7467522000, 1.1255427000, 2.4435010000", \
"0.7075115000, 0.7129486000, 0.7266557000, 0.7615670000, 0.8696948000, 1.2477527000, 2.5639550000", \
"1.0079678000, 1.0135878000, 1.0273974000, 1.0624648000, 1.1702245000, 1.5468026000, 2.8570000000", \
"1.1495124000, 1.1549901000, 1.1690080000, 1.2041578000, 1.3117014000, 1.6877723000, 2.9977065000", \
"1.2853952000, 1.2908851000, 1.3049518000, 1.3402968000, 1.4477339000, 1.8232794000, 3.1324852000", \
"1.4431274000, 1.4486617000, 1.4627303000, 1.4981214000, 1.6055576000, 1.9803661000, 3.2899632000", \
"1.5457214000, 1.5512563000, 1.5651612000, 1.6007835000, 1.7084060000, 2.0829927000, 3.3924270000", \
"1.7943148000, 1.7998469000, 1.8139010000, 1.8496140000, 1.9572208000, 2.3309930000, 3.6390337000", \
"2.0352466000, 2.0407915000, 2.0550182000, 2.0907380000, 2.1982867000, 2.5713860000, 3.8789536000");
}
cell_rise ("del_1_15_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0005000000, 0.0017231600, 0.0059385300, 0.0204660000, 0.0705324000, 0.2430770000, 0.8377170000");
values("0.2272868000, 0.2366654000, 0.2610490000, 0.3259293000, 0.5346565000, 1.2496253000, 3.7100087000", \
"0.2289171000, 0.2382988000, 0.2626844000, 0.3275625000, 0.5362997000, 1.2512335000, 3.7137085000", \
"0.2309554000, 0.2404054000, 0.2647805000, 0.3296632000, 0.5383804000, 1.2533307000, 3.7135071000", \
"0.2396282000, 0.2490851000, 0.2734682000, 0.3383550000, 0.5471008000, 1.2616603000, 3.7216770000", \
"0.2677902000, 0.2771824000, 0.3016089000, 0.3664380000, 0.5752158000, 1.2915288000, 3.7520347000", \
"0.2881134000, 0.2973514000, 0.3216640000, 0.3864122000, 0.5950131000, 1.3135685000, 3.7780552000", \
"0.2933767000, 0.3027401000, 0.3268028000, 0.3915050000, 0.6004223000, 1.3154091000, 3.7840446000", \
"0.2781333000, 0.2871149000, 0.3111096000, 0.3758192000, 0.5848895000, 1.2990662000, 3.7737098000", \
"0.1923044000, 0.2009354000, 0.2244068000, 0.2889700000, 0.4982526000, 1.2132603000, 3.6764320000", \
"0.1349024000, 0.1435794000, 0.1668560000, 0.2313973000, 0.4408319000, 1.1562152000, 3.6173955000", \
"0.0730540000, 0.0817930000, 0.1051229000, 0.1697581000, 0.3792804000, 1.0967725000, 3.5537668000", \
"-0.0067830000, 0.0019264000, 0.0256282000, 0.0906086000, 0.3004748000, 1.0158726000, 3.4759329000", \
"-0.0624465000, -0.0537451000, -0.0297666000, 0.0356110000, 0.2456652000, 0.9611463000, 3.4209948000", \
"-0.2078220000, -0.1988589000, -0.1742119000, -0.1077893000, 0.1028775000, 0.8178194000, 3.2796401000", \
"-0.3601428000, -0.3510333000, -0.3284539000, -0.2608056000, -0.0466454000, 0.6694993000, 3.1342704000");
}
fall_transition ("del_1_15_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0005000000, 0.0017231600, 0.0059385300, 0.0204660000, 0.0705324000, 0.2430770000, 0.8377170000");
values("0.0145470000, 0.0185691000, 0.0308253000, 0.0692621000, 0.2116933000, 0.7184516000, 2.4412028000", \
"0.0142709000, 0.0182501000, 0.0303878000, 0.0694193000, 0.2119675000, 0.7139571000, 2.4470150000", \
"0.0142764000, 0.0181918000, 0.0305340000, 0.0694607000, 0.2129515000, 0.7138333000, 2.4427746000", \
"0.0142797000, 0.0187325000, 0.0306744000, 0.0698732000, 0.2129337000, 0.7121813000, 2.4562044000", \
"0.0142996000, 0.0182861000, 0.0306079000, 0.0694604000, 0.2119913000, 0.7130080000, 2.4529083000", \
"0.0145565000, 0.0185514000, 0.0308712000, 0.0691843000, 0.2118363000, 0.7131623000, 2.4426150000", \
"0.0147279000, 0.0185440000, 0.0305500000, 0.0692851000, 0.2114193000, 0.7118378000, 2.4396150000", \
"0.0145747000, 0.0189690000, 0.0308450000, 0.0698474000, 0.2124017000, 0.7124707000, 2.4466171000", \
"0.0145688000, 0.0190387000, 0.0314918000, 0.0701538000, 0.2131523000, 0.7138583000, 2.4462687000", \
"0.0146840000, 0.0189246000, 0.0312240000, 0.0700587000, 0.2112772000, 0.7136299000, 2.4473277000", \
"0.0147801000, 0.0189459000, 0.0316511000, 0.0700348000, 0.2115953000, 0.7118092000, 2.4378415000", \
"0.0146962000, 0.0193424000, 0.0314132000, 0.0701376000, 0.2122266000, 0.7176669000, 2.4440625000", \
"0.0147158000, 0.0189092000, 0.0311426000, 0.0703514000, 0.2138872000, 0.7124386000, 2.4410087000", \
"0.0147007000, 0.0190066000, 0.0311718000, 0.0704488000, 0.2141522000, 0.7180936000, 2.4453108000", \
"0.0148495000, 0.0190693000, 0.0316396000, 0.0711208000, 0.2135060000, 0.7159305000, 2.4442615000");
}
related_pin : "A";
rise_transition ("del_1_15_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0005000000, 0.0017231600, 0.0059385300, 0.0204660000, 0.0705324000, 0.2430770000, 0.8377170000");
values("0.0241122000, 0.0322631000, 0.0557258000, 0.1348000000, 0.4293345000, 1.4526782000, 4.9858966000", \
"0.0240950000, 0.0322510000, 0.0556908000, 0.1349319000, 0.4292974000, 1.4536546000, 5.0021050000", \
"0.0239112000, 0.0322683000, 0.0557656000, 0.1348136000, 0.4293633000, 1.4633099000, 4.9988715000", \
"0.0239128000, 0.0322972000, 0.0558094000, 0.1346799000, 0.4292041000, 1.4634429000, 4.9995679000", \
"0.0236955000, 0.0322737000, 0.0558439000, 0.1348854000, 0.4292256000, 1.4527495000, 4.9967288000", \
"0.0237489000, 0.0323882000, 0.0555646000, 0.1350131000, 0.4291229000, 1.4612090000, 5.0091473000", \
"0.0238988000, 0.0319917000, 0.0553863000, 0.1346551000, 0.4298623000, 1.4645107000, 5.0083786000", \
"0.0234908000, 0.0319218000, 0.0551158000, 0.1350522000, 0.4300622000, 1.4622242000, 5.0069895000", \
"0.0233222000, 0.0309851000, 0.0544209000, 0.1357309000, 0.4311999000, 1.4516449000, 5.0022870000", \
"0.0231147000, 0.0310575000, 0.0538911000, 0.1353422000, 0.4304807000, 1.4548225000, 4.9844338000", \
"0.0234770000, 0.0312628000, 0.0541080000, 0.1356161000, 0.4305019000, 1.4580412000, 4.9969726000", \
"0.0236477000, 0.0315800000, 0.0547287000, 0.1358287000, 0.4321616000, 1.4547618000, 4.9959801000", \
"0.0240513000, 0.0319511000, 0.0556304000, 0.1364975000, 0.4319865000, 1.4636963000, 4.9805577000", \
"0.0246581000, 0.0326650000, 0.0570057000, 0.1380889000, 0.4315602000, 1.4633236000, 4.9881188000", \
"0.0253033000, 0.0334661000, 0.0596991000, 0.1406400000, 0.4314650000, 1.4522644000, 4.9790394000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
}
}
cell ("sky130_fd_sc_hvl__lsbufhv2lv_simple_1") {
leakage_power () {
value : 0.0466922000;
when : "A";
}
leakage_power () {
value : 0.0468276000;
when : "!A";
}
area : 70.32960000;
cell_footprint : "sky130_fd_sc_hvl__lsbufhv2lv_simple";
cell_leakage_power : 0.0467599300;
is_level_shifter : "true";
level_shifter_type : "HL";
pg_pin ("LVPWR") {
pg_type : "primary_power";
voltage_name : "LVPWR";
}
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0023290000;
clock : "false";
input_voltage_range(1.3200000000, 5.5000000000);
direction : "input";
fall_capacitance : 0.0022300000;
input_signal_level : "VPWR";
level_shifter_data_pin : "true";
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0024280000;
}
pin ("X") {
output_voltage_range(1.0000000000, 1.9500000000);
direction : "output";
function : "A";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0005000000, 0.0014801260, 0.0043815440, 0.0129704700, 0.0383958500, 0.1136614000, 0.3364663000");
values("0.0262253000, 0.0247391000, 0.0200782000, 0.0047515000, -0.0435041000, -0.1847550000, -0.6082794000", \
"0.0266859000, 0.0252246000, 0.0205483000, 0.0050541000, -0.0432150000, -0.1860832000, -0.6093607000", \
"0.0259094000, 0.0243060000, 0.0197873000, 0.0041532000, -0.0438366000, -0.1869894000, -0.6105838000", \
"0.0246176000, 0.0230863000, 0.0184551000, 0.0029097000, -0.0451918000, -0.1882645000, -0.6118862000", \
"0.0245406000, 0.0231106000, 0.0185457000, 0.0025322000, -0.0455065000, -0.1884565000, -0.6121498000", \
"0.0241571000, 0.0225341000, 0.0177534000, 0.0023061000, -0.0455527000, -0.1884112000, -0.6119519000", \
"0.0240132000, 0.0223773000, 0.0175523000, 0.0018452000, -0.0460006000, -0.1889040000, -0.6123941000", \
"0.0244933000, 0.0223424000, 0.0174269000, 0.0018228000, -0.0459596000, -0.1888165000, -0.6122817000", \
"0.0232981000, 0.0215744000, 0.0169760000, 0.0020169000, -0.0457208000, -0.1885722000, -0.6119932000", \
"0.0241297000, 0.0221183000, 0.0165126000, 0.0017912000, -0.0457500000, -0.1884929000, -0.6119318000", \
"0.0242919000, 0.0224204000, 0.0170311000, 0.0015424000, -0.0456346000, -0.1883377000, -0.6117789000", \
"0.0247359000, 0.0227339000, 0.0174317000, 0.0013455000, -0.0454185000, -0.1881254000, -0.6115325000", \
"0.0249164000, 0.0231696000, 0.0176160000, 0.0018078000, -0.0452535000, -0.1879290000, -0.6113329000", \
"0.0254010000, 0.0233369000, 0.0178667000, 0.0018722000, -0.0452973000, -0.1877355000, -0.6111162000", \
"0.0255059000, 0.0236757000, 0.0184626000, 0.0025147000, -0.0453587000, -0.1873499000, -0.6107226000");
}
related_pin : "A";
rise_power ("power_outputs_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0005000000, 0.0014801260, 0.0043815440, 0.0129704700, 0.0383958500, 0.1136614000, 0.3364663000");
values("0.0060587000, 0.0081213000, 0.0137719000, 0.0301082000, 0.0779397000, 0.2192499000, 0.6393137000", \
"0.0063831000, 0.0084428000, 0.0141261000, 0.0303053000, 0.0783360000, 0.2194253000, 0.6387596000", \
"0.0062795000, 0.0083388000, 0.0140329000, 0.0300232000, 0.0782777000, 0.2197116000, 0.6390416000", \
"0.0059495000, 0.0080072000, 0.0137834000, 0.0303296000, 0.0783508000, 0.2196885000, 0.6391618000", \
"0.0048446000, 0.0069301000, 0.0126704000, 0.0291315000, 0.0771478000, 0.2189222000, 0.6382436000", \
"0.0050438000, 0.0070876000, 0.0128361000, 0.0289167000, 0.0768798000, 0.2186788000, 0.6380129000", \
"0.0048060000, 0.0067838000, 0.0124764000, 0.0292748000, 0.0766369000, 0.2184830000, 0.6380356000", \
"0.0048895000, 0.0069620000, 0.0126304000, 0.0292486000, 0.0766679000, 0.2184165000, 0.6380599000", \
"0.0047384000, 0.0066987000, 0.0125216000, 0.0289917000, 0.0777364000, 0.2184081000, 0.6379308000", \
"0.0048329000, 0.0069072000, 0.0126294000, 0.0290546000, 0.0777445000, 0.2183511000, 0.6382152000", \
"0.0050131000, 0.0069549000, 0.0127080000, 0.0290504000, 0.0780317000, 0.2185859000, 0.6381212000", \
"0.0052539000, 0.0069256000, 0.0130160000, 0.0293786000, 0.0781652000, 0.2201709000, 0.6384451000", \
"0.0053856000, 0.0074779000, 0.0133705000, 0.0294777000, 0.0781045000, 0.2211874000, 0.6387152000", \
"0.0060011000, 0.0077484000, 0.0134993000, 0.0298483000, 0.0783812000, 0.2218179000, 0.6388882000", \
"0.0064410000, 0.0082072000, 0.0135271000, 0.0304430000, 0.0790392000, 0.2222028000, 0.6395149000");
}
}
max_capacitance : 0.3364660000;
max_transition : 5.0039010000;
power_down_function : "(!LVPWR+!VPWR+VGND)";
related_ground_pin : "VGND";
related_power_pin : "LVPWR";
timing () {
cell_fall ("del_1_15_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0005000000, 0.0014801300, 0.0043815400, 0.0129705000, 0.0383959000, 0.1136610000, 0.3364660000");
values("0.2319569000, 0.2461736000, 0.2808994000, 0.3630896000, 0.5757620000, 1.1992644000, 3.0357765000", \
"0.2311266000, 0.2450125000, 0.2798300000, 0.3615298000, 0.5750028000, 1.1979829000, 3.0391973000", \
"0.2309357000, 0.2450941000, 0.2796940000, 0.3606496000, 0.5752205000, 1.1976043000, 3.0375862000", \
"0.2488781000, 0.2630886000, 0.2974472000, 0.3788291000, 0.5924128000, 1.2157482000, 3.0557907000", \
"0.3392841000, 0.3533740000, 0.3848174000, 0.4678742000, 0.6820203000, 1.3035395000, 3.1462690000", \
"0.4804315000, 0.4943707000, 0.5285035000, 0.6092510000, 0.8235717000, 1.4457039000, 3.2869443000", \
"0.7662660000, 0.7802787000, 0.8142360000, 0.8940196000, 1.1068441000, 1.7292050000, 3.5812358000", \
"1.0417585000, 1.0562203000, 1.0913136000, 1.1742370000, 1.3842718000, 2.0091619000, 3.8492725000", \
"1.7617241000, 1.7796237000, 1.8202858000, 1.9079232000, 2.1231106000, 2.7449116000, 4.5899751000", \
"2.1216171000, 2.1401549000, 2.1815878000, 2.2720212000, 2.4909184000, 3.1115763000, 4.9544435000", \
"2.4733153000, 2.4929503000, 2.5371285000, 2.6270643000, 2.8475768000, 3.4714744000, 5.3189857000", \
"2.8907454000, 2.9109966000, 2.9585130000, 3.0536906000, 3.2727736000, 3.8974179000, 5.7422898000", \
"3.1637193000, 3.1873817000, 3.2350767000, 3.3307181000, 3.5534991000, 4.1771675000, 6.0231504000", \
"3.8449421000, 3.8692787000, 3.9207970000, 4.0225694000, 4.2444297000, 4.8690176000, 6.7086301000", \
"4.5186179000, 4.5429540000, 4.5978208000, 4.7029824000, 4.9307465000, 5.5552500000, 7.3955353000");
}
cell_rise ("del_1_15_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0005000000, 0.0014801300, 0.0043815400, 0.0129705000, 0.0383959000, 0.1136610000, 0.3364660000");
values("0.0884697000, 0.1007670000, 0.1335472000, 0.2248716000, 0.4935614000, 1.2946377000, 3.6502541000", \
"0.0901772000, 0.1025860000, 0.1353511000, 0.2272004000, 0.4953631000, 1.2928633000, 3.6495809000", \
"0.0920642000, 0.1043414000, 0.1372958000, 0.2290302000, 0.5035188000, 1.2997718000, 3.6718981000", \
"0.0967999000, 0.1091952000, 0.1425453000, 0.2358349000, 0.5062853000, 1.3068790000, 3.6739543000", \
"0.0753174000, 0.0886110000, 0.1237218000, 0.2199895000, 0.4913411000, 1.2903562000, 3.6496071000", \
"0.0163752000, 0.0301188000, 0.0654278000, 0.1613929000, 0.4361396000, 1.2364652000, 3.5980279000", \
"-0.1307869000, -0.1156943000, -0.0791261000, 0.0171348000, 0.2927931000, 1.0921297000, 3.4613377000", \
"-0.2954933000, -0.2796040000, -0.2415389000, -0.1448455000, 0.1280946000, 0.9313244000, 3.2991507000", \
"-0.7935195000, -0.7750433000, -0.7311426000, -0.6324934000, -0.3609798000, 0.4402066000, 2.8041518000", \
"-1.0648152000, -1.0444240000, -0.9993542000, -0.8981053000, -0.6270600000, 0.1801959000, 2.5380515000", \
"-1.3406335000, -1.3194160000, -1.2703029000, -1.1692658000, -0.8987360000, -0.0965202000, 2.2780753000", \
"-1.6769297000, -1.6545717000, -1.6033327000, -1.4985846000, -1.2285315000, -0.4228873000, 1.9438495000", \
"-1.9034272000, -1.8802442000, -1.8267997000, -1.7204492000, -1.4513194000, -0.6474580000, 1.7213159000", \
"-2.4750297000, -2.4503499000, -2.3960693000, -2.2835442000, -2.0115769000, -1.2070579000, 1.1650866000", \
"-3.0528939000, -3.0264262000, -2.9684604000, -2.8512741000, -2.5778610000, -1.7785953000, 0.5884305000");
}
fall_transition ("del_1_15_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0005000000, 0.0014801300, 0.0043815400, 0.0129705000, 0.0383959000, 0.1136610000, 0.3364660000");
values("0.0506111000, 0.0619500000, 0.0913438000, 0.1775647000, 0.4489227000, 1.2687464000, 3.6805630000", \
"0.0503869000, 0.0615173000, 0.0914484000, 0.1777760000, 0.4491315000, 1.2647435000, 3.6871619000", \
"0.0504710000, 0.0615906000, 0.0915696000, 0.1788289000, 0.4496236000, 1.2700909000, 3.6684495000", \
"0.0500421000, 0.0610424000, 0.0912529000, 0.1777012000, 0.4489585000, 1.2653648000, 3.6635630000", \
"0.0505843000, 0.0616724000, 0.0917559000, 0.1790886000, 0.4484258000, 1.2675594000, 3.6827790000", \
"0.0506313000, 0.0612399000, 0.0913473000, 0.1788576000, 0.4482416000, 1.2701127000, 3.6709771000", \
"0.0519706000, 0.0622631000, 0.0923657000, 0.1792341000, 0.4503590000, 1.2657879000, 3.6920029000", \
"0.0590347000, 0.0685043000, 0.0968155000, 0.1809079000, 0.4493166000, 1.2675186000, 3.6852259000", \
"0.0737010000, 0.0845320000, 0.1131690000, 0.1935657000, 0.4545468000, 1.2658319000, 3.6711567000", \
"0.0804022000, 0.0921851000, 0.1203312000, 0.2007371000, 0.4570296000, 1.2627323000, 3.6757031000", \
"0.0860434000, 0.0985232000, 0.1268431000, 0.2067357000, 0.4582169000, 1.2640667000, 3.6685084000", \
"0.0946589000, 0.1053507000, 0.1338833000, 0.2152018000, 0.4634093000, 1.2647997000, 3.6852039000", \
"0.0979176000, 0.1097637000, 0.1389815000, 0.2177426000, 0.4649416000, 1.2626965000, 3.6910108000", \
"0.1069889000, 0.1205943000, 0.1497862000, 0.2278721000, 0.4701823000, 1.2647012000, 3.6727021000", \
"0.1156528000, 0.1277711000, 0.1604383000, 0.2385590000, 0.4732644000, 1.2697451000, 3.6717360000");
}
related_pin : "A";
rise_transition ("del_1_15_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0005000000, 0.0014801300, 0.0043815400, 0.0129705000, 0.0383959000, 0.1136610000, 0.3364660000");
values("0.0392565000, 0.0536568000, 0.0959815000, 0.2238222000, 0.6004610000, 1.7126202000, 4.9778706000", \
"0.0394960000, 0.0534478000, 0.0957668000, 0.2228944000, 0.5997585000, 1.7094947000, 4.9745399000", \
"0.0396191000, 0.0536169000, 0.0959554000, 0.2226909000, 0.5999100000, 1.7167346000, 4.9831614000", \
"0.0398982000, 0.0538070000, 0.0960158000, 0.2241836000, 0.6012336000, 1.7138010000, 5.0039013000", \
"0.0443345000, 0.0581945000, 0.1004654000, 0.2251514000, 0.5987294000, 1.7081077000, 5.0020730000", \
"0.0474681000, 0.0610659000, 0.1014216000, 0.2291502000, 0.6042912000, 1.7083516000, 5.0005093000", \
"0.0547387000, 0.0678092000, 0.1062274000, 0.2283802000, 0.6058816000, 1.7180188000, 5.0030105000", \
"0.0617653000, 0.0750981000, 0.1112550000, 0.2305077000, 0.6079521000, 1.7148396000, 4.9905022000", \
"0.0764361000, 0.0885252000, 0.1253214000, 0.2349919000, 0.6053975000, 1.7172652000, 4.9842006000", \
"0.0834183000, 0.0962396000, 0.1345429000, 0.2395920000, 0.6051808000, 1.7245534000, 4.9788591000", \
"0.0892227000, 0.1024096000, 0.1405449000, 0.2442166000, 0.6035394000, 1.7146530000, 4.9886206000", \
"0.0966311000, 0.1097796000, 0.1452281000, 0.2482290000, 0.6063695000, 1.7197194000, 4.9905764000", \
"0.1008663000, 0.1144168000, 0.1535883000, 0.2516546000, 0.6058114000, 1.7243802000, 4.9868270000", \
"0.1099660000, 0.1255632000, 0.1595355000, 0.2601712000, 0.6108646000, 1.7198644000, 4.9882924000", \
"0.1177082000, 0.1335765000, 0.1695091000, 0.2679971000, 0.6102235000, 1.7224451000, 5.0018225000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
}
}
cell ("sky130_fd_sc_hvl__lsbuflv2hv_1") {
leakage_power () {
value : 0.2775660000;
when : "A";
}
leakage_power () {
value : 0.2480134000;
when : "!A";
}
area : 85.95840000;
cell_footprint : "sky130_fd_sc_hvl__lsbuflv2hv";
cell_leakage_power : 0.2627897000;
input_voltage_range(1.2800000000, 1.9500000000);
output_voltage_range(1.6500000000, 5.5000000000);
is_level_shifter : "true";
level_shifter_type : "LH";
pg_pin ("LVPWR") {
pg_type : "primary_power";
voltage_name : "LVPWR";
}
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0025060000;
clock : "false";
direction : "input";
fall_capacitance : 0.0024000000;
input_signal_level : "LVPWR";
level_shifter_data_pin : "true";
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "LVPWR";
rise_capacitance : 0.0026110000;
}
pin ("X") {
direction : "output";
function : "A";
internal_power () {
fall_power ("power_outputs_2") {
index_1("0.0100000000, 0.0173500000, 0.0260200000, 0.0390300000, 0.0585500000, 0.0878200000, 0.1317200000, 0.1975700000, 0.2963400000, 0.4444900000, 0.6667000000, 1.0000000000, 1.5000000000");
index_2("0.0005000000, 0.0012122140, 0.0029389230, 0.0071252050, 0.0172745400, 0.0418808600, 0.1015371000, 0.2461692000, 0.5968193000");
values("0.3644297000, 0.3626973000, 0.3635362000, 0.3607134000, 0.3112519000, 0.0911205000, -0.4854995000, -1.8853524000, -5.2798676000", \
"0.3641300000, 0.3622949000, 0.3633254000, 0.3603773000, 0.3106332000, 0.0913830000, -0.4854079000, -1.8853864000, -5.2796889000", \
"0.3636002000, 0.3623968000, 0.3636429000, 0.3604794000, 0.3102482000, 0.0909892000, -0.4856697000, -1.8855837000, -5.2797861000", \
"0.3635418000, 0.3623134000, 0.3635358000, 0.3603973000, 0.3100808000, 0.0909077000, -0.4857536000, -1.8856739000, -5.2799335000", \
"0.3635039000, 0.3616833000, 0.3628187000, 0.3599001000, 0.3104422000, 0.0902435000, -0.4864101000, -1.8860816000, -5.2805931000", \
"0.3631052000, 0.3621035000, 0.3624987000, 0.3588951000, 0.3091641000, 0.0899938000, -0.4866752000, -1.8866757000, -5.2809292000", \
"0.3632541000, 0.3622248000, 0.3633470000, 0.3591959000, 0.3102122000, 0.0907357000, -0.4861491000, -1.8857446000, -5.2804124000", \
"0.3629601000, 0.3617141000, 0.3629434000, 0.3598469000, 0.3095148000, 0.0903223000, -0.4863780000, -1.8862812000, -5.2804705000", \
"0.3643865000, 0.3633731000, 0.3638010000, 0.3600107000, 0.3106546000, 0.0912495000, -0.4854031000, -1.8853791000, -5.2796743000", \
"0.3720622000, 0.3709572000, 0.3719558000, 0.3690653000, 0.3184312000, 0.0992839000, -0.4775228000, -1.8775631000, -5.2715194000", \
"0.3823368000, 0.3805605000, 0.3812069000, 0.3781345000, 0.3285940000, 0.1090567000, -0.4676741000, -1.8677594000, -5.2618327000", \
"0.4537952000, 0.4464039000, 0.4290388000, 0.3923378000, 0.3444330000, 0.1247371000, -0.4521205000, -1.8521971000, -5.2465903000", \
"0.5441818000, 0.5365441000, 0.5190792000, 0.4779137000, 0.3791457000, 0.1480657000, -0.4288846000, -1.8289292000, -5.2232346000");
}
related_pin : "A";
rise_power ("power_outputs_2") {
index_1("0.0100000000, 0.0173500000, 0.0260200000, 0.0390300000, 0.0585500000, 0.0878200000, 0.1317200000, 0.1975700000, 0.2963400000, 0.4444900000, 0.6667000000, 1.0000000000, 1.5000000000");
index_2("0.0005000000, 0.0012122140, 0.0029389230, 0.0071252050, 0.0172745400, 0.0418808600, 0.1015371000, 0.2461692000, 0.5968193000");
values("0.5898678000, 0.5966140000, 0.6155128000, 0.6619266000, 0.7685617000, 1.0063700000, 1.5751737000, 2.9641417000, 6.3239762000", \
"0.5899217000, 0.5968222000, 0.6157116000, 0.6621828000, 0.7690171000, 1.0071409000, 1.5759810000, 2.9630905000, 6.3206343000", \
"0.5896307000, 0.5965662000, 0.6155970000, 0.6622204000, 0.7682172000, 1.0067546000, 1.5753364000, 2.9628047000, 6.3197595000", \
"0.5895754000, 0.5965106000, 0.6152530000, 0.6621461000, 0.7679870000, 1.0066837000, 1.5752748000, 2.9627459000, 6.3197266000", \
"0.5898970000, 0.5967391000, 0.6154985000, 0.6620615000, 0.7687046000, 1.0061406000, 1.5769899000, 2.9640474000, 6.3230512000", \
"0.5895835000, 0.5964733000, 0.6151793000, 0.6618668000, 0.7683467000, 1.0059629000, 1.5772041000, 2.9650045000, 6.3240560000", \
"0.5883679000, 0.5952299000, 0.6136188000, 0.6609292000, 0.7664356000, 1.0043115000, 1.5742999000, 2.9608460000, 6.3178171000", \
"0.5853027000, 0.5920944000, 0.6109047000, 0.6572861000, 0.7630368000, 1.0030608000, 1.5706981000, 2.9577568000, 6.3182259000", \
"0.5833152000, 0.5903149000, 0.6087980000, 0.6557718000, 0.7618601000, 0.9999107000, 1.5693983000, 2.9582512000, 6.3163637000", \
"0.5830833000, 0.5899996000, 0.6088877000, 0.6553606000, 0.7608460000, 1.0003549000, 1.5704089000, 2.9546861000, 6.3162249000", \
"0.5826140000, 0.5895680000, 0.6082911000, 0.6550387000, 0.7611763000, 1.0000125000, 1.5684094000, 2.9555098000, 6.3118897000", \
"0.5829077000, 0.5895800000, 0.6088625000, 0.6547911000, 0.7609684000, 0.9989664000, 1.5684463000, 2.9559933000, 6.3144849000", \
"0.6175191000, 0.6225905000, 0.6366988000, 0.6721055000, 0.7629774000, 1.0014828000, 1.5709233000, 2.9573350000, 6.3142480000");
}
}
max_capacitance : 0.5968190000;
max_transition : 3.7598900000;
power_down_function : "(!LVPWR+!VPWR+VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_13_9") {
index_1("0.0100000000, 0.0173500000, 0.0260200000, 0.0390300000, 0.0585500000, 0.0878200000, 0.1317200000, 0.1975700000, 0.2963400000, 0.4444900000, 0.6667000000, 1.0000000000, 1.5000000000");
index_2("0.0005000000, 0.0012122100, 0.0029389200, 0.0071252000, 0.0172745000, 0.0418809000, 0.1015370000, 0.2461690000, 0.5968190000");
values("0.2668676000, 0.2713849000, 0.2812134000, 0.3024562000, 0.3502174000, 0.4638176000, 0.7385396000, 1.4044290000, 3.0182457000", \
"0.2693576000, 0.2738462000, 0.2837838000, 0.3049781000, 0.3527201000, 0.4663914000, 0.7410523000, 1.4069187000, 3.0213705000", \
"0.2724073000, 0.2768904000, 0.2867436000, 0.3079976000, 0.3557487000, 0.4693496000, 0.7440637000, 1.4099301000, 3.0243872000", \
"0.2772238000, 0.2817129000, 0.2915622000, 0.3128140000, 0.3605643000, 0.4741830000, 0.7488668000, 1.4146908000, 3.0289735000", \
"0.2850956000, 0.2896196000, 0.2994654000, 0.3206863000, 0.3684501000, 0.4820639000, 0.7567876000, 1.4226679000, 3.0366889000", \
"0.2968934000, 0.3013635000, 0.3112426000, 0.3324085000, 0.3801540000, 0.4937432000, 0.7684701000, 1.4343922000, 3.0484280000", \
"0.3160273000, 0.3204798000, 0.3303623000, 0.3515563000, 0.3993146000, 0.5129624000, 0.7876177000, 1.4534346000, 3.0684946000", \
"0.3441666000, 0.3486545000, 0.3585052000, 0.3797529000, 0.4275039000, 0.5411220000, 0.8158083000, 1.4816373000, 3.0968983000", \
"0.3865430000, 0.3910113000, 0.4008951000, 0.4220599000, 0.4698025000, 0.5833989000, 0.8581154000, 1.5240111000, 3.1379077000", \
"0.4479514000, 0.4524328000, 0.4622936000, 0.4834894000, 0.5312044000, 0.6448084000, 0.9194704000, 1.5852138000, 3.2002063000", \
"0.5277349000, 0.5322363000, 0.5421831000, 0.5633519000, 0.6110232000, 0.7246306000, 0.9992591000, 1.6649803000, 3.2801450000", \
"0.6283794000, 0.6329290000, 0.6428607000, 0.6640916000, 0.7113881000, 0.8247643000, 1.0993596000, 1.7650075000, 3.3792322000", \
"0.7566816000, 0.7612138000, 0.7712279000, 0.7926290000, 0.8402412000, 0.9534661000, 1.2279445000, 1.8935895000, 3.5118239000");
}
cell_rise ("del_1_13_9") {
index_1("0.0100000000, 0.0173500000, 0.0260200000, 0.0390300000, 0.0585500000, 0.0878200000, 0.1317200000, 0.1975700000, 0.2963400000, 0.4444900000, 0.6667000000, 1.0000000000, 1.5000000000");
index_2("0.0005000000, 0.0012122100, 0.0029389200, 0.0071252000, 0.0172745000, 0.0418809000, 0.1015370000, 0.2461690000, 0.5968190000");
values("0.6769948000, 0.6817890000, 0.6916889000, 0.7122814000, 0.7571186000, 0.8653675000, 1.1296015000, 1.7707132000, 3.3332470000", \
"0.6792261000, 0.6838812000, 0.6938776000, 0.7144175000, 0.7595495000, 0.8676390000, 1.1314083000, 1.7730114000, 3.3292535000", \
"0.6817868000, 0.6865912000, 0.6965503000, 0.7171464000, 0.7616610000, 0.8701959000, 1.1344004000, 1.7748912000, 3.3304122000", \
"0.6859641000, 0.6907684000, 0.7006991000, 0.7212842000, 0.7658985000, 0.8743767000, 1.1385699000, 1.7790558000, 3.3348653000", \
"0.6920904000, 0.6967870000, 0.7067186000, 0.7273083000, 0.7722797000, 0.8803179000, 1.1442050000, 1.7866041000, 3.3448431000", \
"0.7014055000, 0.7060632000, 0.7159917000, 0.7365632000, 0.7812448000, 0.8895540000, 1.1536282000, 1.7954475000, 3.3547292000", \
"0.7126836000, 0.7173818000, 0.7274776000, 0.7479854000, 0.7924880000, 0.9009365000, 1.1650590000, 1.8057396000, 3.3633358000", \
"0.7258211000, 0.7305416000, 0.7405437000, 0.7610374000, 0.8055675000, 0.9143838000, 1.1780369000, 1.8187450000, 3.3782090000", \
"0.7397369000, 0.7444541000, 0.7543970000, 0.7750141000, 0.8194617000, 0.9278628000, 1.1918266000, 1.8330395000, 3.3938383000", \
"0.7537420000, 0.7584143000, 0.7684101000, 0.7889456000, 0.8336185000, 0.9422618000, 1.2059579000, 1.8472550000, 3.4061509000", \
"0.7644207000, 0.7691245000, 0.7790553000, 0.7996387000, 0.8445628000, 0.9530617000, 1.2168423000, 1.8581993000, 3.4167269000", \
"0.7695789000, 0.7744720000, 0.7844803000, 0.8049567000, 0.8496319000, 0.9580469000, 1.2218424000, 1.8624704000, 3.4182541000", \
"0.7629046000, 0.7675763000, 0.7775757000, 0.7980795000, 0.8427877000, 0.9509770000, 1.2149237000, 1.8563213000, 3.4120988000");
}
fall_transition ("del_1_13_9") {
index_1("0.0100000000, 0.0173500000, 0.0260200000, 0.0390300000, 0.0585500000, 0.0878200000, 0.1317200000, 0.1975700000, 0.2963400000, 0.4444900000, 0.6667000000, 1.0000000000, 1.5000000000");
index_2("0.0005000000, 0.0012122100, 0.0029389200, 0.0071252000, 0.0172745000, 0.0418809000, 0.1015370000, 0.2461690000, 0.5968190000");
values("0.0207579000, 0.0247457000, 0.0341415000, 0.0564826000, 0.1134973000, 0.2568901000, 0.6009691000, 1.4421595000, 3.4684300000", \
"0.0206688000, 0.0247251000, 0.0341207000, 0.0565948000, 0.1133068000, 0.2561232000, 0.6010921000, 1.4433837000, 3.4709198000", \
"0.0206062000, 0.0247360000, 0.0341878000, 0.0564716000, 0.1133751000, 0.2570415000, 0.6011028000, 1.4423406000, 3.4718308000", \
"0.0206692000, 0.0247386000, 0.0341880000, 0.0564715000, 0.1133719000, 0.2569146000, 0.6009063000, 1.4420514000, 3.4730008000", \
"0.0207450000, 0.0247087000, 0.0340753000, 0.0565185000, 0.1136420000, 0.2567499000, 0.6010285000, 1.4440382000, 3.4738981000", \
"0.0206231000, 0.0247322000, 0.0342145000, 0.0565220000, 0.1135915000, 0.2555119000, 0.6020214000, 1.4401758000, 3.4736696000", \
"0.0206702000, 0.0247273000, 0.0342206000, 0.0565085000, 0.1136687000, 0.2564814000, 0.6009164000, 1.4407402000, 3.4712704000", \
"0.0206713000, 0.0247385000, 0.0341887000, 0.0564682000, 0.1133506000, 0.2569369000, 0.6009656000, 1.4420430000, 3.4721459000", \
"0.0206362000, 0.0247468000, 0.0342210000, 0.0565280000, 0.1137113000, 0.2553875000, 0.6021609000, 1.4417021000, 3.4754324000", \
"0.0207102000, 0.0248662000, 0.0342476000, 0.0565338000, 0.1135178000, 0.2569912000, 0.6008571000, 1.4435299000, 3.4738898000", \
"0.0211389000, 0.0253195000, 0.0346081000, 0.0568647000, 0.1138139000, 0.2562087000, 0.6007325000, 1.4440875000, 3.4710226000", \
"0.0219738000, 0.0259760000, 0.0354344000, 0.0574711000, 0.1140404000, 0.2562139000, 0.6007324000, 1.4423688000, 3.4694301000", \
"0.0225852000, 0.0268258000, 0.0360809000, 0.0581836000, 0.1143728000, 0.2559011000, 0.6011353000, 1.4407650000, 3.4743972000");
}
related_pin : "A";
rise_transition ("del_1_13_9") {
index_1("0.0100000000, 0.0173500000, 0.0260200000, 0.0390300000, 0.0585500000, 0.0878200000, 0.1317200000, 0.1975700000, 0.2963400000, 0.4444900000, 0.6667000000, 1.0000000000, 1.5000000000");
index_2("0.0005000000, 0.0012122100, 0.0029389200, 0.0071252000, 0.0172745000, 0.0418809000, 0.1015370000, 0.2461690000, 0.5968190000");
values("0.0309532000, 0.0348491000, 0.0445819000, 0.0669484000, 0.1250561000, 0.2766393000, 0.6492105000, 1.5536349000, 3.7598902000", \
"0.0308846000, 0.0349319000, 0.0445663000, 0.0669318000, 0.1250775000, 0.2769049000, 0.6486479000, 1.5526179000, 3.7442245000", \
"0.0308394000, 0.0348646000, 0.0445421000, 0.0677990000, 0.1252728000, 0.2765859000, 0.6491878000, 1.5524899000, 3.7487284000", \
"0.0308393000, 0.0348647000, 0.0445271000, 0.0669233000, 0.1251912000, 0.2766007000, 0.6491865000, 1.5525500000, 3.7497947000", \
"0.0308312000, 0.0349145000, 0.0446377000, 0.0669874000, 0.1253043000, 0.2768213000, 0.6488733000, 1.5544205000, 3.7461336000", \
"0.0308399000, 0.0349112000, 0.0445485000, 0.0669092000, 0.1252100000, 0.2769100000, 0.6490702000, 1.5546153000, 3.7588235000", \
"0.0307052000, 0.0347814000, 0.0445240000, 0.0671139000, 0.1250576000, 0.2764311000, 0.6486588000, 1.5528872000, 3.7530392000", \
"0.0309184000, 0.0350878000, 0.0446779000, 0.0668911000, 0.1252218000, 0.2773058000, 0.6488487000, 1.5525196000, 3.7559982000", \
"0.0307736000, 0.0348545000, 0.0445288000, 0.0672467000, 0.1250072000, 0.2769560000, 0.6480337000, 1.5539111000, 3.7585073000", \
"0.0308378000, 0.0349028000, 0.0445583000, 0.0668576000, 0.1252424000, 0.2772976000, 0.6482407000, 1.5531590000, 3.7549408000", \
"0.0307643000, 0.0349300000, 0.0445997000, 0.0669826000, 0.1252076000, 0.2770000000, 0.6487152000, 1.5533510000, 3.7576484000", \
"0.0308488000, 0.0349058000, 0.0446640000, 0.0676800000, 0.1252528000, 0.2766904000, 0.6489521000, 1.5528314000, 3.7494128000", \
"0.0308962000, 0.0349469000, 0.0446117000, 0.0675827000, 0.1252956000, 0.2759872000, 0.6485517000, 1.5522668000, 3.7473731000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
}
}
cell ("sky130_fd_sc_hvl__lsbuflv2hv_clkiso_hlkg_3") {
leakage_power () {
value : 1885.3786514;
when : "A&SLEEP_B";
}
leakage_power () {
value : 1886.0487216;
when : "!A&SLEEP_B";
}
leakage_power () {
value : 0.3354336000;
when : "!A&!SLEEP_B";
}
leakage_power () {
value : 0.3490814000;
when : "A&!SLEEP_B";
}
area : 203.17440000;
cell_footprint : "sky130_fd_sc_hvl__lsbuflv2hv_clkiso_hlkg";
cell_leakage_power : 943.0280000;
input_voltage_range(1.2800000000, 1.9500000000);
output_voltage_range(1.6500000000, 5.5000000000);
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
is_level_shifter : "true";
level_shifter_type : "LH";
pg_pin ("LVPWR") {
pg_type : "primary_power";
voltage_name : "LVPWR";
}
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0048370000;
clock : "false";
direction : "input";
fall_capacitance : 0.0046860000;
input_signal_level : "LVPWR";
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.1272856000, 0.1265922000, 0.1258412000, 0.1259325000, 0.1262199000, 0.1295562000, 0.1362295000, 0.1455494000, 0.1715254000, 0.1850093000, 0.1984933000");
}
rise_power ("power_inputs_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.1102401000, 0.1097348000, 0.1091876000, 0.1093456000, 0.1098414000, 0.1129918000, 0.1192931000, 0.1290873000, 0.1563853000, 0.1705555000, 0.1847257000");
}
}
level_shifter_data_pin : "true";
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "LVPWR";
rise_capacitance : 0.0049880000;
}
pin ("SLEEP_B") {
capacitance : 0.0028610000;
clock : "false";
direction : "input";
fall_capacitance : 0.0027870000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0978275000, 0.0933392000, 0.0887638000, 0.0901868000, 0.1019744000, 0.1400778000, 0.2197264000, 0.3123272000, 0.5765599000, 0.7120686000, 0.8475773000");
}
rise_power ("power_inputs_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.4685410000, 0.4681507000, 0.4677279000, 0.4718124000, 0.4846001000, 0.5222002000, 0.5974009000, 0.6930677000, 0.9596958000, 1.0981030000, 1.2365101000");
}
}
level_shifter_enable_pin : "true";
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0029350000;
}
pin ("X") {
direction : "output";
function : "(A&SLEEP_B)";
internal_power () {
fall_power ("power_outputs_4") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0020584200, 0.0084741600, 0.0348867000, 0.1436230000, 0.5912720000, 2.4341700000");
values("0.6938717000, 0.6734255000, 0.5970094000, 0.3373163000, -0.7178174000, -5.0510905000, -22.900752900", \
"0.6977270000, 0.6771748000, 0.6008958000, 0.3414991000, -0.7138015000, -5.0454700000, -22.893462800", \
"0.7003608000, 0.6805586000, 0.6042740000, 0.3445864000, -0.7106041000, -5.0420558000, -22.886917400", \
"0.7019572000, 0.6813438000, 0.6049919000, 0.3452384000, -0.7103767000, -5.0440065000, -22.887486800", \
"0.7052494000, 0.6848579000, 0.6091969000, 0.3495623000, -0.7056640000, -5.0372289000, -22.892237900", \
"0.7125403000, 0.6919309000, 0.6156978000, 0.3563032000, -0.6989545000, -5.0305481000, -22.877504300", \
"0.7359530000, 0.7156475000, 0.6395669000, 0.3800047000, -0.6754281000, -5.0057268000, -22.852102700", \
"0.7520799000, 0.7320411000, 0.6557584000, 0.3973025000, -0.6593889000, -4.9910010000, -22.841188700", \
"0.8362944000, 0.8149027000, 0.7354185000, 0.4603250000, -0.6016735000, -4.9328605000, -22.782880500", \
"0.8709851000, 0.8495336000, 0.7700032000, 0.4940222000, -0.5670954000, -4.8982776000, -22.748265000", \
"0.9060196000, 0.8846135000, 0.8049710000, 0.5294486000, -0.5335135000, -4.8644608000, -22.714707600");
}
related_pin : "SLEEP_B";
rise_power ("power_outputs_4") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0020584200, 0.0084741600, 0.0348867000, 0.1436230000, 0.5912720000, 2.4341700000");
values("0.6394522000, 0.6500808000, 0.7001931000, 0.9449229000, 1.9833247000, 6.2684844000, 23.933436200", \
"0.6389680000, 0.6497978000, 0.6999566000, 0.9446248000, 1.9831089000, 6.2681466000, 23.933705100", \
"0.6399260000, 0.6499784000, 0.7012252000, 0.9448847000, 1.9836887000, 6.2685520000, 23.950323300", \
"0.6367104000, 0.6471208000, 0.6978643000, 0.9444694000, 1.9790740000, 6.2712655000, 23.936189500", \
"0.6518447000, 0.6621707000, 0.7121549000, 0.9572984000, 1.9953528000, 6.2822070000, 23.953984900", \
"0.6772095000, 0.6860977000, 0.7372501000, 0.9828575000, 2.0188616000, 6.3097687000, 23.982120400", \
"0.7634922000, 0.7733687000, 0.8198152000, 1.0550835000, 2.0839231000, 6.3666684000, 24.035514600", \
"0.8088250000, 0.8181760000, 0.8638181000, 1.0979505000, 2.1326320000, 6.4093486000, 24.074476100", \
"0.9174002000, 0.9272823000, 0.9716711000, 1.2026122000, 2.2349921000, 6.5122978000, 24.175531000", \
"0.9734323000, 0.9823869000, 1.0238741000, 1.2552836000, 2.2801986000, 6.5511272000, 24.230996300", \
"1.0198038000, 1.0273653000, 1.0731793000, 1.3017024000, 2.3312081000, 6.6497021000, 24.283334100");
}
}
internal_power () {
fall_power ("power_outputs_4") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0020584200, 0.0084741600, 0.0348867000, 0.1436230000, 0.5912720000, 2.4341700000");
values("0.8420634000, 0.8227324000, 0.7491733000, 0.4910486000, -0.5597932000, -4.8893430000, -22.732966200", \
"0.8430347000, 0.8226399000, 0.7488262000, 0.4916626000, -0.5597567000, -4.8892532000, -22.733367000", \
"0.8427631000, 0.8221266000, 0.7493729000, 0.4912137000, -0.5598253000, -4.8897294000, -22.733641900", \
"0.8404542000, 0.8204180000, 0.7466636000, 0.4895410000, -0.5619029000, -4.8914989000, -22.735220100", \
"0.8410408000, 0.8208841000, 0.7473313000, 0.4897929000, -0.5615431000, -4.8910610000, -22.734662400", \
"0.8437156000, 0.8228484000, 0.7498292000, 0.4920146000, -0.5591525000, -4.8889195000, -22.732191900", \
"0.8441634000, 0.8229524000, 0.7465499000, 0.4899906000, -0.5613459000, -4.8909513000, -22.734455400", \
"0.8588602000, 0.8380890000, 0.7611798000, 0.4906924000, -0.5630470000, -4.8926359000, -22.736320900", \
"0.8576065000, 0.8367861000, 0.7595265000, 0.4892467000, -0.5646880000, -4.8934060000, -22.736948200", \
"0.8606631000, 0.8398162000, 0.7626994000, 0.4928195000, -0.5612533000, -4.8901787000, -22.733855800", \
"0.8580743000, 0.8368782000, 0.7602224000, 0.4893769000, -0.5640537000, -4.8922702000, -22.735900400");
}
related_pin : "A";
rise_power ("power_outputs_4") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0020584200, 0.0084741600, 0.0348867000, 0.1436230000, 0.5912720000, 2.4341700000");
values("0.9626258000, 0.9735686000, 1.0219697000, 1.2694185000, 2.3056636000, 6.5949817000, 24.248606700", \
"0.9625716000, 0.9731899000, 1.0229631000, 1.2691356000, 2.3038199000, 6.5961458000, 24.255896900", \
"0.9624083000, 0.9729537000, 1.0226517000, 1.2677574000, 2.3084579000, 6.5949495000, 24.255254500", \
"0.9624563000, 0.9723906000, 1.0213622000, 1.2685650000, 2.3067705000, 6.5938897000, 24.255040400", \
"0.9642156000, 0.9745307000, 1.0251338000, 1.2704211000, 2.3087424000, 6.5971709000, 24.256380300", \
"0.9612680000, 0.9723403000, 1.0215599000, 1.2675013000, 2.3020194000, 6.5905346000, 24.244197200", \
"0.9861902000, 0.9962003000, 1.0435729000, 1.2778661000, 2.3128946000, 6.5902219000, 24.252363000", \
"0.9881435000, 0.9980679000, 1.0450323000, 1.2826092000, 2.3100272000, 6.5994203000, 24.249908100", \
"0.9993280000, 1.0089750000, 1.0563946000, 1.2935242000, 2.3397842000, 6.6101661000, 24.261929800", \
"1.0013002000, 1.0112009000, 1.0588348000, 1.2959275000, 2.3371659000, 6.6185980000, 24.265010800", \
"1.0088514000, 1.0186373000, 1.0654296000, 1.3030751000, 2.3443887000, 6.6684730000, 24.284468300");
}
}
max_capacitance : 2.4330240000;
max_transition : 3.7556420000;
power_down_function : "(!LVPWR+!VPWR+VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0020584200, 0.0084741600, 0.0348867000, 0.1436230000, 0.5912720000, 2.4341700000");
values("0.3615682000, 0.3647881000, 0.3761278000, 0.4138254000, 0.5480133000, 1.0876848000, 3.3087253000", \
"0.3650282000, 0.3682484000, 0.3795769000, 0.4173690000, 0.5514950000, 1.0916452000, 3.3095324000", \
"0.3700464000, 0.3732767000, 0.3846099000, 0.4223333000, 0.5564789000, 1.0962466000, 3.3179997000", \
"0.3887615000, 0.3920017000, 0.4033030000, 0.4410985000, 0.5752395000, 1.1153548000, 3.3366396000", \
"0.4388880000, 0.4420697000, 0.4533965000, 0.4911716000, 0.6252766000, 1.1651320000, 3.3878673000", \
"0.4906874000, 0.4939133000, 0.5053041000, 0.5430087000, 0.6771583000, 1.2173557000, 3.4396468000", \
"0.5693503000, 0.5725080000, 0.5839060000, 0.6216351000, 0.7557558000, 1.2962260000, 3.5144210000", \
"0.6369170000, 0.6401450000, 0.6514981000, 0.6892293000, 0.8234058000, 1.3630274000, 3.5814427000", \
"0.8053824000, 0.8085758000, 0.8199476000, 0.8577029000, 0.9918862000, 1.5325694000, 3.7508845000", \
"0.8866350000, 0.8898220000, 0.9012328000, 0.9389393000, 1.0730599000, 1.6129583000, 3.8310404000", \
"0.9656981000, 0.9688208000, 0.9801818000, 1.0179959000, 1.1520961000, 1.6927143000, 3.9089523000");
}
cell_rise ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0020584200, 0.0084741600, 0.0348867000, 0.1436230000, 0.5912720000, 2.4341700000");
values("0.4074097000, 0.4099917000, 0.4185880000, 0.4449090000, 0.5327382000, 0.8838936000, 2.3257634000", \
"0.4105472000, 0.4131212000, 0.4218029000, 0.4479928000, 0.5356768000, 0.8871954000, 2.3311779000", \
"0.4141096000, 0.4166843000, 0.4253212000, 0.4515411000, 0.5395067000, 0.8908841000, 2.3333677000", \
"0.4257174000, 0.4282993000, 0.4369111000, 0.4631882000, 0.5509768000, 0.9017496000, 2.3484055000", \
"0.4407844000, 0.4433324000, 0.4520698000, 0.4782674000, 0.5661884000, 0.9169185000, 2.3634148000", \
"0.4441274000, 0.4466899000, 0.4553307000, 0.4815796000, 0.5693596000, 0.9207561000, 2.3664091000", \
"0.4361748000, 0.4387441000, 0.4473827000, 0.4733461000, 0.5612281000, 0.9137612000, 2.3567659000", \
"0.4169731000, 0.4195595000, 0.4282104000, 0.4543402000, 0.5422596000, 0.8934167000, 2.3380626000", \
"0.3424356000, 0.3449682000, 0.3536758000, 0.3798946000, 0.4678274000, 0.8195418000, 2.2604325000", \
"0.2966335000, 0.2992450000, 0.3079048000, 0.3340301000, 0.4218499000, 0.7724653000, 2.2205964000", \
"0.2480645000, 0.2506357000, 0.2592317000, 0.2854438000, 0.3733715000, 0.7245658000, 2.1705706000");
}
fall_transition ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0020584200, 0.0084741600, 0.0348867000, 0.1436230000, 0.5912720000, 2.4341700000");
values("0.0240796000, 0.0266922000, 0.0369761000, 0.0748460000, 0.2357289000, 0.9221178000, 3.7556416000", \
"0.0240172000, 0.0266845000, 0.0368597000, 0.0749544000, 0.2358371000, 0.9230713000, 3.7513388000", \
"0.0240957000, 0.0268273000, 0.0371189000, 0.0750916000, 0.2362611000, 0.9231337000, 3.7472510000", \
"0.0239249000, 0.0266248000, 0.0369429000, 0.0749000000, 0.2356952000, 0.9206589000, 3.7532422000", \
"0.0238394000, 0.0265112000, 0.0370976000, 0.0749154000, 0.2359060000, 0.9221506000, 3.7520143000", \
"0.0240107000, 0.0265986000, 0.0367766000, 0.0750933000, 0.2362383000, 0.9216028000, 3.7512908000", \
"0.0238518000, 0.0269849000, 0.0369581000, 0.0750537000, 0.2358751000, 0.9226732000, 3.7422851000", \
"0.0238943000, 0.0267281000, 0.0369195000, 0.0747821000, 0.2345290000, 0.9215299000, 3.7451229000", \
"0.0238564000, 0.0265479000, 0.0369485000, 0.0748135000, 0.2360473000, 0.9233564000, 3.7498662000", \
"0.0238846000, 0.0265763000, 0.0368737000, 0.0749355000, 0.2358995000, 0.9210547000, 3.7495944000", \
"0.0238856000, 0.0268289000, 0.0368349000, 0.0744915000, 0.2355972000, 0.9216914000, 3.7496681000");
}
related_pin : "A";
rise_transition ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0020584200, 0.0084741600, 0.0348867000, 0.1436230000, 0.5912720000, 2.4341700000");
values("0.0217329000, 0.0237485000, 0.0308690000, 0.0587089000, 0.1773830000, 0.6918265000, 2.8109537000", \
"0.0216545000, 0.0236553000, 0.0307064000, 0.0585319000, 0.1777513000, 0.6914274000, 2.8142886000", \
"0.0220366000, 0.0238564000, 0.0307119000, 0.0585136000, 0.1774137000, 0.6920565000, 2.8152318000", \
"0.0216728000, 0.0236620000, 0.0308628000, 0.0585902000, 0.1772051000, 0.6910231000, 2.8109208000", \
"0.0218723000, 0.0238495000, 0.0308858000, 0.0584241000, 0.1773387000, 0.6919361000, 2.8156932000", \
"0.0220274000, 0.0239769000, 0.0307233000, 0.0584164000, 0.1773581000, 0.6920004000, 2.8154301000", \
"0.0217418000, 0.0237646000, 0.0308552000, 0.0585265000, 0.1773085000, 0.6909746000, 2.8132681000", \
"0.0218509000, 0.0236933000, 0.0308078000, 0.0582823000, 0.1775332000, 0.6929418000, 2.8154528000", \
"0.0217816000, 0.0237986000, 0.0307865000, 0.0584694000, 0.1774833000, 0.6912541000, 2.8094109000", \
"0.0218554000, 0.0236701000, 0.0307022000, 0.0583588000, 0.1778628000, 0.6915082000, 2.8091363000", \
"0.0216388000, 0.0236322000, 0.0307497000, 0.0582849000, 0.1774740000, 0.6921006000, 2.8223772000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0020584200, 0.0084741600, 0.0348867000, 0.1436230000, 0.5912720000, 2.4341700000");
values("0.6056760000, 0.6090002000, 0.6204729000, 0.6583124000, 0.7922286000, 1.3318675000, 3.5494623000", \
"0.6078528000, 0.6110734000, 0.6225893000, 0.6604444000, 0.7943403000, 1.3338233000, 3.5510508000", \
"0.6098613000, 0.6130893000, 0.6245748000, 0.6624066000, 0.7963489000, 1.3361188000, 3.5539427000", \
"0.6216856000, 0.6249901000, 0.6364902000, 0.6743183000, 0.8081417000, 1.3473898000, 3.5652468000", \
"0.6669724000, 0.6702131000, 0.6816771000, 0.7195487000, 0.8534830000, 1.3931885000, 3.6110555000", \
"0.7457331000, 0.7489525000, 0.7604711000, 0.7983217000, 0.9322194000, 1.4716873000, 3.6888717000", \
"0.8948670000, 0.8980940000, 0.9095912000, 0.9474470000, 1.0813122000, 1.6206965000, 3.8379158000", \
"1.0282274000, 1.0314847000, 1.0429689000, 1.0808837000, 1.2147297000, 1.7545017000, 3.9728572000", \
"1.3687997000, 1.3720197000, 1.3835465000, 1.4213662000, 1.5551122000, 2.0942383000, 4.3129127000", \
"1.5301829000, 1.5334725000, 1.5449640000, 1.5828718000, 1.7166505000, 2.2564299000, 4.4749933000", \
"1.6850989000, 1.6883103000, 1.6998732000, 1.7376914000, 1.8713755000, 2.4108464000, 4.6285562000");
}
cell_rise ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0020584200, 0.0084741600, 0.0348867000, 0.1436230000, 0.5912720000, 2.4341700000");
values("0.2912904000, 0.2937731000, 0.3025188000, 0.3287687000, 0.4166201000, 0.7681525000, 2.2126661000", \
"0.2931388000, 0.2956186000, 0.3043690000, 0.3306216000, 0.4184698000, 0.7699887000, 2.2149542000", \
"0.2955315000, 0.2981144000, 0.3067613000, 0.3330289000, 0.4208643000, 0.7724045000, 2.2177467000", \
"0.3049704000, 0.3075760000, 0.3161977000, 0.3425283000, 0.4303137000, 0.7816194000, 2.2264290000", \
"0.3309903000, 0.3334779000, 0.3422845000, 0.3684669000, 0.4563290000, 0.8077174000, 2.2498430000", \
"0.3493511000, 0.3518087000, 0.3604946000, 0.3867285000, 0.4746556000, 0.8260390000, 2.2685092000", \
"0.3504652000, 0.3530786000, 0.3618680000, 0.3882267000, 0.4759199000, 0.8266366000, 2.2737507000", \
"0.3321989000, 0.3348644000, 0.3436631000, 0.3702262000, 0.4578815000, 0.8088746000, 2.2540316000", \
"0.2351857000, 0.2378633000, 0.2470308000, 0.2737237000, 0.3614475000, 0.7117050000, 2.1533277000", \
"0.1711909000, 0.1739292000, 0.1829152000, 0.2099036000, 0.2974075000, 0.6477660000, 2.0916822000", \
"0.1021770000, 0.1048050000, 0.1140962000, 0.1410009000, 0.2285631000, 0.5792751000, 2.0255151000");
}
fall_transition ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0020584200, 0.0084741600, 0.0348867000, 0.1436230000, 0.5912720000, 2.4341700000");
values("0.0257926000, 0.0282608000, 0.0386496000, 0.0765872000, 0.2364598000, 0.9221592000, 3.7527981000", \
"0.0255732000, 0.0282367000, 0.0384476000, 0.0765718000, 0.2364418000, 0.9220714000, 3.7405323000", \
"0.0254515000, 0.0285421000, 0.0387643000, 0.0765988000, 0.2366257000, 0.9217533000, 3.7474708000", \
"0.0257895000, 0.0283811000, 0.0386878000, 0.0765717000, 0.2364673000, 0.9218779000, 3.7475090000", \
"0.0254618000, 0.0282361000, 0.0387399000, 0.0766002000, 0.2366241000, 0.9214600000, 3.7466137000", \
"0.0255713000, 0.0282378000, 0.0384469000, 0.0765738000, 0.2364661000, 0.9221934000, 3.7409631000", \
"0.0254635000, 0.0286234000, 0.0387603000, 0.0765732000, 0.2364400000, 0.9229549000, 3.7466288000", \
"0.0258114000, 0.0285092000, 0.0385498000, 0.0761809000, 0.2365911000, 0.9228204000, 3.7429051000", \
"0.0256997000, 0.0283561000, 0.0385747000, 0.0766243000, 0.2351020000, 0.9239891000, 3.7522314000", \
"0.0260202000, 0.0286401000, 0.0389650000, 0.0766887000, 0.2366674000, 0.9229097000, 3.7500887000", \
"0.0256937000, 0.0285177000, 0.0387837000, 0.0767180000, 0.2362529000, 0.9215184000, 3.7510945000");
}
related_pin : "SLEEP_B";
rise_transition ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0020584200, 0.0084741600, 0.0348867000, 0.1436230000, 0.5912720000, 2.4341700000");
values("0.0218832000, 0.0239747000, 0.0307963000, 0.0586673000, 0.1772310000, 0.6916417000, 2.8140554000", \
"0.0219171000, 0.0239752000, 0.0308073000, 0.0586594000, 0.1772407000, 0.6915037000, 2.8134175000", \
"0.0219043000, 0.0239086000, 0.0309871000, 0.0586380000, 0.1771739000, 0.6910580000, 2.8177416000", \
"0.0219543000, 0.0238923000, 0.0310147000, 0.0585386000, 0.1773996000, 0.6919805000, 2.8101730000", \
"0.0218596000, 0.0238551000, 0.0308238000, 0.0587594000, 0.1772531000, 0.6919737000, 2.8112959000", \
"0.0220372000, 0.0244266000, 0.0311960000, 0.0586250000, 0.1773251000, 0.6915196000, 2.8128064000", \
"0.0223419000, 0.0244034000, 0.0315289000, 0.0591696000, 0.1775431000, 0.6912910000, 2.8112661000", \
"0.0231538000, 0.0251676000, 0.0321998000, 0.0595647000, 0.1776495000, 0.6933562000, 2.8136478000", \
"0.0243646000, 0.0262715000, 0.0333652000, 0.0607640000, 0.1782961000, 0.6916089000, 2.8124081000", \
"0.0245495000, 0.0266407000, 0.0345285000, 0.0612928000, 0.1783065000, 0.6913639000, 2.8210714000", \
"0.0253265000, 0.0272696000, 0.0345895000, 0.0617399000, 0.1785859000, 0.6910874000, 2.8169416000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
}
}
cell ("sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1") {
leakage_power () {
value : 0.1372928000;
when : "!A&SLEEP_B";
}
leakage_power () {
value : 0.1358418000;
when : "!A&!SLEEP_B";
}
leakage_power () {
value : 0.1567031000;
when : "A&SLEEP_B";
}
leakage_power () {
value : 0.1724778000;
when : "A&!SLEEP_B";
}
area : 113.30880000;
cell_footprint : "sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon";
cell_leakage_power : 0.1505789000;
input_voltage_range(1.2800000000, 1.9500000000);
output_voltage_range(1.6500000000, 5.5000000000);
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
is_level_shifter : "true";
level_shifter_type : "LH";
pg_pin ("LVPWR") {
pg_type : "primary_power";
voltage_name : "LVPWR";
}
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0026500000;
clock : "false";
direction : "input";
fall_capacitance : 0.0025720000;
input_signal_level : "LVPWR";
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0443131000, 0.0439189000, 0.0434918000, 0.0439378000, 0.0453344000, 0.0467832000, 0.0496812000, 0.0545138000, 0.0679828000, 0.0749744000, 0.0819661000");
}
rise_power ("power_inputs_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0558714000, 0.0551790000, 0.0544289000, 0.0558043000, 0.0601104000, 0.0622444000, 0.0665126000, 0.0714960000, 0.0853854000, 0.0925954000, 0.0998053000");
}
}
level_shifter_data_pin : "true";
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "LVPWR";
rise_capacitance : 0.0027280000;
}
pin ("SLEEP_B") {
capacitance : 0.0202340000;
clock : "false";
direction : "input";
fall_capacitance : 0.0204200000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.1222492000, 0.1209466000, 0.1195354000, 0.1321121000, 0.1714830000, 0.2410968000, 0.3803243000, 0.5221814000, 0.9175429000, 1.1227761000, 1.3280092000");
}
rise_power ("power_inputs_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0138395000, -0.0157887000, -0.0179005000, -0.0074186000, 0.0253944000, 0.0933431000, 0.2292406000, 0.3703798000, 0.7637401000, 0.9679346000, 1.1721290000");
}
}
level_shifter_enable_pin : "true";
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0200470000;
}
pin ("X") {
direction : "output";
function : "(A&SLEEP_B)";
internal_power () {
fall_power ("power_outputs_4") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0014741900, 0.0043464900, 0.0128151000, 0.0377840000, 0.1114020000, 0.3284550000");
values("0.3413394000, 0.3264301000, 0.2872394000, 0.1885442000, -0.0633967000, -0.7908684000, -2.9023449000", \
"0.3410222000, 0.3272063000, 0.2869099000, 0.1881047000, -0.0638653000, -0.7902861000, -2.9024128000", \
"0.3415321000, 0.3274927000, 0.2872377000, 0.1880112000, -0.0638563000, -0.7911646000, -2.9026292000", \
"0.3404573000, 0.3259239000, 0.2868897000, 0.1873093000, -0.0642227000, -0.7909803000, -2.9029720000", \
"0.3403454000, 0.3249999000, 0.2862402000, 0.1870119000, -0.0651202000, -0.7915822000, -2.9039535000", \
"0.3400822000, 0.3259531000, 0.2858693000, 0.1874687000, -0.0651771000, -0.7916820000, -2.9039243000", \
"0.3429423000, 0.3273657000, 0.2882521000, 0.1891041000, -0.0629247000, -0.7898362000, -2.9017091000", \
"0.3438618000, 0.3290566000, 0.2897771000, 0.1902082000, -0.0617314000, -0.7883621000, -2.9002813000", \
"0.3694037000, 0.3544051000, 0.3139631000, 0.2096774000, -0.0571823000, -0.7835991000, -2.8950946000", \
"0.3747920000, 0.3602026000, 0.3199009000, 0.2149037000, -0.0518445000, -0.7807336000, -2.8928816000", \
"0.3781953000, 0.3631540000, 0.3226546000, 0.2186180000, -0.0483452000, -0.7773506000, -2.8897954000");
}
related_pin : "A";
rise_power ("power_outputs_4") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0014741900, 0.0043464900, 0.0128151000, 0.0377840000, 0.1114020000, 0.3284550000");
values("0.1220281000, 0.1395076000, 0.1978842000, 0.3422175000, 0.6168229000, 1.3218606000, 3.4001855000", \
"0.1224788000, 0.1395761000, 0.1985087000, 0.3420391000, 0.6170815000, 1.3221369000, 3.4031729000", \
"0.1229529000, 0.1405311000, 0.1986875000, 0.3434589000, 0.6176875000, 1.3234830000, 3.4036834000", \
"0.1211897000, 0.1387029000, 0.1969077000, 0.3413469000, 0.6156621000, 1.3216497000, 3.4019556000", \
"0.1171749000, 0.1343267000, 0.1919506000, 0.3354423000, 0.6109352000, 1.3152809000, 3.3974115000", \
"0.1158314000, 0.1338299000, 0.1917763000, 0.3359875000, 0.6089967000, 1.3146671000, 3.3945513000", \
"0.2055289000, 0.2131384000, 0.2380416000, 0.3329100000, 0.6071040000, 1.3116854000, 3.3926690000", \
"0.2474226000, 0.2549619000, 0.2796727000, 0.3581298000, 0.6073854000, 1.3110275000, 3.3919601000", \
"0.2587021000, 0.2665769000, 0.2910458000, 0.3689325000, 0.6084968000, 1.3112104000, 3.3885071000", \
"0.2574602000, 0.2648471000, 0.2895916000, 0.3678089000, 0.6072389000, 1.3076564000, 3.3867738000", \
"0.2571917000, 0.2644591000, 0.2892618000, 0.3675731000, 0.6070449000, 1.3076612000, 3.3866284000");
}
}
internal_power () {
fall_power ("power_outputs_4") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0014741900, 0.0043464900, 0.0128151000, 0.0377840000, 0.1114020000, 0.3284550000");
values("0.5447472000, 0.5286952000, 0.4888184000, 0.3874699000, 0.1324976000, -0.5958304000, -2.7076495000", \
"0.5421944000, 0.5272356000, 0.4876268000, 0.3851364000, 0.1301734000, -0.5983722000, -2.7103932000", \
"0.5401717000, 0.5258195000, 0.4847832000, 0.3837443000, 0.1289876000, -0.5993475000, -2.7111515000", \
"0.5187735000, 0.5037016000, 0.4639918000, 0.3623818000, 0.1074177000, -0.6214442000, -2.7336254000", \
"0.4749181000, 0.4614311000, 0.4209531000, 0.3195191000, 0.0642051000, -0.6637072000, -2.7765443000", \
"0.4533143000, 0.4387869000, 0.3977646000, 0.2963320000, 0.0412364000, -0.6874221000, -2.7994962000", \
"0.4993883000, 0.4850122000, 0.4447793000, 0.3427688000, 0.0880233000, -0.6404812000, -2.7525847000", \
"0.5649890000, 0.5508882000, 0.5108211000, 0.4093164000, 0.1535327000, -0.5756474000, -2.6883587000", \
"0.7670161000, 0.7517112000, 0.7114865000, 0.6092559000, 0.3526938000, -0.3778981000, -2.4920226000", \
"0.8695816000, 0.8564075000, 0.8145415000, 0.7134325000, 0.4587503000, -0.2709109000, -2.3843740000", \
"0.9896483000, 0.9743674000, 0.9328309000, 0.8250905000, 0.5677453000, -0.1611667000, -2.2741748000");
}
related_pin : "SLEEP_B";
rise_power ("power_outputs_4") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0014741900, 0.0043464900, 0.0128151000, 0.0377840000, 0.1114020000, 0.3284550000");
values("0.1601313000, 0.1772547000, 0.2362436000, 0.3802381000, 0.6552917000, 1.3606403000, 3.4433480000", \
"0.1588291000, 0.1765062000, 0.2350601000, 0.3793389000, 0.6543867000, 1.3591735000, 3.4401296000", \
"0.1604782000, 0.1777930000, 0.2361880000, 0.3806285000, 0.6559608000, 1.3613651000, 3.4434128000", \
"0.1568001000, 0.1737809000, 0.2328810000, 0.3765475000, 0.6519516000, 1.3559009000, 3.4359296000", \
"0.1559701000, 0.1734016000, 0.2284253000, 0.3638417000, 0.6338424000, 1.3377698000, 3.4179903000", \
"0.2184997000, 0.2264030000, 0.2507795000, 0.3618704000, 0.6305107000, 1.3355091000, 3.4163561000", \
"0.3364549000, 0.3435659000, 0.3681506000, 0.4466490000, 0.6996236000, 1.4044270000, 3.4851464000", \
"0.4247462000, 0.4317287000, 0.4570348000, 0.5341370000, 0.7696913000, 1.4762386000, 3.5559271000", \
"0.6436688000, 0.6507535000, 0.6747931000, 0.7500974000, 0.9886138000, 1.6872298000, 3.7653975000", \
"0.7584115000, 0.7648907000, 0.7886123000, 0.8640496000, 1.1007817000, 1.7977981000, 3.8746123000", \
"0.8743317000, 0.8815076000, 0.9041993000, 0.9790008000, 1.2136669000, 1.9162563000, 3.9894383000");
}
}
max_capacitance : 0.3284550000;
max_transition : 3.7564270000;
power_down_function : "(!LVPWR+!VPWR+VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0014741900, 0.0043464900, 0.0128151000, 0.0377840000, 0.1114020000, 0.3284550000");
values("0.6565026000, 0.6728478000, 0.7106286000, 0.7913125000, 0.9626356000, 1.3428539000, 2.3660531000", \
"0.6602837000, 0.6765049000, 0.7144517000, 0.7949442000, 0.9646102000, 1.3469602000, 2.3693889000", \
"0.6648492000, 0.6811427000, 0.7187547000, 0.8001414000, 0.9700547000, 1.3517789000, 2.3737434000", \
"0.6840275000, 0.7004256000, 0.7379664000, 0.8189920000, 0.9893298000, 1.3705517000, 2.3928901000", \
"0.7392094000, 0.7557721000, 0.7932374000, 0.8742584000, 1.0446251000, 1.4262951000, 2.4482483000", \
"0.8008157000, 0.8173373000, 0.8548906000, 0.9362148000, 1.1061191000, 1.4879898000, 2.5098210000", \
"0.8946424000, 0.9109310000, 0.9487496000, 1.0297940000, 1.1998583000, 1.5811953000, 2.6037064000", \
"0.9730790000, 0.9895442000, 1.0268071000, 1.1078466000, 1.2776766000, 1.6593086000, 2.6814793000", \
"1.1655447000, 1.1816930000, 1.2196409000, 1.3006550000, 1.4704533000, 1.8522185000, 2.8749976000", \
"1.2558731000, 1.2722944000, 1.3097302000, 1.3906077000, 1.5610067000, 1.9424149000, 2.9646767000", \
"1.3423861000, 1.3587960000, 1.3965369000, 1.4778243000, 1.6468903000, 2.0291977000, 3.0512284000");
}
cell_rise ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0014741900, 0.0043464900, 0.0128151000, 0.0377840000, 0.1114020000, 0.3284550000");
values("0.2084719000, 0.2189613000, 0.2453068000, 0.3162581000, 0.5222600000, 1.1185086000, 2.8688807000", \
"0.2116598000, 0.2221678000, 0.2488164000, 0.3191964000, 0.5248617000, 1.1214990000, 2.8733407000", \
"0.2158262000, 0.2263544000, 0.2525520000, 0.3236972000, 0.5297833000, 1.1258718000, 2.8756724000", \
"0.2298670000, 0.2403765000, 0.2666784000, 0.3377066000, 0.5437173000, 1.1398986000, 2.8901672000", \
"0.2551503000, 0.2656552000, 0.2922223000, 0.3626011000, 0.5682212000, 1.1650715000, 2.9163194000", \
"0.2720762000, 0.2825584000, 0.3087777000, 0.3799101000, 0.5858692000, 1.1819902000, 2.9327696000", \
"0.2791172000, 0.2895105000, 0.3161315000, 0.3864756000, 0.5928973000, 1.1884824000, 2.9389544000", \
"0.2732114000, 0.2836633000, 0.3100987000, 0.3808079000, 0.5870157000, 1.1827147000, 2.9332585000", \
"0.2263598000, 0.2367556000, 0.2633491000, 0.3338660000, 0.5397274000, 1.1355555000, 2.8876845000", \
"0.1927186000, 0.2031773000, 0.2298640000, 0.3001879000, 0.5058029000, 1.1018940000, 2.8517937000", \
"0.1555543000, 0.1658166000, 0.1924901000, 0.2628196000, 0.4680565000, 1.0642605000, 2.8165990000");
}
fall_transition ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0014741900, 0.0043464900, 0.0128151000, 0.0377840000, 0.1114020000, 0.3284550000");
values("0.0895182000, 0.1031731000, 0.1324728000, 0.1938409000, 0.3339099000, 0.7243811000, 1.9732960000", \
"0.0895225000, 0.1027533000, 0.1326641000, 0.1941110000, 0.3326927000, 0.7254060000, 1.9767770000", \
"0.0900206000, 0.1034879000, 0.1332360000, 0.1929007000, 0.3349401000, 0.7244614000, 1.9765816000", \
"0.0894565000, 0.1037994000, 0.1331495000, 0.1932820000, 0.3339212000, 0.7249714000, 1.9755779000", \
"0.0894331000, 0.1030645000, 0.1320015000, 0.1955933000, 0.3333741000, 0.7243350000, 1.9738891000", \
"0.0907488000, 0.1027682000, 0.1322871000, 0.1924903000, 0.3340719000, 0.7243002000, 1.9761726000", \
"0.0897753000, 0.1049691000, 0.1342965000, 0.1922315000, 0.3338787000, 0.7243694000, 1.9739725000", \
"0.0911643000, 0.1027319000, 0.1348939000, 0.1925316000, 0.3313561000, 0.7243627000, 1.9748772000", \
"0.0895868000, 0.1046208000, 0.1328874000, 0.1931257000, 0.3308964000, 0.7239287000, 1.9725408000", \
"0.0899730000, 0.1034673000, 0.1332433000, 0.1949104000, 0.3316638000, 0.7242877000, 1.9769035000", \
"0.0893718000, 0.1028355000, 0.1325805000, 0.1927228000, 0.3313805000, 0.7278702000, 1.9756512000");
}
related_pin : "A";
rise_transition ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0014741900, 0.0043464900, 0.0128151000, 0.0377840000, 0.1114020000, 0.3284550000");
values("0.0329747000, 0.0440182000, 0.0744301000, 0.1662657000, 0.4487339000, 1.2767462000, 3.7302961000", \
"0.0332363000, 0.0440275000, 0.0742476000, 0.1663672000, 0.4489525000, 1.2780808000, 3.7340540000", \
"0.0329506000, 0.0440088000, 0.0745291000, 0.1662932000, 0.4488289000, 1.2751429000, 3.7564272000", \
"0.0329607000, 0.0440045000, 0.0744602000, 0.1662526000, 0.4487626000, 1.2754989000, 3.7325241000", \
"0.0332193000, 0.0439514000, 0.0738717000, 0.1665857000, 0.4486141000, 1.2793293000, 3.7269285000", \
"0.0329909000, 0.0440206000, 0.0744773000, 0.1664157000, 0.4491777000, 1.2778264000, 3.7279260000", \
"0.0331789000, 0.0438229000, 0.0737692000, 0.1663008000, 0.4487694000, 1.2762191000, 3.7307961000", \
"0.0329112000, 0.0439672000, 0.0742778000, 0.1662719000, 0.4489969000, 1.2771963000, 3.7278118000", \
"0.0332322000, 0.0439944000, 0.0739297000, 0.1665722000, 0.4485679000, 1.2786671000, 3.7310044000", \
"0.0333519000, 0.0443727000, 0.0742759000, 0.1664400000, 0.4481381000, 1.2755171000, 3.7278953000", \
"0.0331238000, 0.0439850000, 0.0743972000, 0.1663444000, 0.4481943000, 1.2786644000, 3.7272030000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0014741900, 0.0043464900, 0.0128151000, 0.0377840000, 0.1114020000, 0.3284550000");
values("1.0503413000, 1.0671746000, 1.1054865000, 1.1882287000, 1.3608839000, 1.7463788000, 2.7726510000", \
"1.0500648000, 1.0668818000, 1.1061975000, 1.1878112000, 1.3604520000, 1.7460849000, 2.7722240000", \
"1.0480637000, 1.0650706000, 1.1034890000, 1.1858532000, 1.3586701000, 1.7444678000, 2.7702916000", \
"1.0211806000, 1.0378374000, 1.0764255000, 1.1588422000, 1.3315383000, 1.7171259000, 2.7431157000", \
"0.9566766000, 0.9736009000, 1.0121403000, 1.0948993000, 1.2674709000, 1.6535114000, 2.6792437000", \
"0.9455137000, 0.9622998000, 1.0008483000, 1.0835424000, 1.2563203000, 1.6416007000, 2.6676426000", \
"1.0992494000, 1.1162808000, 1.1543992000, 1.2371023000, 1.4095756000, 1.7954151000, 2.8210343000", \
"1.2876068000, 1.3044618000, 1.3431244000, 1.4257241000, 1.5974365000, 1.9838757000, 3.0094920000", \
"1.8055398000, 1.8228411000, 1.8622733000, 1.9471023000, 2.1226214000, 2.5125126000, 3.5402621000", \
"2.0816555000, 2.0990225000, 2.1388173000, 2.2233260000, 2.3999123000, 2.7906484000, 3.8214845000", \
"2.3601405000, 2.3770863000, 2.4169169000, 2.5013553000, 2.6775444000, 3.0693132000, 4.1022008000");
}
cell_rise ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0014741900, 0.0043464900, 0.0128151000, 0.0377840000, 0.1114020000, 0.3284550000");
values("0.1559198000, 0.1660778000, 0.1929210000, 0.2634543000, 0.4694199000, 1.0659051000, 2.8168481000", \
"0.1574093000, 0.1678797000, 0.1943118000, 0.2650204000, 0.4707046000, 1.0673346000, 2.8176104000", \
"0.1586095000, 0.1690423000, 0.1956479000, 0.2662545000, 0.4721712000, 1.0686932000, 2.8207019000", \
"0.1544429000, 0.1647894000, 0.1914390000, 0.2620839000, 0.4676995000, 1.0641633000, 2.8149660000", \
"0.1118709000, 0.1223635000, 0.1491729000, 0.2201940000, 0.4251834000, 1.0209352000, 2.7721705000", \
"0.0373893000, 0.0479861000, 0.0742522000, 0.1447482000, 0.3510098000, 0.9473279000, 2.7005038000", \
"-0.1220312000, -0.1111215000, -0.0844429000, -0.0139601000, 0.1921750000, 0.7932428000, 2.5450888000", \
"-0.2891977000, -0.2783217000, -0.2515323000, -0.1810589000, 0.0248685000, 0.6298276000, 2.3811977000", \
"-0.7723935000, -0.7607983000, -0.7332299000, -0.6628273000, -0.4576245000, 0.1527740000, 1.9129569000", \
"-1.0282064000, -1.0165638000, -0.9886441000, -0.9174010000, -0.7134096000, -0.1032171000, 1.6637086000", \
"-1.2870185000, -1.2747737000, -1.2468777000, -1.1748470000, -0.9715565000, -0.3615054000, 1.4119129000");
}
fall_transition ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0014741900, 0.0043464900, 0.0128151000, 0.0377840000, 0.1114020000, 0.3284550000");
values("0.0962740000, 0.1103809000, 0.1426847000, 0.2022440000, 0.3437110000, 0.7328429000, 1.9874031000", \
"0.0970571000, 0.1107678000, 0.1408910000, 0.2030838000, 0.3428514000, 0.7323399000, 1.9833191000", \
"0.0954698000, 0.1104494000, 0.1405909000, 0.2021131000, 0.3424529000, 0.7326139000, 1.9842259000", \
"0.0953966000, 0.1100161000, 0.1417633000, 0.2033830000, 0.3425428000, 0.7325742000, 1.9820201000", \
"0.0985647000, 0.1127655000, 0.1403541000, 0.2022000000, 0.3424283000, 0.7312825000, 1.9865594000", \
"0.0964291000, 0.1106220000, 0.1417914000, 0.2019738000, 0.3420256000, 0.7326502000, 1.9839297000", \
"0.0974480000, 0.1106333000, 0.1429766000, 0.2033824000, 0.3430021000, 0.7327256000, 1.9784829000", \
"0.0980691000, 0.1118397000, 0.1408513000, 0.2032091000, 0.3419627000, 0.7330619000, 1.9852093000", \
"0.1017680000, 0.1172424000, 0.1500172000, 0.2106047000, 0.3507285000, 0.7403855000, 1.9878408000", \
"0.1031814000, 0.1165911000, 0.1488958000, 0.2112148000, 0.3525083000, 0.7440000000, 1.9908257000", \
"0.1043654000, 0.1160491000, 0.1483951000, 0.2126668000, 0.3500099000, 0.7470439000, 1.9913793000");
}
related_pin : "SLEEP_B";
rise_transition ("del_1_11_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0014741900, 0.0043464900, 0.0128151000, 0.0377840000, 0.1114020000, 0.3284550000");
values("0.0330453000, 0.0443275000, 0.0742170000, 0.1666098000, 0.4492939000, 1.2776759000, 3.7277825000", \
"0.0330624000, 0.0441105000, 0.0742305000, 0.1663659000, 0.4492156000, 1.2781767000, 3.7292462000", \
"0.0333400000, 0.0439506000, 0.0741244000, 0.1662250000, 0.4488987000, 1.2783428000, 3.7406696000", \
"0.0331483000, 0.0440738000, 0.0743667000, 0.1663635000, 0.4486673000, 1.2792543000, 3.7401988000", \
"0.0337051000, 0.0444910000, 0.0749683000, 0.1669001000, 0.4476580000, 1.2773878000, 3.7296259000", \
"0.0337832000, 0.0446003000, 0.0750632000, 0.1666780000, 0.4486010000, 1.2777075000, 3.7407135000", \
"0.0347220000, 0.0455418000, 0.0756276000, 0.1673699000, 0.4519448000, 1.2827535000, 3.7375387000", \
"0.0356524000, 0.0464177000, 0.0767796000, 0.1678210000, 0.4535015000, 1.2879202000, 3.7379220000", \
"0.0393155000, 0.0496949000, 0.0802257000, 0.1707925000, 0.4562259000, 1.2996657000, 3.7350905000", \
"0.0418100000, 0.0519855000, 0.0824298000, 0.1718304000, 0.4558347000, 1.3038455000, 3.7459400000", \
"0.0439228000, 0.0543943000, 0.0853457000, 0.1735403000, 0.4570272000, 1.3080255000, 3.7495393000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
}
}
cell ("sky130_fd_sc_hvl__lsbuflv2hv_symmetric_1") {
leakage_power () {
value : 0.0326756000;
when : "A";
}
leakage_power () {
value : 0.1175874000;
when : "!A";
}
area : 89.86560000;
cell_footprint : "sky130_fd_sc_hvl__lsbuflv2hv_symmetric";
cell_leakage_power : 0.0751314900;
input_voltage_range(1.2800000000, 1.9500000000);
output_voltage_range(1.6500000000, 5.5000000000);
is_level_shifter : "true";
level_shifter_type : "LH";
pg_pin ("LVPWR") {
pg_type : "primary_power";
voltage_name : "LVPWR";
}
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0025590000;
clock : "false";
direction : "input";
fall_capacitance : 0.0024700000;
input_signal_level : "LVPWR";
level_shifter_data_pin : "true";
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "LVPWR";
rise_capacitance : 0.0026470000;
}
pin ("X") {
direction : "output";
function : "A";
internal_power () {
fall_power ("power_outputs_3") {
index_1("0.0100000000, 0.0173500000, 0.0260200000, 0.0390300000, 0.0585500000, 0.0878200000, 0.1317200000, 0.1975700000, 0.2963400000, 0.4444900000, 0.6667000000, 1.0000000000, 1.5000000000, 2.0000000000, 2.5000000000");
index_2("0.0005000000, 0.0012562960, 0.0031565600, 0.0079311490, 0.0199277400, 0.0500703000, 0.1258062000, 0.3160998000, 0.7942299000");
values("0.3952848000, 0.3879543000, 0.3729766000, 0.3306201000, 0.2157373000, -0.0758997000, -0.8095686000, -2.6516356000, -7.2796434000", \
"0.3964537000, 0.3891442000, 0.3741893000, 0.3317838000, 0.2169258000, -0.0751938000, -0.8084843000, -2.6506525000, -7.2793034000", \
"0.3957834000, 0.3885295000, 0.3737790000, 0.3312227000, 0.2163808000, -0.0755390000, -0.8089481000, -2.6507416000, -7.2786078000", \
"0.3955561000, 0.3883459000, 0.3732632000, 0.3309870000, 0.2161646000, -0.0752750000, -0.8090551000, -2.6511516000, -7.2792991000", \
"0.3950750000, 0.3878175000, 0.3727955000, 0.3303323000, 0.2155422000, -0.0764191000, -0.8098512000, -2.6517224000, -7.2798750000", \
"0.3957232000, 0.3883994000, 0.3734652000, 0.3311251000, 0.2161208000, -0.0755752000, -0.8091614000, -2.6510213000, -7.2787214000", \
"0.3954304000, 0.3881619000, 0.3731004000, 0.3307800000, 0.2160535000, -0.0757809000, -0.8092199000, -2.6513177000, -7.2793867000", \
"0.3968203000, 0.3896371000, 0.3746251000, 0.3323005000, 0.2174546000, -0.0743575000, -0.8079009000, -2.6501229000, -7.2782799000", \
"0.3980290000, 0.3907301000, 0.3757985000, 0.3334522000, 0.2184751000, -0.0732476000, -0.8068899000, -2.6489772000, -7.2769494000", \
"0.4020305000, 0.3947121000, 0.3797731000, 0.3372887000, 0.2225261000, -0.0694335000, -0.8027614000, -2.6447752000, -7.2732072000", \
"0.4080678000, 0.4010492000, 0.3857732000, 0.3436973000, 0.2286001000, -0.0634417000, -0.7968936000, -2.6390285000, -7.2671161000", \
"0.4304794000, 0.4218706000, 0.4015668000, 0.3526639000, 0.2378468000, -0.0543001000, -0.7878834000, -2.6299446000, -7.2580652000", \
"0.4462191000, 0.4377328000, 0.4174471000, 0.3690804000, 0.2503193000, -0.0421358000, -0.7757437000, -2.6178651000, -7.2459980000", \
"0.4598329000, 0.4512983000, 0.4309513000, 0.3823293000, 0.2636331000, -0.0297189000, -0.7640048000, -2.6061577000, -7.2343208000", \
"0.4705396000, 0.4615422000, 0.4420772000, 0.3930651000, 0.2746440000, -0.0192117000, -0.7531275000, -2.5953743000, -7.2235447000");
}
related_pin : "A";
rise_power ("power_outputs_3") {
index_1("0.0100000000, 0.0173500000, 0.0260200000, 0.0390300000, 0.0585500000, 0.0878200000, 0.1317200000, 0.1975700000, 0.2963400000, 0.4444900000, 0.6667000000, 1.0000000000, 1.5000000000, 2.0000000000, 2.5000000000");
index_2("0.0005000000, 0.0012562960, 0.0031565600, 0.0079311490, 0.0199277400, 0.0500703000, 0.1258062000, 0.3160998000, 0.7942299000");
values("0.5611776000, 0.5685996000, 0.5902728000, 0.6486573000, 0.7753941000, 1.0598014000, 1.7835228000, 3.6082230000, 8.184901500", \
"0.5601720000, 0.5672621000, 0.5898244000, 0.6480224000, 0.7736223000, 1.0581705000, 1.7845968000, 3.6103847000, 8.191691200", \
"0.5602204000, 0.5670055000, 0.5896549000, 0.6477122000, 0.7738109000, 1.0580594000, 1.7830904000, 3.6073106000, 8.186958000", \
"0.5593404000, 0.5670182000, 0.5889811000, 0.6470238000, 0.7736299000, 1.0586983000, 1.7842817000, 3.6042573000, 8.183158100", \
"0.5587759000, 0.5661463000, 0.5882731000, 0.6465878000, 0.7731046000, 1.0582125000, 1.7814327000, 3.6065690000, 8.181471900", \
"0.5582536000, 0.5656631000, 0.5873367000, 0.6453591000, 0.7719158000, 1.0563911000, 1.7831887000, 3.6036742000, 8.183861500", \
"0.5574712000, 0.5648029000, 0.5865052000, 0.6448059000, 0.7708220000, 1.0554500000, 1.7822199000, 3.6036104000, 8.189022300", \
"0.5567328000, 0.5639402000, 0.5857391000, 0.6437832000, 0.7699027000, 1.0555404000, 1.7803235000, 3.6009746000, 8.187335300", \
"0.5560238000, 0.5630070000, 0.5849345000, 0.6431632000, 0.7692519000, 1.0544818000, 1.7796615000, 3.6007164000, 8.187468900", \
"0.5557394000, 0.5630061000, 0.5845252000, 0.6429716000, 0.7689629000, 1.0537068000, 1.7784061000, 3.6042938000, 8.186828800", \
"0.5615012000, 0.5669873000, 0.5855189000, 0.6440357000, 0.7699363000, 1.0544825000, 1.7780027000, 3.6048777000, 8.182503200", \
"0.5960057000, 0.6017517000, 0.6178849000, 0.6608086000, 0.7718461000, 1.0563196000, 1.7825078000, 3.6023121000, 8.181785800", \
"0.5982701000, 0.6038501000, 0.6197182000, 0.6629691000, 0.7762808000, 1.0592503000, 1.7828048000, 3.6087222000, 8.189907800", \
"0.6025562000, 0.6081597000, 0.6239962000, 0.6672305000, 0.7805403000, 1.0675972000, 1.7892131000, 3.6096093000, 8.188000400", \
"0.6073259000, 0.6129576000, 0.6287788000, 0.6717303000, 0.7844896000, 1.0732565000, 1.7940460000, 3.6172834000, 8.198306400");
}
}
max_capacitance : 0.7942300000;
max_transition : 4.9976880000;
power_down_function : "(!LVPWR+!VPWR+VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_15_9") {
index_1("0.0100000000, 0.0173500000, 0.0260200000, 0.0390300000, 0.0585500000, 0.0878200000, 0.1317200000, 0.1975700000, 0.2963400000, 0.4444900000, 0.6667000000, 1.0000000000, 1.5000000000, 2.0000000000, 2.5000000000");
index_2("0.0005000000, 0.0012563000, 0.0031565600, 0.0079311500, 0.0199277000, 0.0500703000, 0.1258060000, 0.3161000000, 0.7942300000");
values("0.2897518000, 0.2951619000, 0.3071750000, 0.3331019000, 0.3901892000, 0.5283314000, 0.8761812000, 1.7521070000, 3.9527035000", \
"0.2929300000, 0.2983199000, 0.3103520000, 0.3362832000, 0.3934600000, 0.5315419000, 0.8793760000, 1.7554540000, 3.9569592000", \
"0.2959542000, 0.3014091000, 0.3133896000, 0.3393572000, 0.3964971000, 0.5345978000, 0.8824005000, 1.7584793000, 3.9621018000", \
"0.3008928000, 0.3063508000, 0.3183330000, 0.3442322000, 0.4013221000, 0.5394406000, 0.8873515000, 1.7631558000, 3.9639008000", \
"0.3087131000, 0.3141506000, 0.3261273000, 0.3521317000, 0.4091811000, 0.5473044000, 0.8950682000, 1.7711518000, 3.9716448000", \
"0.3210729000, 0.3264760000, 0.3384807000, 0.3643948000, 0.4215923000, 0.5596922000, 0.9075305000, 1.7836735000, 3.9842654000", \
"0.3402126000, 0.3456446000, 0.3576357000, 0.3836582000, 0.4407080000, 0.5788917000, 0.9266058000, 1.8031261000, 4.0046553000", \
"0.3679567000, 0.3734003000, 0.3853850000, 0.4112796000, 0.4684797000, 0.6065856000, 0.9544027000, 1.8307217000, 4.0317789000", \
"0.4072736000, 0.4126953000, 0.4246791000, 0.4505789000, 0.5077481000, 0.6458550000, 0.9936278000, 1.8696655000, 4.0697921000", \
"0.4586000000, 0.4640258000, 0.4760338000, 0.5020255000, 0.5590963000, 0.6972342000, 1.0449963000, 1.9215032000, 4.1229882000", \
"0.5243261000, 0.5297123000, 0.5416980000, 0.5675788000, 0.6246911000, 0.7628051000, 1.1107184000, 1.9863977000, 4.1881534000", \
"0.6089393000, 0.6142893000, 0.6262366000, 0.6521375000, 0.7092348000, 0.8471677000, 1.1950542000, 2.0714093000, 4.2719432000", \
"0.7188208000, 0.7242903000, 0.7363259000, 0.7620256000, 0.8191697000, 0.9572061000, 1.3048447000, 2.1810260000, 4.3812403000", \
"0.8192461000, 0.8247119000, 0.8367019000, 0.8625465000, 0.9195890000, 1.0575317000, 1.4049309000, 2.2805304000, 4.4814708000", \
"0.9134818000, 0.9188281000, 0.9310029000, 0.9568311000, 1.0137926000, 1.1516055000, 1.4993071000, 2.3747004000, 4.5772959000");
}
cell_rise ("del_1_15_9") {
index_1("0.0100000000, 0.0173500000, 0.0260200000, 0.0390300000, 0.0585500000, 0.0878200000, 0.1317200000, 0.1975700000, 0.2963400000, 0.4444900000, 0.6667000000, 1.0000000000, 1.5000000000, 2.0000000000, 2.5000000000");
index_2("0.0005000000, 0.0012563000, 0.0031565600, 0.0079311500, 0.0199277000, 0.0500703000, 0.1258060000, 0.3161000000, 0.7942300000");
values("0.3433430000, 0.3486837000, 0.3606258000, 0.3861202000, 0.4425044000, 0.5777220000, 0.9149000000, 1.7596977000, 3.8837507000", \
"0.3463343000, 0.3516698000, 0.3635871000, 0.3891384000, 0.4454107000, 0.5807625000, 0.9172750000, 1.7614562000, 3.8912331000", \
"0.3491463000, 0.3544803000, 0.3663958000, 0.3919484000, 0.4482199000, 0.5838196000, 0.9202321000, 1.7644932000, 3.8866892000", \
"0.3531475000, 0.3585615000, 0.3703976000, 0.3959794000, 0.4522345000, 0.5876220000, 0.9241296000, 1.7694469000, 3.8935712000", \
"0.3587131000, 0.3640605000, 0.3759669000, 0.4015206000, 0.4577878000, 0.5930340000, 0.9298925000, 1.7753604000, 3.8994541000", \
"0.3667586000, 0.3721299000, 0.3839905000, 0.4094891000, 0.4657463000, 0.6011245000, 0.9377302000, 1.7827646000, 3.9076631000", \
"0.3751674000, 0.3805375000, 0.3921763000, 0.4177497000, 0.4740532000, 0.6096282000, 0.9461704000, 1.7905036000, 3.9217283000", \
"0.3832056000, 0.3884395000, 0.4001910000, 0.4258877000, 0.4820968000, 0.6175019000, 0.9540689000, 1.7988052000, 3.9267993000", \
"0.3915619000, 0.3967627000, 0.4086622000, 0.4342724000, 0.4905041000, 0.6258773000, 0.9627218000, 1.8063825000, 3.9279047000", \
"0.3968458000, 0.4022548000, 0.4140611000, 0.4395975000, 0.4957970000, 0.6311140000, 0.9678204000, 1.8118804000, 3.9340584000", \
"0.3976709000, 0.4029265000, 0.4148455000, 0.4403461000, 0.4967386000, 0.6320479000, 0.9687083000, 1.8134374000, 3.9358428000", \
"0.3904209000, 0.3958126000, 0.4076535000, 0.4333106000, 0.4895226000, 0.6247398000, 0.9616876000, 1.8067605000, 3.9302326000", \
"0.3690065000, 0.3743205000, 0.3862513000, 0.4118062000, 0.4680278000, 0.6033561000, 0.9399818000, 1.7838683000, 3.9130899000", \
"0.3394346000, 0.3447707000, 0.3566977000, 0.3822534000, 0.4384814000, 0.5738185000, 0.9104333000, 1.7541600000, 3.8813273000", \
"0.3055926000, 0.3111116000, 0.3227258000, 0.3483044000, 0.4045781000, 0.5400182000, 0.8768395000, 1.7220447000, 3.8473713000");
}
fall_transition ("del_1_15_9") {
index_1("0.0100000000, 0.0173500000, 0.0260200000, 0.0390300000, 0.0585500000, 0.0878200000, 0.1317200000, 0.1975700000, 0.2963400000, 0.4444900000, 0.6667000000, 1.0000000000, 1.5000000000, 2.0000000000, 2.5000000000");
index_2("0.0005000000, 0.0012563000, 0.0031565600, 0.0079311500, 0.0199277000, 0.0500703000, 0.1258060000, 0.3161000000, 0.7942300000");
values("0.0266621000, 0.0312719000, 0.0423754000, 0.0673492000, 0.1313545000, 0.3043965000, 0.7420907000, 1.8487806000, 4.6179802000", \
"0.0263177000, 0.0311067000, 0.0423976000, 0.0674142000, 0.1314619000, 0.3043993000, 0.7443015000, 1.8479279000, 4.6214530000", \
"0.0267689000, 0.0312382000, 0.0423430000, 0.0672260000, 0.1315018000, 0.3036704000, 0.7441201000, 1.8460649000, 4.6121476000", \
"0.0266680000, 0.0312203000, 0.0422665000, 0.0673448000, 0.1314331000, 0.3044119000, 0.7431983000, 1.8465244000, 4.6168518000", \
"0.0264529000, 0.0313371000, 0.0425338000, 0.0674154000, 0.1314381000, 0.3045352000, 0.7431109000, 1.8432637000, 4.6195083000", \
"0.0265762000, 0.0311849000, 0.0424579000, 0.0674295000, 0.1314487000, 0.3037638000, 0.7449236000, 1.8482725000, 4.6181521000", \
"0.0264060000, 0.0311830000, 0.0425106000, 0.0673747000, 0.1311888000, 0.3047294000, 0.7411459000, 1.8428498000, 4.6118043000", \
"0.0264279000, 0.0314105000, 0.0425097000, 0.0674006000, 0.1313349000, 0.3042077000, 0.7450091000, 1.8435257000, 4.6121120000", \
"0.0266060000, 0.0312552000, 0.0425073000, 0.0674543000, 0.1313927000, 0.3043455000, 0.7451703000, 1.8421513000, 4.6146340000", \
"0.0267426000, 0.0313332000, 0.0425339000, 0.0674594000, 0.1314412000, 0.3046877000, 0.7430900000, 1.8425113000, 4.6170667000", \
"0.0265399000, 0.0312803000, 0.0423337000, 0.0674327000, 0.1314829000, 0.3029152000, 0.7438875000, 1.8446763000, 4.6126210000", \
"0.0270312000, 0.0315914000, 0.0428208000, 0.0677016000, 0.1315363000, 0.3038236000, 0.7434992000, 1.8466599000, 4.6161430000", \
"0.0272148000, 0.0320743000, 0.0426474000, 0.0678661000, 0.1316705000, 0.3030628000, 0.7427777000, 1.8457642000, 4.6163042000", \
"0.0271887000, 0.0319013000, 0.0432950000, 0.0679604000, 0.1316518000, 0.3042820000, 0.7414347000, 1.8455195000, 4.6158433000", \
"0.0276363000, 0.0325329000, 0.0434298000, 0.0681946000, 0.1315767000, 0.3041198000, 0.7441422000, 1.8460863000, 4.6193911000");
}
related_pin : "A";
rise_transition ("del_1_15_9") {
index_1("0.0100000000, 0.0173500000, 0.0260200000, 0.0390300000, 0.0585500000, 0.0878200000, 0.1317200000, 0.1975700000, 0.2963400000, 0.4444900000, 0.6667000000, 1.0000000000, 1.5000000000, 2.0000000000, 2.5000000000");
index_2("0.0005000000, 0.0012563000, 0.0031565600, 0.0079311500, 0.0199277000, 0.0500703000, 0.1258060000, 0.3161000000, 0.7942300000");
values("0.0303332000, 0.0351999000, 0.0470969000, 0.0746168000, 0.1448501000, 0.3302799000, 0.8018187000, 1.9916995000, 4.9844107000", \
"0.0303564000, 0.0352102000, 0.0470092000, 0.0748474000, 0.1446556000, 0.3299469000, 0.8013005000, 1.9909689000, 4.9937650000", \
"0.0303624000, 0.0352135000, 0.0470115000, 0.0748463000, 0.1451751000, 0.3304831000, 0.8013510000, 1.9942166000, 4.9814259000", \
"0.0303535000, 0.0354087000, 0.0470343000, 0.0748078000, 0.1449797000, 0.3303946000, 0.8015187000, 1.9910025000, 4.9846003000", \
"0.0303632000, 0.0352969000, 0.0470209000, 0.0748434000, 0.1449074000, 0.3303025000, 0.8021443000, 1.9919608000, 4.9855178000", \
"0.0301815000, 0.0351572000, 0.0469761000, 0.0748824000, 0.1450092000, 0.3304172000, 0.8010421000, 1.9918636000, 4.9845648000", \
"0.0301740000, 0.0350690000, 0.0469218000, 0.0749131000, 0.1449885000, 0.3305272000, 0.8020070000, 1.9915058000, 4.9976880000", \
"0.0301625000, 0.0352256000, 0.0467813000, 0.0747559000, 0.1449111000, 0.3303838000, 0.8015185000, 1.9919053000, 4.9931810000", \
"0.0302751000, 0.0351905000, 0.0470957000, 0.0747909000, 0.1449721000, 0.3305876000, 0.8019809000, 1.9908593000, 4.9948783000", \
"0.0301724000, 0.0350505000, 0.0468702000, 0.0746755000, 0.1449584000, 0.3306843000, 0.8003267000, 1.9964985000, 4.9931299000", \
"0.0300885000, 0.0351897000, 0.0470538000, 0.0746204000, 0.1448805000, 0.3303301000, 0.8013933000, 1.9943953000, 4.9816484000", \
"0.0301822000, 0.0353224000, 0.0470133000, 0.0747762000, 0.1450114000, 0.3303077000, 0.8013156000, 1.9904929000, 4.9833555000", \
"0.0302671000, 0.0351991000, 0.0471755000, 0.0747224000, 0.1449687000, 0.3304710000, 0.8028520000, 1.9905873000, 4.9944986000", \
"0.0302713000, 0.0351985000, 0.0471623000, 0.0747118000, 0.1449706000, 0.3302967000, 0.8015889000, 1.9910635000, 4.9866693000", \
"0.0305210000, 0.0349904000, 0.0468976000, 0.0748942000, 0.1447825000, 0.3305254000, 0.8019475000, 1.9891953000, 4.9963025000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
}
}
}