blob: a7c98b4d4a5e2d76ea1ba6b3c40339095de37cd0 [file] [log] [blame]
/**
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
library ("sky130_fd_io__top_xres4v2_ss_ss_100C_1v60_1v65") {
define(three_state_pullup_res,library,string);
define(three_state_pulldn_res,library,string);
define(zstate_leak_threshold_pct,library,string);
define(clk_width,library,string);
define(driver_model,library,string);
define(def_sim_opt,library,string);
define(simulator,library,string);
define(signal_voltage_type,pin,string);
technology("cmos");
delay_model : "table_lookup";
revision : "1.0";
date : "localtime";
default_leakage_power_density : 0.000000;
default_cell_leakage_power : 0.000000;
default_fanout_load : 0.000000;
default_inout_pin_cap : 0.000000;
default_input_pin_cap : 0.000000;
default_output_pin_cap : 0.000000;
default_max_transition : 1.500000;
bus_naming_style : "%s[%d]";
in_place_swap_mode : "match_footprint";
library_features("report_delay_calculation");
voltage_unit : "1V";
current_unit : "1mA";
leakage_power_unit : "1nW";
pulling_resistance_unit : "1kohm";
time_unit : "1ns";
resistance_unit : "1ohm";
capacitive_load_unit(1.000000, \
"pf");
input_threshold_pct_rise : 50.000000;
input_threshold_pct_fall : 50.000000;
output_threshold_pct_rise : 50.000000;
output_threshold_pct_fall : 50.000000;
slew_lower_threshold_pct_fall : 20.000000;
slew_lower_threshold_pct_rise : 20.000000;
slew_upper_threshold_pct_fall : 80.000000;
slew_upper_threshold_pct_rise : 80.000000;
slew_derate_from_library : 1.000000;
three_state_pullup_res : "1";
three_state_pulldn_res : "1";
zstate_leak_threshold_pct : "0.1";
clk_width : "1.00E-05";
nom_process : 1.000000;
nom_temperature : 100.000000;
nom_voltage : 1.600000;
driver_model : "snps_predriver";
def_sim_opt : "POST=1 PROBE POST_VERSION=2001 STATFL=1 BRIEF=1 LISLVL=1 INGOLD=2 NOMOD NOPAGE NUMDGT=10 MEASDGT=10 AUTOSTOP SYMB=1 ALTCC=1 RUNLVL=5 ACCURATE=1 ";
simulator : "HSPICE -- H-2013.03 64-BIT (Feb 27 2013)";
voltage_map("VSS",0.000000);
voltage_map("VCCD",1.600000);
voltage_map("VCCHIB",1.600000);
voltage_map("VDDA",1.650000);
voltage_map("VDDIO",1.650000);
voltage_map("VDDIO_Q",1.650000);
voltage_map("VSSA",0.000000);
voltage_map("VSSD",0.000000);
voltage_map("VSSIO",0.000000);
voltage_map("VSSIO_Q",0.000000);
voltage_map("VSWITCH",1.650000);
power_lut_template ("power_inputs_1") {
variable_1 : "input_transition_time";
index_1("1, 2, 3, 4, 5, 6, 7");
}
output_voltage (GENERAL_IO_VDDIO_VOLTAGE) {
vol : 0.165;
voh : 1.485;
vomax : 1.732;
vomin : -0.083;
}
output_voltage (GENERAL_CORE_VOLTAGE) {
vol : 0.160;
voh : 1.440;
vomax : 1.680;
vomin : -0.080;
}
input_voltage (GENERAL_IO_VDDIO_VOLTAGE) {
vil : 0.412;
vih : 1.237;
vimax : 1.732;
vimin : -0.083;
}
input_voltage (GENERAL_CORE_VOLTAGE) {
vil : 0.480;
vih : 1.120;
vimax : 1.680;
vimin : -0.080;
}
operating_conditions ("ss_ss_1p60v_x_1p65v_100C") {
process : 1.000000;
temperature : 100.000000;
voltage : 1.600000;
tree_type : "balanced_tree";
}
lu_table_template ("del_1_7_7") {
variable_1 : "input_net_transition";
index_1("1, 2, 3, 4, 5, 6, 7");
variable_2 : "total_output_net_capacitance";
index_2("1, 2, 3, 4, 5, 6, 7");
}
power_lut_template ("power_outputs_1") {
variable_1 : "input_transition_time";
index_1("1, 2, 3, 4, 5, 6, 7");
variable_2 : "total_output_net_capacitance";
index_2("1, 2, 3, 4, 5, 6, 7");
}
cell ("sky130_fd_io__top_xres4v2") {
is_macro_cell : true;
dont_use : true;
interface_timing : true;
pad_cell : true;
dont_touch : true;
area : 15000.000000;
cell_leakage_power : 2.839960e+01;
pg_pin (VDDA) {
voltage_name : "VDDA";
pg_type : "primary_power";
}
pg_pin (VSWITCH) {
voltage_name : "VSWITCH";
pg_type : "primary_power";
}
pg_pin (VDDIO) {
voltage_name : "VDDIO";
pg_type : "primary_power";
}
pg_pin ("VDDIO_Q") {
voltage_name : "VDDIO_Q";
pg_type : "primary_power";
}
pg_pin (VCCD) {
voltage_name : "VCCD";
pg_type : "primary_power";
}
pg_pin (VCCHIB) {
voltage_name : "VCCHIB";
pg_type : "primary_power";
}
pg_pin (VSSD) {
voltage_name : "VSSD";
pg_type : "primary_ground";
}
pg_pin ("VSSIO_Q") {
voltage_name : "VSSIO_Q";
pg_type : "primary_ground";
}
pg_pin (VSSA) {
voltage_name : "VSSA";
pg_type : "primary_ground";
}
pg_pin (VSSIO) {
voltage_name : "VSSIO";
pg_type : "primary_ground";
}
leakage_power (lkgGroup1) {
when : "!EN_VDDIO_SIG_H & PAD & !PULLUP_H & !INP_SEL_H & DISABLE_PULLUP_H & !FILT_IN_H & ENABLE_H & ENABLE_VDDIO";
value : "28.3996000";
}
leakage_power (lkgGroup2) {
when : "EN_VDDIO_SIG_H & PAD & !PULLUP_H & !INP_SEL_H & DISABLE_PULLUP_H & !FILT_IN_H & ENABLE_H & ENABLE_VDDIO";
value : "21.4765000";
}
pin ("DISABLE_PULLUP_H") {
max_transition : 3.75;
input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
direction : "input";
related_power_pin : "VDDIO";
related_ground_pin : "VSSIO";
always_on : false;
rise_capacitance : 0.016291;
capacitance : 0.015952;
fall_capacitance : 0.015612;
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.2148479, 0.4373061, 0.6597642, 0.7909553, 0.9168987, 1.0480898, 1.1792808");
}
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("-0.1659196, -0.4506834, -0.7354472, -0.8663473, -0.9920114, -1.1229115, -1.2538116");
}
}
}
pin ("INP_SEL_H") {
max_transition : 3.75;
input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
direction : "input";
related_power_pin : "VDDIO";
related_ground_pin : "VSSIO";
always_on : false;
rise_capacitance : 0.022656;
capacitance : 0.022528;
fall_capacitance : 0.022400;
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("-0.0097145, -0.0088902, -0.0080658, -0.0083739, -0.0086696, -0.0089777, -0.0092857");
}
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.0545980, 0.0584811, 0.0623642, 0.0621087, 0.0618635, 0.0616080, 0.0613525");
}
}
}
pin ("EN_VDDIO_SIG_H") {
max_transition : 3.75;
input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
direction : "input";
related_power_pin : "VDDIO";
related_ground_pin : "VSSIO";
always_on : false;
rise_capacitance : 0.029617;
capacitance : 0.029193;
fall_capacitance : 0.028769;
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("-0.0203688, 0.0271279, 0.0746245, 0.0793296, 0.0838465, 0.0885515, 0.0932566");
}
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.0720601, 0.0885510, 0.1050419, 0.1041915, 0.1033751, 0.1025248, 0.1016744");
}
}
}
pin ("ENABLE_VDDIO") {
max_transition : 1.50;
input_voltage : GENERAL_CORE_VOLTAGE;
direction : "input";
related_power_pin : "VCCHIB";
related_ground_pin : "VSSD";
always_on : true;
rise_capacitance : 0.017504;
capacitance : 0.017395;
fall_capacitance : 0.017287;
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.0526744, 0.0552513, 0.0578281, 0.0581216, 0.0584033, 0.0586968, 0.0589902");
}
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.0295991, 0.0291970, 0.0287949, 0.0286743, 0.0285585, 0.0284379, 0.0283172");
}
}
timing() { /* check skew between enable_vddio and enable_h */
related_pin : "ENABLE_H";
timing_type : non_seq_hold_falling;
fall_constraint (scalar) {
values ("-35");
}
}
}
pin ("ENABLE_H") {
max_transition : 3.75;
input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
direction : "input";
related_power_pin : "VDDIO";
related_ground_pin : "VSSIO";
always_on : true;
rise_capacitance : 0.026009;
capacitance : 0.025648;
fall_capacitance : 0.025286;
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("-0.0133598, 0.0010231, 0.0154060, 0.0242052, 0.0326525, 0.0414517, 0.0502510");
}
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.0657604, 0.0739645, 0.0821685, 0.1951898, 0.3036903, 0.4167116, 0.5297330");
}
}
timing() { /* check skew between enable_vddio and enable_h */
related_pin : "ENABLE_VDDIO";
timing_type : non_seq_hold_rising;
rise_constraint (scalar) {
values ("-35");
}
}
}
pin ("FILT_IN_H") {
input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
direction : "input";
related_power_pin : "VDDIO";
related_ground_pin : "VSSIO";
always_on : false;
max_transition : 3.750000;
rise_capacitance : 0.010072;
capacitance : 0.010103;
fall_capacitance : 0.010133;
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("-0.0113570, -0.0114722, -0.0115874, -0.0115598, -0.0115334, -0.0115059, -0.0114783");
}
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.0113504, 0.0114461, 0.0115418, 0.0115187, 0.0114964, 0.0114733, 0.0114502");
}
}
}
pin ("XRES_H_N") {
output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
direction : "output";
related_power_pin : "VDDIO_Q";
related_ground_pin : "VSSD";
always_on : true;
function : "(PAD)";
max_capacitance : 0.655756;
max_transition : 3.750946;
internal_power () {
related_pin : "PAD";
when : "( !INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & !EN_VDDIO_SIG_H )";
fall_power ("power_outputs_1") {
index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
index_2("0.01, 0.02008123, 0.04032559, 0.08097876, 0.1626153, 0.3265517, 0.655756");
values("1.5493491, 1.5497045, 1.5566152, 1.5447527, 1.5738488, 1.5785709, 1.5941331", \
"1.1134601, 1.1154839, 1.1197817, 1.1258911, 1.1404689, 1.1532516, 1.1596872", \
"2.8342873, 2.8365433, 2.8411247, 2.8468532, 2.8542676, 2.8662285, 2.8805597", \
"2.8406409, 2.8527883, 2.8570130, 2.8537340, 2.8617124, 2.8726398, 2.8968219", \
"2.8588887, 2.8588770, 2.8631745, 2.8696583, 2.8776150, 2.8884100, 2.9029071", \
"2.8700787, 2.8718193, 2.8764140, 2.8831401, 2.8912610, 2.9016954, 2.9163226", \
"2.8855742, 2.8883471, 2.8926115, 2.8990089, 2.9070936, 2.9179651, 2.9326565");
}
rise_power ("power_outputs_1") {
index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
index_2("0.01, 0.02008123, 0.04032559, 0.08097876, 0.1626153, 0.3265517, 0.655756");
values("3.5586930, 3.5699137, 3.5718509, 3.5810027, 3.5869374, 3.6301604, 3.6291826", \
"2.9755272, 2.9770485, 2.9802950, 2.9874549, 3.0016376, 3.0188246, 3.0452383", \
"2.4142292, 2.4160914, 2.4196858, 2.4278812, 2.4403702, 2.4572761, 2.4794585", \
"2.4661213, 2.4677612, 2.4708553, 2.4810462, 2.4929874, 2.5102559, 2.5336521", \
"2.5135113, 2.5135506, 2.5195130, 2.5258944, 2.5397668, 2.5568785, 2.5811230", \
"2.5550987, 2.5561918, 2.5612327, 2.5697179, 2.5819397, 2.6009913, 2.6242539", \
"2.5905352, 2.5922838, 2.5958712, 2.6036944, 2.6167287, 2.6336886, 2.6582278");
}
}
internal_power () {
related_pin : "PAD";
when : "( !INP_SEL_H & ENABLE_H & ENABLE_VDDIO & !EN_VDDIO_SIG_H )";
fall_power ("power_outputs_1") {
index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
index_2("0.01, 0.02008123, 0.04032559, 0.08097876, 0.1626153, 0.3265517, 0.655756");
values("2.6433416, 2.6464320, 2.6712940, 2.6561989, 2.6610717, 2.6815432, 2.7010719", \
"2.2406520, 2.2438395, 2.2489097, 2.2542331, 2.2639181, 2.2786971, 2.2975813", \
"3.9637863, 3.9651993, 3.9703666, 3.9787132, 3.9881280, 4.0002658, 4.0210010", \
"3.9569363, 3.9600567, 3.9651949, 3.9709711, 3.9932116, 3.9947655, 4.0268982", \
"3.9565577, 3.9590475, 3.9641380, 3.9713389, 3.9851321, 3.9940603, 4.0145300", \
"3.9560451, 3.9585492, 3.9634407, 3.9701042, 3.9798785, 3.9937641, 4.0141840", \
"3.9591195, 3.9620958, 3.9669938, 3.9744063, 3.9832486, 3.9972292, 4.0176048");
}
rise_power ("power_outputs_1") {
index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
index_2("0.01, 0.02008123, 0.04032559, 0.08097876, 0.1626153, 0.3265517, 0.655756");
values("3.4200531, 3.4258206, 3.4283613, 3.4220649, 3.4371505, 3.4847058, 3.4905188", \
"2.8448370, 2.8422590, 2.8498423, 2.8576249, 2.8717473, 2.8860417, 2.9098161", \
"2.2867055, 2.2874291, 2.2910565, 2.2956561, 2.3113662, 2.3285724, 2.3548819", \
"2.3392466, 2.3402790, 2.3443164, 2.3540878, 2.3665104, 2.3836486, 2.4075494", \
"2.3861720, 2.3868795, 2.3908432, 2.3984569, 2.4128067, 2.4295995, 2.4518788", \
"2.4308034, 2.4326231, 2.4371924, 2.4461247, 2.4590781, 2.4727379, 2.5023215", \
"2.4683736, 2.4704019, 2.4734273, 2.4822908, 2.4950066, 2.5120208, 2.5351941");
}
}
internal_power () {
related_pin : "FILT_IN_H";
when : "( INP_SEL_H )";
rise_power ("power_outputs_1") {
index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
index_2("0.01, 0.02008123, 0.04032559, 0.08097876, 0.1626153, 0.3265517, 0.655756");
values("-0.8305101, -0.8294873, -0.8271053, -0.8233203, -0.8276984, -0.8178429, -0.8288400", \
"-0.8409069, -0.8404225, -0.8377259, -0.8392820, -0.8536427, -0.8176821, -0.8579162", \
"-0.8309924, -0.8209641, -0.8509983, -0.8261408, -0.8475398, -0.8174583, -0.8310534", \
"-0.8407962, -0.8461238, -0.8570640, -0.8182255, -0.8589205, -0.8296544, -0.8444133", \
"-0.8415405, -0.8339409, -0.8677435, -0.8401600, -0.8604646, -0.8282302, -0.8444445", \
"-0.8382012, -0.8326252, -0.8415525, -0.8204172, -0.8460517, -0.8327269, -0.8454402", \
"-0.8287278, -0.8352465, -0.8391113, -0.8356759, -0.8307925, -0.8328823, -0.8451011");
}
fall_power ("power_outputs_1") {
index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
index_2("0.01, 0.02008123, 0.04032559, 0.08097876, 0.1626153, 0.3265517, 0.655756");
values("0.9248290, 0.9270717, 0.9300764, 0.9358013, 0.9444592, 0.9539852, 0.9673744", \
"0.9364250, 0.9385845, 0.9430817, 0.9489600, 0.9663653, 0.9656036, 0.9828076", \
"0.9425571, 0.9357680, 0.9312161, 0.9315290, 0.9405053, 0.9636465, 0.9620207", \
"0.9354711, 0.9380763, 0.9476094, 0.9607419, 0.9511959, 0.9628003, 0.9774568", \
"0.9355845, 0.9378398, 0.9428136, 0.9490207, 0.9638309, 0.9651885, 0.9833516", \
"0.9475479, 0.9240490, 0.9425246, 0.9609097, 0.9470664, 0.9654511, 0.9809429", \
"0.9464974, 0.9403843, 0.9404062, 0.9401767, 0.9579263, 0.9647056, 0.9760620");
}
}
internal_power () {
related_pin : "PAD";
when : "( !INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & EN_VDDIO_SIG_H )";
fall_power ("power_outputs_1") {
index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
index_2("0.01, 0.02008123, 0.04032559, 0.08097876, 0.1626153, 0.3265517, 0.655756");
values("1.5879501, 1.5914174, 1.5959255, 1.5975897, 1.6098605, 1.6186239, 1.6335579", \
"2.7754468, 2.7779771, 2.7822462, 2.7881883, 2.7958371, 2.8051264, 2.8088400", \
"2.7719895, 2.7740670, 2.7788397, 2.7847019, 2.7921081, 2.8011786, 2.8132791", \
"2.7749010, 2.7755424, 2.7803853, 2.7860507, 2.7950736, 2.8030833, 2.8153964", \
"2.7794381, 2.7821666, 2.7864360, 2.7922940, 2.8006677, 2.8090997, 2.8214182", \
"2.7846221, 2.7866852, 2.7908923, 2.7972052, 2.8047690, 2.8139253, 2.8268035", \
"2.7902971, 2.7920602, 2.7968192, 2.8026127, 2.8096802, 2.8193680, 2.8316119");
}
rise_power ("power_outputs_1") {
index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
index_2("0.01, 0.02008123, 0.04032559, 0.08097876, 0.1626153, 0.3265517, 0.655756");
values("3.4512638, 3.4670947, 3.4743849, 3.4814934, 3.4853776, 3.4955617, 3.5276249", \
"2.3018525, 2.3032201, 2.3056314, 2.3134947, 2.3248619, 2.3438098, 2.3646697", \
"2.3155115, 2.3171716, 2.3199975, 2.3272927, 2.3419105, 2.3595325, 2.3766364", \
"2.3340143, 2.3353773, 2.3387371, 2.3476084, 2.3593783, 2.3786400, 2.4039566", \
"2.3522037, 2.3534907, 2.3578704, 2.3670532, 2.3799870, 2.3983664, 2.4161803", \
"2.3751398, 2.3760746, 2.3800003, 2.3855082, 2.4029554, 2.4177294, 2.4382764", \
"2.3989315, 2.3993574, 2.4044417, 2.4103369, 2.4250829, 2.4426336, 2.4652064");
}
}
internal_power () {
related_pin : "PAD";
when : "( !INP_SEL_H & ENABLE_H & ENABLE_VDDIO & EN_VDDIO_SIG_H )";
rise_power ("power_outputs_1") {
index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
index_2("0.01, 0.02008123, 0.04032559, 0.08097876, 0.1626153, 0.3265517, 0.655756");
values("3.4563013, 3.4280140, 3.4750571, 3.4599158, 3.5094953, 3.4832951, 3.5153395", \
"2.2882989, 2.2884051, 2.2923241, 2.3005304, 2.3132416, 2.3299179, 2.3521781", \
"2.3049540, 2.3051673, 2.3092613, 2.3158584, 2.3309197, 2.3474230, 2.3678468", \
"2.3226763, 2.3234459, 2.3276929, 2.3339791, 2.3469217, 2.3639287, 2.3861713", \
"2.3398061, 2.3402645, 2.3431266, 2.3509619, 2.3654689, 2.3819330, 2.4024233", \
"2.3619433, 2.3613704, 2.3653588, 2.3741345, 2.3865398, 2.4020248, 2.4250990", \
"2.3863901, 2.3857953, 2.3890711, 2.3973746, 2.4082457, 2.4266409, 2.4469949");
}
fall_power ("power_outputs_1") {
index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
index_2("0.01, 0.02008123, 0.04032559, 0.08097876, 0.1626153, 0.3265517, 0.655756");
values("1.5585810, 1.5633218, 1.5660965, 1.5758877, 1.5816968, 1.5885206, 1.6032078", \
"2.7466369, 2.7394571, 2.7530192, 2.7492592, 2.7568976, 2.7756074, 2.7884247", \
"2.7371504, 2.7391229, 2.7478510, 2.7491466, 2.7569039, 2.7705410, 2.7825472", \
"2.7418913, 2.7443054, 2.7490824, 2.7542328, 2.7623826, 2.7712140, 2.7835369", \
"2.7465669, 2.7485952, 2.7535166, 2.7592206, 2.7665006, 2.7762879, 2.7881772", \
"2.7511901, 2.7541244, 2.7579310, 2.7640752, 2.7715981, 2.7808580, 2.7930802", \
"2.7540815, 2.7570175, 2.7609529, 2.7690389, 2.7744293, 2.7836507, 2.7961353");
}
}
timing () {
related_pin : "PAD";
timing_type : "combinational";
timing_sense : "positive_unate";
when : "( !INP_SEL_H & ENABLE_H & ENABLE_VDDIO & !EN_VDDIO_SIG_H )";
sdf_cond : " INP_SEL_H==1'b0 & ENABLE_H==1'b1 & ENABLE_VDDIO==1'b1 & EN_VDDIO_SIG_H==1'b0 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
index_2("0.01, 0.0200812, 0.0403256, 0.0809788, 0.162615, 0.326552, 0.655756");
values("240.0958000, 240.1666600, 240.3337200, 240.6237100, 241.1182700, 241.8846900, 243.0888100", \
"242.2674500, 242.3652500, 242.5721300, 242.8181500, 243.2600200, 243.9131200, 245.1946600", \
"243.8768500, 243.9823000, 244.1301800, 244.3862300, 244.8695400, 245.5494400, 246.8044600", \
"245.1760400, 245.2775300, 245.4534400, 245.7320000, 246.1671000, 246.8732400, 248.1017100", \
"246.2982700, 246.4009300, 246.5772900, 246.8456700, 247.2897900, 247.9941900, 249.2037400", \
"247.3925300, 247.4759800, 247.6515100, 247.9572500, 248.3661800, 249.0870100, 250.3200000", \
"248.4018800, 248.5109000, 248.6839900, 248.9636900, 249.3976000, 250.1038800, 251.3324400");
}
rise_transition ("del_1_7_7") {
index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
index_2("0.01, 0.0200812, 0.0403256, 0.0809788, 0.162615, 0.326552, 0.655756");
values("0.5902262, 0.6404332, 0.7632175, 0.9776344, 1.3487663, 2.0525606, 3.5293646", \
"0.5772832, 0.6364190, 0.7581515, 0.9705546, 1.3421680, 2.0593447, 3.5297059", \
"0.5775380, 0.6452494, 0.7591315, 0.9669083, 1.3381918, 2.0515629, 3.5272452", \
"0.5829954, 0.6368568, 0.7620204, 0.9775820, 1.3487557, 2.0615250, 3.5293239", \
"0.5834402, 0.6411741, 0.7732344, 0.9712694, 1.3460745, 2.0596653, 3.5375856", \
"0.5771121, 0.6412132, 0.7732912, 0.9730141, 1.3460966, 2.0509738, 3.5328358", \
"0.5781630, 0.6484317, 0.7598981, 0.9761134, 1.3482859, 2.0631861, 3.5258931");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
index_2("0.01, 0.0200812, 0.0403256, 0.0809788, 0.162615, 0.326552, 0.655756");
values("264.4842700, 264.5779500, 264.7623500, 264.9880200, 265.4634000, 266.1714700, 267.4566100", \
"264.2212000, 264.3264700, 264.5054200, 264.7455500, 265.1699200, 265.8984200, 267.2120200", \
"266.9098600, 267.0192300, 267.1823200, 267.4335600, 267.8620800, 268.5910700, 269.9025900", \
"269.9222000, 270.0242000, 270.2035700, 270.4395600, 270.8623000, 271.5963500, 272.9258900", \
"273.0171800, 273.1189600, 273.2826100, 273.5450800, 273.9512000, 274.6913600, 276.0094700", \
"276.1772500, 276.2760100, 276.4409100, 276.6988400, 277.1285500, 277.8472200, 279.1657900", \
"279.3315700, 279.4318400, 279.5952400, 279.8581700, 280.2812800, 281.0045300, 282.3225300");
}
fall_transition ("del_1_7_7") {
index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
index_2("0.01, 0.0200812, 0.0403256, 0.0809788, 0.162615, 0.326552, 0.655756");
values("0.5181071, 0.5821585, 0.7031178, 0.9182630, 1.3136452, 2.1012918, 3.7411381", \
"0.5150938, 0.5806652, 0.7010819, 0.9202255, 1.3136482, 2.0995718, 3.7361843", \
"0.5206931, 0.5843098, 0.7006715, 0.9195319, 1.3113942, 2.0987989, 3.7368850", \
"0.5135273, 0.5802086, 0.7014379, 0.9184094, 1.3129756, 2.1000097, 3.7392969", \
"0.5143893, 0.5805531, 0.7029302, 0.9161160, 1.3118322, 2.0997295, 3.7476471", \
"0.5154874, 0.5795461, 0.7002207, 0.9180504, 1.3106650, 2.0994810, 3.7471777", \
"0.5138250, 0.5804975, 0.7025579, 0.9160543, 1.3113303, 2.0998243, 3.7479011");
}
}
timing () {
related_pin : "PAD";
timing_type : "combinational";
timing_sense : "positive_unate";
when : "( !INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & !EN_VDDIO_SIG_H )";
sdf_cond : " INP_SEL_H==1'b0 & ENABLE_H==1'b0 & ENABLE_VDDIO==1'b0 & EN_VDDIO_SIG_H==1'b0 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
index_2("0.01, 0.0200812, 0.0403256, 0.0809788, 0.162615, 0.326552, 0.655756");
values("245.1636500, 245.2800900, 245.4391400, 245.5873900, 246.0188000, 246.8510400, 247.9195900", \
"247.0673300, 247.1721800, 247.3408900, 247.6192000, 248.0825900, 248.7881500, 250.0150900", \
"248.6151500, 248.7246900, 248.8981200, 249.1782700, 249.6121600, 250.3174100, 251.5464500", \
"249.9091400, 250.0136400, 250.1866400, 250.4733900, 250.9059100, 251.6134100, 252.8453500", \
"251.0777200, 251.1538600, 251.3609700, 251.5962200, 252.0523600, 252.7472100, 253.9729600", \
"252.0988600, 252.1980800, 252.3752600, 252.6883200, 253.0923100, 253.7973400, 255.0227700", \
"253.0257200, 253.1332700, 253.2787800, 253.5783200, 253.9931100, 254.6985300, 255.9269500");
}
rise_transition ("del_1_7_7") {
index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
index_2("0.01, 0.0200812, 0.0403256, 0.0809788, 0.162615, 0.326552, 0.655756");
values("0.5783614, 0.6437500, 0.7633014, 0.9690608, 1.3487907, 2.0608917, 3.5290040", \
"0.5854652, 0.6533868, 0.7592007, 0.9665518, 1.3487825, 2.0615536, 3.5228762", \
"0.5783712, 0.6474300, 0.7602038, 0.9764814, 1.3484609, 2.0631976, 3.5259899", \
"0.5736920, 0.6403071, 0.7603353, 0.9735753, 1.3472670, 2.0542978, 3.5361769", \
"0.5833458, 0.6420438, 0.7619235, 0.9741751, 1.3382301, 2.0548838, 3.5300438", \
"0.5857422, 0.6500527, 0.7622735, 0.9734706, 1.3509482, 2.0532307, 3.5362995", \
"0.5771224, 0.6563972, 0.7623788, 0.9708575, 1.3488469, 2.0617781, 3.5267234");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
index_2("0.01, 0.0200812, 0.0403256, 0.0809788, 0.162615, 0.326552, 0.655756");
values("245.2027000, 245.2027054, 245.4217500, 245.5939500, 246.1111100, 246.7644200, 248.1498000", \
"246.7529000, 246.8371200, 247.0033300, 247.2608000, 247.6873800, 248.4113100, 249.7454000", \
"249.9758400, 250.0698000, 250.2328300, 250.4949100, 250.9173100, 251.6453300, 252.9562600", \
"253.0414100, 253.1493500, 253.3064100, 253.5653000, 253.9848900, 254.7104700, 256.0482200", \
"255.9609700, 256.0436200, 256.2074100, 256.4715700, 256.8938600, 257.6191800, 258.9403300", \
"258.7835300, 258.8813900, 259.0436700, 259.3152100, 259.7381900, 260.4636200, 261.7860600", \
"261.5008200, 261.5900000, 261.7565300, 262.0232100, 262.4435900, 263.1689400, 264.4864900");
}
fall_transition ("del_1_7_7") {
index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
index_2("0.01, 0.0200812, 0.0403256, 0.0809788, 0.162615, 0.326552, 0.655756");
values("0.5179578, 0.5789811, 0.7090431, 0.9168474, 1.3126121, 2.1013717, 3.7505767", \
"0.5146916, 0.5831477, 0.7102736, 0.9184989, 1.3115624, 2.0991706, 3.7502184", \
"0.5201499, 0.5847830, 0.7003836, 0.9182912, 1.3115641, 2.0985547, 3.7404049", \
"0.5160555, 0.5811233, 0.7099447, 0.9128567, 1.3129855, 2.1014606, 3.7506327", \
"0.5146365, 0.5865289, 0.7021372, 0.9162252, 1.3110164, 2.0996180, 3.7476329", \
"0.5147191, 0.5930093, 0.7067286, 0.9192566, 1.3139929, 2.0975361, 3.7330303", \
"0.5133818, 0.5806252, 0.6972310, 0.9123646, 1.3129147, 2.1017248, 3.7528358");
}
}
timing () {
related_pin : "FILT_IN_H";
timing_type : "combinational";
timing_sense : "positive_unate";
when : "( INP_SEL_H )";
sdf_cond : " INP_SEL_H==1'b1 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
index_2("0.01, 0.0200812, 0.0403256, 0.0809788, 0.162615, 0.326552, 0.655756");
values("229.4213700, 229.5392400, 229.7117700, 229.9888000, 230.4248700, 231.1247600, 232.3581600", \
"229.4967300, 229.5987700, 229.7748800, 230.0453700, 230.4800400, 231.1918100, 232.4195900", \
"229.6625600, 229.7711300, 229.9391200, 230.2165900, 230.6603200, 231.3629200, 232.5975700", \
"229.8750400, 229.9770900, 230.1452500, 230.4226400, 230.8665900, 231.5690900, 232.7959800", \
"230.0270300, 230.1326400, 230.3022500, 230.5796900, 231.0232200, 231.7260300, 232.9526000", \
"230.2271000, 230.3334800, 230.4662600, 230.7427100, 231.1855100, 231.8871900, 233.1526200", \
"230.3833800, 230.4910000, 230.6883000, 230.9661700, 231.4105800, 232.0834500, 233.3117900");
}
rise_transition ("del_1_7_7") {
index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
index_2("0.01, 0.0200812, 0.0403256, 0.0809788, 0.162615, 0.326552, 0.655756");
values("0.5860564, 0.6500426, 0.7679500, 0.9754733, 1.3421122, 2.0554132, 3.5327119", \
"0.5731728, 0.6411009, 0.7680566, 0.9712942, 1.3421860, 2.0588105, 3.5297778", \
"0.5865030, 0.6498069, 0.7610398, 0.9692880, 1.3452583, 2.0548954, 3.5328229", \
"0.5733993, 0.6410072, 0.7610657, 0.9615734, 1.3451819, 2.0544826, 3.5323187", \
"0.5867257, 0.6497307, 0.7612146, 0.9685410, 1.3452883, 2.0557760, 3.5311014", \
"0.5838726, 0.6508338, 0.7586777, 0.9640750, 1.3502676, 2.0526332, 3.5334022", \
"0.5769058, 0.6427804, 0.7614870, 0.9617953, 1.3452719, 2.0561819, 3.5251728");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
index_2("0.01, 0.0200812, 0.0403256, 0.0809788, 0.162615, 0.326552, 0.655756");
values("234.8224200, 234.9320600, 235.0868000, 235.3799100, 235.7751300, 236.4986800, 237.8326500", \
"235.0892900, 235.1876600, 235.3493600, 235.6185900, 236.0532000, 236.7650500, 238.0852900", \
"235.3179000, 235.4160700, 235.5861100, 235.8415100, 236.2657100, 236.9906200, 238.3212600", \
"235.5355800, 235.6333400, 235.7963100, 236.0614100, 236.4872100, 237.2112000, 238.5302800", \
"235.7327000, 235.8296000, 235.9917600, 236.2687800, 236.6862900, 237.4113100, 238.7356800", \
"235.9127400, 236.0074100, 236.1797600, 236.4385500, 236.8616700, 237.5963000, 238.9161000", \
"236.1176100, 236.2106100, 236.3799200, 236.6405600, 237.0581900, 237.7892200, 239.1083200");
}
fall_transition ("del_1_7_7") {
index_1("0.01, 0.63, 1.26, 1.88, 2.5, 3.13, 3.75");
index_2("0.01, 0.0200812, 0.0403256, 0.0809788, 0.162615, 0.326552, 0.655756");
values("0.5203263, 0.5813463, 0.7037596, 0.9136802, 1.3092080, 2.0988082, 3.7478145", \
"0.5140310, 0.5777800, 0.7039298, 0.9131696, 1.3098421, 2.0951940, 3.7436547", \
"0.5166355, 0.5812231, 0.7017046, 0.9192862, 1.3122409, 2.0988129, 3.7453884", \
"0.5139457, 0.5774989, 0.7060634, 0.9153533, 1.3122985, 2.0961435, 3.7470249", \
"0.5211305, 0.5886066, 0.7048961, 0.9130449, 1.3138101, 2.1014739, 3.7432466", \
"0.5198111, 0.5869671, 0.7038086, 0.9141410, 1.3089473, 2.0958026, 3.7452423", \
"0.5126645, 0.5763413, 0.7039733, 0.9204098, 1.3135609, 2.1015982, 3.7480952");
}
}
timing () {
related_pin : "PAD";
timing_type : "combinational";
timing_sense : "positive_unate";
when : "( !INP_SEL_H & !ENABLE_H & !ENABLE_VDDIO & EN_VDDIO_SIG_H )";
sdf_cond : " INP_SEL_H==1'b0 & ENABLE_H==1'b0 & ENABLE_VDDIO==1'b0 & EN_VDDIO_SIG_H==1'b1 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
index_2("0.01, 0.0200812, 0.0403256, 0.0809788, 0.162615, 0.326552, 0.655756");
values("240.7719800, 240.8969200, 241.0468800, 241.3826200, 241.8958600, 242.4692100, 243.7655800", \
"243.0177500, 243.1227400, 243.2885300, 243.5652400, 244.0352200, 244.7371500, 245.9691200", \
"244.6628300, 244.7852400, 244.9335500, 245.2064800, 245.6504500, 246.3852700, 247.5791800", \
"245.9821100, 246.0859900, 246.2618600, 246.5371800, 246.9725800, 247.7038100, 248.9094900", \
"247.2194200, 247.3234700, 247.4938300, 247.7727300, 248.2085200, 248.9067000, 250.1373900", \
"248.3379500, 248.4447600, 248.6138700, 248.9042300, 249.3341900, 250.0440800, 251.2778400", \
"249.4234100, 249.5510500, 249.7369600, 249.9980400, 250.4160900, 251.1178000, 252.3722200");
}
rise_transition ("del_1_7_7") {
index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
index_2("0.01, 0.0200812, 0.0403256, 0.0809788, 0.162615, 0.326552, 0.655756");
values("0.5774493, 0.6434773, 0.7550203, 0.9670510, 1.3482195, 2.0526432, 3.5311738", \
"0.5775382, 0.6431433, 0.7589225, 0.9642722, 1.3395864, 2.0606810, 3.5198713", \
"0.5855236, 0.6440449, 0.7555751, 0.9646714, 1.3416740, 2.0581332, 3.5352841", \
"0.5742389, 0.6383869, 0.7576557, 0.9695898, 1.3370999, 2.0541888, 3.5328362", \
"0.5792333, 0.6430970, 0.7672666, 0.9747869, 1.3439695, 2.0615993, 3.5258174", \
"0.5854287, 0.6505768, 0.7611685, 0.9684975, 1.3449373, 2.0565023, 3.5199901", \
"0.5788250, 0.6508465, 0.7618333, 0.9631238, 1.3436849, 2.0577047, 3.5319749");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
index_2("0.01, 0.0200812, 0.0403256, 0.0809788, 0.162615, 0.326552, 0.655756");
values("243.3515600, 243.3515702, 243.6369200, 243.8217500, 244.2788200, 244.8859100, 246.3087300", \
"245.3338400, 245.4299300, 245.5937500, 245.8595200, 246.2845400, 247.0112600, 248.3248200", \
"247.2890800, 247.3795500, 247.5626400, 247.8065000, 248.2347000, 248.9625800, 250.2971500", \
"248.7765000, 248.8871200, 249.0508000, 249.3166000, 249.7284000, 250.4721400, 251.7956000", \
"250.0818800, 250.1777500, 250.3414300, 250.6071200, 251.0347500, 251.7585600, 253.0831900", \
"251.2047100, 251.2959200, 251.4618100, 251.7268500, 252.1534300, 252.8788100, 254.1958100", \
"252.2441800, 252.3316300, 252.5038100, 252.7689000, 253.1844500, 253.9195200, 255.2393100");
}
fall_transition ("del_1_7_7") {
index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
index_2("0.01, 0.0200812, 0.0403256, 0.0809788, 0.162615, 0.326552, 0.655756");
values("0.5121804, 0.5795188, 0.7039191, 0.9191335, 1.3131193, 2.0993411, 3.7425347", \
"0.5204113, 0.5871808, 0.7036908, 0.9142940, 1.3094056, 2.0981825, 3.7476071", \
"0.5132503, 0.5844182, 0.7042289, 0.9181908, 1.3117355, 2.1002113, 3.7478900", \
"0.5198454, 0.5806693, 0.7011875, 0.9143654, 1.3121695, 2.0981215, 3.7458694", \
"0.5200020, 0.5870869, 0.7009436, 0.9142629, 1.3140791, 2.0980711, 3.7457264", \
"0.5125813, 0.5870915, 0.7096151, 0.9200708, 1.3142506, 2.1017412, 3.7481369", \
"0.5148409, 0.5788967, 0.7037860, 0.9140809, 1.3087834, 2.0973439, 3.7509462");
}
}
timing () {
related_pin : "PAD";
timing_type : "combinational";
timing_sense : "positive_unate";
when : "( !INP_SEL_H & ENABLE_H & ENABLE_VDDIO & EN_VDDIO_SIG_H )";
sdf_cond : " INP_SEL_H==1'b0 & ENABLE_H==1'b1 & ENABLE_VDDIO==1'b1 & EN_VDDIO_SIG_H==1'b1 ";
cell_rise ("del_1_7_7") {
index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
index_2("0.01, 0.0200812, 0.0403256, 0.0809788, 0.162615, 0.326552, 0.655756");
values("240.7313100, 240.7512300, 241.0553500, 241.2020000, 241.7841400, 242.3406900, 243.5615700", \
"242.8810700, 243.0199100, 243.1932800, 243.4690600, 243.9101600, 244.6122100, 245.8436100", \
"244.5116000, 244.5891600, 244.7588700, 245.0796900, 245.4767400, 246.1796400, 247.4472800", \
"245.8730000, 245.9616200, 246.1639800, 246.4051900, 246.8438000, 247.5519800, 248.8051500", \
"247.0618500, 247.1584800, 247.3264500, 247.6051000, 248.0472300, 248.7501500, 249.9796500", \
"248.2240400, 248.2557000, 248.4320600, 248.7101400, 249.1783300, 249.9138800, 251.1452200", \
"249.3076200, 249.3967200, 249.5227100, 249.8431100, 250.2870000, 250.9832800, 252.2229700");
}
rise_transition ("del_1_7_7") {
index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
index_2("0.01, 0.0200812, 0.0403256, 0.0809788, 0.162615, 0.326552, 0.655756");
values("0.5770000, 0.6479502, 0.7599253, 0.9680824, 1.3458327, 2.0527500, 3.5291960", \
"0.5737802, 0.6392595, 0.7575550, 0.9684473, 1.3405195, 2.0479716, 3.5198906", \
"0.5859382, 0.6431686, 0.7595959, 0.9615166, 1.3385729, 2.0588571, 3.5274941", \
"0.5810769, 0.6437212, 0.7693142, 0.9685489, 1.3406294, 2.0597486, 3.5196310", \
"0.5870894, 0.6499928, 0.7604768, 0.9630634, 1.3418201, 2.0500126, 3.5329868", \
"0.5741261, 0.6381343, 0.7560959, 0.9752864, 1.3433608, 2.0599604, 3.5292707", \
"0.5750904, 0.6422762, 0.7613125, 0.9692208, 1.3392073, 2.0506259, 3.5287993");
}
cell_fall ("del_1_7_7") {
index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
index_2("0.01, 0.0200812, 0.0403256, 0.0809788, 0.162615, 0.326552, 0.655756");
values("243.1164000, 243.2212800, 243.3663700, 243.7533300, 244.0785600, 244.7674500, 246.0953100", \
"245.2241900, 245.3300300, 245.4816000, 245.7636000, 246.1820500, 246.8871700, 248.2167900", \
"247.1297200, 247.2193000, 247.3859400, 247.6565400, 248.0705100, 248.7823600, 250.1165000", \
"248.5884900, 248.6807500, 248.8465600, 249.1074200, 249.5444000, 250.2741300, 251.5818900", \
"249.8263400, 249.8997800, 250.0842100, 250.3453200, 250.7676400, 251.4948700, 252.8172700", \
"250.9026700, 250.9962900, 251.1607700, 251.4269700, 251.8483200, 252.5731900, 253.8967000", \
"251.8983100, 251.9947200, 252.1560400, 252.4180300, 252.8450100, 253.5571800, 254.8961200");
}
fall_transition ("del_1_7_7") {
index_1("0.01, 4.17, 8.34, 12.5, 16.67, 20.84, 25");
index_2("0.01, 0.0200812, 0.0403256, 0.0809788, 0.162615, 0.326552, 0.655756");
values("0.5171978, 0.5827096, 0.7014519, 0.9129523, 1.3124475, 2.0985980, 3.7433896", \
"0.5166051, 0.5792301, 0.6987558, 0.9138467, 1.3083860, 2.1007203, 3.7473771", \
"0.5154437, 0.5788451, 0.7003569, 0.9109306, 1.3088235, 2.0980921, 3.7482391", \
"0.5190632, 0.5873930, 0.7039072, 0.9192752, 1.3101710, 2.1002633, 3.7438590", \
"0.5128216, 0.5866696, 0.7002839, 0.9178022, 1.3134153, 2.0996027, 3.7485446", \
"0.5199771, 0.5870953, 0.7036854, 0.9142640, 1.3092923, 2.0980786, 3.7482510", \
"0.5136390, 0.5801909, 0.6957987, 0.9140974, 1.3121509, 2.1016797, 3.7479295");
}
}
}
pin (PAD) {
output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
direction : "inout";
related_power_pin : "VDDIO";
related_ground_pin : "VSSIO";
always_on : false;
is_pad : true;
fall_capacitance : 1.023417;
capacitance : 1.024576;
rise_capacitance : 1.025735;
max_transition : 25.0;
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("-1.1823661, -1.1433486, -1.1043311, -1.0884983, -1.0732987, -1.0574659, -1.0416330");
}
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("1.2277002, 1.2450434, 1.2623866, 1.3104263, 1.3565444, 1.4045841, 1.4526238");
}
}
}
pin ("PULLUP_H") {
output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
direction : "inout";
related_power_pin : "VDDIO";
related_ground_pin : "VSSIO";
always_on : false;
signal_voltage_type : "analog";
rise_capacitance : 0.082726;
capacitance : 0.101418;
fall_capacitance : 0.120111;
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("-0.0125249, 0.0745030, 0.1615310, 0.2482729, 0.3315452, 0.4182871, 0.5050290");
}
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.0170601, -0.0668283, -0.1507166, -0.2337580, -0.3134777, -0.3965191, -0.4795605");
}
}
}
pin ("TIE_WEAK_HI_H") {
output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
direction : "inout";
related_power_pin : "VDDIO";
related_ground_pin : "VSSIO";
always_on : false;
signal_voltage_type : "analog";
rise_capacitance : 0.084279;
capacitance : 0.125088;
fall_capacitance : 0.165897;
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("-0.0865657, 0.0142996, 0.1151648, 0.2164186, 0.3136221, 0.4148758, 0.5161296");
}
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.0865540, -0.0143048, -0.1151637, -0.2164188, -0.3136238, -0.4148790, -0.5161342");
}
}
}
pin ("TIE_LO_ESD") {
function : "0";
output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
direction : "output";
related_power_pin : "VDDIO";
related_ground_pin : "VSSIO";
always_on : false;
signal_voltage_type : "analog";
}
pin ("TIE_HI_ESD") {
function : "1";
output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
direction : "output";
related_power_pin : "VDDIO";
related_ground_pin : "VSSIO";
always_on : false;
signal_voltage_type : "analog";
}
pin ("PAD_A_ESD_H") {
output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
direction : "inout";
related_power_pin : "VDDIO";
related_ground_pin : "VSSIO";
always_on : false;
signal_voltage_type : "analog";
rise_capacitance : 1.681498;
capacitance : 1.981095;
fall_capacitance : 2.280692;
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("-0.0054536, -0.0055491, -0.0056445, -0.0056067, -0.0055705, -0.0055327, -0.0054949");
}
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.0054711, 0.0055393, 0.0056075, 0.0055795, 0.0055526, 0.0055246, 0.0054966");
}
}
}
pin ("AMUXBUS_A") {
output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
direction : "inout";
related_power_pin : "VDDA";
related_ground_pin : "VSSA";
always_on : false;
signal_voltage_type : "analog";
rise_capacitance : 0.049959;
capacitance : 0.049948;
fall_capacitance : 0.049937;
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("-0.0027958, -0.0028113, -0.0028268, -0.0028204, -0.0028142, -0.0028077, -0.0028013");
}
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.0027967, 0.0028193, 0.0028419, 0.0028341, 0.0028267, 0.0028190, 0.0028112");
}
}
}
pin ("AMUXBUS_B") {
output_voltage : GENERAL_IO_VDDIO_VOLTAGE;
input_voltage : GENERAL_IO_VDDIO_VOLTAGE;
direction : "inout";
related_power_pin : "VDDA";
related_ground_pin : "VSSA";
always_on : false;
signal_voltage_type : "analog";
rise_capacitance : 0.045951;
capacitance : 0.045943;
fall_capacitance : 0.045935;
internal_power () {
rise_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("-0.0045649, -0.0046147, -0.0046645, -0.0046548, -0.0046455, -0.0046359, -0.0046262");
}
fall_power ("power_inputs_1") {
index_1("0.0100000, 0.2600000, 0.5100000, 0.7600000, 1.0000000, 1.2500000, 1.5000000");
values("0.0045762, 0.0046375, 0.0046987, 0.0046803, 0.0046626, 0.0046442, 0.0046257");
}
}
}
}
default_operating_conditions : "ss_ss_1p60v_x_1p65v_100C";
}