feat: add xl inveter, xxl inverter (metal only)
diff --git a/gds/user_analog_project_wrapper.gds b/gds/user_analog_project_wrapper.gds
index d77a914..6945bb0 100644
--- a/gds/user_analog_project_wrapper.gds
+++ b/gds/user_analog_project_wrapper.gds
Binary files differ
diff --git a/mag/skullfet_inverter_xl.mag b/mag/skullfet_inverter_xl.mag
new file mode 100644
index 0000000..e3ce97b
--- /dev/null
+++ b/mag/skullfet_inverter_xl.mag
@@ -0,0 +1,627 @@
+magic
+tech sky130A
+timestamp 1641001583
+<< nwell >>
+rect 3050 600 8250 6300
+<< pwell >>
+rect 3050 8750 8250 13900
+<< nmos >>
+rect 3650 11810 7700 12210
+<< pmos >>
+rect 3650 2550 7700 2950
+<< ndiff >>
+rect 4730 13300 6890 13570
+rect 4460 13030 6890 13300
+rect 3920 12950 7430 13030
+rect 3920 12650 4000 12950
+rect 4200 12650 7430 12950
+rect 3920 12490 7430 12650
+rect 3650 12210 7700 12490
+rect 3650 11550 7700 11810
+rect 3650 11250 3700 11550
+rect 3900 11410 7700 11550
+rect 3900 11250 4460 11410
+rect 3650 11140 4460 11250
+rect 3650 10870 4190 11140
+rect 3920 10600 4190 10870
+rect 5270 10600 6080 11410
+rect 6890 11140 7700 11410
+rect 7160 10870 7700 11140
+rect 7160 10600 7430 10870
+rect 3920 10330 4460 10600
+rect 5000 10330 6350 10600
+rect 6890 10330 7430 10600
+rect 3920 10060 5540 10330
+rect 5810 10060 7160 10330
+rect 4460 9790 5270 10060
+rect 6080 9790 7160 10060
+rect 4730 9250 6620 9790
+rect 4730 8980 5000 9250
+rect 5270 8980 5540 9250
+rect 5810 8980 6080 9250
+rect 6350 8980 6620 9250
+<< pdiff >>
+rect 4730 5470 5000 5740
+rect 5270 5470 5540 5740
+rect 5810 5470 6080 5740
+rect 6350 5470 6620 5740
+rect 4730 4930 6620 5470
+rect 4460 4660 5270 4930
+rect 6080 4660 7160 4930
+rect 3920 4390 5540 4660
+rect 5810 4390 7160 4660
+rect 3920 4120 4460 4390
+rect 5000 4120 6350 4390
+rect 6890 4120 7430 4390
+rect 3920 3850 4190 4120
+rect 3650 3580 4190 3850
+rect 3650 3450 4460 3580
+rect 3650 3150 3700 3450
+rect 4000 3310 4460 3450
+rect 5270 3310 6080 4120
+rect 7160 3850 7430 4120
+rect 7160 3580 7700 3850
+rect 6890 3310 7700 3580
+rect 4000 3150 7700 3310
+rect 3650 2950 7700 3150
+rect 3650 2230 7700 2550
+rect 3920 2050 7430 2230
+rect 3920 1750 4000 2050
+rect 4200 1750 7430 2050
+rect 3920 1690 7430 1750
+rect 4460 1420 6890 1690
+rect 4730 1150 6890 1420
+<< ndiffc >>
+rect 4000 12650 4200 12950
+rect 3700 11250 3900 11550
+<< pdiffc >>
+rect 3700 3150 4000 3450
+rect 4000 1750 4200 2050
+<< psubdiff >>
+rect 3300 13750 4400 13800
+rect 3300 13350 3450 13750
+rect 3950 13700 4400 13750
+rect 3950 13500 4000 13700
+rect 4300 13500 4400 13700
+rect 7100 13700 7500 13800
+rect 3950 13400 4400 13500
+rect 3950 13350 4100 13400
+rect 3300 13300 4100 13350
+rect 7100 13500 7200 13700
+rect 7400 13500 7500 13700
+rect 7100 13400 7500 13500
+rect 4700 11100 5100 11200
+rect 4700 10900 4800 11100
+rect 5000 10900 5100 11100
+rect 4700 10800 5100 10900
+rect 6300 11100 6700 11200
+rect 6300 10900 6400 11100
+rect 6600 10900 6700 11100
+rect 6300 10800 6700 10900
+rect 5500 8850 5850 8900
+rect 5500 8800 5550 8850
+rect 5800 8800 5850 8850
+rect 5500 8750 5850 8800
+<< nsubdiff >>
+rect 5500 6100 5900 6200
+rect 5500 6000 5600 6100
+rect 5800 6000 5900 6100
+rect 5500 5900 5900 6000
+rect 4600 3800 5000 3900
+rect 4600 3600 4700 3800
+rect 4900 3600 5000 3800
+rect 4600 3500 5000 3600
+rect 6300 3800 6700 3900
+rect 6300 3600 6400 3800
+rect 6600 3600 6700 3800
+rect 6300 3500 6700 3600
+rect 3350 1350 4050 1400
+rect 3350 1100 3500 1350
+rect 3900 1300 4050 1350
+rect 3900 1200 4400 1300
+rect 3900 1100 4000 1200
+rect 4300 1100 4400 1200
+rect 7100 1400 7700 1500
+rect 3350 1000 4400 1100
+rect 7100 1000 7200 1400
+rect 7600 1000 7700 1400
+rect 7100 900 7700 1000
+<< psubdiffcont >>
+rect 3450 13350 3950 13750
+rect 4000 13500 4300 13700
+rect 7200 13500 7400 13700
+rect 4800 10900 5000 11100
+rect 6400 10900 6600 11100
+rect 5550 8800 5800 8850
+<< nsubdiffcont >>
+rect 5600 6000 5800 6100
+rect 4700 3600 4900 3800
+rect 6400 3600 6600 3800
+rect 3500 1100 3900 1350
+rect 4000 1100 4300 1200
+rect 7200 1000 7600 1400
+<< poly >>
+rect 2500 11810 3650 12210
+rect 7700 11810 9000 12210
+rect 8500 9750 9000 11810
+rect 8500 9250 8600 9750
+rect 8900 9250 9000 9750
+rect 8500 5350 9000 9250
+rect 8500 4850 8600 5350
+rect 8900 4850 9000 5350
+rect 8500 2950 9000 4850
+rect 2500 2550 3650 2950
+rect 7700 2550 9000 2950
+<< polycont >>
+rect 8600 9250 8900 9750
+rect 8600 4850 8900 5350
+<< locali >>
+rect 3300 13750 4400 13800
+rect 3300 13350 3450 13750
+rect 3950 13700 4400 13750
+rect 3950 13500 4000 13700
+rect 4300 13500 4400 13700
+rect 3950 13400 4400 13500
+rect 7100 13700 8200 13800
+rect 7100 13500 7200 13700
+rect 7400 13500 7900 13700
+rect 8100 13600 8200 13700
+rect 8100 13500 8300 13600
+rect 7100 13400 8300 13500
+rect 3950 13350 4100 13400
+rect 3300 13050 4100 13350
+rect 3100 12950 4300 13050
+rect 3100 12700 3200 12950
+rect 3450 12700 4000 12950
+rect 3100 12650 4000 12700
+rect 4200 12650 4300 12950
+rect 3100 12550 4300 12650
+rect 2500 11550 4000 11650
+rect 2500 11250 3700 11550
+rect 3900 11250 4000 11550
+rect 2500 11150 4000 11250
+rect 2500 3550 3000 11150
+rect 4700 11100 5100 11200
+rect 6300 11100 6700 11200
+rect 8000 11100 8300 13400
+rect 4700 10900 4800 11100
+rect 5000 10900 6400 11100
+rect 6600 10900 8300 11100
+rect 4700 10800 5100 10900
+rect 6300 10800 6700 10900
+rect 8000 9700 8300 10900
+rect 6800 9500 8300 9700
+rect 8500 9750 9000 9950
+rect 6800 8900 7000 9500
+rect 5500 8850 7000 8900
+rect 5500 8800 5550 8850
+rect 5800 8800 7000 8850
+rect 5500 8750 7000 8800
+rect 8500 9250 8600 9750
+rect 8900 9250 9000 9750
+rect 5500 6100 5900 6200
+rect 5500 6000 5600 6100
+rect 5800 6000 7300 6100
+rect 5500 5900 7300 6000
+rect 7100 5300 7300 5900
+rect 8500 5350 9000 9250
+rect 7100 5100 8200 5300
+rect 4600 3800 5000 3900
+rect 6300 3800 6700 3900
+rect 7900 3800 8200 5100
+rect 8500 4850 8600 5350
+rect 8900 4850 9000 5350
+rect 8500 4550 9000 4850
+rect 4600 3600 4700 3800
+rect 4900 3600 6400 3800
+rect 6600 3600 8200 3800
+rect 2500 3450 4100 3550
+rect 4600 3500 5000 3600
+rect 6300 3500 6700 3600
+rect 2500 3150 3700 3450
+rect 4000 3150 4100 3450
+rect 2500 3050 4100 3150
+rect 3400 2050 4300 2150
+rect 3400 1950 4000 2050
+rect 3400 1750 3450 1950
+rect 3700 1750 4000 1950
+rect 4200 1750 4300 2050
+rect 3400 1650 4300 1750
+rect 3350 1350 4050 1650
+rect 7900 1500 8200 3600
+rect 3350 1100 3500 1350
+rect 3900 1300 4050 1350
+rect 7100 1400 8200 1500
+rect 3900 1200 4400 1300
+rect 3900 1100 4000 1200
+rect 4300 1100 4400 1200
+rect 3350 1000 4400 1100
+rect 7100 1000 7200 1400
+rect 7600 1000 7900 1400
+rect 8100 1000 8200 1400
+rect 7100 900 8200 1000
+<< viali >>
+rect 7900 13500 8100 13700
+rect 3200 12700 3450 12950
+rect 3450 1750 3700 1950
+rect 7900 1000 8100 1400
+<< metal1 >>
+rect 0 14000 10700 14400
+rect 2500 13050 2900 14000
+rect 7800 13700 8300 14000
+rect 4730 13300 6890 13570
+rect 7800 13500 7900 13700
+rect 8100 13500 8300 13700
+rect 7800 13400 8300 13500
+rect 2500 12950 3500 13050
+rect 4460 13030 6890 13300
+rect 2500 12700 3200 12950
+rect 3450 12700 3500 12950
+rect 2500 12600 3500 12700
+rect 3920 12490 7430 13030
+rect 3650 11410 7700 12490
+rect 3650 11140 4460 11410
+rect 3650 10870 4190 11140
+rect 3920 10600 4190 10870
+rect 5270 10600 6080 11410
+rect 6890 11140 7700 11410
+rect 7160 10870 7700 11140
+rect 7160 10600 7430 10870
+rect 3920 10330 4460 10600
+rect 5000 10330 6350 10600
+rect 6890 10330 7430 10600
+rect 3920 10060 5540 10330
+rect 5810 10060 7160 10330
+rect 4460 9790 5270 10060
+rect 6080 9790 7160 10060
+rect 4730 9250 6620 9790
+rect 3110 8980 3920 9250
+rect 4730 8980 5000 9250
+rect 5270 8980 5540 9250
+rect 5810 8980 6080 9250
+rect 6350 8980 6620 9250
+rect 7430 8980 8240 9250
+rect 2840 8440 4190 8980
+rect 7160 8440 8510 8980
+rect 3110 8170 4730 8440
+rect 6620 8170 8240 8440
+rect 3920 7900 5000 8170
+rect 6350 7900 7430 8170
+rect 4460 7630 5540 7900
+rect 5810 7630 6890 7900
+rect 5000 7090 6350 7630
+rect 4460 6820 5540 7090
+rect 5810 6820 6890 7090
+rect 3110 6550 5000 6820
+rect 6350 6550 8510 6820
+rect 2840 6280 4460 6550
+rect 6890 6280 8510 6550
+rect 2840 6010 3920 6280
+rect 7430 6010 8510 6280
+rect 2840 5740 3650 6010
+rect 7700 5740 8510 6010
+rect 3110 5470 3380 5740
+rect 4730 5470 5000 5740
+rect 5270 5470 5540 5740
+rect 5810 5470 6080 5740
+rect 6350 5470 6620 5740
+rect 7970 5470 8240 5740
+rect 4730 4930 6620 5470
+rect 4460 4660 5270 4930
+rect 6080 4660 7160 4930
+rect 3920 4390 5540 4660
+rect 5810 4390 7160 4660
+rect 3920 4120 4460 4390
+rect 5000 4120 6350 4390
+rect 6890 4120 7430 4390
+rect 3920 3850 4190 4120
+rect 3650 3580 4190 3850
+rect 3650 3310 4460 3580
+rect 5270 3310 6080 4120
+rect 7160 3850 7430 4120
+rect 7160 3580 7700 3850
+rect 6890 3310 7700 3580
+rect 3650 2230 7700 3310
+rect 2500 1950 3750 2050
+rect 2500 1750 3450 1950
+rect 3700 1750 3750 1950
+rect 2500 1650 3750 1750
+rect 3920 1690 7430 2230
+rect 2500 400 3000 1650
+rect 4460 1420 6890 1690
+rect 4730 1150 6890 1420
+rect 7700 1400 8200 1500
+rect 7700 1000 7900 1400
+rect 8100 1000 8200 1400
+rect 7700 400 8200 1000
+rect 0 0 10700 400
+<< metal2 >>
+rect 4730 13300 6890 13570
+rect 4460 13030 6890 13300
+rect 3920 12490 7430 13030
+rect 3650 11410 7700 12490
+rect 3650 11140 4460 11410
+rect 3650 10870 4190 11140
+rect 3920 10600 4190 10870
+rect 5270 10600 6080 11410
+rect 6890 11140 7700 11410
+rect 7160 10870 7700 11140
+rect 7160 10600 7430 10870
+rect 3920 10330 4460 10600
+rect 5000 10330 6350 10600
+rect 6890 10330 7430 10600
+rect 3920 10060 5540 10330
+rect 5810 10060 7160 10330
+rect 4460 9790 5270 10060
+rect 6080 9790 7160 10060
+rect 4730 9250 6620 9790
+rect 3110 8980 3920 9250
+rect 4730 8980 5000 9250
+rect 5270 8980 5540 9250
+rect 5810 8980 6080 9250
+rect 6350 8980 6620 9250
+rect 7430 8980 8240 9250
+rect 2840 8440 4190 8980
+rect 7160 8440 8510 8980
+rect 3110 8170 4730 8440
+rect 6620 8170 8240 8440
+rect 3920 7900 5000 8170
+rect 6350 7900 7430 8170
+rect 4460 7630 5540 7900
+rect 5810 7630 6890 7900
+rect 5000 7090 6350 7630
+rect 4460 6820 5540 7090
+rect 5810 6820 6890 7090
+rect 3110 6550 5000 6820
+rect 6350 6550 8510 6820
+rect 2840 6280 4460 6550
+rect 6890 6280 8510 6550
+rect 2840 6010 3920 6280
+rect 7430 6010 8510 6280
+rect 2840 5740 3650 6010
+rect 7700 5740 8510 6010
+rect 3110 5470 3380 5740
+rect 4730 5470 5000 5740
+rect 5270 5470 5540 5740
+rect 5810 5470 6080 5740
+rect 6350 5470 6620 5740
+rect 7970 5470 8240 5740
+rect 4730 4930 6620 5470
+rect 4460 4660 5270 4930
+rect 6080 4660 7160 4930
+rect 3920 4390 5540 4660
+rect 5810 4390 7160 4660
+rect 3920 4120 4460 4390
+rect 5000 4120 6350 4390
+rect 6890 4120 7430 4390
+rect 3920 3850 4190 4120
+rect 3650 3580 4190 3850
+rect 3650 3310 4460 3580
+rect 5270 3310 6080 4120
+rect 7160 3850 7430 4120
+rect 7160 3580 7700 3850
+rect 6890 3310 7700 3580
+rect 3650 2230 7700 3310
+rect 3920 1690 7430 2230
+rect 4460 1420 6890 1690
+rect 4730 1150 6890 1420
+<< metal3 >>
+rect 4730 13300 6890 13570
+rect 4460 13030 6890 13300
+rect 3920 12490 7430 13030
+rect 3650 11410 7700 12490
+rect 3650 11140 4460 11410
+rect 3650 10870 4190 11140
+rect 3920 10600 4190 10870
+rect 5270 10600 6080 11410
+rect 6890 11140 7700 11410
+rect 7160 10870 7700 11140
+rect 7160 10600 7430 10870
+rect 3920 10330 4460 10600
+rect 5000 10330 6350 10600
+rect 6890 10330 7430 10600
+rect 3920 10060 5540 10330
+rect 5810 10060 7160 10330
+rect 4460 9790 5270 10060
+rect 6080 9790 7160 10060
+rect 4730 9250 6620 9790
+rect 3110 8980 3920 9250
+rect 4730 8980 5000 9250
+rect 5270 8980 5540 9250
+rect 5810 8980 6080 9250
+rect 6350 8980 6620 9250
+rect 7430 8980 8240 9250
+rect 2840 8440 4190 8980
+rect 7160 8440 8510 8980
+rect 3110 8170 4730 8440
+rect 6620 8170 8240 8440
+rect 3920 7900 5000 8170
+rect 6350 7900 7430 8170
+rect 4460 7630 5540 7900
+rect 5810 7630 6890 7900
+rect 5000 7090 6350 7630
+rect 4460 6820 5540 7090
+rect 5810 6820 6890 7090
+rect 3110 6550 5000 6820
+rect 6350 6550 8510 6820
+rect 2840 6280 4460 6550
+rect 6890 6280 8510 6550
+rect 2840 6010 3920 6280
+rect 7430 6010 8510 6280
+rect 2840 5740 3650 6010
+rect 7700 5740 8510 6010
+rect 3110 5470 3380 5740
+rect 4730 5470 5000 5740
+rect 5270 5470 5540 5740
+rect 5810 5470 6080 5740
+rect 6350 5470 6620 5740
+rect 7970 5470 8240 5740
+rect 4730 4930 6620 5470
+rect 4460 4660 5270 4930
+rect 6080 4660 7160 4930
+rect 3920 4390 5540 4660
+rect 5810 4390 7160 4660
+rect 3920 4120 4460 4390
+rect 5000 4120 6350 4390
+rect 6890 4120 7430 4390
+rect 3920 3850 4190 4120
+rect 3650 3580 4190 3850
+rect 3650 3310 4460 3580
+rect 5270 3310 6080 4120
+rect 7160 3850 7430 4120
+rect 7160 3580 7700 3850
+rect 6890 3310 7700 3580
+rect 3650 2230 7700 3310
+rect 3920 1690 7430 2230
+rect 4460 1420 6890 1690
+rect 4730 1150 6890 1420
+<< metal4 >>
+rect 4730 13300 6890 13570
+rect 4460 13030 6890 13300
+rect 3920 12490 7430 13030
+rect 3650 11410 7700 12490
+rect 3650 11140 4460 11410
+rect 3650 10870 4190 11140
+rect 3920 10600 4190 10870
+rect 5270 10600 6080 11410
+rect 6890 11140 7700 11410
+rect 7160 10870 7700 11140
+rect 7160 10600 7430 10870
+rect 3920 10330 4460 10600
+rect 5000 10330 6350 10600
+rect 6890 10330 7430 10600
+rect 3920 10060 5540 10330
+rect 5810 10060 7160 10330
+rect 4460 9790 5270 10060
+rect 6080 9790 7160 10060
+rect 4730 9250 6620 9790
+rect 3110 8980 3920 9250
+rect 4730 8980 5000 9250
+rect 5270 8980 5540 9250
+rect 5810 8980 6080 9250
+rect 6350 8980 6620 9250
+rect 7430 8980 8240 9250
+rect 2840 8440 4190 8980
+rect 7160 8440 8510 8980
+rect 3110 8170 4730 8440
+rect 6620 8170 8240 8440
+rect 3920 7900 5000 8170
+rect 6350 7900 7430 8170
+rect 4460 7630 5540 7900
+rect 5810 7630 6890 7900
+rect 5000 7090 6350 7630
+rect 4460 6820 5540 7090
+rect 5810 6820 6890 7090
+rect 3110 6550 5000 6820
+rect 6350 6550 8510 6820
+rect 2840 6280 4460 6550
+rect 6890 6280 8510 6550
+rect 2840 6010 3920 6280
+rect 7430 6010 8510 6280
+rect 2840 5740 3650 6010
+rect 7700 5740 8510 6010
+rect 3110 5470 3380 5740
+rect 4730 5470 5000 5740
+rect 5270 5470 5540 5740
+rect 5810 5470 6080 5740
+rect 6350 5470 6620 5740
+rect 7970 5470 8240 5740
+rect 4730 4930 6620 5470
+rect 4460 4660 5270 4930
+rect 6080 4660 7160 4930
+rect 3920 4390 5540 4660
+rect 5810 4390 7160 4660
+rect 3920 4120 4460 4390
+rect 5000 4120 6350 4390
+rect 6890 4120 7430 4390
+rect 3920 3850 4190 4120
+rect 3650 3580 4190 3850
+rect 3650 3310 4460 3580
+rect 5270 3310 6080 4120
+rect 7160 3850 7430 4120
+rect 7160 3580 7700 3850
+rect 6890 3310 7700 3580
+rect 3650 2230 7700 3310
+rect 3920 1690 7430 2230
+rect 4460 1420 6890 1690
+rect 4730 1150 6890 1420
+<< metal5 >>
+rect 4730 13300 6890 13570
+rect 4460 13030 6890 13300
+rect 3920 12490 7430 13030
+rect 3650 11410 7700 12490
+rect 3650 11140 4460 11410
+rect 3650 10870 4190 11140
+rect 3920 10600 4190 10870
+rect 5270 10600 6080 11410
+rect 6890 11140 7700 11410
+rect 7160 10870 7700 11140
+rect 7160 10600 7430 10870
+rect 3920 10330 4460 10600
+rect 5000 10330 6350 10600
+rect 6890 10330 7430 10600
+rect 3920 10060 5540 10330
+rect 5810 10060 7160 10330
+rect 4460 9790 5270 10060
+rect 6080 9790 7160 10060
+rect 4730 9250 6620 9790
+rect 3110 8980 3920 9250
+rect 4730 8980 5000 9250
+rect 5270 8980 5540 9250
+rect 5810 8980 6080 9250
+rect 6350 8980 6620 9250
+rect 7430 8980 8240 9250
+rect 2840 8440 4190 8980
+rect 7160 8440 8510 8980
+rect 3110 8170 4730 8440
+rect 6620 8170 8240 8440
+rect 3920 7900 5000 8170
+rect 6350 7900 7430 8170
+rect 4460 7630 5540 7900
+rect 5810 7630 6890 7900
+rect 5000 7090 6350 7630
+rect 4460 6820 5540 7090
+rect 5810 6820 6890 7090
+rect 3110 6550 5000 6820
+rect 6350 6550 8510 6820
+rect 2840 6280 4460 6550
+rect 6890 6280 8510 6550
+rect 2840 6010 3920 6280
+rect 7430 6010 8510 6280
+rect 2840 5740 3650 6010
+rect 7700 5740 8510 6010
+rect 3110 5470 3380 5740
+rect 4730 5470 5000 5740
+rect 5270 5470 5540 5740
+rect 5810 5470 6080 5740
+rect 6350 5470 6620 5740
+rect 7970 5470 8240 5740
+rect 4730 4930 6620 5470
+rect 4460 4660 5270 4930
+rect 6080 4660 7160 4930
+rect 3920 4390 5540 4660
+rect 5810 4390 7160 4660
+rect 3920 4120 4460 4390
+rect 5000 4120 6350 4390
+rect 6890 4120 7430 4390
+rect 3920 3850 4190 4120
+rect 3650 3580 4190 3850
+rect 3650 3310 4460 3580
+rect 5270 3310 6080 4120
+rect 7160 3850 7430 4120
+rect 7160 3580 7700 3850
+rect 6890 3310 7700 3580
+rect 3650 2230 7700 3310
+rect 3920 1690 7430 2230
+rect 4460 1420 6890 1690
+rect 4730 1150 6890 1420
+<< labels >>
+flabel metal1 s 2500 12600 2900 13050 0 FreeSans 240 90 0 0 VGND
+port 1 nsew ground bidirectional abutment
+flabel metal1 s 2500 1650 3000 2050 0 FreeSans 240 90 0 0 VPWR
+port 2 nsew power bidirectional abutment
+flabel locali s 2500 7050 3000 7850 0 FreeSans 340 0 0 0 Y
+port 3 s signal output
+flabel locali s 8500 7050 9000 7850 0 FreeSans 340 0 0 0 A
+port 4 e signal input
+<< end >>
diff --git a/mag/skullfet_logo.mag b/mag/skullfet_logo.mag
new file mode 100644
index 0000000..f5333be
--- /dev/null
+++ b/mag/skullfet_logo.mag
@@ -0,0 +1,354 @@
+magic
+tech sky130A
+timestamp 1640879321
+<< metal1 >>
+rect 47300 133000 68900 135700
+rect 44600 130300 68900 133000
+rect 39200 124900 74300 130300
+rect 36500 114100 77000 124900
+rect 36500 111400 44600 114100
+rect 36500 108700 41900 111400
+rect 39200 106000 41900 108700
+rect 52700 106000 60800 114100
+rect 68900 111400 77000 114100
+rect 71600 108700 77000 111400
+rect 71600 106000 74300 108700
+rect 39200 103300 44600 106000
+rect 50000 103300 63500 106000
+rect 68900 103300 74300 106000
+rect 39200 100600 55400 103300
+rect 58100 100600 71600 103300
+rect 44600 97900 52700 100600
+rect 60800 97900 71600 100600
+rect 47300 92500 66200 97900
+rect 31100 89800 39200 92500
+rect 47300 89800 50000 92500
+rect 52700 89800 55400 92500
+rect 58100 89800 60800 92500
+rect 63500 89800 66200 92500
+rect 74300 89800 82400 92500
+rect 28400 84400 41900 89800
+rect 71600 84400 85100 89800
+rect 31100 81700 47300 84400
+rect 66200 81700 82400 84400
+rect 39200 79000 50000 81700
+rect 63500 79000 74300 81700
+rect 44600 76300 55400 79000
+rect 58100 76300 68900 79000
+rect 50000 70900 63500 76300
+rect 44600 68200 55400 70900
+rect 58100 68200 68900 70900
+rect 31100 65500 50000 68200
+rect 63500 65500 85100 68200
+rect 28400 62800 44600 65500
+rect 68900 62800 85100 65500
+rect 28400 60100 39200 62800
+rect 74300 60100 85100 62800
+rect 28400 57400 36500 60100
+rect 77000 57400 85100 60100
+rect 31100 54700 33800 57400
+rect 47300 54700 50000 57400
+rect 52700 54700 55400 57400
+rect 58100 54700 60800 57400
+rect 63500 54700 66200 57400
+rect 79700 54700 82400 57400
+rect 47300 49300 66200 54700
+rect 44600 46600 52700 49300
+rect 60800 46600 71600 49300
+rect 39200 43900 55400 46600
+rect 58100 43900 71600 46600
+rect 39200 41200 44600 43900
+rect 50000 41200 63500 43900
+rect 68900 41200 74300 43900
+rect 39200 38500 41900 41200
+rect 36500 35800 41900 38500
+rect 36500 33100 44600 35800
+rect 52700 33100 60800 41200
+rect 71600 38500 74300 41200
+rect 71600 35800 77000 38500
+rect 68900 33100 77000 35800
+rect 36500 22300 77000 33100
+rect 39200 16900 74300 22300
+rect 44600 14200 68900 16900
+rect 47300 11500 68900 14200
+<< metal2 >>
+rect 47300 133000 68900 135700
+rect 44600 130300 68900 133000
+rect 39200 124900 74300 130300
+rect 36500 114100 77000 124900
+rect 36500 111400 44600 114100
+rect 36500 108700 41900 111400
+rect 39200 106000 41900 108700
+rect 52700 106000 60800 114100
+rect 68900 111400 77000 114100
+rect 71600 108700 77000 111400
+rect 71600 106000 74300 108700
+rect 39200 103300 44600 106000
+rect 50000 103300 63500 106000
+rect 68900 103300 74300 106000
+rect 39200 100600 55400 103300
+rect 58100 100600 71600 103300
+rect 44600 97900 52700 100600
+rect 60800 97900 71600 100600
+rect 47300 92500 66200 97900
+rect 31100 89800 39200 92500
+rect 47300 89800 50000 92500
+rect 52700 89800 55400 92500
+rect 58100 89800 60800 92500
+rect 63500 89800 66200 92500
+rect 74300 89800 82400 92500
+rect 28400 84400 41900 89800
+rect 71600 84400 85100 89800
+rect 31100 81700 47300 84400
+rect 66200 81700 82400 84400
+rect 39200 79000 50000 81700
+rect 63500 79000 74300 81700
+rect 44600 76300 55400 79000
+rect 58100 76300 68900 79000
+rect 50000 70900 63500 76300
+rect 44600 68200 55400 70900
+rect 58100 68200 68900 70900
+rect 31100 65500 50000 68200
+rect 63500 65500 85100 68200
+rect 28400 62800 44600 65500
+rect 68900 62800 85100 65500
+rect 28400 60100 39200 62800
+rect 74300 60100 85100 62800
+rect 28400 57400 36500 60100
+rect 77000 57400 85100 60100
+rect 31100 54700 33800 57400
+rect 47300 54700 50000 57400
+rect 52700 54700 55400 57400
+rect 58100 54700 60800 57400
+rect 63500 54700 66200 57400
+rect 79700 54700 82400 57400
+rect 47300 49300 66200 54700
+rect 44600 46600 52700 49300
+rect 60800 46600 71600 49300
+rect 39200 43900 55400 46600
+rect 58100 43900 71600 46600
+rect 39200 41200 44600 43900
+rect 50000 41200 63500 43900
+rect 68900 41200 74300 43900
+rect 39200 38500 41900 41200
+rect 36500 35800 41900 38500
+rect 36500 33100 44600 35800
+rect 52700 33100 60800 41200
+rect 71600 38500 74300 41200
+rect 71600 35800 77000 38500
+rect 68900 33100 77000 35800
+rect 36500 22300 77000 33100
+rect 39200 16900 74300 22300
+rect 44600 14200 68900 16900
+rect 47300 11500 68900 14200
+<< metal3 >>
+rect 47300 133000 68900 135700
+rect 44600 130300 68900 133000
+rect 39200 124900 74300 130300
+rect 36500 114100 77000 124900
+rect 36500 111400 44600 114100
+rect 36500 108700 41900 111400
+rect 39200 106000 41900 108700
+rect 52700 106000 60800 114100
+rect 68900 111400 77000 114100
+rect 71600 108700 77000 111400
+rect 71600 106000 74300 108700
+rect 39200 103300 44600 106000
+rect 50000 103300 63500 106000
+rect 68900 103300 74300 106000
+rect 39200 100600 55400 103300
+rect 58100 100600 71600 103300
+rect 44600 97900 52700 100600
+rect 60800 97900 71600 100600
+rect 47300 92500 66200 97900
+rect 31100 89800 39200 92500
+rect 47300 89800 50000 92500
+rect 52700 89800 55400 92500
+rect 58100 89800 60800 92500
+rect 63500 89800 66200 92500
+rect 74300 89800 82400 92500
+rect 28400 84400 41900 89800
+rect 71600 84400 85100 89800
+rect 31100 81700 47300 84400
+rect 66200 81700 82400 84400
+rect 39200 79000 50000 81700
+rect 63500 79000 74300 81700
+rect 44600 76300 55400 79000
+rect 58100 76300 68900 79000
+rect 50000 70900 63500 76300
+rect 44600 68200 55400 70900
+rect 58100 68200 68900 70900
+rect 31100 65500 50000 68200
+rect 63500 65500 85100 68200
+rect 28400 62800 44600 65500
+rect 68900 62800 85100 65500
+rect 28400 60100 39200 62800
+rect 74300 60100 85100 62800
+rect 28400 57400 36500 60100
+rect 77000 57400 85100 60100
+rect 31100 54700 33800 57400
+rect 47300 54700 50000 57400
+rect 52700 54700 55400 57400
+rect 58100 54700 60800 57400
+rect 63500 54700 66200 57400
+rect 79700 54700 82400 57400
+rect 47300 49300 66200 54700
+rect 44600 46600 52700 49300
+rect 60800 46600 71600 49300
+rect 39200 43900 55400 46600
+rect 58100 43900 71600 46600
+rect 39200 41200 44600 43900
+rect 50000 41200 63500 43900
+rect 68900 41200 74300 43900
+rect 39200 38500 41900 41200
+rect 36500 35800 41900 38500
+rect 36500 33100 44600 35800
+rect 52700 33100 60800 41200
+rect 71600 38500 74300 41200
+rect 71600 35800 77000 38500
+rect 68900 33100 77000 35800
+rect 36500 22300 77000 33100
+rect 39200 16900 74300 22300
+rect 44600 14200 68900 16900
+rect 47300 11500 68900 14200
+<< metal4 >>
+rect 47300 133000 68900 135700
+rect 44600 130300 68900 133000
+rect 39200 124900 74300 130300
+rect 36500 114100 77000 124900
+rect 36500 111400 44600 114100
+rect 36500 108700 41900 111400
+rect 39200 106000 41900 108700
+rect 52700 106000 60800 114100
+rect 68900 111400 77000 114100
+rect 71600 108700 77000 111400
+rect 71600 106000 74300 108700
+rect 39200 103300 44600 106000
+rect 50000 103300 63500 106000
+rect 68900 103300 74300 106000
+rect 39200 100600 55400 103300
+rect 58100 100600 71600 103300
+rect 44600 97900 52700 100600
+rect 60800 97900 71600 100600
+rect 47300 92500 66200 97900
+rect 31100 89800 39200 92500
+rect 47300 89800 50000 92500
+rect 52700 89800 55400 92500
+rect 58100 89800 60800 92500
+rect 63500 89800 66200 92500
+rect 74300 89800 82400 92500
+rect 28400 84400 41900 89800
+rect 71600 84400 85100 89800
+rect 31100 81700 47300 84400
+rect 66200 81700 82400 84400
+rect 39200 79000 50000 81700
+rect 63500 79000 74300 81700
+rect 44600 76300 55400 79000
+rect 58100 76300 68900 79000
+rect 50000 70900 63500 76300
+rect 44600 68200 55400 70900
+rect 58100 68200 68900 70900
+rect 31100 65500 50000 68200
+rect 63500 65500 85100 68200
+rect 28400 62800 44600 65500
+rect 68900 62800 85100 65500
+rect 28400 60100 39200 62800
+rect 74300 60100 85100 62800
+rect 28400 57400 36500 60100
+rect 77000 57400 85100 60100
+rect 31100 54700 33800 57400
+rect 47300 54700 50000 57400
+rect 52700 54700 55400 57400
+rect 58100 54700 60800 57400
+rect 63500 54700 66200 57400
+rect 79700 54700 82400 57400
+rect 47300 49300 66200 54700
+rect 44600 46600 52700 49300
+rect 60800 46600 71600 49300
+rect 39200 43900 55400 46600
+rect 58100 43900 71600 46600
+rect 39200 41200 44600 43900
+rect 50000 41200 63500 43900
+rect 68900 41200 74300 43900
+rect 39200 38500 41900 41200
+rect 36500 35800 41900 38500
+rect 36500 33100 44600 35800
+rect 52700 33100 60800 41200
+rect 71600 38500 74300 41200
+rect 71600 35800 77000 38500
+rect 68900 33100 77000 35800
+rect 36500 22300 77000 33100
+rect 39200 16900 74300 22300
+rect 44600 14200 68900 16900
+rect 47300 11500 68900 14200
+<< metal5 >>
+rect 47300 133000 68900 135700
+rect 44600 130300 68900 133000
+rect 39200 124900 74300 130300
+rect 36500 114100 77000 124900
+rect 36500 111400 44600 114100
+rect 36500 108700 41900 111400
+rect 39200 106000 41900 108700
+rect 52700 106000 60800 114100
+rect 68900 111400 77000 114100
+rect 71600 108700 77000 111400
+rect 71600 106000 74300 108700
+rect 39200 103300 44600 106000
+rect 50000 103300 63500 106000
+rect 68900 103300 74300 106000
+rect 39200 100600 55400 103300
+rect 58100 100600 71600 103300
+rect 44600 97900 52700 100600
+rect 60800 97900 71600 100600
+rect 47300 92500 66200 97900
+rect 31100 89800 39200 92500
+rect 47300 89800 50000 92500
+rect 52700 89800 55400 92500
+rect 58100 89800 60800 92500
+rect 63500 89800 66200 92500
+rect 74300 89800 82400 92500
+rect 28400 84400 41900 89800
+rect 71600 84400 85100 89800
+rect 31100 81700 47300 84400
+rect 66200 81700 82400 84400
+rect 39200 79000 50000 81700
+rect 63500 79000 74300 81700
+rect 44600 76300 55400 79000
+rect 58100 76300 68900 79000
+rect 50000 70900 63500 76300
+rect 44600 68200 55400 70900
+rect 58100 68200 68900 70900
+rect 31100 65500 50000 68200
+rect 63500 65500 85100 68200
+rect 28400 62800 44600 65500
+rect 68900 62800 85100 65500
+rect 28400 60100 39200 62800
+rect 74300 60100 85100 62800
+rect 28400 57400 36500 60100
+rect 77000 57400 85100 60100
+rect 31100 54700 33800 57400
+rect 47300 54700 50000 57400
+rect 52700 54700 55400 57400
+rect 58100 54700 60800 57400
+rect 63500 54700 66200 57400
+rect 79700 54700 82400 57400
+rect 47300 49300 66200 54700
+rect 44600 46600 52700 49300
+rect 60800 46600 71600 49300
+rect 39200 43900 55400 46600
+rect 58100 43900 71600 46600
+rect 39200 41200 44600 43900
+rect 50000 41200 63500 43900
+rect 68900 41200 74300 43900
+rect 39200 38500 41900 41200
+rect 36500 35800 41900 38500
+rect 36500 33100 44600 35800
+rect 52700 33100 60800 41200
+rect 71600 38500 74300 41200
+rect 71600 35800 77000 38500
+rect 68900 33100 77000 35800
+rect 36500 22300 77000 33100
+rect 39200 16900 74300 22300
+rect 44600 14200 68900 16900
+rect 47300 11500 68900 14200
+<< end >>
diff --git a/mag/user_analog_project_wrapper.mag b/mag/user_analog_project_wrapper.mag
index cc4956d..76f80b7 100644
--- a/mag/user_analog_project_wrapper.mag
+++ b/mag/user_analog_project_wrapper.mag
@@ -1,9 +1,11 @@
 magic
 tech sky130A
-timestamp 1640992940
+timestamp 1641002375
 << viali >>
 rect 275750 337500 275780 337560
 rect 276370 337280 276400 337320
+rect 242300 295600 242600 296200
+rect 248400 295900 248700 296200
 << metal1 >>
 rect 275270 338070 284400 338140
 rect 284570 338070 284630 338140
@@ -17,11 +19,37 @@
 rect 276360 337280 276370 337320
 rect 276400 337280 289100 337320
 rect 276360 337230 289100 337280
+rect 248200 300400 249500 300500
+rect 248200 300200 248300 300400
+rect 248700 300200 249500 300400
+rect 248200 300100 249500 300200
+rect 249000 296300 249500 300100
+rect 241400 296200 242700 296300
+rect 241400 295600 241500 296200
+rect 241900 295600 242300 296200
+rect 242600 295600 242700 296200
+rect 248300 296200 249500 296300
+rect 248300 295900 248400 296200
+rect 248700 295900 249500 296200
+rect 248300 295800 249500 295900
+rect 241400 295500 242700 295600
 << via1 >>
 rect 284400 338070 284570 338140
 rect 275520 337910 275590 337940
 rect 275510 336500 275580 336530
+rect 249300 302500 250300 302700
+rect 248300 300200 248700 300400
+rect 241500 295600 241900 296200
+rect 249200 288500 249800 288700
 << metal2 >>
+rect 207600 351600 208400 351700
+rect 207600 351300 207700 351600
+rect 208300 351300 208400 351600
+rect 207600 296300 208400 351300
+rect 233500 351400 234200 351500
+rect 233500 351200 233600 351400
+rect 234100 351200 234200 351400
+rect 233500 314400 234200 351200
 rect 284360 349440 284630 349490
 rect 284360 349220 284420 349440
 rect 284560 349220 284630 349440
@@ -47,6 +75,31 @@
 rect 275500 336450 275520 336490
 rect 275570 336450 275590 336490
 rect 275500 336400 275590 336450
+rect 248200 314400 248800 314500
+rect 233500 313800 248800 314400
+rect 248200 300400 248800 313800
+rect 249200 303200 250400 303300
+rect 249200 302900 249300 303200
+rect 250300 302900 250400 303200
+rect 249200 302700 250400 302900
+rect 249200 302500 249300 302700
+rect 250300 302500 250400 302700
+rect 249200 302400 250400 302500
+rect 248200 300200 248300 300400
+rect 248700 300200 248800 300400
+rect 248200 300100 248800 300200
+rect 207600 296200 242000 296300
+rect 207600 295600 241500 296200
+rect 241900 295600 242000 296200
+rect 207600 295500 242000 295600
+rect 249000 288700 250000 288800
+rect 249000 288500 249200 288700
+rect 249800 288500 250000 288700
+rect 249000 277000 250000 288500
+rect 278000 277000 281000 278000
+rect 249000 276000 279000 277000
+rect 280000 276000 281000 277000
+rect 278000 275003 281000 276000
 rect 262 -400 318 240
 rect 853 -400 909 240
 rect 1444 -400 1500 240
@@ -542,10 +595,14 @@
 rect 291034 -400 291090 240
 rect 291625 -400 291681 240
 << via2 >>
+rect 207700 351300 208300 351600
+rect 233600 351200 234100 351400
 rect 284420 349220 284560 349440
 rect 288760 340130 289030 340370
 rect 275520 337950 275580 338000
 rect 275520 336450 275570 336490
+rect 249300 302900 250300 303200
+rect 279000 276000 280000 277000
 << metal3 >>
 rect 8097 351150 10597 352400
 rect 34097 351150 36597 352400
@@ -562,24 +619,34 @@
 rect 162147 351150 163247 352400
 rect 163397 351150 164497 352400
 rect 164647 351150 167147 352400
-rect 206697 351150 209197 352400
-rect 232697 351150 235197 352400
+rect 206697 351600 209197 352400
+rect 206697 351300 207700 351600
+rect 208300 351300 209197 351600
+rect 206697 351150 209197 351300
+rect 232697 351400 235197 352400
+rect 232697 351200 233600 351400
+rect 234100 351200 235197 351400
+rect 232697 351150 235197 351200
 rect 255297 351500 257697 352400
 rect 260297 351500 262697 352400
 rect 255297 351170 257700 351500
 rect 260297 351170 262700 351500
-rect 255300 349200 257700 351170
+rect 255300 350407 257700 351170
+rect 255275 349200 257700 350407
 rect 260300 349200 262700 351170
 rect 283297 351150 285797 352400
-rect 255300 348040 262700 349200
+rect 255275 348040 262700 349200
 rect 284300 349440 284700 351150
 rect 284300 349220 284420 349440
 rect 284560 349220 284700 349440
 rect 284300 348800 284700 349220
 rect 274710 348040 275610 348050
-rect 255300 347500 275610 348040
-rect 260660 347490 275610 347500
+rect 255275 347500 275610 348040
 rect -400 340121 850 342621
+rect -400 321921 830 324321
+rect -400 316921 830 319321
+rect 255275 307400 256842 347500
+rect 260660 347490 275610 347500
 rect 275460 338000 275610 347490
 rect 291150 340400 292400 341492
 rect 288600 340370 292400 340400
@@ -590,11 +657,15 @@
 rect 275460 337950 275520 338000
 rect 275580 337950 275610 338000
 rect 275460 337930 275610 337950
+rect 255300 307300 256842 307400
+rect 249200 305900 256842 307300
 rect 275300 336490 275900 336500
 rect 275300 336450 275520 336490
 rect 275570 336450 275900 336490
-rect -400 321921 830 324321
-rect -400 316921 830 319321
+rect 249200 303200 250400 305900
+rect 249200 302900 249300 303200
+rect 250300 302900 250400 303200
+rect 249200 302600 250400 302900
 rect -400 279721 830 282121
 rect 275300 277800 275900 336450
 rect 291170 319892 292400 322292
@@ -608,7 +679,10 @@
 rect 275300 277700 290300 277800
 rect 275300 277681 291800 277700
 rect -400 274721 830 277121
-rect 275300 275300 292400 277681
+rect 275300 277000 292400 277681
+rect 275300 276000 279000 277000
+rect 280000 276000 292400 277000
+rect 275300 275300 292400 276000
 rect 275300 275200 290300 275300
 rect 291170 275281 292400 275300
 rect 287300 272700 288370 275200
@@ -783,10 +857,18 @@
 rect -50 0 0 352000
 rect 292000 0 292050 352000
 rect -50 -50 292050 0
+use skullfet_inverter_xl  skullfet_inverter_xl_0
+timestamp 1641002375
+transform 1 0 239740 0 1 288434
+box 0 0 10700 14400
 use skullfet_inverter  skullfet_inverter_0
 timestamp 1640879321
 transform 1 0 275500 0 1 336500
 box 0 0 1070 1440
+use skullfet_logo  skullfet_logo_0
+timestamp 1640879321
+transform 1 0 94982 0 1 114871
+box 28400 11500 85100 135700
 << labels >>
 flabel metal3 s 291760 134615 292400 134671 0 FreeSans 560 0 0 0 gpio_analog[0]
 port 0 nsew signal bidirectional
diff --git a/netgen/user_analog_project_wrapper.spice b/netgen/user_analog_project_wrapper.spice
index dff4c4b..6fe4cd1 100644
--- a/netgen/user_analog_project_wrapper.spice
+++ b/netgen/user_analog_project_wrapper.spice
@@ -1,31 +1,104 @@
 * NGSPICE file created from user_analog_project_wrapper.ext - technology: sky130A
 
+.subckt skullfet_inverter_xl VGND VPWR Y A
+X0 Y A VPWR VPWR sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4.05e+07u l=4e+06u
+X1 VGND A Y VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=4.05e+07u l=4e+06u
+C0 m3_5680_11480# Y 1.14fF
+C1 A m3_7300_4460# 0.35fF
+C2 Y m1_7300_21740# 18.27fF
+C3 m5_7300_21740# A 0.32fF
+C4 A m1_5680_11480# 2.79fF
+C5 m2_5680_11480# Y 1.21fF
+C6 m4_7300_4460# m3_7300_4460# 103.49fF
+C7 A m4_7300_4460# 0.30fF
+C8 Y m2_7300_21740# 0.49fF
+C9 m4_5680_11480# m5_5680_11480# 57.01fF
+C10 m4_5680_11480# m3_5680_11480# 70.42fF
+C11 m5_7300_4460# Y 0.32fF
+C12 m1_7300_4460# m2_7300_4460# 165.09fF
+C13 m5_7300_4460# VPWR 0.96fF
+C14 m3_7300_21740# m2_7300_21740# 105.95fF
+C15 VPWR Y 3.59fF
+C16 A m1_7300_4460# 7.67fF
+C17 A m5_5680_11480# 1.57fF
+C18 A m3_5680_11480# 1.90fF
+C19 m3_7300_21740# Y 0.41fF
+C20 m4_7300_21740# Y 0.32fF
+C21 A m1_7300_21740# 7.67fF
+C22 m4_5680_11480# Y 0.93fF
+C23 m2_5680_11480# A 1.98fF
+C24 A m2_7300_21740# 0.33fF
+C25 m4_7300_21740# m3_7300_21740# 103.49fF
+C26 Y m2_7300_4460# 0.54fF
+C27 A m5_7300_4460# 0.32fF
+C28 VPWR m2_7300_4460# 1.53fF
+C29 m2_5680_11480# m1_5680_11480# 112.34fF
+C30 Y m3_7300_4460# 0.45fF
+C31 VPWR m3_7300_4460# 1.30fF
+C32 A VPWR 1.69fF
+C33 m5_7300_4460# m4_7300_4460# 83.78fF
+C34 m5_7300_21740# Y 0.30fF
+C35 Y m1_5680_11480# 4.11fF
+C36 m4_7300_4460# Y 0.34fF
+C37 VPWR m1_5680_11480# 2.52fF
+C38 m4_7300_4460# VPWR 1.01fF
+C39 m3_7300_21740# A 0.35fF
+C40 m4_7300_21740# A 0.30fF
+C41 m2_5680_11480# m3_5680_11480# 72.10fF
+C42 m4_5680_11480# A 1.55fF
+C43 m5_7300_21740# m4_7300_21740# 83.78fF
+C44 Y m1_7300_4460# 18.36fF
+C45 m5_5680_11480# Y 0.92fF
+C46 m2_7300_21740# m1_7300_21740# 165.09fF
+C47 m3_7300_4460# m2_7300_4460# 105.95fF
+C48 A m2_7300_4460# 0.33fF
+C49 VPWR m1_7300_4460# 18.46fF
+C50 Y VGND 1.24fF
+C51 A VGND 0.99fF
+C52 VPWR VGND 0.72fF
+C53 m5_7300_4460# VGND 10.65fF $ **FLOATING
+C54 m5_5680_11480# VGND 11.20fF $ **FLOATING
+C55 m5_7300_21740# VGND 11.60fF $ **FLOATING
+C56 m4_7300_4460# VGND 10.10fF $ **FLOATING
+C57 m4_5680_11480# VGND 10.62fF $ **FLOATING
+C58 m4_7300_21740# VGND 11.11fF $ **FLOATING
+C59 m3_7300_4460# VGND 11.19fF $ **FLOATING
+C60 m3_5680_11480# VGND 11.77fF $ **FLOATING
+C61 m3_7300_21740# VGND 12.50fF $ **FLOATING
+C62 m2_7300_4460# VGND 11.19fF $ **FLOATING
+C63 m2_5680_11480# VGND 11.77fF $ **FLOATING
+C64 m2_7300_21740# VGND 12.73fF $ **FLOATING
+C65 m1_7300_4460# VGND 11.19fF $ **FLOATING
+C66 m1_5680_11480# VGND 30.45fF $ **FLOATING
+C67 m1_7300_21740# VGND 29.19fF $ **FLOATING
+.ends
+
 .subckt skullfet_inverter VGND VPWR Y A m1_730_2174# m1_568_1148#
 X0 VGND A Y VGND sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=4.05e+06u l=400000u
 X1 Y A VPWR VPWR sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4.05e+06u l=400000u
-C0 m2_568_1148# m1_568_1148# 1.12fF
-C1 A m2_730_446# 0.03fF
-C2 Y m1_730_2174# 0.25fF
-C3 m1_730_446# VPWR 0.54fF
-C4 Y m1_568_1148# 0.19fF
-C5 m2_568_1148# Y 0.12fF
-C6 m2_568_1148# m2_730_446# 0.19fF
-C7 Y m2_730_446# 0.05fF
-C8 m1_568_1148# VPWR 0.03fF
-C9 A m1_730_446# 0.11fF
-C10 A m2_730_2174# 0.03fF
-C11 m1_568_1148# m1_730_446# 0.17fF
-C12 Y VPWR 0.04fF
+C0 m1_730_446# m2_730_446# 1.65fF
+C1 Y m1_730_2174# 0.25fF
+C2 A m2_568_1148# 0.20fF
+C3 Y m2_730_446# 0.05fF
+C4 m1_568_1148# VPWR 0.03fF
+C5 A m2_730_2174# 0.03fF
+C6 m1_730_446# VPWR 0.54fF
+C7 m1_568_1148# m2_568_1148# 1.12fF
+C8 Y VPWR 0.04fF
+C9 Y m2_568_1148# 0.12fF
+C10 VPWR m2_730_446# 0.06fF
+C11 m1_568_1148# A 0.26fF
+C12 m1_730_446# A 0.11fF
 C13 m1_730_2174# m2_730_2174# 1.65fF
-C14 m2_730_446# VPWR 0.06fF
-C15 m2_568_1148# m2_730_2174# 0.25fF
-C16 Y m1_730_446# 0.26fF
-C17 m1_730_2174# A 0.11fF
-C18 m1_568_1148# A 0.26fF
-C19 m2_730_446# m1_730_446# 1.65fF
-C20 m2_568_1148# A 0.20fF
-C21 Y m2_730_2174# 0.05fF
-C22 m1_568_1148# m1_730_2174# 0.22fF
+C14 m2_730_446# m2_568_1148# 0.19fF
+C15 Y m2_730_2174# 0.05fF
+C16 m1_568_1148# m1_730_446# 0.17fF
+C17 A m1_730_2174# 0.11fF
+C18 A m2_730_446# 0.03fF
+C19 m1_568_1148# m1_730_2174# 0.22fF
+C20 m1_568_1148# Y 0.19fF
+C21 m1_730_446# Y 0.26fF
+C22 m2_730_2174# m2_568_1148# 0.25fF
 C23 Y VGND 0.65fF
 C24 A VGND 0.14fF
 C25 VPWR VGND 0.08fF
@@ -143,661 +216,704 @@
 + wbs_dat_o[30] wbs_dat_o[31] wbs_dat_o[3] wbs_dat_o[4] wbs_dat_o[5] wbs_dat_o[6]
 + wbs_dat_o[7] wbs_dat_o[8] wbs_dat_o[9] wbs_sel_i[0] wbs_sel_i[1] wbs_sel_i[2] wbs_sel_i[3]
 + wbs_stb_i wbs_we_i
+Xskullfet_inverter_xl_0 vssa1 vdda1 io_analog[3] io_analog[2] skullfet_inverter_xl
 Xskullfet_inverter_0 vssa1 vdda1 io_analog[1] skullfet_inverter_0/A skullfet_inverter_0/m1_730_2174#
 + skullfet_inverter_0/m1_568_1148# skullfet_inverter
-C0 skullfet_inverter_0/m1_568_1148# skullfet_inverter_0/A 0.03fF
-C1 skullfet_inverter_0/m1_568_1148# io_analog[1] 0.00fF
-C2 io_clamp_high[1] io_clamp_low[1] 0.53fF
-C3 io_clamp_high[2] io_analog[6] 0.53fF
-C4 io_analog[4] io_clamp_high[0] 0.53fF
-C5 io_clamp_low[2] io_clamp_high[2] 0.53fF
-C6 io_clamp_low[1] io_analog[5] 0.53fF
-C7 io_analog[4] io_clamp_low[0] 0.53fF
-C8 io_analog[0] skullfet_inverter_0/A 0.96fF
-C9 io_clamp_high[1] io_analog[5] 0.53fF
-C10 io_clamp_low[2] io_analog[6] 0.53fF
-C11 io_analog[1] skullfet_inverter_0/m1_730_2174# 0.09fF
-C12 io_clamp_low[0] io_clamp_high[0] 0.53fF
-C13 io_analog[4] vssa1 -8.76fF
-C14 io_analog[5] vssa1 -8.40fF
-C15 io_analog[6] vssa1 -8.64fF
-C16 io_in_3v3[0] vssa1 0.41fF
-C17 io_oeb[26] vssa1 0.61fF
-C18 io_in[0] vssa1 0.41fF
-C19 io_out[26] vssa1 0.61fF
-C20 io_out[0] vssa1 0.41fF
-C21 io_in[26] vssa1 0.61fF
-C22 io_oeb[0] vssa1 0.41fF
-C23 io_in_3v3[26] vssa1 0.61fF
-C24 io_in_3v3[1] vssa1 0.41fF
-C25 io_oeb[25] vssa1 0.61fF
-C26 io_in[1] vssa1 0.41fF
-C27 io_out[25] vssa1 0.61fF
-C28 io_out[1] vssa1 0.41fF
-C29 io_in[25] vssa1 0.61fF
-C30 io_oeb[1] vssa1 0.41fF
-C31 io_in_3v3[25] vssa1 0.61fF
-C32 io_in_3v3[2] vssa1 0.41fF
-C33 io_oeb[24] vssa1 0.61fF
-C34 io_in[2] vssa1 0.41fF
-C35 io_out[24] vssa1 0.61fF
-C36 io_out[2] vssa1 0.41fF
-C37 io_in[24] vssa1 0.61fF
-C38 io_oeb[2] vssa1 -0.20fF
-C39 io_in_3v3[24] vssa1 0.00fF
-C40 io_in_3v3[3] vssa1 0.41fF
-C41 gpio_noesd[17] vssa1 0.61fF
-C42 io_in[3] vssa1 0.41fF
-C43 gpio_analog[17] vssa1 0.61fF
-C44 io_out[3] vssa1 0.41fF
-C45 io_oeb[3] vssa1 0.41fF
-C46 io_in_3v3[4] vssa1 0.41fF
-C47 io_in[4] vssa1 0.41fF
-C48 io_out[4] vssa1 0.41fF
-C49 io_oeb[4] vssa1 0.41fF
-C50 io_oeb[23] vssa1 0.61fF
-C51 io_out[23] vssa1 0.61fF
-C52 io_in[23] vssa1 0.61fF
-C53 io_in_3v3[23] vssa1 0.61fF
-C54 gpio_noesd[16] vssa1 0.61fF
-C55 gpio_analog[16] vssa1 0.00fF
-C56 io_in_3v3[5] vssa1 0.41fF
-C57 io_in[5] vssa1 -0.20fF
-C58 io_out[5] vssa1 0.41fF
-C59 io_oeb[5] vssa1 0.41fF
-C60 io_oeb[22] vssa1 0.61fF
-C61 io_out[22] vssa1 0.61fF
-C62 io_in[22] vssa1 0.61fF
-C63 io_in_3v3[22] vssa1 0.61fF
-C64 gpio_noesd[15] vssa1 0.00fF
-C65 gpio_analog[15] vssa1 0.61fF
-C66 io_in_3v3[6] vssa1 -0.20fF
-C67 io_in[6] vssa1 0.41fF
-C68 io_out[6] vssa1 0.41fF
-C69 io_oeb[6] vssa1 0.41fF
-C70 io_oeb[21] vssa1 0.61fF
-C71 io_out[21] vssa1 0.61fF
-C72 io_in[21] vssa1 0.61fF
-C73 io_in_3v3[21] vssa1 0.61fF
-C74 gpio_noesd[14] vssa1 0.61fF
-C75 gpio_analog[14] vssa1 0.61fF
-C76 vssd2 vssa1 -5.19fF
-C77 vssd1 vssa1 1.13fF
-C78 vdda2 vssa1 -5.19fF
-C79 io_oeb[20] vssa1 0.61fF
-C80 io_out[20] vssa1 0.61fF
-C81 io_in[20] vssa1 0.61fF
-C82 io_in_3v3[20] vssa1 0.61fF
-C83 gpio_noesd[13] vssa1 0.61fF
-C84 gpio_analog[13] vssa1 0.61fF
-C85 gpio_analog[0] vssa1 0.41fF
-C86 gpio_noesd[0] vssa1 0.41fF
-C87 io_in_3v3[7] vssa1 0.41fF
-C88 io_in[7] vssa1 0.41fF
-C89 io_out[7] vssa1 0.41fF
-C90 io_oeb[7] vssa1 0.41fF
-C91 io_oeb[19] vssa1 0.61fF
-C92 io_out[19] vssa1 0.61fF
-C93 io_in[19] vssa1 0.61fF
-C94 io_in_3v3[19] vssa1 0.61fF
-C95 gpio_noesd[12] vssa1 0.61fF
-C96 gpio_analog[12] vssa1 0.61fF
-C97 gpio_analog[1] vssa1 0.41fF
-C98 gpio_noesd[1] vssa1 0.41fF
-C99 io_in_3v3[8] vssa1 0.41fF
-C100 io_in[8] vssa1 0.41fF
-C101 io_out[8] vssa1 -0.20fF
-C102 io_oeb[8] vssa1 0.41fF
-C103 io_oeb[18] vssa1 0.61fF
-C104 io_out[18] vssa1 0.61fF
-C105 io_in_3v3[18] vssa1 0.61fF
-C106 gpio_noesd[11] vssa1 0.61fF
-C107 gpio_analog[11] vssa1 0.61fF
-C108 gpio_analog[2] vssa1 0.41fF
-C109 gpio_noesd[2] vssa1 0.41fF
-C110 io_in_3v3[9] vssa1 0.41fF
-C111 io_in[9] vssa1 0.41fF
-C112 io_out[9] vssa1 0.41fF
-C113 io_oeb[9] vssa1 0.41fF
-C114 io_oeb[17] vssa1 0.61fF
-C115 io_out[17] vssa1 0.00fF
-C116 io_in[17] vssa1 0.61fF
-C117 io_in_3v3[17] vssa1 0.61fF
-C118 gpio_noesd[10] vssa1 0.61fF
-C119 gpio_analog[10] vssa1 0.61fF
-C120 gpio_analog[3] vssa1 0.41fF
-C121 gpio_noesd[3] vssa1 0.41fF
-C122 io_in_3v3[10] vssa1 0.41fF
-C123 io_in[10] vssa1 0.41fF
-C124 io_out[10] vssa1 0.41fF
-C125 io_oeb[10] vssa1 0.41fF
-C126 io_oeb[16] vssa1 0.00fF
-C127 io_out[16] vssa1 0.61fF
-C128 io_in[16] vssa1 0.61fF
-C129 io_in_3v3[16] vssa1 0.61fF
-C130 gpio_noesd[9] vssa1 0.61fF
-C131 gpio_analog[9] vssa1 0.61fF
-C132 gpio_analog[4] vssa1 0.41fF
-C133 gpio_noesd[4] vssa1 0.41fF
-C134 io_in_3v3[11] vssa1 0.41fF
-C135 io_in[11] vssa1 0.41fF
-C136 io_out[11] vssa1 0.41fF
-C137 io_oeb[11] vssa1 0.41fF
-C138 io_oeb[15] vssa1 0.61fF
-C139 io_out[15] vssa1 0.61fF
-C140 io_in[15] vssa1 0.61fF
-C141 io_in_3v3[15] vssa1 0.61fF
-C142 gpio_noesd[8] vssa1 0.61fF
-C143 gpio_analog[8] vssa1 0.61fF
-C144 gpio_analog[5] vssa1 0.41fF
-C145 gpio_noesd[5] vssa1 0.41fF
-C146 io_in_3v3[12] vssa1 0.41fF
-C147 io_in[12] vssa1 0.41fF
-C148 io_out[12] vssa1 0.41fF
-C149 io_oeb[12] vssa1 0.41fF
-C150 io_oeb[14] vssa1 0.61fF
-C151 io_out[14] vssa1 0.61fF
-C152 io_in[14] vssa1 0.61fF
-C153 io_in_3v3[14] vssa1 0.61fF
-C154 gpio_noesd[7] vssa1 0.61fF
-C155 gpio_analog[7] vssa1 0.00fF
-C156 vssa2 vssa1 1.21fF
-C157 gpio_analog[6] vssa1 0.41fF
-C158 gpio_noesd[6] vssa1 0.41fF
-C159 io_in_3v3[13] vssa1 0.41fF
-C160 io_in[13] vssa1 -0.20fF
-C161 io_out[13] vssa1 0.41fF
-C162 io_oeb[13] vssa1 0.41fF
-C163 vccd1 vssa1 0.85fF
-C164 vccd2 vssa1 0.91fF
-C165 io_analog[10] vssa1 0.41fF
-C166 io_analog[2] vssa1 -5.85fF
-C167 io_analog[3] vssa1 -5.74fF
-C168 io_clamp_high[0] vssa1 -2.60fF
-C169 io_clamp_low[0] vssa1 0.82fF
-C170 io_clamp_high[1] vssa1 0.71fF
-C171 io_clamp_low[1] vssa1 0.55fF
-C172 io_clamp_high[2] vssa1 0.66fF
-C173 io_clamp_low[2] vssa1 0.50fF
-C174 io_analog[7] vssa1 0.66fF
-C175 io_analog[8] vssa1 0.76fF
-C176 io_analog[9] vssa1 0.87fF
-C177 user_irq[2] vssa1 0.63fF
-C178 user_irq[1] vssa1 0.63fF
-C179 user_irq[0] vssa1 0.63fF
-C180 user_clock2 vssa1 0.63fF
-C181 la_oenb[127] vssa1 0.63fF
-C182 la_data_in[127] vssa1 0.63fF
-C183 la_oenb[126] vssa1 0.63fF
-C184 la_data_out[126] vssa1 0.63fF
-C185 la_data_in[126] vssa1 0.63fF
-C186 la_oenb[125] vssa1 0.63fF
-C187 la_data_out[125] vssa1 0.63fF
-C188 la_data_in[125] vssa1 0.63fF
-C189 la_oenb[124] vssa1 0.63fF
-C190 la_data_out[124] vssa1 0.63fF
-C191 la_data_in[124] vssa1 0.63fF
-C192 la_oenb[123] vssa1 0.63fF
-C193 la_data_out[123] vssa1 0.63fF
-C194 la_oenb[122] vssa1 0.63fF
-C195 la_data_out[122] vssa1 0.63fF
-C196 la_data_in[122] vssa1 0.63fF
-C197 la_oenb[121] vssa1 0.63fF
-C198 la_data_out[121] vssa1 0.63fF
-C199 la_data_in[121] vssa1 0.63fF
-C200 la_oenb[120] vssa1 0.63fF
-C201 la_data_out[120] vssa1 0.63fF
-C202 la_data_in[120] vssa1 0.63fF
-C203 la_oenb[119] vssa1 0.63fF
-C204 la_data_out[119] vssa1 0.63fF
-C205 la_data_in[119] vssa1 0.63fF
-C206 la_oenb[118] vssa1 0.63fF
-C207 la_data_out[118] vssa1 0.63fF
-C208 la_data_in[118] vssa1 0.63fF
-C209 la_oenb[117] vssa1 0.63fF
-C210 la_data_out[117] vssa1 0.63fF
-C211 la_data_in[117] vssa1 0.63fF
-C212 la_data_out[116] vssa1 0.63fF
-C213 la_data_in[116] vssa1 0.63fF
-C214 la_oenb[115] vssa1 0.63fF
-C215 la_data_out[115] vssa1 0.63fF
-C216 la_data_in[115] vssa1 0.63fF
-C217 la_oenb[114] vssa1 0.63fF
-C218 la_data_out[114] vssa1 0.63fF
-C219 la_data_in[114] vssa1 0.63fF
-C220 la_oenb[113] vssa1 0.63fF
-C221 la_data_out[113] vssa1 0.63fF
-C222 la_data_in[113] vssa1 0.63fF
-C223 la_oenb[112] vssa1 0.63fF
-C224 la_data_in[112] vssa1 0.63fF
-C225 la_oenb[111] vssa1 0.63fF
-C226 la_data_out[111] vssa1 0.63fF
-C227 la_data_in[111] vssa1 0.63fF
-C228 la_oenb[110] vssa1 0.63fF
-C229 la_data_out[110] vssa1 0.63fF
-C230 la_data_in[110] vssa1 0.63fF
-C231 la_oenb[109] vssa1 0.63fF
-C232 la_data_out[109] vssa1 0.63fF
-C233 la_data_in[109] vssa1 0.63fF
-C234 la_oenb[108] vssa1 0.63fF
-C235 la_data_out[108] vssa1 0.63fF
-C236 la_oenb[107] vssa1 0.63fF
-C237 la_data_out[107] vssa1 0.63fF
-C238 la_data_in[107] vssa1 0.63fF
-C239 la_oenb[106] vssa1 0.63fF
-C240 la_data_out[106] vssa1 0.63fF
-C241 la_oenb[105] vssa1 0.63fF
-C242 la_data_out[105] vssa1 0.63fF
-C243 la_data_in[105] vssa1 0.63fF
-C244 la_oenb[104] vssa1 0.63fF
-C245 la_data_out[104] vssa1 0.63fF
-C246 la_data_in[104] vssa1 0.63fF
-C247 la_oenb[103] vssa1 0.63fF
-C248 la_data_out[103] vssa1 0.63fF
-C249 la_data_in[103] vssa1 0.63fF
-C250 la_oenb[102] vssa1 0.63fF
-C251 la_data_out[102] vssa1 0.63fF
-C252 la_data_in[102] vssa1 0.63fF
-C253 la_data_out[101] vssa1 0.63fF
-C254 la_data_in[101] vssa1 0.63fF
-C255 la_oenb[100] vssa1 0.63fF
-C256 la_data_out[100] vssa1 0.63fF
-C257 la_data_in[100] vssa1 0.63fF
-C258 la_oenb[99] vssa1 0.63fF
-C259 la_data_out[99] vssa1 0.63fF
-C260 la_data_in[99] vssa1 0.63fF
-C261 la_oenb[98] vssa1 0.63fF
-C262 la_data_out[98] vssa1 0.63fF
-C263 la_data_in[98] vssa1 0.63fF
-C264 la_oenb[97] vssa1 0.63fF
-C265 la_data_in[97] vssa1 0.63fF
-C266 la_oenb[96] vssa1 0.63fF
-C267 la_data_out[96] vssa1 0.63fF
-C268 la_data_in[96] vssa1 0.63fF
-C269 la_oenb[95] vssa1 0.63fF
-C270 la_data_out[95] vssa1 0.63fF
-C271 la_data_in[95] vssa1 0.63fF
-C272 la_oenb[94] vssa1 0.63fF
-C273 la_data_out[94] vssa1 0.63fF
-C274 la_data_in[94] vssa1 0.63fF
-C275 la_oenb[93] vssa1 0.63fF
-C276 la_data_out[93] vssa1 0.63fF
-C277 la_data_in[93] vssa1 0.00fF
-C278 la_oenb[92] vssa1 0.63fF
-C279 la_data_out[92] vssa1 0.63fF
-C280 la_data_in[92] vssa1 0.63fF
-C281 la_oenb[91] vssa1 0.63fF
-C282 la_data_out[91] vssa1 0.63fF
-C283 la_oenb[90] vssa1 0.63fF
-C284 la_data_out[90] vssa1 0.63fF
-C285 la_data_in[90] vssa1 0.63fF
-C286 la_oenb[89] vssa1 0.63fF
-C287 la_data_out[89] vssa1 0.63fF
-C288 la_data_in[89] vssa1 0.63fF
-C289 la_oenb[88] vssa1 0.63fF
-C290 la_data_out[88] vssa1 0.63fF
-C291 la_data_in[88] vssa1 0.63fF
-C292 la_oenb[87] vssa1 0.63fF
-C293 la_data_out[87] vssa1 0.63fF
-C294 la_data_in[87] vssa1 0.63fF
-C295 la_oenb[86] vssa1 0.00fF
-C296 la_data_out[86] vssa1 0.63fF
-C297 la_data_in[86] vssa1 0.63fF
-C298 la_oenb[85] vssa1 0.63fF
-C299 la_data_out[85] vssa1 0.63fF
-C300 la_data_in[85] vssa1 0.63fF
-C301 la_oenb[84] vssa1 0.63fF
-C302 la_data_out[84] vssa1 0.63fF
-C303 la_data_in[84] vssa1 0.63fF
-C304 la_oenb[83] vssa1 0.63fF
-C305 la_data_out[83] vssa1 0.63fF
-C306 la_data_in[83] vssa1 0.63fF
-C307 la_oenb[82] vssa1 0.63fF
-C308 la_data_out[82] vssa1 0.00fF
-C309 la_data_in[82] vssa1 0.63fF
-C310 la_oenb[81] vssa1 0.63fF
-C311 la_data_out[81] vssa1 0.63fF
-C312 la_data_in[81] vssa1 0.63fF
-C313 la_oenb[80] vssa1 0.63fF
-C314 la_data_out[80] vssa1 0.63fF
-C315 la_data_in[80] vssa1 0.63fF
-C316 la_oenb[79] vssa1 0.63fF
-C317 la_data_out[79] vssa1 0.63fF
-C318 la_data_in[79] vssa1 0.63fF
-C319 la_oenb[78] vssa1 0.63fF
-C320 la_data_out[78] vssa1 0.63fF
-C321 la_data_in[78] vssa1 0.63fF
-C322 la_oenb[77] vssa1 0.63fF
-C323 la_data_out[77] vssa1 0.63fF
-C324 la_data_in[77] vssa1 0.63fF
-C325 la_oenb[76] vssa1 0.63fF
-C326 la_data_out[76] vssa1 0.63fF
-C327 la_data_in[76] vssa1 0.00fF
-C328 la_oenb[75] vssa1 0.63fF
-C329 la_data_out[75] vssa1 0.63fF
-C330 la_data_in[75] vssa1 0.63fF
-C331 la_oenb[74] vssa1 0.63fF
-C332 la_data_out[74] vssa1 0.63fF
-C333 la_data_in[74] vssa1 0.63fF
-C334 la_oenb[73] vssa1 0.63fF
-C335 la_data_out[73] vssa1 0.63fF
-C336 la_data_in[73] vssa1 0.63fF
-C337 la_oenb[72] vssa1 0.63fF
-C338 la_data_out[72] vssa1 0.63fF
-C339 la_data_in[72] vssa1 0.63fF
-C340 la_oenb[71] vssa1 0.00fF
-C341 la_data_out[71] vssa1 0.63fF
-C342 la_data_in[71] vssa1 0.63fF
-C343 la_oenb[70] vssa1 0.63fF
-C344 la_data_out[70] vssa1 0.63fF
-C345 la_data_in[70] vssa1 0.63fF
-C346 la_oenb[69] vssa1 0.63fF
-C347 la_data_out[69] vssa1 0.63fF
-C348 la_data_in[69] vssa1 0.63fF
-C349 la_oenb[68] vssa1 0.63fF
-C350 la_data_out[68] vssa1 0.63fF
-C351 la_data_in[68] vssa1 0.63fF
-C352 la_oenb[67] vssa1 0.63fF
-C353 la_data_in[67] vssa1 0.63fF
-C354 la_oenb[66] vssa1 0.63fF
-C355 la_data_out[66] vssa1 0.63fF
-C356 la_data_in[66] vssa1 0.63fF
-C357 la_oenb[65] vssa1 0.63fF
-C358 la_data_out[65] vssa1 0.26fF
-C359 la_data_in[65] vssa1 0.63fF
-C360 la_oenb[64] vssa1 0.63fF
-C361 la_data_out[64] vssa1 0.63fF
-C362 la_data_in[64] vssa1 0.63fF
-C363 la_oenb[63] vssa1 0.63fF
-C364 la_data_out[63] vssa1 0.63fF
-C365 la_data_in[63] vssa1 0.63fF
-C366 la_oenb[62] vssa1 0.63fF
-C367 la_data_out[62] vssa1 0.63fF
-C368 la_data_in[62] vssa1 0.63fF
-C369 la_oenb[61] vssa1 0.63fF
-C370 la_data_out[61] vssa1 0.63fF
-C371 la_oenb[60] vssa1 0.63fF
-C372 la_data_out[60] vssa1 0.63fF
-C373 la_data_in[60] vssa1 0.63fF
-C374 la_oenb[59] vssa1 0.63fF
-C375 la_data_out[59] vssa1 0.63fF
-C376 la_data_in[59] vssa1 0.63fF
-C377 la_oenb[58] vssa1 0.63fF
-C378 la_data_out[58] vssa1 0.63fF
-C379 la_data_in[58] vssa1 0.63fF
-C380 la_oenb[57] vssa1 0.63fF
-C381 la_data_out[57] vssa1 0.63fF
-C382 la_data_in[57] vssa1 0.63fF
-C383 la_data_out[56] vssa1 0.63fF
-C384 la_data_in[56] vssa1 0.63fF
-C385 la_oenb[55] vssa1 0.63fF
-C386 la_data_out[55] vssa1 0.63fF
-C387 la_data_in[55] vssa1 0.63fF
-C388 la_oenb[54] vssa1 0.63fF
-C389 la_data_out[54] vssa1 0.63fF
-C390 la_data_in[54] vssa1 0.63fF
-C391 la_oenb[53] vssa1 0.63fF
-C392 la_data_out[53] vssa1 0.63fF
-C393 la_data_in[53] vssa1 0.63fF
-C394 la_oenb[52] vssa1 0.63fF
-C395 la_data_in[52] vssa1 0.63fF
-C396 la_oenb[51] vssa1 0.63fF
-C397 la_data_out[51] vssa1 0.63fF
-C398 la_data_in[51] vssa1 0.63fF
-C399 la_oenb[50] vssa1 0.63fF
-C400 la_data_in[50] vssa1 0.63fF
-C401 la_oenb[49] vssa1 0.63fF
-C402 la_data_out[49] vssa1 0.63fF
-C403 la_data_in[49] vssa1 0.63fF
-C404 la_oenb[48] vssa1 0.63fF
-C405 la_data_out[48] vssa1 0.63fF
-C406 la_data_in[48] vssa1 0.63fF
-C407 la_oenb[47] vssa1 0.63fF
-C408 la_data_out[47] vssa1 0.63fF
-C409 la_data_in[47] vssa1 0.63fF
-C410 la_oenb[46] vssa1 0.63fF
-C411 la_data_out[46] vssa1 0.63fF
-C412 la_oenb[45] vssa1 0.63fF
-C413 la_data_out[45] vssa1 0.63fF
-C414 la_data_in[45] vssa1 0.63fF
-C415 la_oenb[44] vssa1 0.63fF
-C416 la_data_out[44] vssa1 0.63fF
-C417 la_data_in[44] vssa1 0.63fF
-C418 la_oenb[43] vssa1 0.63fF
-C419 la_data_out[43] vssa1 0.63fF
-C420 la_data_in[43] vssa1 0.63fF
-C421 la_oenb[42] vssa1 0.63fF
-C422 la_data_out[42] vssa1 0.63fF
-C423 la_data_in[42] vssa1 0.63fF
-C424 la_data_out[41] vssa1 0.63fF
-C425 la_data_in[41] vssa1 0.63fF
-C426 la_oenb[40] vssa1 0.63fF
-C427 la_data_out[40] vssa1 0.63fF
-C428 la_data_in[40] vssa1 0.63fF
-C429 la_oenb[39] vssa1 0.63fF
-C430 la_data_out[39] vssa1 0.63fF
-C431 la_data_in[39] vssa1 0.63fF
-C432 la_oenb[38] vssa1 0.63fF
-C433 la_data_out[38] vssa1 0.63fF
-C434 la_data_in[38] vssa1 0.63fF
-C435 la_oenb[37] vssa1 0.63fF
-C436 la_data_out[37] vssa1 0.26fF
-C437 la_data_in[37] vssa1 0.63fF
-C438 la_oenb[36] vssa1 0.63fF
-C439 la_data_out[36] vssa1 0.63fF
-C440 la_data_in[36] vssa1 0.63fF
-C441 la_oenb[35] vssa1 0.63fF
-C442 la_data_in[35] vssa1 0.63fF
-C443 la_oenb[34] vssa1 0.63fF
-C444 la_data_out[34] vssa1 0.63fF
-C445 la_data_in[34] vssa1 0.63fF
-C446 la_oenb[33] vssa1 0.63fF
-C447 la_data_out[33] vssa1 0.63fF
-C448 la_data_in[33] vssa1 0.63fF
-C449 la_oenb[32] vssa1 0.63fF
-C450 la_data_out[32] vssa1 0.63fF
-C451 la_data_in[32] vssa1 0.63fF
-C452 la_oenb[31] vssa1 0.63fF
-C453 la_data_out[31] vssa1 0.63fF
-C454 la_data_in[31] vssa1 0.00fF
-C455 la_oenb[30] vssa1 0.63fF
-C456 la_data_out[30] vssa1 0.63fF
-C457 la_data_in[30] vssa1 0.63fF
-C458 la_oenb[29] vssa1 0.63fF
-C459 la_data_out[29] vssa1 0.63fF
-C460 la_data_in[29] vssa1 0.63fF
-C461 la_oenb[28] vssa1 0.63fF
-C462 la_data_out[28] vssa1 0.63fF
-C463 la_data_in[28] vssa1 0.63fF
-C464 la_oenb[27] vssa1 0.63fF
-C465 la_data_out[27] vssa1 0.63fF
-C466 la_data_in[27] vssa1 0.63fF
-C467 la_oenb[26] vssa1 0.00fF
-C468 la_data_out[26] vssa1 0.63fF
-C469 la_data_in[26] vssa1 0.63fF
-C470 la_oenb[25] vssa1 0.63fF
-C471 la_data_out[25] vssa1 0.63fF
-C472 la_data_in[25] vssa1 0.63fF
-C473 la_oenb[24] vssa1 0.63fF
-C474 la_data_out[24] vssa1 0.63fF
-C475 la_data_in[24] vssa1 0.63fF
-C476 la_oenb[23] vssa1 0.63fF
-C477 la_data_out[23] vssa1 0.63fF
-C478 la_data_in[23] vssa1 0.63fF
-C479 la_oenb[22] vssa1 0.63fF
-C480 la_data_out[22] vssa1 0.63fF
-C481 la_data_in[22] vssa1 0.63fF
-C482 la_oenb[21] vssa1 0.63fF
-C483 la_data_out[21] vssa1 0.63fF
-C484 la_data_in[21] vssa1 0.63fF
-C485 la_oenb[20] vssa1 0.63fF
-C486 la_data_out[20] vssa1 0.00fF
-C487 la_data_in[20] vssa1 0.63fF
-C488 la_oenb[19] vssa1 0.63fF
-C489 la_data_out[19] vssa1 0.63fF
-C490 la_data_in[19] vssa1 0.63fF
-C491 la_oenb[18] vssa1 0.63fF
-C492 la_data_out[18] vssa1 0.63fF
-C493 la_data_in[18] vssa1 0.63fF
-C494 la_oenb[17] vssa1 0.63fF
-C495 la_data_out[17] vssa1 0.63fF
-C496 la_data_in[17] vssa1 0.63fF
-C497 la_oenb[16] vssa1 0.63fF
-C498 la_data_out[16] vssa1 0.63fF
-C499 la_data_in[16] vssa1 0.00fF
-C500 la_oenb[15] vssa1 0.63fF
-C501 la_data_out[15] vssa1 0.63fF
-C502 la_data_in[15] vssa1 0.63fF
-C503 la_oenb[14] vssa1 0.63fF
-C504 la_data_out[14] vssa1 0.63fF
-C505 la_data_in[14] vssa1 0.63fF
-C506 la_oenb[13] vssa1 0.63fF
-C507 la_data_out[13] vssa1 0.63fF
-C508 la_data_in[13] vssa1 0.63fF
-C509 la_oenb[12] vssa1 0.63fF
-C510 la_data_out[12] vssa1 0.63fF
-C511 la_data_in[12] vssa1 0.63fF
-C512 la_data_out[11] vssa1 0.63fF
-C513 la_data_in[11] vssa1 0.63fF
-C514 la_oenb[10] vssa1 0.63fF
-C515 la_data_out[10] vssa1 0.63fF
-C516 la_data_in[10] vssa1 0.63fF
-C517 la_oenb[9] vssa1 0.00fF
-C518 la_data_out[9] vssa1 0.63fF
-C519 la_data_in[9] vssa1 0.63fF
-C520 la_oenb[8] vssa1 0.63fF
-C521 la_data_out[8] vssa1 0.63fF
-C522 la_data_in[8] vssa1 0.63fF
-C523 la_oenb[7] vssa1 0.63fF
-C524 la_data_out[7] vssa1 0.63fF
-C525 la_data_in[7] vssa1 0.63fF
-C526 la_oenb[6] vssa1 0.63fF
-C527 la_data_out[6] vssa1 0.63fF
-C528 la_data_in[6] vssa1 0.63fF
-C529 la_oenb[5] vssa1 0.63fF
-C530 la_data_in[5] vssa1 0.63fF
-C531 la_oenb[4] vssa1 0.63fF
-C532 la_data_out[4] vssa1 0.63fF
-C533 la_data_in[4] vssa1 0.63fF
-C534 la_oenb[3] vssa1 0.63fF
-C535 la_data_out[3] vssa1 0.63fF
-C536 la_data_in[3] vssa1 0.63fF
-C537 la_oenb[2] vssa1 0.63fF
-C538 la_data_out[2] vssa1 0.63fF
-C539 la_data_in[2] vssa1 0.63fF
-C540 la_oenb[1] vssa1 0.63fF
-C541 la_data_out[1] vssa1 0.63fF
-C542 la_oenb[0] vssa1 0.63fF
-C543 la_data_out[0] vssa1 0.63fF
-C544 la_data_in[0] vssa1 0.63fF
-C545 wbs_dat_o[31] vssa1 0.63fF
-C546 wbs_dat_i[31] vssa1 0.63fF
-C547 wbs_adr_i[31] vssa1 0.63fF
-C548 wbs_dat_o[30] vssa1 0.63fF
-C549 wbs_dat_i[30] vssa1 0.63fF
-C550 wbs_adr_i[30] vssa1 0.63fF
-C551 wbs_dat_o[29] vssa1 0.63fF
-C552 wbs_dat_i[29] vssa1 0.63fF
-C553 wbs_adr_i[29] vssa1 0.63fF
-C554 wbs_dat_i[28] vssa1 0.63fF
-C555 wbs_adr_i[28] vssa1 0.63fF
-C556 wbs_dat_o[27] vssa1 0.63fF
-C557 wbs_dat_i[27] vssa1 0.63fF
-C558 wbs_adr_i[27] vssa1 0.63fF
-C559 wbs_dat_i[26] vssa1 0.63fF
-C560 wbs_adr_i[26] vssa1 0.63fF
-C561 wbs_dat_o[25] vssa1 0.63fF
-C562 wbs_dat_i[25] vssa1 0.63fF
-C563 wbs_adr_i[25] vssa1 0.63fF
-C564 wbs_dat_o[24] vssa1 0.63fF
-C565 wbs_dat_i[24] vssa1 0.63fF
-C566 wbs_adr_i[24] vssa1 0.63fF
-C567 wbs_dat_o[23] vssa1 0.63fF
-C568 wbs_dat_i[23] vssa1 0.63fF
-C569 wbs_adr_i[23] vssa1 0.63fF
-C570 wbs_dat_o[22] vssa1 0.63fF
-C571 wbs_adr_i[22] vssa1 0.63fF
-C572 wbs_dat_o[21] vssa1 0.63fF
-C573 wbs_dat_i[21] vssa1 0.63fF
-C574 wbs_adr_i[21] vssa1 0.63fF
-C575 wbs_dat_o[20] vssa1 0.63fF
-C576 wbs_dat_i[20] vssa1 0.63fF
-C577 wbs_adr_i[20] vssa1 0.63fF
-C578 wbs_dat_o[19] vssa1 0.63fF
-C579 wbs_dat_i[19] vssa1 0.63fF
-C580 wbs_adr_i[19] vssa1 0.63fF
-C581 wbs_dat_o[18] vssa1 0.63fF
-C582 wbs_dat_i[18] vssa1 0.63fF
-C583 wbs_dat_o[17] vssa1 0.63fF
-C584 wbs_dat_i[17] vssa1 0.63fF
-C585 wbs_adr_i[17] vssa1 0.63fF
-C586 wbs_dat_o[16] vssa1 0.63fF
-C587 wbs_dat_i[16] vssa1 0.63fF
-C588 wbs_adr_i[16] vssa1 0.63fF
-C589 wbs_dat_o[15] vssa1 0.63fF
-C590 wbs_dat_i[15] vssa1 0.63fF
-C591 wbs_adr_i[15] vssa1 0.63fF
-C592 wbs_dat_o[14] vssa1 0.63fF
-C593 wbs_dat_i[14] vssa1 0.63fF
-C594 wbs_adr_i[14] vssa1 0.63fF
-C595 wbs_dat_o[13] vssa1 0.63fF
-C596 wbs_dat_i[13] vssa1 0.63fF
-C597 wbs_adr_i[13] vssa1 0.63fF
-C598 wbs_dat_o[12] vssa1 0.63fF
-C599 wbs_dat_i[12] vssa1 0.63fF
-C600 wbs_adr_i[12] vssa1 0.63fF
-C601 wbs_dat_i[11] vssa1 0.63fF
-C602 wbs_adr_i[11] vssa1 0.63fF
-C603 wbs_dat_o[10] vssa1 0.63fF
-C604 wbs_dat_i[10] vssa1 0.63fF
-C605 wbs_adr_i[10] vssa1 0.63fF
-C606 wbs_dat_o[9] vssa1 0.63fF
-C607 wbs_dat_i[9] vssa1 0.63fF
-C608 wbs_adr_i[9] vssa1 0.63fF
-C609 wbs_dat_o[8] vssa1 0.63fF
-C610 wbs_dat_i[8] vssa1 0.63fF
-C611 wbs_adr_i[8] vssa1 0.63fF
-C612 wbs_dat_o[7] vssa1 0.63fF
-C613 wbs_adr_i[7] vssa1 0.63fF
-C614 wbs_dat_o[6] vssa1 0.63fF
-C615 wbs_dat_i[6] vssa1 0.63fF
-C616 wbs_adr_i[6] vssa1 0.63fF
-C617 wbs_dat_o[5] vssa1 0.63fF
-C618 wbs_dat_i[5] vssa1 0.63fF
-C619 wbs_adr_i[5] vssa1 0.63fF
-C620 wbs_dat_o[4] vssa1 0.63fF
-C621 wbs_dat_i[4] vssa1 0.63fF
-C622 wbs_adr_i[4] vssa1 0.63fF
-C623 wbs_sel_i[3] vssa1 0.63fF
-C624 wbs_dat_o[3] vssa1 0.63fF
-C625 wbs_dat_i[3] vssa1 0.00fF
-C626 wbs_adr_i[3] vssa1 0.63fF
-C627 wbs_sel_i[2] vssa1 0.63fF
-C628 wbs_dat_o[2] vssa1 0.63fF
-C629 wbs_dat_i[2] vssa1 0.63fF
-C630 wbs_adr_i[2] vssa1 0.63fF
-C631 wbs_dat_o[1] vssa1 0.63fF
-C632 wbs_dat_i[1] vssa1 0.63fF
-C633 wbs_adr_i[1] vssa1 0.63fF
-C634 wbs_sel_i[0] vssa1 0.63fF
-C635 wbs_dat_o[0] vssa1 0.63fF
-C636 wbs_dat_i[0] vssa1 0.63fF
-C637 wbs_adr_i[0] vssa1 0.63fF
-C638 wbs_we_i vssa1 0.63fF
-C639 wbs_stb_i vssa1 0.63fF
-C640 wbs_cyc_i vssa1 0.63fF
-C641 wbs_ack_o vssa1 0.63fF
-C642 wb_rst_i vssa1 0.63fF
-C643 wb_clk_i vssa1 0.00fF
-C644 io_analog[0] vssa1 -13.33fF
-C645 io_analog[1] vssa1 2.16fF
-C646 skullfet_inverter_0/A vssa1 2.22fF
-C647 vdda1 vssa1 1.20fF
-C648 skullfet_inverter_0/m2_730_446# vssa1 1.15fF $ **FLOATING
-C649 skullfet_inverter_0/m2_568_1148# vssa1 1.18fF $ **FLOATING
-C650 skullfet_inverter_0/m2_730_2174# vssa1 1.21fF $ **FLOATING
-C651 skullfet_inverter_0/m1_730_446# vssa1 1.15fF $ **FLOATING
-C652 skullfet_inverter_0/m1_568_1148# vssa1 1.36fF
-C653 skullfet_inverter_0/m1_730_2174# vssa1 1.73fF
+C0 skullfet_inverter_0/m1_568_1148# io_analog[1] 0.00fF
+C1 skullfet_logo_0/m2_73000_44600# skullfet_logo_0/m1_73000_44600# 16508.90fF
+C2 io_analog[6] io_clamp_low[2] 0.53fF
+C3 skullfet_logo_0/m5_73000_44600# skullfet_logo_0/m4_73000_44600# 8377.67fF
+C4 io_clamp_low[1] io_analog[5] 0.53fF
+C5 skullfet_logo_0/m3_73000_44600# skullfet_logo_0/m2_73000_44600# 10595.30fF
+C6 skullfet_logo_0/m1_56800_114800# skullfet_logo_0/m2_56800_114800# 11233.90fF
+C7 skullfet_inverter_0/m1_568_1148# skullfet_inverter_0/A 0.03fF
+C8 skullfet_logo_0/m4_73000_217400# skullfet_logo_0/m3_73000_217400# 10348.90fF
+C9 skullfet_inverter_0/A io_analog[0] 0.96fF
+C10 io_clamp_high[1] io_analog[5] 0.53fF
+C11 io_clamp_low[2] io_clamp_high[2] 0.53fF
+C12 io_clamp_high[0] io_analog[4] 0.53fF
+C13 io_clamp_low[0] io_clamp_high[0] 0.53fF
+C14 io_clamp_high[1] io_clamp_low[1] 0.53fF
+C15 io_analog[1] skullfet_inverter_0/m1_730_2174# 0.09fF
+C16 io_analog[6] io_clamp_high[2] 0.53fF
+C17 skullfet_logo_0/m3_73000_44600# skullfet_logo_0/m4_73000_44600# 10348.90fF
+C18 skullfet_logo_0/m4_56800_114800# skullfet_logo_0/m3_56800_114800# 7042.14fF
+C19 io_clamp_low[0] io_analog[4] 0.53fF
+C20 skullfet_logo_0/m2_73000_217400# skullfet_logo_0/m3_73000_217400# 10595.30fF
+C21 skullfet_logo_0/m2_73000_217400# skullfet_logo_0/m1_73000_217400# 16508.90fF
+C22 skullfet_logo_0/m4_73000_217400# skullfet_logo_0/m5_73000_217400# 8377.67fF
+C23 skullfet_logo_0/m2_56800_114800# skullfet_logo_0/m3_56800_114800# 7209.81fF
+C24 skullfet_logo_0/m4_56800_114800# skullfet_logo_0/m5_56800_114800# 5700.78fF
+C25 io_analog[4] vssa1 -8.76fF
+C26 io_analog[5] vssa1 -8.40fF
+C27 io_analog[6] vssa1 -8.64fF
+C28 io_in_3v3[0] vssa1 0.41fF
+C29 io_oeb[26] vssa1 0.61fF
+C30 io_in[0] vssa1 0.41fF
+C31 io_out[26] vssa1 0.61fF
+C32 io_out[0] vssa1 0.41fF
+C33 io_in[26] vssa1 0.61fF
+C34 io_oeb[0] vssa1 0.41fF
+C35 io_in_3v3[26] vssa1 0.61fF
+C36 io_in_3v3[1] vssa1 0.41fF
+C37 io_oeb[25] vssa1 0.61fF
+C38 io_in[1] vssa1 0.41fF
+C39 io_out[25] vssa1 0.61fF
+C40 io_out[1] vssa1 0.41fF
+C41 io_in[25] vssa1 0.61fF
+C42 io_oeb[1] vssa1 0.41fF
+C43 io_in_3v3[25] vssa1 0.61fF
+C44 io_in_3v3[2] vssa1 0.41fF
+C45 io_oeb[24] vssa1 0.61fF
+C46 io_in[2] vssa1 0.41fF
+C47 io_out[24] vssa1 0.61fF
+C48 io_out[2] vssa1 0.41fF
+C49 io_in[24] vssa1 0.61fF
+C50 io_oeb[2] vssa1 -0.20fF
+C51 io_in_3v3[24] vssa1 0.00fF
+C52 io_in_3v3[3] vssa1 0.41fF
+C53 gpio_noesd[17] vssa1 0.61fF
+C54 io_in[3] vssa1 0.41fF
+C55 gpio_analog[17] vssa1 0.61fF
+C56 io_out[3] vssa1 0.41fF
+C57 io_oeb[3] vssa1 0.41fF
+C58 io_in_3v3[4] vssa1 0.41fF
+C59 io_in[4] vssa1 0.41fF
+C60 io_out[4] vssa1 0.41fF
+C61 io_oeb[4] vssa1 0.41fF
+C62 io_oeb[23] vssa1 0.61fF
+C63 io_out[23] vssa1 0.61fF
+C64 io_in[23] vssa1 0.61fF
+C65 io_in_3v3[23] vssa1 0.61fF
+C66 gpio_noesd[16] vssa1 0.61fF
+C67 gpio_analog[16] vssa1 0.00fF
+C68 io_in_3v3[5] vssa1 0.41fF
+C69 io_in[5] vssa1 -0.20fF
+C70 io_out[5] vssa1 0.41fF
+C71 io_oeb[5] vssa1 0.41fF
+C72 io_oeb[22] vssa1 0.61fF
+C73 io_out[22] vssa1 0.61fF
+C74 io_in[22] vssa1 0.61fF
+C75 io_in_3v3[22] vssa1 0.61fF
+C76 gpio_noesd[15] vssa1 0.00fF
+C77 gpio_analog[15] vssa1 0.61fF
+C78 io_in_3v3[6] vssa1 -0.20fF
+C79 io_in[6] vssa1 0.41fF
+C80 io_out[6] vssa1 0.41fF
+C81 io_oeb[6] vssa1 0.41fF
+C82 io_oeb[21] vssa1 0.61fF
+C83 io_out[21] vssa1 0.61fF
+C84 io_in[21] vssa1 0.61fF
+C85 io_in_3v3[21] vssa1 0.61fF
+C86 gpio_noesd[14] vssa1 0.61fF
+C87 gpio_analog[14] vssa1 0.61fF
+C88 vssd2 vssa1 -5.19fF
+C89 vssd1 vssa1 1.13fF
+C90 vdda2 vssa1 -5.19fF
+C91 io_oeb[20] vssa1 0.61fF
+C92 io_out[20] vssa1 0.61fF
+C93 io_in[20] vssa1 0.61fF
+C94 io_in_3v3[20] vssa1 0.61fF
+C95 gpio_noesd[13] vssa1 0.61fF
+C96 gpio_analog[13] vssa1 0.61fF
+C97 gpio_analog[0] vssa1 0.41fF
+C98 gpio_noesd[0] vssa1 0.41fF
+C99 io_in_3v3[7] vssa1 0.41fF
+C100 io_in[7] vssa1 0.41fF
+C101 io_out[7] vssa1 0.41fF
+C102 io_oeb[7] vssa1 0.41fF
+C103 io_oeb[19] vssa1 0.61fF
+C104 io_out[19] vssa1 0.61fF
+C105 io_in[19] vssa1 0.61fF
+C106 io_in_3v3[19] vssa1 0.61fF
+C107 gpio_noesd[12] vssa1 0.61fF
+C108 gpio_analog[12] vssa1 0.61fF
+C109 gpio_analog[1] vssa1 0.41fF
+C110 gpio_noesd[1] vssa1 0.41fF
+C111 io_in_3v3[8] vssa1 0.41fF
+C112 io_in[8] vssa1 0.41fF
+C113 io_out[8] vssa1 -0.20fF
+C114 io_oeb[8] vssa1 0.41fF
+C115 io_oeb[18] vssa1 0.61fF
+C116 io_out[18] vssa1 0.61fF
+C117 io_in_3v3[18] vssa1 0.61fF
+C118 gpio_noesd[11] vssa1 0.61fF
+C119 gpio_analog[11] vssa1 0.61fF
+C120 gpio_analog[2] vssa1 0.41fF
+C121 gpio_noesd[2] vssa1 0.41fF
+C122 io_in_3v3[9] vssa1 0.41fF
+C123 io_in[9] vssa1 0.41fF
+C124 io_out[9] vssa1 0.41fF
+C125 io_oeb[9] vssa1 0.41fF
+C126 io_oeb[17] vssa1 0.61fF
+C127 io_out[17] vssa1 0.00fF
+C128 io_in[17] vssa1 0.61fF
+C129 io_in_3v3[17] vssa1 0.61fF
+C130 gpio_noesd[10] vssa1 0.61fF
+C131 gpio_analog[10] vssa1 0.61fF
+C132 gpio_analog[3] vssa1 0.41fF
+C133 gpio_noesd[3] vssa1 0.41fF
+C134 io_in_3v3[10] vssa1 0.41fF
+C135 io_in[10] vssa1 0.41fF
+C136 io_out[10] vssa1 0.41fF
+C137 io_oeb[10] vssa1 0.41fF
+C138 io_oeb[16] vssa1 0.00fF
+C139 io_out[16] vssa1 0.61fF
+C140 io_in[16] vssa1 0.61fF
+C141 io_in_3v3[16] vssa1 0.61fF
+C142 gpio_noesd[9] vssa1 0.61fF
+C143 gpio_analog[9] vssa1 0.61fF
+C144 gpio_analog[4] vssa1 0.41fF
+C145 gpio_noesd[4] vssa1 0.41fF
+C146 io_in_3v3[11] vssa1 0.41fF
+C147 io_in[11] vssa1 0.41fF
+C148 io_out[11] vssa1 0.41fF
+C149 io_oeb[11] vssa1 0.41fF
+C150 io_oeb[15] vssa1 0.61fF
+C151 io_out[15] vssa1 0.61fF
+C152 io_in[15] vssa1 0.61fF
+C153 io_in_3v3[15] vssa1 0.61fF
+C154 gpio_noesd[8] vssa1 0.61fF
+C155 gpio_analog[8] vssa1 0.61fF
+C156 gpio_analog[5] vssa1 0.41fF
+C157 gpio_noesd[5] vssa1 0.41fF
+C158 io_in_3v3[12] vssa1 0.41fF
+C159 io_in[12] vssa1 0.41fF
+C160 io_out[12] vssa1 0.41fF
+C161 io_oeb[12] vssa1 0.41fF
+C162 io_oeb[14] vssa1 0.61fF
+C163 io_out[14] vssa1 0.61fF
+C164 io_in[14] vssa1 0.61fF
+C165 io_in_3v3[14] vssa1 0.61fF
+C166 gpio_noesd[7] vssa1 0.61fF
+C167 gpio_analog[7] vssa1 0.00fF
+C168 vssa2 vssa1 1.21fF
+C169 gpio_analog[6] vssa1 0.41fF
+C170 gpio_noesd[6] vssa1 0.41fF
+C171 io_in_3v3[13] vssa1 0.41fF
+C172 io_in[13] vssa1 -0.20fF
+C173 io_out[13] vssa1 0.41fF
+C174 io_oeb[13] vssa1 0.41fF
+C175 vccd1 vssa1 0.85fF
+C176 vccd2 vssa1 0.91fF
+C177 io_analog[10] vssa1 0.41fF
+C178 io_clamp_high[0] vssa1 -2.60fF
+C179 io_clamp_low[0] vssa1 0.82fF
+C180 io_clamp_high[1] vssa1 0.71fF
+C181 io_clamp_low[1] vssa1 0.55fF
+C182 io_clamp_high[2] vssa1 0.66fF
+C183 io_clamp_low[2] vssa1 0.50fF
+C184 io_analog[7] vssa1 0.66fF
+C185 io_analog[8] vssa1 0.76fF
+C186 io_analog[9] vssa1 0.87fF
+C187 user_irq[2] vssa1 0.63fF
+C188 user_irq[1] vssa1 0.63fF
+C189 user_irq[0] vssa1 0.63fF
+C190 user_clock2 vssa1 0.63fF
+C191 la_oenb[127] vssa1 0.63fF
+C192 la_data_in[127] vssa1 0.63fF
+C193 la_oenb[126] vssa1 0.63fF
+C194 la_data_out[126] vssa1 0.63fF
+C195 la_data_in[126] vssa1 0.63fF
+C196 la_oenb[125] vssa1 0.63fF
+C197 la_data_out[125] vssa1 0.63fF
+C198 la_data_in[125] vssa1 0.63fF
+C199 la_oenb[124] vssa1 0.63fF
+C200 la_data_out[124] vssa1 0.63fF
+C201 la_data_in[124] vssa1 0.63fF
+C202 la_oenb[123] vssa1 0.63fF
+C203 la_data_out[123] vssa1 0.63fF
+C204 la_oenb[122] vssa1 0.63fF
+C205 la_data_out[122] vssa1 0.63fF
+C206 la_data_in[122] vssa1 0.63fF
+C207 la_oenb[121] vssa1 0.63fF
+C208 la_data_out[121] vssa1 0.63fF
+C209 la_data_in[121] vssa1 0.63fF
+C210 la_oenb[120] vssa1 0.63fF
+C211 la_data_out[120] vssa1 0.63fF
+C212 la_data_in[120] vssa1 0.63fF
+C213 la_oenb[119] vssa1 0.63fF
+C214 la_data_out[119] vssa1 0.63fF
+C215 la_data_in[119] vssa1 0.63fF
+C216 la_oenb[118] vssa1 0.63fF
+C217 la_data_out[118] vssa1 0.63fF
+C218 la_data_in[118] vssa1 0.63fF
+C219 la_oenb[117] vssa1 0.63fF
+C220 la_data_out[117] vssa1 0.63fF
+C221 la_data_in[117] vssa1 0.63fF
+C222 la_data_out[116] vssa1 0.63fF
+C223 la_data_in[116] vssa1 0.63fF
+C224 la_oenb[115] vssa1 0.63fF
+C225 la_data_out[115] vssa1 0.63fF
+C226 la_data_in[115] vssa1 0.63fF
+C227 la_oenb[114] vssa1 0.63fF
+C228 la_data_out[114] vssa1 0.63fF
+C229 la_data_in[114] vssa1 0.63fF
+C230 la_oenb[113] vssa1 0.63fF
+C231 la_data_out[113] vssa1 0.63fF
+C232 la_data_in[113] vssa1 0.63fF
+C233 la_oenb[112] vssa1 0.63fF
+C234 la_data_in[112] vssa1 0.63fF
+C235 la_oenb[111] vssa1 0.63fF
+C236 la_data_out[111] vssa1 0.63fF
+C237 la_data_in[111] vssa1 0.63fF
+C238 la_oenb[110] vssa1 0.63fF
+C239 la_data_out[110] vssa1 0.63fF
+C240 la_data_in[110] vssa1 0.63fF
+C241 la_oenb[109] vssa1 0.63fF
+C242 la_data_out[109] vssa1 0.63fF
+C243 la_data_in[109] vssa1 0.63fF
+C244 la_oenb[108] vssa1 0.63fF
+C245 la_data_out[108] vssa1 0.63fF
+C246 la_oenb[107] vssa1 0.63fF
+C247 la_data_out[107] vssa1 0.63fF
+C248 la_data_in[107] vssa1 0.63fF
+C249 la_oenb[106] vssa1 0.63fF
+C250 la_data_out[106] vssa1 0.63fF
+C251 la_oenb[105] vssa1 0.63fF
+C252 la_data_out[105] vssa1 0.63fF
+C253 la_data_in[105] vssa1 0.63fF
+C254 la_oenb[104] vssa1 0.63fF
+C255 la_data_out[104] vssa1 0.63fF
+C256 la_data_in[104] vssa1 0.63fF
+C257 la_oenb[103] vssa1 0.63fF
+C258 la_data_out[103] vssa1 0.63fF
+C259 la_data_in[103] vssa1 0.63fF
+C260 la_oenb[102] vssa1 0.63fF
+C261 la_data_out[102] vssa1 0.63fF
+C262 la_data_in[102] vssa1 0.63fF
+C263 la_data_out[101] vssa1 0.63fF
+C264 la_data_in[101] vssa1 0.63fF
+C265 la_oenb[100] vssa1 0.63fF
+C266 la_data_out[100] vssa1 0.63fF
+C267 la_data_in[100] vssa1 0.63fF
+C268 la_oenb[99] vssa1 0.63fF
+C269 la_data_out[99] vssa1 0.63fF
+C270 la_data_in[99] vssa1 0.63fF
+C271 la_oenb[98] vssa1 0.63fF
+C272 la_data_out[98] vssa1 0.63fF
+C273 la_data_in[98] vssa1 0.63fF
+C274 la_oenb[97] vssa1 0.63fF
+C275 la_data_in[97] vssa1 0.63fF
+C276 la_oenb[96] vssa1 0.63fF
+C277 la_data_out[96] vssa1 0.63fF
+C278 la_data_in[96] vssa1 0.63fF
+C279 la_oenb[95] vssa1 0.63fF
+C280 la_data_out[95] vssa1 0.63fF
+C281 la_data_in[95] vssa1 0.63fF
+C282 la_oenb[94] vssa1 0.63fF
+C283 la_data_out[94] vssa1 0.63fF
+C284 la_data_in[94] vssa1 0.63fF
+C285 la_oenb[93] vssa1 0.63fF
+C286 la_data_out[93] vssa1 0.63fF
+C287 la_data_in[93] vssa1 0.00fF
+C288 la_oenb[92] vssa1 0.63fF
+C289 la_data_out[92] vssa1 0.63fF
+C290 la_data_in[92] vssa1 0.63fF
+C291 la_oenb[91] vssa1 0.63fF
+C292 la_data_out[91] vssa1 0.63fF
+C293 la_oenb[90] vssa1 0.63fF
+C294 la_data_out[90] vssa1 0.63fF
+C295 la_data_in[90] vssa1 0.63fF
+C296 la_oenb[89] vssa1 0.63fF
+C297 la_data_out[89] vssa1 0.63fF
+C298 la_data_in[89] vssa1 0.63fF
+C299 la_oenb[88] vssa1 0.63fF
+C300 la_data_out[88] vssa1 0.63fF
+C301 la_data_in[88] vssa1 0.63fF
+C302 la_oenb[87] vssa1 0.63fF
+C303 la_data_out[87] vssa1 0.63fF
+C304 la_data_in[87] vssa1 0.63fF
+C305 la_oenb[86] vssa1 0.00fF
+C306 la_data_out[86] vssa1 0.63fF
+C307 la_data_in[86] vssa1 0.63fF
+C308 la_oenb[85] vssa1 0.63fF
+C309 la_data_out[85] vssa1 0.63fF
+C310 la_data_in[85] vssa1 0.63fF
+C311 la_oenb[84] vssa1 0.63fF
+C312 la_data_out[84] vssa1 0.63fF
+C313 la_data_in[84] vssa1 0.63fF
+C314 la_oenb[83] vssa1 0.63fF
+C315 la_data_out[83] vssa1 0.63fF
+C316 la_data_in[83] vssa1 0.63fF
+C317 la_oenb[82] vssa1 0.63fF
+C318 la_data_out[82] vssa1 0.00fF
+C319 la_data_in[82] vssa1 0.63fF
+C320 la_oenb[81] vssa1 0.63fF
+C321 la_data_out[81] vssa1 0.63fF
+C322 la_data_in[81] vssa1 0.63fF
+C323 la_oenb[80] vssa1 0.63fF
+C324 la_data_out[80] vssa1 0.63fF
+C325 la_data_in[80] vssa1 0.63fF
+C326 la_oenb[79] vssa1 0.63fF
+C327 la_data_out[79] vssa1 0.63fF
+C328 la_data_in[79] vssa1 0.63fF
+C329 la_oenb[78] vssa1 0.63fF
+C330 la_data_out[78] vssa1 0.63fF
+C331 la_data_in[78] vssa1 0.63fF
+C332 la_oenb[77] vssa1 0.63fF
+C333 la_data_out[77] vssa1 0.63fF
+C334 la_data_in[77] vssa1 0.63fF
+C335 la_oenb[76] vssa1 0.63fF
+C336 la_data_out[76] vssa1 0.63fF
+C337 la_data_in[76] vssa1 0.00fF
+C338 la_oenb[75] vssa1 0.63fF
+C339 la_data_out[75] vssa1 0.63fF
+C340 la_data_in[75] vssa1 0.63fF
+C341 la_oenb[74] vssa1 0.63fF
+C342 la_data_out[74] vssa1 0.63fF
+C343 la_data_in[74] vssa1 0.63fF
+C344 la_oenb[73] vssa1 0.63fF
+C345 la_data_out[73] vssa1 0.63fF
+C346 la_data_in[73] vssa1 0.63fF
+C347 la_oenb[72] vssa1 0.63fF
+C348 la_data_out[72] vssa1 0.63fF
+C349 la_data_in[72] vssa1 0.63fF
+C350 la_oenb[71] vssa1 0.00fF
+C351 la_data_out[71] vssa1 0.63fF
+C352 la_data_in[71] vssa1 0.63fF
+C353 la_oenb[70] vssa1 0.63fF
+C354 la_data_out[70] vssa1 0.63fF
+C355 la_data_in[70] vssa1 0.63fF
+C356 la_oenb[69] vssa1 0.63fF
+C357 la_data_out[69] vssa1 0.63fF
+C358 la_data_in[69] vssa1 0.63fF
+C359 la_oenb[68] vssa1 0.63fF
+C360 la_data_out[68] vssa1 0.63fF
+C361 la_data_in[68] vssa1 0.63fF
+C362 la_oenb[67] vssa1 0.63fF
+C363 la_data_in[67] vssa1 0.63fF
+C364 la_oenb[66] vssa1 0.63fF
+C365 la_data_out[66] vssa1 0.63fF
+C366 la_data_in[66] vssa1 0.63fF
+C367 la_oenb[65] vssa1 0.63fF
+C368 la_data_out[65] vssa1 0.26fF
+C369 la_data_in[65] vssa1 0.63fF
+C370 la_oenb[64] vssa1 0.63fF
+C371 la_data_out[64] vssa1 0.63fF
+C372 la_data_in[64] vssa1 0.63fF
+C373 la_oenb[63] vssa1 0.63fF
+C374 la_data_out[63] vssa1 0.63fF
+C375 la_data_in[63] vssa1 0.63fF
+C376 la_oenb[62] vssa1 0.63fF
+C377 la_data_out[62] vssa1 0.63fF
+C378 la_data_in[62] vssa1 0.63fF
+C379 la_oenb[61] vssa1 0.63fF
+C380 la_data_out[61] vssa1 0.63fF
+C381 la_oenb[60] vssa1 0.63fF
+C382 la_data_out[60] vssa1 0.63fF
+C383 la_data_in[60] vssa1 0.63fF
+C384 la_oenb[59] vssa1 0.63fF
+C385 la_data_out[59] vssa1 0.63fF
+C386 la_data_in[59] vssa1 0.63fF
+C387 la_oenb[58] vssa1 0.63fF
+C388 la_data_out[58] vssa1 0.63fF
+C389 la_data_in[58] vssa1 0.63fF
+C390 la_oenb[57] vssa1 0.63fF
+C391 la_data_out[57] vssa1 0.63fF
+C392 la_data_in[57] vssa1 0.63fF
+C393 la_data_out[56] vssa1 0.63fF
+C394 la_data_in[56] vssa1 0.63fF
+C395 la_oenb[55] vssa1 0.63fF
+C396 la_data_out[55] vssa1 0.63fF
+C397 la_data_in[55] vssa1 0.63fF
+C398 la_oenb[54] vssa1 0.63fF
+C399 la_data_out[54] vssa1 0.63fF
+C400 la_data_in[54] vssa1 0.63fF
+C401 la_oenb[53] vssa1 0.63fF
+C402 la_data_out[53] vssa1 0.63fF
+C403 la_data_in[53] vssa1 0.63fF
+C404 la_oenb[52] vssa1 0.63fF
+C405 la_data_in[52] vssa1 0.63fF
+C406 la_oenb[51] vssa1 0.63fF
+C407 la_data_out[51] vssa1 0.63fF
+C408 la_data_in[51] vssa1 0.63fF
+C409 la_oenb[50] vssa1 0.63fF
+C410 la_data_in[50] vssa1 0.63fF
+C411 la_oenb[49] vssa1 0.63fF
+C412 la_data_out[49] vssa1 0.63fF
+C413 la_data_in[49] vssa1 0.63fF
+C414 la_oenb[48] vssa1 0.63fF
+C415 la_data_out[48] vssa1 0.63fF
+C416 la_data_in[48] vssa1 0.63fF
+C417 la_oenb[47] vssa1 0.63fF
+C418 la_data_out[47] vssa1 0.63fF
+C419 la_data_in[47] vssa1 0.63fF
+C420 la_oenb[46] vssa1 0.63fF
+C421 la_data_out[46] vssa1 0.63fF
+C422 la_oenb[45] vssa1 0.63fF
+C423 la_data_out[45] vssa1 0.63fF
+C424 la_data_in[45] vssa1 0.63fF
+C425 la_oenb[44] vssa1 0.63fF
+C426 la_data_out[44] vssa1 0.63fF
+C427 la_data_in[44] vssa1 0.63fF
+C428 la_oenb[43] vssa1 0.63fF
+C429 la_data_out[43] vssa1 0.63fF
+C430 la_data_in[43] vssa1 0.63fF
+C431 la_oenb[42] vssa1 0.63fF
+C432 la_data_out[42] vssa1 0.63fF
+C433 la_data_in[42] vssa1 0.63fF
+C434 la_data_out[41] vssa1 0.63fF
+C435 la_data_in[41] vssa1 0.63fF
+C436 la_oenb[40] vssa1 0.63fF
+C437 la_data_out[40] vssa1 0.63fF
+C438 la_data_in[40] vssa1 0.63fF
+C439 la_oenb[39] vssa1 0.63fF
+C440 la_data_out[39] vssa1 0.63fF
+C441 la_data_in[39] vssa1 0.63fF
+C442 la_oenb[38] vssa1 0.63fF
+C443 la_data_out[38] vssa1 0.63fF
+C444 la_data_in[38] vssa1 0.63fF
+C445 la_oenb[37] vssa1 0.63fF
+C446 la_data_out[37] vssa1 0.26fF
+C447 la_data_in[37] vssa1 0.63fF
+C448 la_oenb[36] vssa1 0.63fF
+C449 la_data_out[36] vssa1 0.63fF
+C450 la_data_in[36] vssa1 0.63fF
+C451 la_oenb[35] vssa1 0.63fF
+C452 la_data_in[35] vssa1 0.63fF
+C453 la_oenb[34] vssa1 0.63fF
+C454 la_data_out[34] vssa1 0.63fF
+C455 la_data_in[34] vssa1 0.63fF
+C456 la_oenb[33] vssa1 0.63fF
+C457 la_data_out[33] vssa1 0.63fF
+C458 la_data_in[33] vssa1 0.63fF
+C459 la_oenb[32] vssa1 0.63fF
+C460 la_data_out[32] vssa1 0.63fF
+C461 la_data_in[32] vssa1 0.63fF
+C462 la_oenb[31] vssa1 0.63fF
+C463 la_data_out[31] vssa1 0.63fF
+C464 la_data_in[31] vssa1 0.00fF
+C465 la_oenb[30] vssa1 0.63fF
+C466 la_data_out[30] vssa1 0.63fF
+C467 la_data_in[30] vssa1 0.63fF
+C468 la_oenb[29] vssa1 0.63fF
+C469 la_data_out[29] vssa1 0.63fF
+C470 la_data_in[29] vssa1 0.63fF
+C471 la_oenb[28] vssa1 0.63fF
+C472 la_data_out[28] vssa1 0.63fF
+C473 la_data_in[28] vssa1 0.63fF
+C474 la_oenb[27] vssa1 0.63fF
+C475 la_data_out[27] vssa1 0.63fF
+C476 la_data_in[27] vssa1 0.63fF
+C477 la_oenb[26] vssa1 0.00fF
+C478 la_data_out[26] vssa1 0.63fF
+C479 la_data_in[26] vssa1 0.63fF
+C480 la_oenb[25] vssa1 0.63fF
+C481 la_data_out[25] vssa1 0.63fF
+C482 la_data_in[25] vssa1 0.63fF
+C483 la_oenb[24] vssa1 0.63fF
+C484 la_data_out[24] vssa1 0.63fF
+C485 la_data_in[24] vssa1 0.63fF
+C486 la_oenb[23] vssa1 0.63fF
+C487 la_data_out[23] vssa1 0.63fF
+C488 la_data_in[23] vssa1 0.63fF
+C489 la_oenb[22] vssa1 0.63fF
+C490 la_data_out[22] vssa1 0.63fF
+C491 la_data_in[22] vssa1 0.63fF
+C492 la_oenb[21] vssa1 0.63fF
+C493 la_data_out[21] vssa1 0.63fF
+C494 la_data_in[21] vssa1 0.63fF
+C495 la_oenb[20] vssa1 0.63fF
+C496 la_data_out[20] vssa1 0.00fF
+C497 la_data_in[20] vssa1 0.63fF
+C498 la_oenb[19] vssa1 0.63fF
+C499 la_data_out[19] vssa1 0.63fF
+C500 la_data_in[19] vssa1 0.63fF
+C501 la_oenb[18] vssa1 0.63fF
+C502 la_data_out[18] vssa1 0.63fF
+C503 la_data_in[18] vssa1 0.63fF
+C504 la_oenb[17] vssa1 0.63fF
+C505 la_data_out[17] vssa1 0.63fF
+C506 la_data_in[17] vssa1 0.63fF
+C507 la_oenb[16] vssa1 0.63fF
+C508 la_data_out[16] vssa1 0.63fF
+C509 la_data_in[16] vssa1 0.00fF
+C510 la_oenb[15] vssa1 0.63fF
+C511 la_data_out[15] vssa1 0.63fF
+C512 la_data_in[15] vssa1 0.63fF
+C513 la_oenb[14] vssa1 0.63fF
+C514 la_data_out[14] vssa1 0.63fF
+C515 la_data_in[14] vssa1 0.63fF
+C516 la_oenb[13] vssa1 0.63fF
+C517 la_data_out[13] vssa1 0.63fF
+C518 la_data_in[13] vssa1 0.63fF
+C519 la_oenb[12] vssa1 0.63fF
+C520 la_data_out[12] vssa1 0.63fF
+C521 la_data_in[12] vssa1 0.63fF
+C522 la_data_out[11] vssa1 0.63fF
+C523 la_data_in[11] vssa1 0.63fF
+C524 la_oenb[10] vssa1 0.63fF
+C525 la_data_out[10] vssa1 0.63fF
+C526 la_data_in[10] vssa1 0.63fF
+C527 la_oenb[9] vssa1 0.00fF
+C528 la_data_out[9] vssa1 0.63fF
+C529 la_data_in[9] vssa1 0.63fF
+C530 la_oenb[8] vssa1 0.63fF
+C531 la_data_out[8] vssa1 0.63fF
+C532 la_data_in[8] vssa1 0.63fF
+C533 la_oenb[7] vssa1 0.63fF
+C534 la_data_out[7] vssa1 0.63fF
+C535 la_data_in[7] vssa1 0.63fF
+C536 la_oenb[6] vssa1 0.63fF
+C537 la_data_out[6] vssa1 0.63fF
+C538 la_data_in[6] vssa1 0.63fF
+C539 la_oenb[5] vssa1 0.63fF
+C540 la_data_in[5] vssa1 0.63fF
+C541 la_oenb[4] vssa1 0.63fF
+C542 la_data_out[4] vssa1 0.63fF
+C543 la_data_in[4] vssa1 0.63fF
+C544 la_oenb[3] vssa1 0.63fF
+C545 la_data_out[3] vssa1 0.63fF
+C546 la_data_in[3] vssa1 0.63fF
+C547 la_oenb[2] vssa1 0.63fF
+C548 la_data_out[2] vssa1 0.63fF
+C549 la_data_in[2] vssa1 0.63fF
+C550 la_oenb[1] vssa1 0.63fF
+C551 la_data_out[1] vssa1 0.63fF
+C552 la_oenb[0] vssa1 0.63fF
+C553 la_data_out[0] vssa1 0.63fF
+C554 la_data_in[0] vssa1 0.63fF
+C555 wbs_dat_o[31] vssa1 0.63fF
+C556 wbs_dat_i[31] vssa1 0.63fF
+C557 wbs_adr_i[31] vssa1 0.63fF
+C558 wbs_dat_o[30] vssa1 0.63fF
+C559 wbs_dat_i[30] vssa1 0.63fF
+C560 wbs_adr_i[30] vssa1 0.63fF
+C561 wbs_dat_o[29] vssa1 0.63fF
+C562 wbs_dat_i[29] vssa1 0.63fF
+C563 wbs_adr_i[29] vssa1 0.63fF
+C564 wbs_dat_i[28] vssa1 0.63fF
+C565 wbs_adr_i[28] vssa1 0.63fF
+C566 wbs_dat_o[27] vssa1 0.63fF
+C567 wbs_dat_i[27] vssa1 0.63fF
+C568 wbs_adr_i[27] vssa1 0.63fF
+C569 wbs_dat_i[26] vssa1 0.63fF
+C570 wbs_adr_i[26] vssa1 0.63fF
+C571 wbs_dat_o[25] vssa1 0.63fF
+C572 wbs_dat_i[25] vssa1 0.63fF
+C573 wbs_adr_i[25] vssa1 0.63fF
+C574 wbs_dat_o[24] vssa1 0.63fF
+C575 wbs_dat_i[24] vssa1 0.63fF
+C576 wbs_adr_i[24] vssa1 0.63fF
+C577 wbs_dat_o[23] vssa1 0.63fF
+C578 wbs_dat_i[23] vssa1 0.63fF
+C579 wbs_adr_i[23] vssa1 0.63fF
+C580 wbs_dat_o[22] vssa1 0.63fF
+C581 wbs_adr_i[22] vssa1 0.63fF
+C582 wbs_dat_o[21] vssa1 0.63fF
+C583 wbs_dat_i[21] vssa1 0.63fF
+C584 wbs_adr_i[21] vssa1 0.63fF
+C585 wbs_dat_o[20] vssa1 0.63fF
+C586 wbs_dat_i[20] vssa1 0.63fF
+C587 wbs_adr_i[20] vssa1 0.63fF
+C588 wbs_dat_o[19] vssa1 0.63fF
+C589 wbs_dat_i[19] vssa1 0.63fF
+C590 wbs_adr_i[19] vssa1 0.63fF
+C591 wbs_dat_o[18] vssa1 0.63fF
+C592 wbs_dat_i[18] vssa1 0.63fF
+C593 wbs_dat_o[17] vssa1 0.63fF
+C594 wbs_dat_i[17] vssa1 0.63fF
+C595 wbs_adr_i[17] vssa1 0.63fF
+C596 wbs_dat_o[16] vssa1 0.63fF
+C597 wbs_dat_i[16] vssa1 0.63fF
+C598 wbs_adr_i[16] vssa1 0.63fF
+C599 wbs_dat_o[15] vssa1 0.63fF
+C600 wbs_dat_i[15] vssa1 0.63fF
+C601 wbs_adr_i[15] vssa1 0.63fF
+C602 wbs_dat_o[14] vssa1 0.63fF
+C603 wbs_dat_i[14] vssa1 0.63fF
+C604 wbs_adr_i[14] vssa1 0.63fF
+C605 wbs_dat_o[13] vssa1 0.63fF
+C606 wbs_dat_i[13] vssa1 0.63fF
+C607 wbs_adr_i[13] vssa1 0.63fF
+C608 wbs_dat_o[12] vssa1 0.63fF
+C609 wbs_dat_i[12] vssa1 0.63fF
+C610 wbs_adr_i[12] vssa1 0.63fF
+C611 wbs_dat_i[11] vssa1 0.63fF
+C612 wbs_adr_i[11] vssa1 0.63fF
+C613 wbs_dat_o[10] vssa1 0.63fF
+C614 wbs_dat_i[10] vssa1 0.63fF
+C615 wbs_adr_i[10] vssa1 0.63fF
+C616 wbs_dat_o[9] vssa1 0.63fF
+C617 wbs_dat_i[9] vssa1 0.63fF
+C618 wbs_adr_i[9] vssa1 0.63fF
+C619 wbs_dat_o[8] vssa1 0.63fF
+C620 wbs_dat_i[8] vssa1 0.63fF
+C621 wbs_adr_i[8] vssa1 0.63fF
+C622 wbs_dat_o[7] vssa1 0.63fF
+C623 wbs_adr_i[7] vssa1 0.63fF
+C624 wbs_dat_o[6] vssa1 0.63fF
+C625 wbs_dat_i[6] vssa1 0.63fF
+C626 wbs_adr_i[6] vssa1 0.63fF
+C627 wbs_dat_o[5] vssa1 0.63fF
+C628 wbs_dat_i[5] vssa1 0.63fF
+C629 wbs_adr_i[5] vssa1 0.63fF
+C630 wbs_dat_o[4] vssa1 0.63fF
+C631 wbs_dat_i[4] vssa1 0.63fF
+C632 wbs_adr_i[4] vssa1 0.63fF
+C633 wbs_sel_i[3] vssa1 0.63fF
+C634 wbs_dat_o[3] vssa1 0.63fF
+C635 wbs_dat_i[3] vssa1 0.00fF
+C636 wbs_adr_i[3] vssa1 0.63fF
+C637 wbs_sel_i[2] vssa1 0.63fF
+C638 wbs_dat_o[2] vssa1 0.63fF
+C639 wbs_dat_i[2] vssa1 0.63fF
+C640 wbs_adr_i[2] vssa1 0.63fF
+C641 wbs_dat_o[1] vssa1 0.63fF
+C642 wbs_dat_i[1] vssa1 0.63fF
+C643 wbs_adr_i[1] vssa1 0.63fF
+C644 wbs_sel_i[0] vssa1 0.63fF
+C645 wbs_dat_o[0] vssa1 0.63fF
+C646 wbs_dat_i[0] vssa1 0.63fF
+C647 wbs_adr_i[0] vssa1 0.63fF
+C648 wbs_we_i vssa1 0.63fF
+C649 wbs_stb_i vssa1 0.63fF
+C650 wbs_cyc_i vssa1 0.63fF
+C651 wbs_ack_o vssa1 0.63fF
+C652 wb_rst_i vssa1 0.63fF
+C653 wb_clk_i vssa1 0.00fF
+C654 io_analog[0] vssa1 -13.33fF
+C655 io_analog[1] vssa1 2.16fF
+C656 skullfet_inverter_0/A vssa1 2.22fF
+C657 skullfet_inverter_0/m2_730_446# vssa1 1.15fF $ **FLOATING
+C658 skullfet_inverter_0/m2_568_1148# vssa1 1.18fF $ **FLOATING
+C659 skullfet_inverter_0/m2_730_2174# vssa1 1.21fF $ **FLOATING
+C660 skullfet_inverter_0/m1_730_446# vssa1 1.15fF $ **FLOATING
+C661 skullfet_inverter_0/m1_568_1148# vssa1 1.36fF
+C662 skullfet_inverter_0/m1_730_2174# vssa1 1.73fF
+C663 skullfet_logo_0/m5_73000_44600# vssa1 117.94fF $ **FLOATING
+C664 skullfet_logo_0/m5_56800_114800# vssa1 132.68fF $ **FLOATING
+C665 skullfet_logo_0/m5_73000_217400# vssa1 117.94fF $ **FLOATING
+C666 skullfet_logo_0/m4_73000_44600# vssa1 111.89fF $ **FLOATING
+C667 skullfet_logo_0/m4_56800_114800# vssa1 125.87fF $ **FLOATING
+C668 skullfet_logo_0/m4_73000_217400# vssa1 111.89fF $ **FLOATING
+C669 skullfet_logo_0/m3_73000_44600# vssa1 123.98fF $ **FLOATING
+C670 skullfet_logo_0/m3_56800_114800# vssa1 139.48fF $ **FLOATING
+C671 skullfet_logo_0/m3_73000_217400# vssa1 123.98fF $ **FLOATING
+C672 skullfet_logo_0/m2_73000_44600# vssa1 123.98fF $ **FLOATING
+C673 skullfet_logo_0/m2_56800_114800# vssa1 139.48fF $ **FLOATING
+C674 skullfet_logo_0/m2_73000_217400# vssa1 123.98fF $ **FLOATING
+C675 skullfet_logo_0/m1_73000_44600# vssa1 3327.21fF $ **FLOATING
+C676 skullfet_logo_0/m1_56800_114800# vssa1 2319.19fF $ **FLOATING
+C677 skullfet_logo_0/m1_73000_217400# vssa1 3327.21fF $ **FLOATING
+C678 io_analog[3] vssa1 -204.80fF
+C679 io_analog[2] vssa1 -142.26fF
+C680 vdda1 vssa1 1.92fF
+C681 skullfet_inverter_xl_0/m5_7300_4460# vssa1 10.65fF $ **FLOATING
+C682 skullfet_inverter_xl_0/m5_5680_11480# vssa1 11.20fF $ **FLOATING
+C683 skullfet_inverter_xl_0/m5_7300_21740# vssa1 11.60fF $ **FLOATING
+C684 skullfet_inverter_xl_0/m4_7300_4460# vssa1 10.10fF $ **FLOATING
+C685 skullfet_inverter_xl_0/m4_5680_11480# vssa1 10.62fF $ **FLOATING
+C686 skullfet_inverter_xl_0/m4_7300_21740# vssa1 11.11fF $ **FLOATING
+C687 skullfet_inverter_xl_0/m3_7300_4460# vssa1 11.19fF $ **FLOATING
+C688 skullfet_inverter_xl_0/m3_5680_11480# vssa1 11.77fF $ **FLOATING
+C689 skullfet_inverter_xl_0/m3_7300_21740# vssa1 12.50fF $ **FLOATING
+C690 skullfet_inverter_xl_0/m2_7300_4460# vssa1 11.19fF $ **FLOATING
+C691 skullfet_inverter_xl_0/m2_5680_11480# vssa1 11.77fF $ **FLOATING
+C692 skullfet_inverter_xl_0/m2_7300_21740# vssa1 12.73fF $ **FLOATING
+C693 skullfet_inverter_xl_0/m1_7300_4460# vssa1 11.19fF $ **FLOATING
+C694 skullfet_inverter_xl_0/m1_5680_11480# vssa1 30.45fF $ **FLOATING
+C695 skullfet_inverter_xl_0/m1_7300_21740# vssa1 29.19fF $ **FLOATING
 .ends