user project def,lef,gds added
diff --git a/def/user_project_wrapper.def.gz b/def/user_project_wrapper.def.gz
index e9034c3..808bb08 100644
--- a/def/user_project_wrapper.def.gz
+++ b/def/user_project_wrapper.def.gz
Binary files differ
diff --git a/gds/user_project_wrapper.gds.gz b/gds/user_project_wrapper.gds.gz
new file mode 100644
index 0000000..0b5bb55
--- /dev/null
+++ b/gds/user_project_wrapper.gds.gz
Binary files differ
diff --git a/lef/user_project_wrapper.lef.gz b/lef/user_project_wrapper.lef.gz
new file mode 100644
index 0000000..e67bef6
--- /dev/null
+++ b/lef/user_project_wrapper.lef.gz
Binary files differ
diff --git a/mag/user_project_wrapper.mag.gz b/mag/user_project_wrapper.mag.gz
new file mode 100644
index 0000000..188d180
--- /dev/null
+++ b/mag/user_project_wrapper.mag.gz
Binary files differ
diff --git a/maglef/user_project_wrapper.mag.gz b/maglef/user_project_wrapper.mag.gz
index d506a58..dd368d5 100644
--- a/maglef/user_project_wrapper.mag.gz
+++ b/maglef/user_project_wrapper.mag.gz
Binary files differ
diff --git a/openlane/sdram/base.sdc b/openlane/sdram/base.sdc
index 9fd9ca2..0b59edd 100644
--- a/openlane/sdram/base.sdc
+++ b/openlane/sdram/base.sdc
@@ -21,7 +21,7 @@
 
 set_input_delay  3.0                     -clock [get_clocks $::env(WB_CLOCK_PORT)] [get_port wb_stb_i*]
 set_input_delay  $wb_input_delay_value   -clock [get_clocks $::env(WB_CLOCK_PORT)] [get_port wb_addr_i*]
-set_input_delay  $wb_input_delay_value   -clock [get_clocks $::env(WB_CLOCK_PORT)] [get_port wb_we_i*]
+set_input_delay  5.0                     -clock [get_clocks $::env(WB_CLOCK_PORT)] [get_port wb_we_i*]
 set_input_delay  $wb_input_delay_value   -clock [get_clocks $::env(WB_CLOCK_PORT)] [get_port wb_dat_i*]
 set_input_delay  $wb_input_delay_value   -clock [get_clocks $::env(WB_CLOCK_PORT)] [get_port wb_sel_i*]
 set_input_delay  3.0                     -clock [get_clocks $::env(WB_CLOCK_PORT)] [get_port wb_cyc_i*]
diff --git a/openlane/user_project_wrapper/config.tcl b/openlane/user_project_wrapper/config.tcl
index 0a4f516..5a67c33 100644
--- a/openlane/user_project_wrapper/config.tcl
+++ b/openlane/user_project_wrapper/config.tcl
@@ -139,3 +139,8 @@
 set ::env(VDD_NETS) [list {vccd1} {vccd2} {vdda1} {vdda2}]
 set ::env(GND_NETS) [list {vssd1} {vssd2} {vssa1} {vssa2}]
 set ::env(SYNTH_USE_PG_PINS_DEFINES) "USE_POWER_PINS"
+
+set ::env(DIODE_INSERTION_STRATEGY) 0
+set ::env(FILL_INSERTION) 0
+set ::env(TAP_DECAP_INSERTION) 0
+set ::env(CLOCK_TREE_SYNTH) 0
diff --git a/openlane/user_project_wrapper/interactive.tcl b/openlane/user_project_wrapper/interactive.tcl
deleted file mode 100644
index 6249330..0000000
--- a/openlane/user_project_wrapper/interactive.tcl
+++ /dev/null
@@ -1,34 +0,0 @@
-package require openlane
-set script_dir [file dirname [file normalize [info script]]]
-
-prep -design $script_dir -tag 24June2021 -overwrite
-set save_path $script_dir/../..	
-
-run_synthesis
-run_floorplan
-run_placement
-run_cts
-run_routing
-
-write_powered_verilog
-set_netlist $::env(lvs_result_file_tag).powered.v
-run_magic
-run_magic_drc
-puts $::env(CURRENT_NETLIST)
-run_magic_spice_export
-
-save_views 	-lef_path $::env(magic_result_file_tag).lef \
-		-def_path $::env(tritonRoute_result_file_tag).def \
-		-gds_path $::env(magic_result_file_tag).gds \
-		-mag_path $::env(magic_result_file_tag).mag \
-		-maglef_path $::env(magic_result_file_tag).lef.mag \
-		-spice_path $::env(magic_result_file_tag).spice \
-		-verilog_path $::env(CURRENT_NETLIST)\
-	        -save_path $save_path \
-                -tag $::env(RUN_TAG)	
-	
-run_lvs
-run_antenna_check
-calc_total_runtime
-generate_final_summary_report
-puts_success "Flow Completed Without Fatal Errors."
diff --git a/signoff/user_project_wrapper/final_summary_report.csv b/signoff/user_project_wrapper/final_summary_report.csv
index 5246de2..7e4defc 100644
--- a/signoff/user_project_wrapper/final_summary_report.csv
+++ b/signoff/user_project_wrapper/final_summary_report.csv
@@ -1,2 +1,2 @@
 ,design,design_name,config,flow_status,total_runtime,routed_runtime,(Cell/mm^2)/Core_Util,DIEAREA_mm^2,CellPer_mm^2,OpenDP_Util,Peak_Memory_Usage_MB,cell_count,tritonRoute_violations,Short_violations,MetSpc_violations,OffGrid_violations,MinHole_violations,Other_violations,Magic_violations,antenna_violations,lvs_total_errors,cvc_total_errors,klayout_violations,wire_length,vias,wns,pl_wns,optimized_wns,fastroute_wns,spef_wns,tns,pl_tns,optimized_tns,fastroute_tns,spef_tns,HPWL,routing_layer1_pct,routing_layer2_pct,routing_layer3_pct,routing_layer4_pct,routing_layer5_pct,routing_layer6_pct,wires_count,wire_bits,public_wires_count,public_wire_bits,memories_count,memory_bits,processes_count,cells_pre_abc,AND,DFF,NAND,NOR,OR,XOR,XNOR,MUX,inputs,outputs,level,EndCaps,TapCells,Diodes,Total_Physical_Cells,suggested_clock_frequency,suggested_clock_period,CLOCK_PERIOD,SYNTH_STRATEGY,SYNTH_MAX_FANOUT,FP_CORE_UTIL,FP_ASPECT_RATIO,FP_PDN_VPITCH,FP_PDN_HPITCH,PL_TARGET_DENSITY,GLB_RT_ADJUSTMENT,STD_CELL_LIBRARY,CELL_PAD,DIODE_INSERTION_STRATEGY
-0,/project/openlane/user_project_wrapper,user_project_wrapper,user_project_wrapper,Flow_completed,0h34m28s,0h2m12s,37.943648816936495,10.2784,18.971824408468247,0,585.11,195,0,0,0,0,0,0,74835,13,226,-1,2,898598,4357,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,895585866,0.0,1.19,1.92,1.26,2.19,-1,684,1302,676,1294,0,0,0,195,0,0,0,0,0,0,0,0,2,2,1,0,0,0,0,100.0,10.0,10,AREA 0,5,50,1,180,180,0.55,0,sky130_fd_sc_hd,4,0
+0,/project/openlane/user_project_wrapper,user_project_wrapper,user_project_wrapper,Flow_completed,0h42m47s,0h4m57s,38.33281444582815,10.2784,19.166407222914074,0,578.02,197,0,0,0,0,0,0,98674,15,225,-1,13,661124,2948,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,655217497,0.0,1.25,5.09,0.4,2.03,-1,693,1311,684,1302,0,0,0,197,0,0,0,0,0,0,0,0,2,2,1,0,0,0,0,100.0,10.0,10,AREA 0,5,50,1,180,180,0.55,0.45,sky130_fd_sc_hd,4,0
diff --git a/spi/lvs/user_project_wrapper.spice.gz b/spi/lvs/user_project_wrapper.spice.gz
new file mode 100644
index 0000000..bc8c0a0
--- /dev/null
+++ b/spi/lvs/user_project_wrapper.spice.gz
Binary files differ
diff --git a/verilog/gl/user_project_wrapper.v b/verilog/gl/user_project_wrapper.v
index 8f2c34c..1fe0455 100644
--- a/verilog/gl/user_project_wrapper.v
+++ b/verilog/gl/user_project_wrapper.v
@@ -53,24 +53,19 @@
  output [31:0] wbs_dat_o;
  input [3:0] wbs_sel_i;
 
- sky130_fd_sc_hd__inv_4 _008_ (.A(wb_rst_i),
+ sky130_fd_sc_hd__inv_8 _009_ (.A(wb_rst_i),
     .Y(\u_core.wb_rst_n ),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__inv_2 _009_ (.A(\u_core.spi_en_tx ),
+ sky130_fd_sc_hd__inv_2 _010_ (.A(\u_core.spi_en_tx ),
     .Y(io_oeb[35]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _010_ (.HI(io_oeb[36]),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _011_ (.HI(io_oeb[37]),
+ sky130_fd_sc_hd__conb_1 _011_ (.HI(io_oeb[36]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -90,652 +85,652 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _015_ (.LO(la_data_out[0]),
+ sky130_fd_sc_hd__conb_1 _015_ (.LO(_003_),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _016_ (.LO(la_data_out[1]),
+ sky130_fd_sc_hd__conb_1 _016_ (.LO(la_data_out[0]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _017_ (.LO(la_data_out[2]),
+ sky130_fd_sc_hd__conb_1 _017_ (.LO(la_data_out[1]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _018_ (.LO(la_data_out[3]),
+ sky130_fd_sc_hd__conb_1 _018_ (.LO(la_data_out[2]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _019_ (.LO(la_data_out[4]),
+ sky130_fd_sc_hd__conb_1 _019_ (.LO(la_data_out[3]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _020_ (.LO(la_data_out[5]),
+ sky130_fd_sc_hd__conb_1 _020_ (.LO(la_data_out[4]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _021_ (.LO(la_data_out[6]),
+ sky130_fd_sc_hd__conb_1 _021_ (.LO(la_data_out[5]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _022_ (.LO(la_data_out[7]),
+ sky130_fd_sc_hd__conb_1 _022_ (.LO(la_data_out[6]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _023_ (.LO(la_data_out[8]),
+ sky130_fd_sc_hd__conb_1 _023_ (.LO(la_data_out[7]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _024_ (.LO(la_data_out[9]),
+ sky130_fd_sc_hd__conb_1 _024_ (.LO(la_data_out[8]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _025_ (.LO(la_data_out[10]),
+ sky130_fd_sc_hd__conb_1 _025_ (.LO(la_data_out[9]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _026_ (.LO(la_data_out[11]),
+ sky130_fd_sc_hd__conb_1 _026_ (.LO(la_data_out[10]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _027_ (.LO(la_data_out[12]),
+ sky130_fd_sc_hd__conb_1 _027_ (.LO(la_data_out[11]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _028_ (.LO(la_data_out[13]),
+ sky130_fd_sc_hd__conb_1 _028_ (.LO(la_data_out[12]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _029_ (.LO(la_data_out[14]),
+ sky130_fd_sc_hd__conb_1 _029_ (.LO(la_data_out[13]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _030_ (.LO(la_data_out[15]),
+ sky130_fd_sc_hd__conb_1 _030_ (.LO(la_data_out[14]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _031_ (.LO(la_data_out[16]),
+ sky130_fd_sc_hd__conb_1 _031_ (.LO(la_data_out[15]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _032_ (.LO(la_data_out[17]),
+ sky130_fd_sc_hd__conb_1 _032_ (.LO(la_data_out[16]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _033_ (.LO(la_data_out[18]),
+ sky130_fd_sc_hd__conb_1 _033_ (.LO(la_data_out[17]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _034_ (.LO(la_data_out[19]),
+ sky130_fd_sc_hd__conb_1 _034_ (.LO(la_data_out[18]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _035_ (.LO(la_data_out[20]),
+ sky130_fd_sc_hd__conb_1 _035_ (.LO(la_data_out[19]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _036_ (.LO(la_data_out[21]),
+ sky130_fd_sc_hd__conb_1 _036_ (.LO(la_data_out[20]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _037_ (.LO(la_data_out[22]),
+ sky130_fd_sc_hd__conb_1 _037_ (.LO(la_data_out[21]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _038_ (.LO(la_data_out[23]),
+ sky130_fd_sc_hd__conb_1 _038_ (.LO(la_data_out[22]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _039_ (.LO(la_data_out[24]),
+ sky130_fd_sc_hd__conb_1 _039_ (.LO(la_data_out[23]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _040_ (.LO(la_data_out[25]),
+ sky130_fd_sc_hd__conb_1 _040_ (.LO(la_data_out[24]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _041_ (.LO(la_data_out[26]),
+ sky130_fd_sc_hd__conb_1 _041_ (.LO(la_data_out[25]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _042_ (.LO(la_data_out[27]),
+ sky130_fd_sc_hd__conb_1 _042_ (.LO(la_data_out[26]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _043_ (.LO(la_data_out[28]),
+ sky130_fd_sc_hd__conb_1 _043_ (.LO(la_data_out[27]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _044_ (.LO(la_data_out[29]),
+ sky130_fd_sc_hd__conb_1 _044_ (.LO(la_data_out[28]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _045_ (.LO(la_data_out[30]),
+ sky130_fd_sc_hd__conb_1 _045_ (.LO(la_data_out[29]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _046_ (.LO(la_data_out[31]),
+ sky130_fd_sc_hd__conb_1 _046_ (.LO(la_data_out[30]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _047_ (.LO(la_data_out[32]),
+ sky130_fd_sc_hd__conb_1 _047_ (.LO(la_data_out[31]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _048_ (.LO(la_data_out[33]),
+ sky130_fd_sc_hd__conb_1 _048_ (.LO(la_data_out[32]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _049_ (.LO(la_data_out[34]),
+ sky130_fd_sc_hd__conb_1 _049_ (.LO(la_data_out[33]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _050_ (.LO(la_data_out[35]),
+ sky130_fd_sc_hd__conb_1 _050_ (.LO(la_data_out[34]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _051_ (.LO(la_data_out[36]),
+ sky130_fd_sc_hd__conb_1 _051_ (.LO(la_data_out[35]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _052_ (.LO(la_data_out[37]),
+ sky130_fd_sc_hd__conb_1 _052_ (.LO(la_data_out[36]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _053_ (.LO(la_data_out[38]),
+ sky130_fd_sc_hd__conb_1 _053_ (.LO(la_data_out[37]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _054_ (.LO(la_data_out[39]),
+ sky130_fd_sc_hd__conb_1 _054_ (.LO(la_data_out[38]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _055_ (.LO(la_data_out[40]),
+ sky130_fd_sc_hd__conb_1 _055_ (.LO(la_data_out[39]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _056_ (.LO(la_data_out[41]),
+ sky130_fd_sc_hd__conb_1 _056_ (.LO(la_data_out[40]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _057_ (.LO(la_data_out[42]),
+ sky130_fd_sc_hd__conb_1 _057_ (.LO(la_data_out[41]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _058_ (.LO(la_data_out[43]),
+ sky130_fd_sc_hd__conb_1 _058_ (.LO(la_data_out[42]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _059_ (.LO(la_data_out[44]),
+ sky130_fd_sc_hd__conb_1 _059_ (.LO(la_data_out[43]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _060_ (.LO(la_data_out[45]),
+ sky130_fd_sc_hd__conb_1 _060_ (.LO(la_data_out[44]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _061_ (.LO(la_data_out[46]),
+ sky130_fd_sc_hd__conb_1 _061_ (.LO(la_data_out[45]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _062_ (.LO(la_data_out[47]),
+ sky130_fd_sc_hd__conb_1 _062_ (.LO(la_data_out[46]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _063_ (.LO(la_data_out[48]),
+ sky130_fd_sc_hd__conb_1 _063_ (.LO(la_data_out[47]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _064_ (.LO(la_data_out[49]),
+ sky130_fd_sc_hd__conb_1 _064_ (.LO(la_data_out[48]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _065_ (.LO(la_data_out[50]),
+ sky130_fd_sc_hd__conb_1 _065_ (.LO(la_data_out[49]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _066_ (.LO(la_data_out[51]),
+ sky130_fd_sc_hd__conb_1 _066_ (.LO(la_data_out[50]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _067_ (.LO(la_data_out[52]),
+ sky130_fd_sc_hd__conb_1 _067_ (.LO(la_data_out[51]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _068_ (.LO(la_data_out[53]),
+ sky130_fd_sc_hd__conb_1 _068_ (.LO(la_data_out[52]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _069_ (.LO(la_data_out[54]),
+ sky130_fd_sc_hd__conb_1 _069_ (.LO(la_data_out[53]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _070_ (.LO(la_data_out[55]),
+ sky130_fd_sc_hd__conb_1 _070_ (.LO(la_data_out[54]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _071_ (.LO(la_data_out[56]),
+ sky130_fd_sc_hd__conb_1 _071_ (.LO(la_data_out[55]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _072_ (.LO(la_data_out[57]),
+ sky130_fd_sc_hd__conb_1 _072_ (.LO(la_data_out[56]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _073_ (.LO(la_data_out[58]),
+ sky130_fd_sc_hd__conb_1 _073_ (.LO(la_data_out[57]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _074_ (.LO(la_data_out[59]),
+ sky130_fd_sc_hd__conb_1 _074_ (.LO(la_data_out[58]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _075_ (.LO(la_data_out[60]),
+ sky130_fd_sc_hd__conb_1 _075_ (.LO(la_data_out[59]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _076_ (.LO(la_data_out[61]),
+ sky130_fd_sc_hd__conb_1 _076_ (.LO(la_data_out[60]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _077_ (.LO(la_data_out[62]),
+ sky130_fd_sc_hd__conb_1 _077_ (.LO(la_data_out[61]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _078_ (.LO(la_data_out[63]),
+ sky130_fd_sc_hd__conb_1 _078_ (.LO(la_data_out[62]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _079_ (.LO(la_data_out[64]),
+ sky130_fd_sc_hd__conb_1 _079_ (.LO(la_data_out[63]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _080_ (.LO(la_data_out[65]),
+ sky130_fd_sc_hd__conb_1 _080_ (.LO(la_data_out[64]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _081_ (.LO(la_data_out[66]),
+ sky130_fd_sc_hd__conb_1 _081_ (.LO(la_data_out[65]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _082_ (.LO(la_data_out[67]),
+ sky130_fd_sc_hd__conb_1 _082_ (.LO(la_data_out[66]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _083_ (.LO(la_data_out[68]),
+ sky130_fd_sc_hd__conb_1 _083_ (.LO(la_data_out[67]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _084_ (.LO(la_data_out[69]),
+ sky130_fd_sc_hd__conb_1 _084_ (.LO(la_data_out[68]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _085_ (.LO(la_data_out[70]),
+ sky130_fd_sc_hd__conb_1 _085_ (.LO(la_data_out[69]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _086_ (.LO(la_data_out[71]),
+ sky130_fd_sc_hd__conb_1 _086_ (.LO(la_data_out[70]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _087_ (.LO(la_data_out[72]),
+ sky130_fd_sc_hd__conb_1 _087_ (.LO(la_data_out[71]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _088_ (.LO(la_data_out[73]),
+ sky130_fd_sc_hd__conb_1 _088_ (.LO(la_data_out[72]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _089_ (.LO(la_data_out[74]),
+ sky130_fd_sc_hd__conb_1 _089_ (.LO(la_data_out[73]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _090_ (.LO(la_data_out[75]),
+ sky130_fd_sc_hd__conb_1 _090_ (.LO(la_data_out[74]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _091_ (.LO(la_data_out[76]),
+ sky130_fd_sc_hd__conb_1 _091_ (.LO(la_data_out[75]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _092_ (.LO(la_data_out[77]),
+ sky130_fd_sc_hd__conb_1 _092_ (.LO(la_data_out[76]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _093_ (.LO(la_data_out[78]),
+ sky130_fd_sc_hd__conb_1 _093_ (.LO(la_data_out[77]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _094_ (.LO(la_data_out[79]),
+ sky130_fd_sc_hd__conb_1 _094_ (.LO(la_data_out[78]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _095_ (.LO(la_data_out[80]),
+ sky130_fd_sc_hd__conb_1 _095_ (.LO(la_data_out[79]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _096_ (.LO(la_data_out[81]),
+ sky130_fd_sc_hd__conb_1 _096_ (.LO(la_data_out[80]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _097_ (.LO(la_data_out[82]),
+ sky130_fd_sc_hd__conb_1 _097_ (.LO(la_data_out[81]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _098_ (.LO(la_data_out[83]),
+ sky130_fd_sc_hd__conb_1 _098_ (.LO(la_data_out[82]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _099_ (.LO(la_data_out[84]),
+ sky130_fd_sc_hd__conb_1 _099_ (.LO(la_data_out[83]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _100_ (.LO(la_data_out[85]),
+ sky130_fd_sc_hd__conb_1 _100_ (.LO(la_data_out[84]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _101_ (.LO(la_data_out[86]),
+ sky130_fd_sc_hd__conb_1 _101_ (.LO(la_data_out[85]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _102_ (.LO(la_data_out[87]),
+ sky130_fd_sc_hd__conb_1 _102_ (.LO(la_data_out[86]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _103_ (.LO(la_data_out[88]),
+ sky130_fd_sc_hd__conb_1 _103_ (.LO(la_data_out[87]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _104_ (.LO(la_data_out[89]),
+ sky130_fd_sc_hd__conb_1 _104_ (.LO(la_data_out[88]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _105_ (.LO(la_data_out[90]),
+ sky130_fd_sc_hd__conb_1 _105_ (.LO(la_data_out[89]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _106_ (.LO(la_data_out[91]),
+ sky130_fd_sc_hd__conb_1 _106_ (.LO(la_data_out[90]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _107_ (.LO(la_data_out[92]),
+ sky130_fd_sc_hd__conb_1 _107_ (.LO(la_data_out[91]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _108_ (.LO(la_data_out[93]),
+ sky130_fd_sc_hd__conb_1 _108_ (.LO(la_data_out[92]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _109_ (.LO(la_data_out[94]),
+ sky130_fd_sc_hd__conb_1 _109_ (.LO(la_data_out[93]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _110_ (.LO(la_data_out[95]),
+ sky130_fd_sc_hd__conb_1 _110_ (.LO(la_data_out[94]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _111_ (.LO(la_data_out[96]),
+ sky130_fd_sc_hd__conb_1 _111_ (.LO(la_data_out[95]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _112_ (.LO(la_data_out[97]),
+ sky130_fd_sc_hd__conb_1 _112_ (.LO(la_data_out[96]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _113_ (.LO(la_data_out[98]),
+ sky130_fd_sc_hd__conb_1 _113_ (.LO(la_data_out[97]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _114_ (.LO(la_data_out[99]),
+ sky130_fd_sc_hd__conb_1 _114_ (.LO(la_data_out[98]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _115_ (.LO(la_data_out[100]),
+ sky130_fd_sc_hd__conb_1 _115_ (.LO(la_data_out[99]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _116_ (.LO(la_data_out[101]),
+ sky130_fd_sc_hd__conb_1 _116_ (.LO(la_data_out[100]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _117_ (.LO(la_data_out[102]),
+ sky130_fd_sc_hd__conb_1 _117_ (.LO(la_data_out[101]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _118_ (.LO(la_data_out[103]),
+ sky130_fd_sc_hd__conb_1 _118_ (.LO(la_data_out[102]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _119_ (.LO(la_data_out[104]),
+ sky130_fd_sc_hd__conb_1 _119_ (.LO(la_data_out[103]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _120_ (.LO(la_data_out[105]),
+ sky130_fd_sc_hd__conb_1 _120_ (.LO(la_data_out[104]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _121_ (.LO(la_data_out[106]),
+ sky130_fd_sc_hd__conb_1 _121_ (.LO(la_data_out[105]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _122_ (.LO(la_data_out[107]),
+ sky130_fd_sc_hd__conb_1 _122_ (.LO(la_data_out[106]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _123_ (.LO(la_data_out[108]),
+ sky130_fd_sc_hd__conb_1 _123_ (.LO(la_data_out[107]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _124_ (.LO(la_data_out[109]),
+ sky130_fd_sc_hd__conb_1 _124_ (.LO(la_data_out[108]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _125_ (.LO(la_data_out[110]),
+ sky130_fd_sc_hd__conb_1 _125_ (.LO(la_data_out[109]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _126_ (.LO(la_data_out[111]),
+ sky130_fd_sc_hd__conb_1 _126_ (.LO(la_data_out[110]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _127_ (.LO(la_data_out[112]),
+ sky130_fd_sc_hd__conb_1 _127_ (.LO(la_data_out[111]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _128_ (.LO(la_data_out[113]),
+ sky130_fd_sc_hd__conb_1 _128_ (.LO(la_data_out[112]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _129_ (.LO(la_data_out[114]),
+ sky130_fd_sc_hd__conb_1 _129_ (.LO(la_data_out[113]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _130_ (.LO(la_data_out[115]),
+ sky130_fd_sc_hd__conb_1 _130_ (.LO(la_data_out[114]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _131_ (.LO(la_data_out[116]),
+ sky130_fd_sc_hd__conb_1 _131_ (.LO(la_data_out[115]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _132_ (.LO(la_data_out[117]),
+ sky130_fd_sc_hd__conb_1 _132_ (.LO(la_data_out[116]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _133_ (.LO(la_data_out[118]),
+ sky130_fd_sc_hd__conb_1 _133_ (.LO(la_data_out[117]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _134_ (.LO(la_data_out[119]),
+ sky130_fd_sc_hd__conb_1 _134_ (.LO(la_data_out[118]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _135_ (.LO(la_data_out[120]),
+ sky130_fd_sc_hd__conb_1 _135_ (.LO(la_data_out[119]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _136_ (.LO(la_data_out[121]),
+ sky130_fd_sc_hd__conb_1 _136_ (.LO(la_data_out[120]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _137_ (.LO(la_data_out[122]),
+ sky130_fd_sc_hd__conb_1 _137_ (.LO(la_data_out[121]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _138_ (.LO(la_data_out[123]),
+ sky130_fd_sc_hd__conb_1 _138_ (.LO(la_data_out[122]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _139_ (.LO(la_data_out[124]),
+ sky130_fd_sc_hd__conb_1 _139_ (.LO(la_data_out[123]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _140_ (.LO(la_data_out[125]),
+ sky130_fd_sc_hd__conb_1 _140_ (.LO(la_data_out[124]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _141_ (.LO(la_data_out[126]),
+ sky130_fd_sc_hd__conb_1 _141_ (.LO(la_data_out[125]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _142_ (.LO(la_data_out[127]),
+ sky130_fd_sc_hd__conb_1 _142_ (.LO(la_data_out[126]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _143_ (.LO(io_out[36]),
+ sky130_fd_sc_hd__conb_1 _143_ (.LO(la_data_out[127]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _144_ (.LO(io_out[37]),
+ sky130_fd_sc_hd__conb_1 _144_ (.LO(io_out[36]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -895,7 +890,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__conb_1 _176_ (.LO(_003_),
+ sky130_fd_sc_hd__conb_1 _176_ (.LO(io_oeb[37]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -920,120 +915,134 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _181_ (.A(\u_core.sdr_den_n ),
+ sky130_fd_sc_hd__conb_1 _181_ (.LO(_008_),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_4 _182_ (.A(\u_core.sdr_den_n ),
     .X(io_oeb[0]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _182_ (.A(io_oeb[35]),
+ sky130_fd_sc_hd__buf_2 _183_ (.A(io_oeb[35]),
     .X(io_oeb[32]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _183_ (.A(io_oeb[35]),
+ sky130_fd_sc_hd__buf_2 _184_ (.A(io_oeb[35]),
     .X(io_oeb[33]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _184_ (.A(io_oeb[35]),
+ sky130_fd_sc_hd__buf_2 _185_ (.A(io_oeb[35]),
     .X(io_oeb[34]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_4 _185_ (.A(\u_core.sdr_dqm ),
+ sky130_fd_sc_hd__buf_2 _186_ (.A(\u_core.sdr_dqm ),
     .X(io_out[23]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_4 _186_ (.A(\u_core.sdr_we_n ),
+ sky130_fd_sc_hd__buf_2 _187_ (.A(\u_core.sdr_we_n ),
     .X(io_out[24]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_4 _187_ (.A(\u_core.sdr_cas_n ),
+ sky130_fd_sc_hd__buf_2 _188_ (.A(\u_core.sdr_cas_n ),
     .X(io_out[25]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_4 _188_ (.A(\u_core.sdr_ras_n ),
+ sky130_fd_sc_hd__buf_2 _189_ (.A(\u_core.sdr_ras_n ),
     .X(io_out[26]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _189_ (.A(\u_core.sdr_cs_n ),
+ sky130_fd_sc_hd__buf_2 _190_ (.A(\u_core.sdr_cs_n ),
     .X(io_out[27]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_4 _190_ (.A(\u_core.sdr_cke ),
+ sky130_fd_sc_hd__buf_2 _191_ (.A(\u_core.sdr_cke ),
     .X(io_out[28]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _191_ (.A(\u_core.sdram_clk ),
+ sky130_fd_sc_hd__buf_2 _192_ (.A(\u_core.sdram_clk ),
     .X(io_out[29]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _192_ (.A(\u_core.spim_clk ),
+ sky130_fd_sc_hd__buf_2 _193_ (.A(\u_core.spim_clk ),
     .X(io_out[30]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _193_ (.A(\u_core.spim_csn ),
+ sky130_fd_sc_hd__buf_2 _194_ (.A(\u_core.spim_csn ),
     .X(io_out[31]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _194_ (.A(\u_core.spim_sdo0 ),
+ sky130_fd_sc_hd__buf_2 _195_ (.A(\u_core.spim_sdo0 ),
     .X(io_out[32]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _195_ (.A(\u_core.spim_sdo1 ),
+ sky130_fd_sc_hd__buf_2 _196_ (.A(\u_core.spim_sdo1 ),
     .X(io_out[33]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _196_ (.A(\u_core.spim_sdo2 ),
+ sky130_fd_sc_hd__buf_2 _197_ (.A(\u_core.spim_sdo2 ),
     .X(io_out[34]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_hd__buf_2 _197_ (.A(\u_core.spim_sdo3 ),
+ sky130_fd_sc_hd__buf_2 _198_ (.A(\u_core.spim_sdo3 ),
     .X(io_out[35]),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_hd__buf_4 _199_ (.A(\u_core.uart_tx ),
+    .X(io_out[37]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  glbl_cfg \u_core.u_glbl_cfg  (.cfg_sdr_en(\u_core.cfg_sdr_en ),
+    .cpu_clk(\u_core.cpu_clk ),
     .cpu_rst_n(\u_core.cpu_rst_n ),
     .mclk(wb_clk_i),
     .reg_ack(\u_core.wbd_glbl_ack_i ),
     .reg_cs(\u_core.wbd_glbl_stb_o ),
     .reg_wr(\u_core.wbd_glbl_we_o ),
     .reset_n(\u_core.wb_rst_n ),
+    .rtc_clk(\u_core.rtc_clk ),
     .sdr_init_done(\u_core.sdr_init_done ),
     .sdram_clk(\u_core.sdram_clk ),
     .sdram_rst_n(\u_core.sdram_rst_n ),
     .soft_irq(\u_core.soft_irq ),
     .spi_rst_n(\u_core.spi_rst_n ),
+    .user_clock2(user_clock2),
     .VPWR(vccd1),
     .VGND(vssd1),
     .cfg_colbits({\u_core.cfg_colbits[1] ,
@@ -1173,10 +1182,14 @@
     \u_core.irq_lines[2] ,
     \u_core.irq_lines[1] ,
     \u_core.irq_lines[0] }),
-    .reg_addr({\u_core.wbd_glbl_adr_o[5] ,
+    .reg_addr({\u_core.wbd_glbl_adr_o[7] ,
+    \u_core.wbd_glbl_adr_o[6] ,
+    \u_core.wbd_glbl_adr_o[5] ,
     \u_core.wbd_glbl_adr_o[4] ,
     \u_core.wbd_glbl_adr_o[3] ,
-    \u_core.wbd_glbl_adr_o[2] }),
+    \u_core.wbd_glbl_adr_o[2] ,
+    \u_core.wbd_glbl_adr_o[1] ,
+    \u_core.wbd_glbl_adr_o[0] }),
     .reg_be({\u_core.wbd_glbl_sel_o[3] ,
     \u_core.wbd_glbl_sel_o[2] ,
     \u_core.wbd_glbl_sel_o[1] ,
@@ -1267,19 +1280,25 @@
     .rst_n(\u_core.wb_rst_n ),
     .s0_wbd_ack_i(\u_core.wbd_spim_ack_i ),
     .s0_wbd_cyc_o(\u_core.wbd_spim_cyc_o ),
-    .s0_wbd_err_i(_003_),
+    .s0_wbd_err_i(_004_),
     .s0_wbd_stb_o(\u_core.wbd_spim_stb_o ),
     .s0_wbd_we_o(\u_core.wbd_spim_we_o ),
     .s1_wbd_ack_i(\u_core.wbd_sdram_ack_i ),
     .s1_wbd_cyc_o(\u_core.wbd_sdram_cyc_o ),
-    .s1_wbd_err_i(_004_),
+    .s1_wbd_err_i(_005_),
     .s1_wbd_stb_o(\u_core.wbd_sdram_stb_o ),
     .s1_wbd_we_o(\u_core.wbd_sdram_we_o ),
     .s2_wbd_ack_i(\u_core.wbd_glbl_ack_i ),
     .s2_wbd_cyc_o(\u_core.wbd_glbl_cyc_o ),
-    .s2_wbd_err_i(_005_),
+    .s2_wbd_err_i(_006_),
     .s2_wbd_stb_o(\u_core.wbd_glbl_stb_o ),
     .s2_wbd_we_o(\u_core.wbd_glbl_we_o ),
+    .s3_wbd_ack_i(\u_core.wbd_uart_ack_i ),
+    .s3_wbd_cyc_o(\u_core.wbd_uart_cyc_o ),
+    .s3_wbd_err_i(_007_),
+    .s3_wbd_sel_o(\u_core.wbd_uart_sel_o ),
+    .s3_wbd_stb_o(\u_core.wbd_uart_stb_o ),
+    .s3_wbd_we_o(\u_core.wbd_uart_we_o ),
     .VPWR(vccd1),
     .VGND(vssd1),
     .m0_wbd_adr_i({\u_core.wbd_riscv_imem_adr_i[31] ,
@@ -1782,31 +1801,7 @@
     \u_core.wbd_sdram_sel_o[2] ,
     \u_core.wbd_sdram_sel_o[1] ,
     \u_core.wbd_sdram_sel_o[0] }),
-    .s2_wbd_adr_o({\u_core.wbd_glbl_adr_o[31] ,
-    \u_core.wbd_glbl_adr_o[30] ,
-    \u_core.wbd_glbl_adr_o[29] ,
-    \u_core.wbd_glbl_adr_o[28] ,
-    \u_core.wbd_glbl_adr_o[27] ,
-    \u_core.wbd_glbl_adr_o[26] ,
-    \u_core.wbd_glbl_adr_o[25] ,
-    \u_core.wbd_glbl_adr_o[24] ,
-    \u_core.wbd_glbl_adr_o[23] ,
-    \u_core.wbd_glbl_adr_o[22] ,
-    \u_core.wbd_glbl_adr_o[21] ,
-    \u_core.wbd_glbl_adr_o[20] ,
-    \u_core.wbd_glbl_adr_o[19] ,
-    \u_core.wbd_glbl_adr_o[18] ,
-    \u_core.wbd_glbl_adr_o[17] ,
-    \u_core.wbd_glbl_adr_o[16] ,
-    \u_core.wbd_glbl_adr_o[15] ,
-    \u_core.wbd_glbl_adr_o[14] ,
-    \u_core.wbd_glbl_adr_o[13] ,
-    \u_core.wbd_glbl_adr_o[12] ,
-    \u_core.wbd_glbl_adr_o[11] ,
-    \u_core.wbd_glbl_adr_o[10] ,
-    \u_core.wbd_glbl_adr_o[9] ,
-    \u_core.wbd_glbl_adr_o[8] ,
-    \u_core.wbd_glbl_adr_o[7] ,
+    .s2_wbd_adr_o({\u_core.wbd_glbl_adr_o[7] ,
     \u_core.wbd_glbl_adr_o[6] ,
     \u_core.wbd_glbl_adr_o[5] ,
     \u_core.wbd_glbl_adr_o[4] ,
@@ -1881,15 +1876,41 @@
     .s2_wbd_sel_o({\u_core.wbd_glbl_sel_o[3] ,
     \u_core.wbd_glbl_sel_o[2] ,
     \u_core.wbd_glbl_sel_o[1] ,
-    \u_core.wbd_glbl_sel_o[0] }));
- scr1_top_wb \u_core.u_riscv_top  (.clk(wb_clk_i),
+    \u_core.wbd_glbl_sel_o[0] }),
+    .s3_wbd_adr_o({\u_core.wbd_uart_adr_o[7] ,
+    \u_core.wbd_uart_adr_o[6] ,
+    \u_core.wbd_uart_adr_o[5] ,
+    \u_core.wbd_uart_adr_o[4] ,
+    \u_core.wbd_uart_adr_o[3] ,
+    \u_core.wbd_uart_adr_o[2] ,
+    \u_core.wbd_uart_adr_o[1] ,
+    \u_core.wbd_uart_adr_o[0] }),
+    .s3_wbd_dat_i({\u_core.wbd_uart_dat_i[7] ,
+    \u_core.wbd_uart_dat_i[6] ,
+    \u_core.wbd_uart_dat_i[5] ,
+    \u_core.wbd_uart_dat_i[4] ,
+    \u_core.wbd_uart_dat_i[3] ,
+    \u_core.wbd_uart_dat_i[2] ,
+    \u_core.wbd_uart_dat_i[1] ,
+    \u_core.wbd_uart_dat_i[0] }),
+    .s3_wbd_dat_o({\u_core.wbd_uart_dat_o[7] ,
+    \u_core.wbd_uart_dat_o[6] ,
+    \u_core.wbd_uart_dat_o[5] ,
+    \u_core.wbd_uart_dat_o[4] ,
+    \u_core.wbd_uart_dat_o[3] ,
+    \u_core.wbd_uart_dat_o[2] ,
+    \u_core.wbd_uart_dat_o[1] ,
+    \u_core.wbd_uart_dat_o[0] }));
+ scr1_top_wb \u_core.u_riscv_top  (.core_clk(\u_core.cpu_clk ),
     .cpu_rst_n(\u_core.cpu_rst_n ),
     .pwrup_rst_n(\u_core.wb_rst_n ),
     .rst_n(\u_core.wb_rst_n ),
-    .rtc_clk(user_clock2),
+    .rtc_clk(\u_core.rtc_clk ),
     .soft_irq(\u_core.soft_irq ),
-    .test_mode(_006_),
+    .test_mode(_008_),
     .test_rst_n(_000_),
+    .wb_clk(wb_clk_i),
+    .wb_rst_n(\u_core.wb_rst_n ),
     .wbd_dmem_ack_i(\u_core.wbd_riscv_dmem_ack_o ),
     .wbd_dmem_err_i(\u_core.wbd_riscv_dmem_err_o ),
     .wbd_dmem_stb_o(\u_core.wbd_riscv_dmem_stb_i ),
@@ -2288,9 +2309,9 @@
     \u_core.wbd_sdram_adr_o[2] ,
     \u_core.wbd_sdram_adr_o[1] ,
     \u_core.wbd_sdram_adr_o[0] }),
-    .wb_cti_i({_002_,
-    _001_,
-    _007_}),
+    .wb_cti_i({_003_,
+    _002_,
+    _001_}),
     .wb_dat_i({\u_core.wbd_sdram_dat_o[31] ,
     \u_core.wbd_sdram_dat_o[30] ,
     \u_core.wbd_sdram_dat_o[29] ,
@@ -2482,4 +2503,34 @@
     \u_core.wbd_spim_sel_o[2] ,
     \u_core.wbd_spim_sel_o[1] ,
     \u_core.wbd_spim_sel_o[0] }));
+ uart_core \u_core.u_uart_core  (.app_clk(wb_clk_i),
+    .arst_n(\u_core.wb_rst_n ),
+    .reg_ack(\u_core.wbd_uart_ack_i ),
+    .reg_be(\u_core.wbd_uart_sel_o ),
+    .reg_cs(\u_core.wbd_uart_stb_o ),
+    .reg_wr(\u_core.wbd_uart_we_o ),
+    .si(io_in[36]),
+    .so(\u_core.uart_tx ),
+    .VPWR(vccd1),
+    .VGND(vssd1),
+    .reg_addr({\u_core.wbd_uart_adr_o[5] ,
+    \u_core.wbd_uart_adr_o[4] ,
+    \u_core.wbd_uart_adr_o[3] ,
+    \u_core.wbd_uart_adr_o[2] }),
+    .reg_rdata({\u_core.wbd_uart_dat_i[7] ,
+    \u_core.wbd_uart_dat_i[6] ,
+    \u_core.wbd_uart_dat_i[5] ,
+    \u_core.wbd_uart_dat_i[4] ,
+    \u_core.wbd_uart_dat_i[3] ,
+    \u_core.wbd_uart_dat_i[2] ,
+    \u_core.wbd_uart_dat_i[1] ,
+    \u_core.wbd_uart_dat_i[0] }),
+    .reg_wdata({\u_core.wbd_uart_dat_o[7] ,
+    \u_core.wbd_uart_dat_o[6] ,
+    \u_core.wbd_uart_dat_o[5] ,
+    \u_core.wbd_uart_dat_o[4] ,
+    \u_core.wbd_uart_dat_o[3] ,
+    \u_core.wbd_uart_dat_o[2] ,
+    \u_core.wbd_uart_dat_o[1] ,
+    \u_core.wbd_uart_dat_o[0] }));
 endmodule