blob: 1c29c0845b3eeeaabc2011e611c23f886fd14911 [file] [log] [blame]
// SPDX-License-Identifier: MIT
// SPDX-FileCopyrightText: 2021 Tamas Hubai
`default_nettype none
`define MAXDELAY 10'd600
`define STRINGS 4'd2
`default_nettype wire